From: Andrea Bianco Date: Fri, 3 Jun 2016 15:20:46 +0000 (+0200) Subject: Built motion from commit b5fb97b.|0.0.107 X-Git-Tag: 20/10/16~248 X-Git-Url: http://repos.xcallymotion.com/base//%22https:?a=commitdiff_plain;h=8a657ebf92d2c58858aec854bbeb647257698c83;p=motion.git Built motion from commit b5fb97b.|0.0.107 --- diff --git a/public/app/568c55d0.vendor.css b/public/app/568c55d0.vendor.css new file mode 100644 index 0000000..ee0de6f --- /dev/null +++ b/public/app/568c55d0.vendor.css @@ -0,0 +1,72 @@ +@charset "UTF-8";#ui-grid-twbs #ui-grid-twbs .btn-group-vertical>.btn-group:after,#ui-grid-twbs #ui-grid-twbs .btn-toolbar:after,#ui-grid-twbs #ui-grid-twbs .form-horizontal .form-group:after,.btn-group-vertical>.btn-group:after,.btn-toolbar:after,.clearfix:after,.colorpicker .dropdown-menu::after,.container-fluid:after,.container:after,.dl-horizontal dd:after,.dropdown-menu>li>a,.form-horizontal .form-group:after,.modal-footer:after,.modal-header:after,.nav:after,.navbar-collapse:after,.navbar-header:after,.navbar:after,.pager:after,.panel-body:after,.row:after,.ui-grid-clearfix:after,.ui-grid-footer-canvas:after,.ui-grid-header-canvas:after,colorpicker-preview{clear:both}.label,sub,sup{vertical-align:baseline}.collapsing,.nav .nav-divider,svg:not(:root){overflow:hidden}body,figure{margin:0}.bootstrap-switch,.btn{-webkit-user-select:none;-ms-user-select:none}.navbar-fixed-bottom .navbar-collapse,.navbar-fixed-top .navbar-collapse,.pre-scrollable{max-height:340px}.fa,.glyphicon,.icon-emoticon{-moz-osx-font-smoothing:grayscale}.colorpicker-visible,.colorpicker-visible .dropdown-menu{display:block!important}colorpicker-saturation{display:block;width:100px;height:100px;background-image:url(data:image/png;base64,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);cursor:crosshair;float:left}colorpicker-saturation i{display:block;height:7px;width:7px;border:1px solid #000;border-radius:5px;position:absolute;top:0;left:0;margin:-4px 0 0 -4px}colorpicker-saturation i::after{content:'';display:block;height:7px;width:7px;border:1px solid #fff;border-radius:5px}colorpicker-alpha,colorpicker-hue{width:15px;height:100px;float:left;cursor:row-resize;margin-left:4px;margin-bottom:4px}colorpicker-alpha i,colorpicker-hue i{display:block;height:2px;background:#000;border-top:1px solid #fff;position:absolute;top:0;left:0;width:100%;margin-top:-1px}.colorpicker,colorpicker-alpha{display:none}colorpicker-hue{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAAEAAABkCAMAAABw8qpSAAABLFBMVEUA/z8AuP//JAAA/33/3AAA/1ABAv8A/7r/AH7/jgD2AP8A//j/AEHmAP/XAP/HAP+4AP//ALyoAP+aAP+JAP97AP9rAP9cAP9MAP8+AP8tAP8fAP8PAP8ATv//AG7/cAD/vgD/APoAmv//ADH/AKwB/wMA5//4Eg4AL///AOr/UQD/nwAA/27/7AAA/+kAe/8Ayf8A/5sA/zEA/6z/ABEAEP8A/17/MgAA/9n/ACL/gAD/AJ0AXP8Aqv//AMoA/yHqFBb/zAD/AGD/ANsA9//1/wDk/wDV/wDF/wC3/wD/AI2m/wD/FACY/wCI/wB5/wBp/wD/YgBb/wBK/wA8/wAs/wAd/wAN/wAAPv8A/xH/AFAAi///rQAA/8r/+gAA1///QwAAH/8Abf8A/43c/JNGAAAAiUlEQVR4AQXBg2EDAAAAsMy2bds2ttp2+/8PTby79mDLsKJPq/oFPdk24dWXAxsGjRg1ZtykKdNmzJozb8GiJct63WjYl7fiWdOZkk0vOpyr2fVtyKl7FX2uXGjpcuxWDy69KdiRk5WRlpIUFxMVERLw78+vH1Unun1YV3ZkwKM1CYfq7nQK22sD03ITV2Aqp0IAAAAASUVORK5CYII=)}.colorpicker-color,colorpicker-alpha{background-image:url(data:image/png;base64,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)}.colorpicker{top:0;left:0;z-index:9999}.colorpicker colorpicker-alpha,.colorpicker colorpicker-hue,.colorpicker colorpicker-saturation{position:relative}.colorpicker input{width:100px;font-size:11px;color:#000;background-color:#fff}.colorpicker.alpha{min-width:140px}.colorpicker.alpha colorpicker-alpha{display:block}.colorpicker.dropdown{position:absolute}.colorpicker.colorpicker-fixed-position{position:fixed}.colorpicker .dropdown-menu::after,.colorpicker .dropdown-menu::before{content:'';display:inline-block;position:absolute}.colorpicker .dropdown-menu::after{border:6px solid transparent;top:-5px;left:7px}.colorpicker .dropdown-menu::before{border:7px solid transparent;top:-6px;left:6px}.colorpicker .dropdown-menu{position:static;top:0;left:0;min-width:129px;padding:4px;margin-top:0}.colorpicker-position-top .dropdown-menu::after{border-top:6px solid #fff;border-bottom:0;top:auto;bottom:-5px}.colorpicker-position-top .dropdown-menu::before{border-top:7px solid rgba(0,0,0,.2);border-bottom:0;top:auto;bottom:-6px}.colorpicker-position-right .dropdown-menu::after{border-right:6px solid #fff;border-left:0;top:11px;left:-5px}.colorpicker-position-right .dropdown-menu::before{border-right:7px solid rgba(0,0,0,.2);border-left:0;top:10px;left:-6px}.colorpicker-position-bottom .dropdown-menu::after{border-bottom:6px solid #fff;border-top:0}.colorpicker-position-bottom .dropdown-menu::before{border-bottom:7px solid rgba(0,0,0,.2);border-top:0}.colorpicker-position-left .dropdown-menu::after{border-left:6px solid #fff;border-right:0;top:11px;left:auto;right:-5px}.colorpicker-position-left .dropdown-menu::before{border-left:7px solid rgba(0,0,0,.2);border-right:0;top:10px;left:auto;right:-6px}colorpicker-preview{display:block;height:10px;margin:5px 0 3px;background-position:0 100%}/*! + * Bootstrap v3.3.6 (http://getbootstrap.com) + * Copyright 2011-2015 Twitter, Inc. + * Licensed under MIT (https://github.com/twbs/bootstrap/blob/master/LICENSE) + *//*! normalize.css v3.0.3 | MIT License | github.com/necolas/normalize.css */html{font-family:sans-serif;-webkit-text-size-adjust:100%;-ms-text-size-adjust:100%}article,aside,details,figcaption,figure,footer,header,hgroup,main,menu,nav,section,summary{display:block}audio,canvas,progress,video{display:inline-block;vertical-align:baseline}audio:not([controls]){display:none;height:0}[hidden],template{display:none}a{background-color:transparent}a:active,a:hover{outline:0}b,optgroup,strong{font-weight:700}dfn{font-style:italic}h1{margin:.67em 0}mark{color:#000;background:#ff0}sub,sup{position:relative;font-size:75%;line-height:0}sup{top:-.5em}sub{bottom:-.25em}img{border:0;vertical-align:middle}hr{height:0;-webkit-box-sizing:content-box;-moz-box-sizing:content-box;box-sizing:content-box}code,kbd,pre,samp{font-size:1em}button,input,optgroup,select,textarea{margin:0;font:inherit;color:inherit}button{overflow:visible}button,select{text-transform:none}button,html input[type=button],input[type=reset],input[type=submit]{-webkit-appearance:button;cursor:pointer}button[disabled],html input[disabled]{cursor:default}button::-moz-focus-inner,input::-moz-focus-inner{padding:0;border:0}input[type=checkbox],input[type=radio]{-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box;padding:0}input[type=number]::-webkit-inner-spin-button,input[type=number]::-webkit-outer-spin-button{height:auto}input[type=search]::-webkit-search-cancel-button,input[type=search]::-webkit-search-decoration{-webkit-appearance:none}textarea{overflow:auto}table{border-spacing:0;border-collapse:collapse}td,th{padding:0}/*! Source: https://github.com/h5bp/html5-boilerplate/blob/master/src/css/main.css */@media print{blockquote,img,pre,tr{page-break-inside:avoid}*,:after,:before{color:#000!important;text-shadow:none!important;background:0 0!important;-webkit-box-shadow:none!important;box-shadow:none!important}a,a:visited{text-decoration:underline}a[href]:after{content:" (" attr(href) ")"}abbr[title]:after{content:" (" attr(title) ")"}a[href^="#"]:after,a[href^="javascript:"]:after{content:""}blockquote,pre{border:1px solid #999}thead{display:table-header-group}img{max-width:100%!important}h2,h3,p{orphans:3;widows:3}h2,h3{page-break-after:avoid}.navbar{display:none}.btn>.caret,.dropup>.btn>.caret{border-top-color:#000!important}.label{border:1px solid #000}.table{border-collapse:collapse!important}.table td,.table th{background-color:#fff!important}.table-bordered td,.table-bordered th{border:1px solid #ddd!important}}.dropdown-menu,.modal-content{-webkit-background-clip:padding-box}.btn,.btn-danger.active,.btn-danger:active,.btn-default.active,.btn-default:active,.btn-info.active,.btn-info:active,.btn-primary.active,.btn-primary:active,.btn-warning.active,.btn-warning:active,.btn.active,.btn:active,.dropdown-menu>.disabled>a:focus,.dropdown-menu>.disabled>a:hover,.form-control,.navbar-toggle,.open>.dropdown-toggle.btn-danger,.open>.dropdown-toggle.btn-default,.open>.dropdown-toggle.btn-info,.open>.dropdown-toggle.btn-primary,.open>.dropdown-toggle.btn-warning{background-image:none}.img-thumbnail,body{background-color:#fff}@font-face{font-family:'Glyphicons Halflings';src:url(../assets/fonts/glyphicons-halflings-regular.eot);src:url(../assets/fonts/glyphicons-halflings-regular.eot?#iefix) format('embedded-opentype'),url(../assets/fonts/glyphicons-halflings-regular.woff2) format('woff2'),url(../assets/fonts/glyphicons-halflings-regular.woff) format('woff'),url(../assets/fonts/glyphicons-halflings-regular.ttf) format('truetype'),url(../assets/fonts/glyphicons-halflings-regular.svg#glyphicons_halflingsregular) format('svg')}.glyphicon{position:relative;top:1px;display:inline-block;font-family:'Glyphicons Halflings';font-style:normal;font-weight:400;line-height:1;-webkit-font-smoothing:antialiased}.popover,.tooltip,body{font-family:"Helvetica Neue",Helvetica,Arial,sans-serif}.glyphicon-asterisk:before{content:"\002a"}.glyphicon-plus:before{content:"\002b"}.glyphicon-eur:before,.glyphicon-euro:before{content:"\20ac"}.glyphicon-minus:before{content:"\2212"}.glyphicon-cloud:before{content:"\2601"}.glyphicon-envelope:before{content:"\2709"}.glyphicon-pencil:before{content:"\270f"}.glyphicon-glass:before{content:"\e001"}.glyphicon-music:before{content:"\e002"}.glyphicon-search:before{content:"\e003"}.glyphicon-heart:before{content:"\e005"}.glyphicon-star:before{content:"\e006"}.glyphicon-star-empty:before{content:"\e007"}.glyphicon-user:before{content:"\e008"}.glyphicon-film:before{content:"\e009"}.glyphicon-th-large:before{content:"\e010"}.glyphicon-th:before{content:"\e011"}.glyphicon-th-list:before{content:"\e012"}.glyphicon-ok:before{content:"\e013"}.glyphicon-remove:before{content:"\e014"}.glyphicon-zoom-in:before{content:"\e015"}.glyphicon-zoom-out:before{content:"\e016"}.glyphicon-off:before{content:"\e017"}.glyphicon-signal:before{content:"\e018"}.glyphicon-cog:before{content:"\e019"}.glyphicon-trash:before{content:"\e020"}.glyphicon-home:before{content:"\e021"}.glyphicon-file:before{content:"\e022"}.glyphicon-time:before{content:"\e023"}.glyphicon-road:before{content:"\e024"}.glyphicon-download-alt:before{content:"\e025"}.glyphicon-download:before{content:"\e026"}.glyphicon-upload:before{content:"\e027"}.glyphicon-inbox:before{content:"\e028"}.glyphicon-play-circle:before{content:"\e029"}.glyphicon-repeat:before{content:"\e030"}.glyphicon-refresh:before{content:"\e031"}.glyphicon-list-alt:before{content:"\e032"}.glyphicon-lock:before{content:"\e033"}.glyphicon-flag:before{content:"\e034"}.glyphicon-headphones:before{content:"\e035"}.glyphicon-volume-off:before{content:"\e036"}.glyphicon-volume-down:before{content:"\e037"}.glyphicon-volume-up:before{content:"\e038"}.glyphicon-qrcode:before{content:"\e039"}.glyphicon-barcode:before{content:"\e040"}.glyphicon-tag:before{content:"\e041"}.glyphicon-tags:before{content:"\e042"}.glyphicon-book:before{content:"\e043"}.glyphicon-bookmark:before{content:"\e044"}.glyphicon-print:before{content:"\e045"}.glyphicon-camera:before{content:"\e046"}.glyphicon-font:before{content:"\e047"}.glyphicon-bold:before{content:"\e048"}.glyphicon-italic:before{content:"\e049"}.glyphicon-text-height:before{content:"\e050"}.glyphicon-text-width:before{content:"\e051"}.glyphicon-align-left:before{content:"\e052"}.glyphicon-align-center:before{content:"\e053"}.glyphicon-align-right:before{content:"\e054"}.glyphicon-align-justify:before{content:"\e055"}.glyphicon-list:before{content:"\e056"}.glyphicon-indent-left:before{content:"\e057"}.glyphicon-indent-right:before{content:"\e058"}.glyphicon-facetime-video:before{content:"\e059"}.glyphicon-picture:before{content:"\e060"}.glyphicon-map-marker:before{content:"\e062"}.glyphicon-adjust:before{content:"\e063"}.glyphicon-tint:before{content:"\e064"}.glyphicon-edit:before{content:"\e065"}.glyphicon-share:before{content:"\e066"}.glyphicon-check:before{content:"\e067"}.glyphicon-move:before{content:"\e068"}.glyphicon-step-backward:before{content:"\e069"}.glyphicon-fast-backward:before{content:"\e070"}.glyphicon-backward:before{content:"\e071"}.glyphicon-play:before{content:"\e072"}.glyphicon-pause:before{content:"\e073"}.glyphicon-stop:before{content:"\e074"}.glyphicon-forward:before{content:"\e075"}.glyphicon-fast-forward:before{content:"\e076"}.glyphicon-step-forward:before{content:"\e077"}.glyphicon-eject:before{content:"\e078"}.glyphicon-chevron-left:before{content:"\e079"}.glyphicon-chevron-right:before{content:"\e080"}.glyphicon-plus-sign:before{content:"\e081"}.glyphicon-minus-sign:before{content:"\e082"}.glyphicon-remove-sign:before{content:"\e083"}.glyphicon-ok-sign:before{content:"\e084"}.glyphicon-question-sign:before{content:"\e085"}.glyphicon-info-sign:before{content:"\e086"}.glyphicon-screenshot:before{content:"\e087"}.glyphicon-remove-circle:before{content:"\e088"}.glyphicon-ok-circle:before{content:"\e089"}.glyphicon-ban-circle:before{content:"\e090"}.glyphicon-arrow-left:before{content:"\e091"}.glyphicon-arrow-right:before{content:"\e092"}.glyphicon-arrow-up:before{content:"\e093"}.glyphicon-arrow-down:before{content:"\e094"}.glyphicon-share-alt:before{content:"\e095"}.glyphicon-resize-full:before{content:"\e096"}.glyphicon-resize-small:before{content:"\e097"}.glyphicon-exclamation-sign:before{content:"\e101"}.glyphicon-gift:before{content:"\e102"}.glyphicon-leaf:before{content:"\e103"}.glyphicon-fire:before{content:"\e104"}.glyphicon-eye-open:before{content:"\e105"}.glyphicon-eye-close:before{content:"\e106"}.glyphicon-warning-sign:before{content:"\e107"}.glyphicon-plane:before{content:"\e108"}.glyphicon-calendar:before{content:"\e109"}.glyphicon-random:before{content:"\e110"}.glyphicon-comment:before{content:"\e111"}.glyphicon-magnet:before{content:"\e112"}.glyphicon-chevron-up:before{content:"\e113"}.glyphicon-chevron-down:before{content:"\e114"}.glyphicon-retweet:before{content:"\e115"}.glyphicon-shopping-cart:before{content:"\e116"}.glyphicon-folder-close:before{content:"\e117"}.glyphicon-folder-open:before{content:"\e118"}.glyphicon-resize-vertical:before{content:"\e119"}.glyphicon-resize-horizontal:before{content:"\e120"}.glyphicon-hdd:before{content:"\e121"}.glyphicon-bullhorn:before{content:"\e122"}.glyphicon-bell:before{content:"\e123"}.glyphicon-certificate:before{content:"\e124"}.glyphicon-thumbs-up:before{content:"\e125"}.glyphicon-thumbs-down:before{content:"\e126"}.glyphicon-hand-right:before{content:"\e127"}.glyphicon-hand-left:before{content:"\e128"}.glyphicon-hand-up:before{content:"\e129"}.glyphicon-hand-down:before{content:"\e130"}.glyphicon-circle-arrow-right:before{content:"\e131"}.glyphicon-circle-arrow-left:before{content:"\e132"}.glyphicon-circle-arrow-up:before{content:"\e133"}.glyphicon-circle-arrow-down:before{content:"\e134"}.glyphicon-globe:before{content:"\e135"}.glyphicon-wrench:before{content:"\e136"}.glyphicon-tasks:before{content:"\e137"}.glyphicon-filter:before{content:"\e138"}.glyphicon-briefcase:before{content:"\e139"}.glyphicon-fullscreen:before{content:"\e140"}.glyphicon-dashboard:before{content:"\e141"}.glyphicon-paperclip:before{content:"\e142"}.glyphicon-heart-empty:before{content:"\e143"}.glyphicon-link:before{content:"\e144"}.glyphicon-phone:before{content:"\e145"}.glyphicon-pushpin:before{content:"\e146"}.glyphicon-usd:before{content:"\e148"}.glyphicon-gbp:before{content:"\e149"}.glyphicon-sort:before{content:"\e150"}.glyphicon-sort-by-alphabet:before{content:"\e151"}.glyphicon-sort-by-alphabet-alt:before{content:"\e152"}.glyphicon-sort-by-order:before{content:"\e153"}.glyphicon-sort-by-order-alt:before{content:"\e154"}.glyphicon-sort-by-attributes:before{content:"\e155"}.glyphicon-sort-by-attributes-alt:before{content:"\e156"}.glyphicon-unchecked:before{content:"\e157"}.glyphicon-expand:before{content:"\e158"}.glyphicon-collapse-down:before{content:"\e159"}.glyphicon-collapse-up:before{content:"\e160"}.glyphicon-log-in:before{content:"\e161"}.glyphicon-flash:before{content:"\e162"}.glyphicon-log-out:before{content:"\e163"}.glyphicon-new-window:before{content:"\e164"}.glyphicon-record:before{content:"\e165"}.glyphicon-save:before{content:"\e166"}.glyphicon-open:before{content:"\e167"}.glyphicon-saved:before{content:"\e168"}.glyphicon-import:before{content:"\e169"}.glyphicon-export:before{content:"\e170"}.glyphicon-send:before{content:"\e171"}.glyphicon-floppy-disk:before{content:"\e172"}.glyphicon-floppy-saved:before{content:"\e173"}.glyphicon-floppy-remove:before{content:"\e174"}.glyphicon-floppy-save:before{content:"\e175"}.glyphicon-floppy-open:before{content:"\e176"}.glyphicon-credit-card:before{content:"\e177"}.glyphicon-transfer:before{content:"\e178"}.glyphicon-cutlery:before{content:"\e179"}.glyphicon-header:before{content:"\e180"}.glyphicon-compressed:before{content:"\e181"}.glyphicon-earphone:before{content:"\e182"}.glyphicon-phone-alt:before{content:"\e183"}.glyphicon-tower:before{content:"\e184"}.glyphicon-stats:before{content:"\e185"}.glyphicon-sd-video:before{content:"\e186"}.glyphicon-hd-video:before{content:"\e187"}.glyphicon-subtitles:before{content:"\e188"}.glyphicon-sound-stereo:before{content:"\e189"}.glyphicon-sound-dolby:before{content:"\e190"}.glyphicon-sound-5-1:before{content:"\e191"}.glyphicon-sound-6-1:before{content:"\e192"}.glyphicon-sound-7-1:before{content:"\e193"}.glyphicon-copyright-mark:before{content:"\e194"}.glyphicon-registration-mark:before{content:"\e195"}.glyphicon-cloud-download:before{content:"\e197"}.glyphicon-cloud-upload:before{content:"\e198"}.glyphicon-tree-conifer:before{content:"\e199"}.glyphicon-tree-deciduous:before{content:"\e200"}.glyphicon-cd:before{content:"\e201"}.glyphicon-save-file:before{content:"\e202"}.glyphicon-open-file:before{content:"\e203"}.glyphicon-level-up:before{content:"\e204"}.glyphicon-copy:before{content:"\e205"}.glyphicon-paste:before{content:"\e206"}.glyphicon-alert:before{content:"\e209"}.glyphicon-equalizer:before{content:"\e210"}.glyphicon-king:before{content:"\e211"}.glyphicon-queen:before{content:"\e212"}.glyphicon-pawn:before{content:"\e213"}.glyphicon-bishop:before{content:"\e214"}.glyphicon-knight:before{content:"\e215"}.glyphicon-baby-formula:before{content:"\e216"}.glyphicon-tent:before{content:"\26fa"}.glyphicon-blackboard:before{content:"\e218"}.glyphicon-bed:before{content:"\e219"}.glyphicon-apple:before{content:"\f8ff"}.glyphicon-erase:before{content:"\e221"}.glyphicon-hourglass:before{content:"\231b"}.glyphicon-lamp:before{content:"\e223"}.glyphicon-duplicate:before{content:"\e224"}.glyphicon-piggy-bank:before{content:"\e225"}.glyphicon-scissors:before{content:"\e226"}.glyphicon-bitcoin:before,.glyphicon-btc:before,.glyphicon-xbt:before{content:"\e227"}.glyphicon-jpy:before,.glyphicon-yen:before{content:"\00a5"}.glyphicon-rub:before,.glyphicon-ruble:before{content:"\20bd"}.glyphicon-scale:before{content:"\e230"}.glyphicon-ice-lolly:before{content:"\e231"}.glyphicon-ice-lolly-tasted:before{content:"\e232"}.glyphicon-education:before{content:"\e233"}.glyphicon-option-horizontal:before{content:"\e234"}.glyphicon-option-vertical:before{content:"\e235"}.glyphicon-menu-hamburger:before{content:"\e236"}.glyphicon-modal-window:before{content:"\e237"}.glyphicon-oil:before{content:"\e238"}.glyphicon-grain:before{content:"\e239"}.glyphicon-sunglasses:before{content:"\e240"}.glyphicon-text-size:before{content:"\e241"}.glyphicon-text-color:before{content:"\e242"}.glyphicon-text-background:before{content:"\e243"}.glyphicon-object-align-top:before{content:"\e244"}.glyphicon-object-align-bottom:before{content:"\e245"}.glyphicon-object-align-horizontal:before{content:"\e246"}.glyphicon-object-align-left:before{content:"\e247"}.glyphicon-object-align-vertical:before{content:"\e248"}.glyphicon-object-align-right:before{content:"\e249"}.glyphicon-triangle-right:before{content:"\e250"}.glyphicon-triangle-left:before{content:"\e251"}.glyphicon-triangle-bottom:before{content:"\e252"}.glyphicon-triangle-top:before{content:"\e253"}.glyphicon-console:before{content:"\e254"}.glyphicon-superscript:before{content:"\e255"}.glyphicon-subscript:before{content:"\e256"}.glyphicon-menu-left:before{content:"\e257"}.glyphicon-menu-right:before{content:"\e258"}.glyphicon-menu-down:before{content:"\e259"}.glyphicon-menu-up:before{content:"\e260"}*,:after,:before{-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box}html{font-size:10px;-webkit-tap-highlight-color:transparent}body{font-size:14px;line-height:1.42857143;color:#333}button,input,select,textarea{font-family:inherit;font-size:inherit;line-height:inherit}a{color:#337ab7;text-decoration:none}a:focus,a:hover{color:#23527c;text-decoration:underline}a:focus{outline:dotted thin;outline:-webkit-focus-ring-color auto 5px;outline-offset:-2px}.carousel-inner>.item>a>img,.carousel-inner>.item>img,.img-responsive,.thumbnail a>img,.thumbnail>img{display:block;max-width:100%;height:auto}.img-rounded{border-radius:6px}.img-thumbnail{display:inline-block;max-width:100%;height:auto;padding:4px;line-height:1.42857143;border:1px solid #ddd;border-radius:4px;-webkit-transition:all .2s ease-in-out;-o-transition:all .2s ease-in-out;transition:all .2s ease-in-out}.form-control,.ui-grid-pager-control input{-o-transition:border-color ease-in-out .15s,box-shadow ease-in-out .15s}.img-circle{border-radius:50%}hr{margin-top:20px;margin-bottom:20px;border:0;border-top:1px solid #eee}[role=button]{cursor:pointer}.h1,.h2,.h3,.h4,.h5,.h6,h1,h2,h3,h4,h5,h6{font-family:inherit;font-weight:500;line-height:1.1;color:inherit}.h1 .small,.h1 small,.h2 .small,.h2 small,.h3 .small,.h3 small,.h4 .small,.h4 small,.h5 .small,.h5 small,.h6 .small,.h6 small,h1 .small,h1 small,h2 .small,h2 small,h3 .small,h3 small,h4 .small,h4 small,h5 .small,h5 small,h6 .small,h6 small{font-weight:400;line-height:1;color:#777}.h1,.h2,.h3,h1,h2,h3{margin-top:20px;margin-bottom:10px}.h1 .small,.h1 small,.h2 .small,.h2 small,.h3 .small,.h3 small,h1 .small,h1 small,h2 .small,h2 small,h3 .small,h3 small{font-size:65%}.h4,.h5,.h6,h4,h5,h6{margin-top:10px;margin-bottom:10px}.h4 .small,.h4 small,.h5 .small,.h5 small,.h6 .small,.h6 small,h4 .small,h4 small,h5 .small,h5 small,h6 .small,h6 small{font-size:75%}.h1,h1{font-size:36px}.h2,h2{font-size:30px}.h3,h3{font-size:24px}.h4,h4{font-size:18px}.h5,h5{font-size:14px}.h6,h6{font-size:12px}p{margin:0 0 10px}.lead{margin-bottom:20px;font-size:16px;font-weight:300;line-height:1.4}dt,kbd kbd,label{font-weight:700}@media (min-width:768px){.lead{font-size:21px}}.small,small{font-size:85%}.mark,mark{padding:.2em;background-color:#fcf8e3}.list-inline,.list-unstyled{padding-left:0;list-style:none}.text-left{text-align:left}.text-right{text-align:right}.text-center{text-align:center}.text-justify{text-align:justify}.text-nowrap{white-space:nowrap}.text-lowercase{text-transform:lowercase}.text-uppercase{text-transform:uppercase}.text-capitalize{text-transform:capitalize}.text-muted{color:#777}.text-primary{color:#337ab7}a.text-primary:focus,a.text-primary:hover{color:#286090}.text-success{color:#3c763d}a.text-success:focus,a.text-success:hover{color:#2b542c}.text-info{color:#31708f}a.text-info:focus,a.text-info:hover{color:#245269}.text-warning{color:#8a6d3b}a.text-warning:focus,a.text-warning:hover{color:#66512c}.text-danger{color:#a94442}a.text-danger:focus,a.text-danger:hover{color:#843534}.bg-primary{color:#fff;background-color:#337ab7}a.bg-primary:focus,a.bg-primary:hover{background-color:#286090}.bg-success{background-color:#dff0d8}a.bg-success:focus,a.bg-success:hover{background-color:#c1e2b3}.bg-info{background-color:#d9edf7}a.bg-info:focus,a.bg-info:hover{background-color:#afd9ee}.bg-warning{background-color:#fcf8e3}a.bg-warning:focus,a.bg-warning:hover{background-color:#f7ecb5}.bg-danger{background-color:#f2dede}a.bg-danger:focus,a.bg-danger:hover{background-color:#e4b9b9}pre code,table{background-color:transparent}.page-header{padding-bottom:9px;margin:40px 0 20px;border-bottom:1px solid #eee}dl,ol,ul{margin-top:0}blockquote ol:last-child,blockquote p:last-child,blockquote ul:last-child,ol ol,ol ul,ul ol,ul ul{margin-bottom:0}ol,ul{margin-bottom:10px}.list-inline{margin-left:-5px}.list-inline>li{display:inline-block;padding-right:5px;padding-left:5px}dl{margin-bottom:20px}dd,dt{line-height:1.42857143}dd{margin-left:0}@media (min-width:768px){.dl-horizontal dt{float:left;width:160px;overflow:hidden;clear:left;text-align:right;text-overflow:ellipsis;white-space:nowrap}.dl-horizontal dd{margin-left:180px}.container{width:750px}}abbr[data-original-title],abbr[title]{cursor:help;border-bottom:1px dotted #777}.initialism{font-size:90%;text-transform:uppercase}blockquote{padding:10px 20px;margin:0 0 20px;font-size:17.5px;border-left:5px solid #eee}.container,.container-fluid{margin-right:auto;margin-left:auto}.table,address{margin-bottom:20px}blockquote .small,blockquote footer,blockquote small{display:block;font-size:80%;line-height:1.42857143;color:#777}blockquote .small:before,blockquote footer:before,blockquote small:before{content:'\2014 \00A0'}.blockquote-reverse,blockquote.pull-right{padding-right:15px;padding-left:0;text-align:right;border-right:5px solid #eee;border-left:0}code,kbd{padding:2px 4px;font-size:90%}caption,th{text-align:left}.blockquote-reverse .small:before,.blockquote-reverse footer:before,.blockquote-reverse small:before,blockquote.pull-right .small:before,blockquote.pull-right footer:before,blockquote.pull-right small:before{content:''}.blockquote-reverse .small:after,.blockquote-reverse footer:after,.blockquote-reverse small:after,blockquote.pull-right .small:after,blockquote.pull-right footer:after,blockquote.pull-right small:after{content:'\00A0 \2014'}address{font-style:normal;line-height:1.42857143}code,kbd,pre,samp{font-family:Menlo,Monaco,Consolas,"Courier New",monospace}code{color:#c7254e;background-color:#f9f2f4;border-radius:4px}kbd{color:#fff;background-color:#333;border-radius:3px;-webkit-box-shadow:inset 0 -1px 0 rgba(0,0,0,.25);box-shadow:inset 0 -1px 0 rgba(0,0,0,.25)}kbd kbd{padding:0;font-size:100%;-webkit-box-shadow:none;box-shadow:none}pre code{padding:0;font-size:inherit;color:inherit;white-space:pre-wrap;border-radius:0}.container,.container-fluid{padding-right:15px;padding-left:15px}.pre-scrollable{overflow-y:scroll}@media (min-width:992px){.container{width:970px}}@media (min-width:1200px){.container{width:1170px}}.row{margin-right:-15px;margin-left:-15px}.col-lg-1,.col-lg-10,.col-lg-11,.col-lg-12,.col-lg-2,.col-lg-3,.col-lg-4,.col-lg-5,.col-lg-6,.col-lg-7,.col-lg-8,.col-lg-9,.col-md-1,.col-md-10,.col-md-11,.col-md-12,.col-md-2,.col-md-3,.col-md-4,.col-md-5,.col-md-6,.col-md-7,.col-md-8,.col-md-9,.col-sm-1,.col-sm-10,.col-sm-11,.col-sm-12,.col-sm-2,.col-sm-3,.col-sm-4,.col-sm-5,.col-sm-6,.col-sm-7,.col-sm-8,.col-sm-9,.col-xs-1,.col-xs-10,.col-xs-11,.col-xs-12,.col-xs-2,.col-xs-3,.col-xs-4,.col-xs-5,.col-xs-6,.col-xs-7,.col-xs-8,.col-xs-9{position:relative;min-height:1px;padding-right:15px;padding-left:15px}.col-xs-1,.col-xs-10,.col-xs-11,.col-xs-12,.col-xs-2,.col-xs-3,.col-xs-4,.col-xs-5,.col-xs-6,.col-xs-7,.col-xs-8,.col-xs-9{float:left}.col-xs-12{width:100%}.col-xs-11{width:91.66666667%}.col-xs-10{width:83.33333333%}.col-xs-9{width:75%}.col-xs-8{width:66.66666667%}.col-xs-7{width:58.33333333%}.col-xs-6{width:50%}.col-xs-5{width:41.66666667%}.col-xs-4{width:33.33333333%}.col-xs-3{width:25%}.col-xs-2{width:16.66666667%}.col-xs-1{width:8.33333333%}.col-xs-pull-12{right:100%}.col-xs-pull-11{right:91.66666667%}.col-xs-pull-10{right:83.33333333%}.col-xs-pull-9{right:75%}.col-xs-pull-8{right:66.66666667%}.col-xs-pull-7{right:58.33333333%}.col-xs-pull-6{right:50%}.col-xs-pull-5{right:41.66666667%}.col-xs-pull-4{right:33.33333333%}.col-xs-pull-3{right:25%}.col-xs-pull-2{right:16.66666667%}.col-xs-pull-1{right:8.33333333%}.col-xs-pull-0{right:auto}.col-xs-push-12{left:100%}.col-xs-push-11{left:91.66666667%}.col-xs-push-10{left:83.33333333%}.col-xs-push-9{left:75%}.col-xs-push-8{left:66.66666667%}.col-xs-push-7{left:58.33333333%}.col-xs-push-6{left:50%}.col-xs-push-5{left:41.66666667%}.col-xs-push-4{left:33.33333333%}.col-xs-push-3{left:25%}.col-xs-push-2{left:16.66666667%}.col-xs-push-1{left:8.33333333%}.col-xs-push-0{left:auto}.col-xs-offset-12{margin-left:100%}.col-xs-offset-11{margin-left:91.66666667%}.col-xs-offset-10{margin-left:83.33333333%}.col-xs-offset-9{margin-left:75%}.col-xs-offset-8{margin-left:66.66666667%}.col-xs-offset-7{margin-left:58.33333333%}.col-xs-offset-6{margin-left:50%}.col-xs-offset-5{margin-left:41.66666667%}.col-xs-offset-4{margin-left:33.33333333%}.col-xs-offset-3{margin-left:25%}.col-xs-offset-2{margin-left:16.66666667%}.col-xs-offset-1{margin-left:8.33333333%}.col-xs-offset-0{margin-left:0}@media (min-width:768px){.col-sm-1,.col-sm-10,.col-sm-11,.col-sm-12,.col-sm-2,.col-sm-3,.col-sm-4,.col-sm-5,.col-sm-6,.col-sm-7,.col-sm-8,.col-sm-9{float:left}.col-sm-12{width:100%}.col-sm-11{width:91.66666667%}.col-sm-10{width:83.33333333%}.col-sm-9{width:75%}.col-sm-8{width:66.66666667%}.col-sm-7{width:58.33333333%}.col-sm-6{width:50%}.col-sm-5{width:41.66666667%}.col-sm-4{width:33.33333333%}.col-sm-3{width:25%}.col-sm-2{width:16.66666667%}.col-sm-1{width:8.33333333%}.col-sm-pull-12{right:100%}.col-sm-pull-11{right:91.66666667%}.col-sm-pull-10{right:83.33333333%}.col-sm-pull-9{right:75%}.col-sm-pull-8{right:66.66666667%}.col-sm-pull-7{right:58.33333333%}.col-sm-pull-6{right:50%}.col-sm-pull-5{right:41.66666667%}.col-sm-pull-4{right:33.33333333%}.col-sm-pull-3{right:25%}.col-sm-pull-2{right:16.66666667%}.col-sm-pull-1{right:8.33333333%}.col-sm-pull-0{right:auto}.col-sm-push-12{left:100%}.col-sm-push-11{left:91.66666667%}.col-sm-push-10{left:83.33333333%}.col-sm-push-9{left:75%}.col-sm-push-8{left:66.66666667%}.col-sm-push-7{left:58.33333333%}.col-sm-push-6{left:50%}.col-sm-push-5{left:41.66666667%}.col-sm-push-4{left:33.33333333%}.col-sm-push-3{left:25%}.col-sm-push-2{left:16.66666667%}.col-sm-push-1{left:8.33333333%}.col-sm-push-0{left:auto}.col-sm-offset-12{margin-left:100%}.col-sm-offset-11{margin-left:91.66666667%}.col-sm-offset-10{margin-left:83.33333333%}.col-sm-offset-9{margin-left:75%}.col-sm-offset-8{margin-left:66.66666667%}.col-sm-offset-7{margin-left:58.33333333%}.col-sm-offset-6{margin-left:50%}.col-sm-offset-5{margin-left:41.66666667%}.col-sm-offset-4{margin-left:33.33333333%}.col-sm-offset-3{margin-left:25%}.col-sm-offset-2{margin-left:16.66666667%}.col-sm-offset-1{margin-left:8.33333333%}.col-sm-offset-0{margin-left:0}}@media (min-width:992px){.col-md-1,.col-md-10,.col-md-11,.col-md-12,.col-md-2,.col-md-3,.col-md-4,.col-md-5,.col-md-6,.col-md-7,.col-md-8,.col-md-9{float:left}.col-md-12{width:100%}.col-md-11{width:91.66666667%}.col-md-10{width:83.33333333%}.col-md-9{width:75%}.col-md-8{width:66.66666667%}.col-md-7{width:58.33333333%}.col-md-6{width:50%}.col-md-5{width:41.66666667%}.col-md-4{width:33.33333333%}.col-md-3{width:25%}.col-md-2{width:16.66666667%}.col-md-1{width:8.33333333%}.col-md-pull-12{right:100%}.col-md-pull-11{right:91.66666667%}.col-md-pull-10{right:83.33333333%}.col-md-pull-9{right:75%}.col-md-pull-8{right:66.66666667%}.col-md-pull-7{right:58.33333333%}.col-md-pull-6{right:50%}.col-md-pull-5{right:41.66666667%}.col-md-pull-4{right:33.33333333%}.col-md-pull-3{right:25%}.col-md-pull-2{right:16.66666667%}.col-md-pull-1{right:8.33333333%}.col-md-pull-0{right:auto}.col-md-push-12{left:100%}.col-md-push-11{left:91.66666667%}.col-md-push-10{left:83.33333333%}.col-md-push-9{left:75%}.col-md-push-8{left:66.66666667%}.col-md-push-7{left:58.33333333%}.col-md-push-6{left:50%}.col-md-push-5{left:41.66666667%}.col-md-push-4{left:33.33333333%}.col-md-push-3{left:25%}.col-md-push-2{left:16.66666667%}.col-md-push-1{left:8.33333333%}.col-md-push-0{left:auto}.col-md-offset-12{margin-left:100%}.col-md-offset-11{margin-left:91.66666667%}.col-md-offset-10{margin-left:83.33333333%}.col-md-offset-9{margin-left:75%}.col-md-offset-8{margin-left:66.66666667%}.col-md-offset-7{margin-left:58.33333333%}.col-md-offset-6{margin-left:50%}.col-md-offset-5{margin-left:41.66666667%}.col-md-offset-4{margin-left:33.33333333%}.col-md-offset-3{margin-left:25%}.col-md-offset-2{margin-left:16.66666667%}.col-md-offset-1{margin-left:8.33333333%}.col-md-offset-0{margin-left:0}}@media (min-width:1200px){.col-lg-1,.col-lg-10,.col-lg-11,.col-lg-12,.col-lg-2,.col-lg-3,.col-lg-4,.col-lg-5,.col-lg-6,.col-lg-7,.col-lg-8,.col-lg-9{float:left}.col-lg-12{width:100%}.col-lg-11{width:91.66666667%}.col-lg-10{width:83.33333333%}.col-lg-9{width:75%}.col-lg-8{width:66.66666667%}.col-lg-7{width:58.33333333%}.col-lg-6{width:50%}.col-lg-5{width:41.66666667%}.col-lg-4{width:33.33333333%}.col-lg-3{width:25%}.col-lg-2{width:16.66666667%}.col-lg-1{width:8.33333333%}.col-lg-pull-12{right:100%}.col-lg-pull-11{right:91.66666667%}.col-lg-pull-10{right:83.33333333%}.col-lg-pull-9{right:75%}.col-lg-pull-8{right:66.66666667%}.col-lg-pull-7{right:58.33333333%}.col-lg-pull-6{right:50%}.col-lg-pull-5{right:41.66666667%}.col-lg-pull-4{right:33.33333333%}.col-lg-pull-3{right:25%}.col-lg-pull-2{right:16.66666667%}.col-lg-pull-1{right:8.33333333%}.col-lg-pull-0{right:auto}.col-lg-push-12{left:100%}.col-lg-push-11{left:91.66666667%}.col-lg-push-10{left:83.33333333%}.col-lg-push-9{left:75%}.col-lg-push-8{left:66.66666667%}.col-lg-push-7{left:58.33333333%}.col-lg-push-6{left:50%}.col-lg-push-5{left:41.66666667%}.col-lg-push-4{left:33.33333333%}.col-lg-push-3{left:25%}.col-lg-push-2{left:16.66666667%}.col-lg-push-1{left:8.33333333%}.col-lg-push-0{left:auto}.col-lg-offset-12{margin-left:100%}.col-lg-offset-11{margin-left:91.66666667%}.col-lg-offset-10{margin-left:83.33333333%}.col-lg-offset-9{margin-left:75%}.col-lg-offset-8{margin-left:66.66666667%}.col-lg-offset-7{margin-left:58.33333333%}.col-lg-offset-6{margin-left:50%}.col-lg-offset-5{margin-left:41.66666667%}.col-lg-offset-4{margin-left:33.33333333%}.col-lg-offset-3{margin-left:25%}.col-lg-offset-2{margin-left:16.66666667%}.col-lg-offset-1{margin-left:8.33333333%}.col-lg-offset-0{margin-left:0}}caption{padding-top:8px;padding-bottom:8px;color:#777}.table{width:100%;max-width:100%}.table>tbody>tr>td,.table>tbody>tr>th,.table>tfoot>tr>td,.table>tfoot>tr>th,.table>thead>tr>td,.table>thead>tr>th{padding:8px;line-height:1.42857143;vertical-align:top;border-top:1px solid #ddd}.table>thead>tr>th{vertical-align:bottom;border-bottom:2px solid #ddd}.table>caption+thead>tr:first-child>td,.table>caption+thead>tr:first-child>th,.table>colgroup+thead>tr:first-child>td,.table>colgroup+thead>tr:first-child>th,.table>thead:first-child>tr:first-child>td,.table>thead:first-child>tr:first-child>th{border-top:0}.table>tbody+tbody{border-top:2px solid #ddd}.table .table{background-color:#fff}.table-condensed>tbody>tr>td,.table-condensed>tbody>tr>th,.table-condensed>tfoot>tr>td,.table-condensed>tfoot>tr>th,.table-condensed>thead>tr>td,.table-condensed>thead>tr>th{padding:5px}.table-bordered,.table-bordered>tbody>tr>td,.table-bordered>tbody>tr>th,.table-bordered>tfoot>tr>td,.table-bordered>tfoot>tr>th,.table-bordered>thead>tr>td,.table-bordered>thead>tr>th{border:1px solid #ddd}.table-bordered>thead>tr>td,.table-bordered>thead>tr>th{border-bottom-width:2px}.table-striped>tbody>tr:nth-of-type(odd){background-color:#f9f9f9}.table-hover>tbody>tr:hover,.table>tbody>tr.active>td,.table>tbody>tr.active>th,.table>tbody>tr>td.active,.table>tbody>tr>th.active,.table>tfoot>tr.active>td,.table>tfoot>tr.active>th,.table>tfoot>tr>td.active,.table>tfoot>tr>th.active,.table>thead>tr.active>td,.table>thead>tr.active>th,.table>thead>tr>td.active,.table>thead>tr>th.active{background-color:#f5f5f5}table col[class*=col-]{position:static;display:table-column;float:none}table td[class*=col-],table th[class*=col-]{position:static;display:table-cell;float:none}.table-hover>tbody>tr.active:hover>td,.table-hover>tbody>tr.active:hover>th,.table-hover>tbody>tr:hover>.active,.table-hover>tbody>tr>td.active:hover,.table-hover>tbody>tr>th.active:hover{background-color:#e8e8e8}.table>tbody>tr.success>td,.table>tbody>tr.success>th,.table>tbody>tr>td.success,.table>tbody>tr>th.success,.table>tfoot>tr.success>td,.table>tfoot>tr.success>th,.table>tfoot>tr>td.success,.table>tfoot>tr>th.success,.table>thead>tr.success>td,.table>thead>tr.success>th,.table>thead>tr>td.success,.table>thead>tr>th.success{background-color:#dff0d8}.table-hover>tbody>tr.success:hover>td,.table-hover>tbody>tr.success:hover>th,.table-hover>tbody>tr:hover>.success,.table-hover>tbody>tr>td.success:hover,.table-hover>tbody>tr>th.success:hover{background-color:#d0e9c6}.table>tbody>tr.info>td,.table>tbody>tr.info>th,.table>tbody>tr>td.info,.table>tbody>tr>th.info,.table>tfoot>tr.info>td,.table>tfoot>tr.info>th,.table>tfoot>tr>td.info,.table>tfoot>tr>th.info,.table>thead>tr.info>td,.table>thead>tr.info>th,.table>thead>tr>td.info,.table>thead>tr>th.info{background-color:#d9edf7}.table-hover>tbody>tr.info:hover>td,.table-hover>tbody>tr.info:hover>th,.table-hover>tbody>tr:hover>.info,.table-hover>tbody>tr>td.info:hover,.table-hover>tbody>tr>th.info:hover{background-color:#c4e3f3}.table>tbody>tr.warning>td,.table>tbody>tr.warning>th,.table>tbody>tr>td.warning,.table>tbody>tr>th.warning,.table>tfoot>tr.warning>td,.table>tfoot>tr.warning>th,.table>tfoot>tr>td.warning,.table>tfoot>tr>th.warning,.table>thead>tr.warning>td,.table>thead>tr.warning>th,.table>thead>tr>td.warning,.table>thead>tr>th.warning{background-color:#fcf8e3}.table-hover>tbody>tr.warning:hover>td,.table-hover>tbody>tr.warning:hover>th,.table-hover>tbody>tr:hover>.warning,.table-hover>tbody>tr>td.warning:hover,.table-hover>tbody>tr>th.warning:hover{background-color:#faf2cc}.table>tbody>tr.danger>td,.table>tbody>tr.danger>th,.table>tbody>tr>td.danger,.table>tbody>tr>th.danger,.table>tfoot>tr.danger>td,.table>tfoot>tr.danger>th,.table>tfoot>tr>td.danger,.table>tfoot>tr>th.danger,.table>thead>tr.danger>td,.table>thead>tr.danger>th,.table>thead>tr>td.danger,.table>thead>tr>th.danger{background-color:#f2dede}.table-hover>tbody>tr.danger:hover>td,.table-hover>tbody>tr.danger:hover>th,.table-hover>tbody>tr:hover>.danger,.table-hover>tbody>tr>td.danger:hover,.table-hover>tbody>tr>th.danger:hover{background-color:#ebcccc}.table-responsive{min-height:.01%;overflow-x:auto}@media screen and (max-width:767px){.table-responsive{width:100%;margin-bottom:15px;overflow-y:hidden;-ms-overflow-style:-ms-autohiding-scrollbar;border:1px solid #ddd}.table-responsive>.table{margin-bottom:0}.table-responsive>.table>tbody>tr>td,.table-responsive>.table>tbody>tr>th,.table-responsive>.table>tfoot>tr>td,.table-responsive>.table>tfoot>tr>th,.table-responsive>.table>thead>tr>td,.table-responsive>.table>thead>tr>th{white-space:nowrap}.table-responsive>.table-bordered{border:0}.table-responsive>.table-bordered>tbody>tr>td:first-child,.table-responsive>.table-bordered>tbody>tr>th:first-child,.table-responsive>.table-bordered>tfoot>tr>td:first-child,.table-responsive>.table-bordered>tfoot>tr>th:first-child,.table-responsive>.table-bordered>thead>tr>td:first-child,.table-responsive>.table-bordered>thead>tr>th:first-child{border-left:0}.table-responsive>.table-bordered>tbody>tr>td:last-child,.table-responsive>.table-bordered>tbody>tr>th:last-child,.table-responsive>.table-bordered>tfoot>tr>td:last-child,.table-responsive>.table-bordered>tfoot>tr>th:last-child,.table-responsive>.table-bordered>thead>tr>td:last-child,.table-responsive>.table-bordered>thead>tr>th:last-child{border-right:0}.table-responsive>.table-bordered>tbody>tr:last-child>td,.table-responsive>.table-bordered>tbody>tr:last-child>th,.table-responsive>.table-bordered>tfoot>tr:last-child>td,.table-responsive>.table-bordered>tfoot>tr:last-child>th{border-bottom:0}}fieldset,legend{padding:0;border:0}fieldset{min-width:0;margin:0}legend{display:block;width:100%;margin-bottom:20px;font-size:21px;line-height:inherit;color:#333;border-bottom:1px solid #e5e5e5}label{display:inline-block;max-width:100%;margin-bottom:5px}input[type=search]{-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box;-webkit-appearance:none}input[type=checkbox],input[type=radio]{margin:4px 0 0;margin-top:1px\9;line-height:normal}.form-control,output{font-size:14px;line-height:1.42857143;color:#555;display:block}input[type=file]{display:block}input[type=range]{display:block;width:100%}select[multiple],select[size]{height:auto}input[type=file]:focus,input[type=checkbox]:focus,input[type=radio]:focus{outline:dotted thin;outline:-webkit-focus-ring-color auto 5px;outline-offset:-2px}output{padding-top:7px}.form-control{width:100%;height:34px;padding:6px 12px;background-color:#fff;border:1px solid #ccc;border-radius:4px;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075);box-shadow:inset 0 1px 1px rgba(0,0,0,.075);-webkit-transition:border-color ease-in-out .15s,-webkit-box-shadow ease-in-out .15s;transition:border-color ease-in-out .15s,box-shadow ease-in-out .15s}.form-control:focus{border-color:#66afe9;outline:0;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6);box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6)}.form-control::-moz-placeholder{color:#999;opacity:1}.form-control:-ms-input-placeholder{color:#999}.form-control::-webkit-input-placeholder{color:#999}.has-success .checkbox,.has-success .checkbox-inline,.has-success .control-label,.has-success .form-control-feedback,.has-success .help-block,.has-success .radio,.has-success .radio-inline,.has-success.checkbox label,.has-success.checkbox-inline label,.has-success.radio label,.has-success.radio-inline label{color:#3c763d}.form-control::-ms-expand{background-color:transparent;border:0}.form-control[disabled],.form-control[readonly],fieldset[disabled] .form-control{background-color:#eee;opacity:1}.form-control[disabled],fieldset[disabled] .form-control{cursor:not-allowed}textarea.form-control{height:auto}@media screen and (-webkit-min-device-pixel-ratio:0){input[type=date].form-control,input[type=time].form-control,input[type=datetime-local].form-control,input[type=month].form-control{line-height:34px}.input-group-sm input[type=date],.input-group-sm input[type=time],.input-group-sm input[type=datetime-local],.input-group-sm input[type=month],input[type=date].input-sm,input[type=time].input-sm,input[type=datetime-local].input-sm,input[type=month].input-sm{line-height:30px}.input-group-lg input[type=date],.input-group-lg input[type=time],.input-group-lg input[type=datetime-local],.input-group-lg input[type=month],input[type=date].input-lg,input[type=time].input-lg,input[type=datetime-local].input-lg,input[type=month].input-lg{line-height:46px}}.form-group{margin-bottom:15px}.checkbox,.radio{position:relative;display:block;margin-top:10px;margin-bottom:10px}.checkbox label,.radio label{min-height:20px;padding-left:20px;margin-bottom:0;font-weight:400;cursor:pointer}.checkbox input[type=checkbox],.checkbox-inline input[type=checkbox],.radio input[type=radio],.radio-inline input[type=radio]{position:absolute;margin-top:4px\9;margin-left:-20px}.checkbox+.checkbox,.radio+.radio{margin-top:-5px}.checkbox-inline,.radio-inline{position:relative;display:inline-block;padding-left:20px;margin-bottom:0;font-weight:400;vertical-align:middle;cursor:pointer}.checkbox-inline+.checkbox-inline,.radio-inline+.radio-inline{margin-top:0;margin-left:10px}.checkbox-inline.disabled,.checkbox.disabled label,.radio-inline.disabled,.radio.disabled label,fieldset[disabled] .checkbox label,fieldset[disabled] .checkbox-inline,fieldset[disabled] .radio label,fieldset[disabled] .radio-inline,fieldset[disabled] input[type=checkbox],fieldset[disabled] input[type=radio],input[type=checkbox].disabled,input[type=checkbox][disabled],input[type=radio].disabled,input[type=radio][disabled]{cursor:not-allowed}.form-control-static{min-height:34px;padding-top:7px;padding-bottom:7px;margin-bottom:0}.form-control-static.input-lg,.form-control-static.input-sm{padding-right:0;padding-left:0}.form-group-sm .form-control,.input-sm{padding:5px 10px;border-radius:3px;font-size:12px}.input-sm{height:30px;line-height:1.5}select.input-sm{height:30px;line-height:30px}select[multiple].input-sm,textarea.input-sm{height:auto}.form-group-sm .form-control{height:30px;line-height:1.5}.form-group-lg .form-control,.input-lg{border-radius:6px;padding:10px 16px;font-size:18px}.form-group-sm select.form-control{height:30px;line-height:30px}.form-group-sm select[multiple].form-control,.form-group-sm textarea.form-control{height:auto}.form-group-sm .form-control-static{height:30px;min-height:32px;padding:6px 10px;font-size:12px;line-height:1.5}.input-lg{height:46px;line-height:1.3333333}select.input-lg{height:46px;line-height:46px}select[multiple].input-lg,textarea.input-lg{height:auto}.form-group-lg .form-control{height:46px;line-height:1.3333333}.form-group-lg select.form-control{height:46px;line-height:46px}.form-group-lg select[multiple].form-control,.form-group-lg textarea.form-control{height:auto}.form-group-lg .form-control-static{height:46px;min-height:38px;padding:11px 16px;font-size:18px;line-height:1.3333333}.has-feedback{position:relative}.has-feedback .form-control{padding-right:42.5px}.form-control-feedback{position:absolute;top:0;right:0;z-index:2;display:block;width:34px;height:34px;line-height:34px;text-align:center;pointer-events:none}.collapsing,.dropdown,.dropup{position:relative}.form-group-lg .form-control+.form-control-feedback,.input-group-lg+.form-control-feedback,.input-lg+.form-control-feedback{width:46px;height:46px;line-height:46px}.form-group-sm .form-control+.form-control-feedback,.input-group-sm+.form-control-feedback,.input-sm+.form-control-feedback{width:30px;height:30px;line-height:30px}.has-success .form-control{border-color:#3c763d;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075);box-shadow:inset 0 1px 1px rgba(0,0,0,.075)}.has-success .form-control:focus{border-color:#2b542c;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 6px #67b168;box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 6px #67b168}.has-success .input-group-addon{color:#3c763d;background-color:#dff0d8;border-color:#3c763d}.has-warning .checkbox,.has-warning .checkbox-inline,.has-warning .control-label,.has-warning .form-control-feedback,.has-warning .help-block,.has-warning .radio,.has-warning .radio-inline,.has-warning.checkbox label,.has-warning.checkbox-inline label,.has-warning.radio label,.has-warning.radio-inline label{color:#8a6d3b}.has-warning .form-control{border-color:#8a6d3b;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075);box-shadow:inset 0 1px 1px rgba(0,0,0,.075)}.has-warning .form-control:focus{border-color:#66512c;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 6px #c0a16b;box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 6px #c0a16b}.has-warning .input-group-addon{color:#8a6d3b;background-color:#fcf8e3;border-color:#8a6d3b}.has-error .checkbox,.has-error .checkbox-inline,.has-error .control-label,.has-error .form-control-feedback,.has-error .help-block,.has-error .radio,.has-error .radio-inline,.has-error.checkbox label,.has-error.checkbox-inline label,.has-error.radio label,.has-error.radio-inline label{color:#a94442}.has-error .form-control{border-color:#a94442;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075);box-shadow:inset 0 1px 1px rgba(0,0,0,.075)}.has-error .form-control:focus{border-color:#843534;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 6px #ce8483;box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 6px #ce8483}.has-error .input-group-addon{color:#a94442;background-color:#f2dede;border-color:#a94442}.has-feedback label~.form-control-feedback{top:25px}.has-feedback label.sr-only~.form-control-feedback{top:0}.help-block{display:block;margin-top:5px;margin-bottom:10px;color:#737373}@media (min-width:768px){.form-inline .form-control-static,.form-inline .form-group{display:inline-block}.form-inline .control-label,.form-inline .form-group{margin-bottom:0;vertical-align:middle}.form-inline .form-control{display:inline-block;width:auto;vertical-align:middle}.form-inline .input-group{display:inline-table;vertical-align:middle}.form-inline .input-group .form-control,.form-inline .input-group .input-group-addon,.form-inline .input-group .input-group-btn{width:auto}.form-inline .input-group>.form-control{width:100%}.form-inline .checkbox,.form-inline .radio{display:inline-block;margin-top:0;margin-bottom:0;vertical-align:middle}.form-inline .checkbox label,.form-inline .radio label{padding-left:0}.form-inline .checkbox input[type=checkbox],.form-inline .radio input[type=radio]{position:relative;margin-left:0}.form-inline .has-feedback .form-control-feedback{top:0}.form-horizontal .control-label{padding-top:7px;margin-bottom:0;text-align:right}}.form-horizontal .checkbox,.form-horizontal .checkbox-inline,.form-horizontal .radio,.form-horizontal .radio-inline{padding-top:7px;margin-top:0;margin-bottom:0}.form-horizontal .checkbox,.form-horizontal .radio{min-height:27px}.form-horizontal .form-group{margin-right:-15px;margin-left:-15px}.form-horizontal .has-feedback .form-control-feedback{right:15px}@media (min-width:768px){.form-horizontal .form-group-lg .control-label{padding-top:11px;font-size:18px}.form-horizontal .form-group-sm .control-label{padding-top:6px;font-size:12px}}.btn{display:inline-block;padding:6px 12px;margin-bottom:0;font-size:14px;font-weight:400;line-height:1.42857143;text-align:center;white-space:nowrap;vertical-align:middle;-ms-touch-action:manipulation;touch-action:manipulation;cursor:pointer;-moz-user-select:none;user-select:none;border:1px solid transparent;border-radius:4px}.btn.active.focus,.btn.active:focus,.btn.focus,.btn:active.focus,.btn:active:focus,.btn:focus{outline:dotted thin;outline:-webkit-focus-ring-color auto 5px;outline-offset:-2px}.btn.focus,.btn:focus,.btn:hover{color:#333;text-decoration:none}.btn.active,.btn:active{outline:0;-webkit-box-shadow:inset 0 3px 5px rgba(0,0,0,.125);box-shadow:inset 0 3px 5px rgba(0,0,0,.125)}.btn.disabled,.btn[disabled],fieldset[disabled] .btn{cursor:not-allowed;filter:alpha(opacity=65);-webkit-box-shadow:none;box-shadow:none;opacity:.65}a.btn.disabled,fieldset[disabled] a.btn{pointer-events:none}.btn-default{color:#333;background-color:#fff;border-color:#ccc}.btn-default.focus,.btn-default:focus{color:#333;background-color:#e6e6e6;border-color:#8c8c8c}.btn-default.active,.btn-default:active,.btn-default:hover,.open>.dropdown-toggle.btn-default{color:#333;background-color:#e6e6e6;border-color:#adadad}.btn-default.active.focus,.btn-default.active:focus,.btn-default.active:hover,.btn-default:active.focus,.btn-default:active:focus,.btn-default:active:hover,.open>.dropdown-toggle.btn-default.focus,.open>.dropdown-toggle.btn-default:focus,.open>.dropdown-toggle.btn-default:hover{color:#333;background-color:#d4d4d4;border-color:#8c8c8c}.btn-default.disabled.focus,.btn-default.disabled:focus,.btn-default.disabled:hover,.btn-default[disabled].focus,.btn-default[disabled]:focus,.btn-default[disabled]:hover,fieldset[disabled] .btn-default.focus,fieldset[disabled] .btn-default:focus,fieldset[disabled] .btn-default:hover{background-color:#fff;border-color:#ccc}.btn-default .badge{color:#fff;background-color:#333}.btn-primary{color:#fff;background-color:#337ab7;border-color:#2e6da4}.btn-primary.focus,.btn-primary:focus{color:#fff;background-color:#286090;border-color:#122b40}.btn-primary.active,.btn-primary:active,.btn-primary:hover,.open>.dropdown-toggle.btn-primary{color:#fff;background-color:#286090;border-color:#204d74}.btn-primary.active.focus,.btn-primary.active:focus,.btn-primary.active:hover,.btn-primary:active.focus,.btn-primary:active:focus,.btn-primary:active:hover,.open>.dropdown-toggle.btn-primary.focus,.open>.dropdown-toggle.btn-primary:focus,.open>.dropdown-toggle.btn-primary:hover{color:#fff;background-color:#204d74;border-color:#122b40}.btn-primary.disabled.focus,.btn-primary.disabled:focus,.btn-primary.disabled:hover,.btn-primary[disabled].focus,.btn-primary[disabled]:focus,.btn-primary[disabled]:hover,fieldset[disabled] .btn-primary.focus,fieldset[disabled] .btn-primary:focus,fieldset[disabled] .btn-primary:hover{background-color:#337ab7;border-color:#2e6da4}.btn-primary .badge{color:#337ab7;background-color:#fff}.btn-success{color:#fff;background-color:#5cb85c;border-color:#4cae4c}.btn-success.focus,.btn-success:focus{color:#fff;background-color:#449d44;border-color:#255625}.btn-success.active,.btn-success:active,.btn-success:hover,.open>.dropdown-toggle.btn-success{color:#fff;background-color:#449d44;border-color:#398439}.btn-success.active.focus,.btn-success.active:focus,.btn-success.active:hover,.btn-success:active.focus,.btn-success:active:focus,.btn-success:active:hover,.open>.dropdown-toggle.btn-success.focus,.open>.dropdown-toggle.btn-success:focus,.open>.dropdown-toggle.btn-success:hover{color:#fff;background-color:#398439;border-color:#255625}.btn-success.active,.btn-success:active,.open>.dropdown-toggle.btn-success{background-image:none}.btn-success.disabled.focus,.btn-success.disabled:focus,.btn-success.disabled:hover,.btn-success[disabled].focus,.btn-success[disabled]:focus,.btn-success[disabled]:hover,fieldset[disabled] .btn-success.focus,fieldset[disabled] .btn-success:focus,fieldset[disabled] .btn-success:hover{background-color:#5cb85c;border-color:#4cae4c}.btn-success .badge{color:#5cb85c;background-color:#fff}.btn-info{color:#fff;background-color:#5bc0de;border-color:#46b8da}.btn-info.focus,.btn-info:focus{color:#fff;background-color:#31b0d5;border-color:#1b6d85}.btn-info.active,.btn-info:active,.btn-info:hover,.open>.dropdown-toggle.btn-info{color:#fff;background-color:#31b0d5;border-color:#269abc}.btn-info.active.focus,.btn-info.active:focus,.btn-info.active:hover,.btn-info:active.focus,.btn-info:active:focus,.btn-info:active:hover,.open>.dropdown-toggle.btn-info.focus,.open>.dropdown-toggle.btn-info:focus,.open>.dropdown-toggle.btn-info:hover{color:#fff;background-color:#269abc;border-color:#1b6d85}.btn-info.disabled.focus,.btn-info.disabled:focus,.btn-info.disabled:hover,.btn-info[disabled].focus,.btn-info[disabled]:focus,.btn-info[disabled]:hover,fieldset[disabled] .btn-info.focus,fieldset[disabled] .btn-info:focus,fieldset[disabled] .btn-info:hover{background-color:#5bc0de;border-color:#46b8da}.btn-info .badge{color:#5bc0de;background-color:#fff}.btn-warning{color:#fff;background-color:#f0ad4e;border-color:#eea236}.btn-warning.focus,.btn-warning:focus{color:#fff;background-color:#ec971f;border-color:#985f0d}.btn-warning.active,.btn-warning:active,.btn-warning:hover,.open>.dropdown-toggle.btn-warning{color:#fff;background-color:#ec971f;border-color:#d58512}.btn-warning.active.focus,.btn-warning.active:focus,.btn-warning.active:hover,.btn-warning:active.focus,.btn-warning:active:focus,.btn-warning:active:hover,.open>.dropdown-toggle.btn-warning.focus,.open>.dropdown-toggle.btn-warning:focus,.open>.dropdown-toggle.btn-warning:hover{color:#fff;background-color:#d58512;border-color:#985f0d}.btn-warning.disabled.focus,.btn-warning.disabled:focus,.btn-warning.disabled:hover,.btn-warning[disabled].focus,.btn-warning[disabled]:focus,.btn-warning[disabled]:hover,fieldset[disabled] .btn-warning.focus,fieldset[disabled] .btn-warning:focus,fieldset[disabled] .btn-warning:hover{background-color:#f0ad4e;border-color:#eea236}.btn-warning .badge{color:#f0ad4e;background-color:#fff}.btn-danger{color:#fff;background-color:#d9534f;border-color:#d43f3a}.btn-danger.focus,.btn-danger:focus{color:#fff;background-color:#c9302c;border-color:#761c19}.btn-danger.active,.btn-danger:active,.btn-danger:hover,.open>.dropdown-toggle.btn-danger{color:#fff;background-color:#c9302c;border-color:#ac2925}.btn-danger.active.focus,.btn-danger.active:focus,.btn-danger.active:hover,.btn-danger:active.focus,.btn-danger:active:focus,.btn-danger:active:hover,.open>.dropdown-toggle.btn-danger.focus,.open>.dropdown-toggle.btn-danger:focus,.open>.dropdown-toggle.btn-danger:hover{color:#fff;background-color:#ac2925;border-color:#761c19}.btn-danger.disabled.focus,.btn-danger.disabled:focus,.btn-danger.disabled:hover,.btn-danger[disabled].focus,.btn-danger[disabled]:focus,.btn-danger[disabled]:hover,fieldset[disabled] .btn-danger.focus,fieldset[disabled] .btn-danger:focus,fieldset[disabled] .btn-danger:hover{background-color:#d9534f;border-color:#d43f3a}.btn-danger .badge{color:#d9534f;background-color:#fff}.btn-link{font-weight:400;color:#337ab7;border-radius:0}.btn-link,.btn-link.active,.btn-link:active,.btn-link[disabled],fieldset[disabled] .btn-link{background-color:transparent;-webkit-box-shadow:none;box-shadow:none}.btn-link,.btn-link:active,.btn-link:focus,.btn-link:hover{border-color:transparent}.btn-link:focus,.btn-link:hover{color:#23527c;text-decoration:underline;background-color:transparent}.btn-link[disabled]:focus,.btn-link[disabled]:hover,fieldset[disabled] .btn-link:focus,fieldset[disabled] .btn-link:hover{color:#777;text-decoration:none}.btn-group-lg>.btn,.btn-lg{padding:10px 16px;font-size:18px;line-height:1.3333333;border-radius:6px}.btn-group-sm>.btn,.btn-sm{padding:5px 10px;font-size:12px;line-height:1.5;border-radius:3px}.btn-group-xs>.btn,.btn-xs{padding:1px 5px;font-size:12px;line-height:1.5;border-radius:3px}.btn-block{display:block;width:100%}.btn-block+.btn-block{margin-top:5px}input[type=button].btn-block,input[type=reset].btn-block,input[type=submit].btn-block{width:100%}.fade{opacity:0;-webkit-transition:opacity .15s linear;-o-transition:opacity .15s linear;transition:opacity .15s linear}.fade.in{opacity:1}.collapse{display:none}.collapse.in{display:block}tr.collapse.in{display:table-row}tbody.collapse.in{display:table-row-group}.collapsing{height:0;-webkit-transition-timing-function:ease;-o-transition-timing-function:ease;transition-timing-function:ease;-webkit-transition-duration:.35s;-o-transition-duration:.35s;transition-duration:.35s;-webkit-transition-property:height,visibility;-o-transition-property:height,visibility;transition-property:height,visibility}.caret{display:inline-block;width:0;height:0;margin-left:2px;vertical-align:middle;border-top:4px dashed;border-top:4px solid\9;border-right:4px solid transparent;border-left:4px solid transparent}.dropdown-toggle:focus{outline:0}.dropdown-menu{position:absolute;top:100%;left:0;z-index:1000;display:none;float:left;min-width:160px;padding:5px 0;margin:2px 0 0;font-size:14px;text-align:left;list-style:none;background-color:#fff;background-clip:padding-box;border:1px solid #ccc;border:1px solid rgba(0,0,0,.15);border-radius:4px;-webkit-box-shadow:0 6px 12px rgba(0,0,0,.175);box-shadow:0 6px 12px rgba(0,0,0,.175)}.dropdown-menu-right,.dropdown-menu.pull-right{right:0;left:auto}.dropdown-header,.dropdown-menu>li>a{display:block;padding:3px 20px;line-height:1.42857143;white-space:nowrap}.btn-group>.btn-group:first-child:not(:last-child)>.btn:last-child,.btn-group>.btn-group:first-child:not(:last-child)>.dropdown-toggle,.btn-group>.btn:first-child:not(:last-child):not(.dropdown-toggle){border-top-right-radius:0;border-bottom-right-radius:0}.btn-group>.btn-group:last-child:not(:first-child)>.btn:first-child,.btn-group>.btn:last-child:not(:first-child),.btn-group>.dropdown-toggle:not(:first-child){border-top-left-radius:0;border-bottom-left-radius:0}.btn-group-vertical>.btn:not(:first-child):not(:last-child),.btn-group>.btn-group:not(:first-child):not(:last-child)>.btn,.btn-group>.btn:not(:first-child):not(:last-child):not(.dropdown-toggle){border-radius:0}.dropdown-menu .divider{height:1px;margin:9px 0;overflow:hidden;background-color:#e5e5e5}.dropdown-menu>li>a{font-weight:400;color:#333}.dropdown-menu>li>a:focus,.dropdown-menu>li>a:hover{color:#262626;text-decoration:none;background-color:#f5f5f5}.dropdown-menu>.active>a,.dropdown-menu>.active>a:focus,.dropdown-menu>.active>a:hover{color:#fff;text-decoration:none;background-color:#337ab7;outline:0}.dropdown-menu>.disabled>a,.dropdown-menu>.disabled>a:focus,.dropdown-menu>.disabled>a:hover{color:#777}.dropdown-menu>.disabled>a:focus,.dropdown-menu>.disabled>a:hover{text-decoration:none;cursor:not-allowed;background-color:transparent;filter:progid:DXImageTransform.Microsoft.gradient(enabled=false)}.open>.dropdown-menu{display:block}.open>a{outline:0}.dropdown-menu-left{right:auto;left:0}.dropdown-header{font-size:12px;color:#777}.dropdown-backdrop{position:fixed;top:0;right:0;bottom:0;left:0;z-index:990}.nav-justified>.dropdown .dropdown-menu,.nav-tabs.nav-justified>.dropdown .dropdown-menu{top:auto;left:auto}.pull-right>.dropdown-menu{right:0;left:auto}.dropup .caret,.navbar-fixed-bottom .dropdown .caret{content:"";border-top:0;border-bottom:4px dashed;border-bottom:4px solid\9}.dropup .dropdown-menu,.navbar-fixed-bottom .dropdown .dropdown-menu{top:auto;bottom:100%;margin-bottom:2px}@media (min-width:768px){.navbar-right .dropdown-menu{right:0;left:auto}.navbar-right .dropdown-menu-left{right:auto;left:0}}.btn-group,.btn-group-vertical{position:relative;display:inline-block;vertical-align:middle}.btn-group-vertical>.btn,.btn-group>.btn{position:relative;float:left}.btn-group-vertical>.btn.active,.btn-group-vertical>.btn:active,.btn-group-vertical>.btn:focus,.btn-group-vertical>.btn:hover,.btn-group>.btn.active,.btn-group>.btn:active,.btn-group>.btn:focus,.btn-group>.btn:hover{z-index:2}.btn-group .btn+.btn,.btn-group .btn+.btn-group,.btn-group .btn-group+.btn,.btn-group .btn-group+.btn-group{margin-left:-1px}.btn-toolbar{margin-left:-5px}.btn-toolbar .btn,.btn-toolbar .btn-group,.btn-toolbar .input-group{float:left}.btn-toolbar>.btn,.btn-toolbar>.btn-group,.btn-toolbar>.input-group{margin-left:5px}.btn .caret,.btn-group>.btn:first-child{margin-left:0}.btn-group>.btn-group{float:left}.btn-group .dropdown-toggle:active,.btn-group.open .dropdown-toggle{outline:0}.btn-group>.btn+.dropdown-toggle{padding-right:8px;padding-left:8px}.btn-group>.btn-lg+.dropdown-toggle{padding-right:12px;padding-left:12px}.btn-group.open .dropdown-toggle{-webkit-box-shadow:inset 0 3px 5px rgba(0,0,0,.125);box-shadow:inset 0 3px 5px rgba(0,0,0,.125)}.btn-group.open .dropdown-toggle.btn-link{-webkit-box-shadow:none;box-shadow:none}.btn-lg .caret{border-width:5px 5px 0}.dropup .btn-lg .caret{border-width:0 5px 5px}.btn-group-vertical>.btn,.btn-group-vertical>.btn-group,.btn-group-vertical>.btn-group>.btn{display:block;float:none;width:100%;max-width:100%}.btn-group-vertical>.btn-group>.btn{float:none}.btn-group-vertical>.btn+.btn,.btn-group-vertical>.btn+.btn-group,.btn-group-vertical>.btn-group+.btn,.btn-group-vertical>.btn-group+.btn-group{margin-top:-1px;margin-left:0}.btn-group-vertical>.btn:first-child:not(:last-child){border-radius:4px 4px 0 0}.btn-group-vertical>.btn:last-child:not(:first-child){border-radius:0 0 4px 4px}.btn-group-vertical>.btn-group:not(:first-child):not(:last-child)>.btn{border-radius:0}.btn-group-vertical>.btn-group:first-child:not(:last-child)>.btn:last-child,.btn-group-vertical>.btn-group:first-child:not(:last-child)>.dropdown-toggle{border-bottom-right-radius:0;border-bottom-left-radius:0}.btn-group-vertical>.btn-group:last-child:not(:first-child)>.btn:first-child{border-top-left-radius:0;border-top-right-radius:0}.btn-group-justified{display:table;width:100%;table-layout:fixed;border-collapse:separate}.btn-group-justified>.btn,.btn-group-justified>.btn-group{display:table-cell;float:none;width:1%}.btn-group-justified>.btn-group .btn{width:100%}.btn-group-justified>.btn-group .dropdown-menu{left:auto}[data-toggle=buttons]>.btn input[type=checkbox],[data-toggle=buttons]>.btn input[type=radio],[data-toggle=buttons]>.btn-group>.btn input[type=checkbox],[data-toggle=buttons]>.btn-group>.btn input[type=radio]{position:absolute;clip:rect(0,0,0,0);pointer-events:none}.input-group{position:relative;display:table;border-collapse:separate}.input-group[class*=col-]{float:none;padding-right:0;padding-left:0}.input-group .form-control{position:relative;z-index:2;float:left;width:100%;margin-bottom:0}.input-group .form-control:focus{z-index:3}.input-group-lg>.form-control,.input-group-lg>.input-group-addon,.input-group-lg>.input-group-btn>.btn{height:46px;padding:10px 16px;font-size:18px;line-height:1.3333333;border-radius:6px}select.input-group-lg>.form-control,select.input-group-lg>.input-group-addon,select.input-group-lg>.input-group-btn>.btn{height:46px;line-height:46px}select[multiple].input-group-lg>.form-control,select[multiple].input-group-lg>.input-group-addon,select[multiple].input-group-lg>.input-group-btn>.btn,textarea.input-group-lg>.form-control,textarea.input-group-lg>.input-group-addon,textarea.input-group-lg>.input-group-btn>.btn{height:auto}.input-group-sm>.form-control,.input-group-sm>.input-group-addon,.input-group-sm>.input-group-btn>.btn{height:30px;padding:5px 10px;font-size:12px;line-height:1.5;border-radius:3px}select.input-group-sm>.form-control,select.input-group-sm>.input-group-addon,select.input-group-sm>.input-group-btn>.btn{height:30px;line-height:30px}select[multiple].input-group-sm>.form-control,select[multiple].input-group-sm>.input-group-addon,select[multiple].input-group-sm>.input-group-btn>.btn,textarea.input-group-sm>.form-control,textarea.input-group-sm>.input-group-addon,textarea.input-group-sm>.input-group-btn>.btn{height:auto}.input-group .form-control,.input-group-addon,.input-group-btn{display:table-cell}.nav>li,.nav>li>a{display:block;position:relative}.input-group .form-control:not(:first-child):not(:last-child),.input-group-addon:not(:first-child):not(:last-child),.input-group-btn:not(:first-child):not(:last-child){border-radius:0}.input-group-addon,.input-group-btn{width:1%;white-space:nowrap;vertical-align:middle}.input-group-addon{padding:6px 12px;font-size:14px;font-weight:400;line-height:1;color:#555;text-align:center;background-color:#eee;border:1px solid #ccc;border-radius:4px}.input-group-addon.input-sm{padding:5px 10px;font-size:12px;border-radius:3px}.input-group-addon.input-lg{padding:10px 16px;font-size:18px;border-radius:6px}.input-group-addon input[type=checkbox],.input-group-addon input[type=radio]{margin-top:0}.input-group .form-control:first-child,.input-group-addon:first-child,.input-group-btn:first-child>.btn,.input-group-btn:first-child>.btn-group>.btn,.input-group-btn:first-child>.dropdown-toggle,.input-group-btn:last-child>.btn-group:not(:last-child)>.btn,.input-group-btn:last-child>.btn:not(:last-child):not(.dropdown-toggle){border-top-right-radius:0;border-bottom-right-radius:0}.input-group-addon:first-child{border-right:0}.input-group .form-control:last-child,.input-group-addon:last-child,.input-group-btn:first-child>.btn-group:not(:first-child)>.btn,.input-group-btn:first-child>.btn:not(:first-child),.input-group-btn:last-child>.btn,.input-group-btn:last-child>.btn-group>.btn,.input-group-btn:last-child>.dropdown-toggle{border-top-left-radius:0;border-bottom-left-radius:0}.input-group-addon:last-child{border-left:0}.input-group-btn{position:relative;font-size:0;white-space:nowrap}.input-group-btn>.btn{position:relative}.input-group-btn>.btn+.btn{margin-left:-1px}.input-group-btn>.btn:active,.input-group-btn>.btn:focus,.input-group-btn>.btn:hover{z-index:2}.input-group-btn:first-child>.btn,.input-group-btn:first-child>.btn-group{margin-right:-1px}.input-group-btn:last-child>.btn,.input-group-btn:last-child>.btn-group{z-index:2;margin-left:-1px}.nav{padding-left:0;margin-bottom:0;list-style:none}.nav>li>a{padding:10px 15px}.nav>li>a:focus,.nav>li>a:hover{text-decoration:none;background-color:#eee}.nav>li.disabled>a{color:#777}.nav>li.disabled>a:focus,.nav>li.disabled>a:hover{color:#777;text-decoration:none;cursor:not-allowed;background-color:transparent}.nav .open>a,.nav .open>a:focus,.nav .open>a:hover{background-color:#eee;border-color:#337ab7}.nav .nav-divider{height:1px;margin:9px 0;background-color:#e5e5e5}.nav>li>a>img{max-width:none}.nav-tabs{border-bottom:1px solid #ddd}.nav-tabs>li{float:left;margin-bottom:-1px}.nav-tabs>li>a{margin-right:2px;line-height:1.42857143;border:1px solid transparent;border-radius:4px 4px 0 0}.nav-tabs>li>a:hover{border-color:#eee #eee #ddd}.nav-tabs>li.active>a,.nav-tabs>li.active>a:focus,.nav-tabs>li.active>a:hover{color:#555;cursor:default;background-color:#fff;border:1px solid #ddd;border-bottom-color:transparent}.nav-tabs.nav-justified{width:100%;border-bottom:0}.nav-tabs.nav-justified>li{float:none}.nav-tabs.nav-justified>li>a{margin-bottom:5px;text-align:center;margin-right:0;border-radius:4px}.nav-tabs.nav-justified>.active>a,.nav-tabs.nav-justified>.active>a:focus,.nav-tabs.nav-justified>.active>a:hover{border:1px solid #ddd}@media (min-width:768px){.nav-tabs.nav-justified>li{display:table-cell;width:1%}.nav-tabs.nav-justified>li>a{margin-bottom:0;border-bottom:1px solid #ddd;border-radius:4px 4px 0 0}.nav-tabs.nav-justified>.active>a,.nav-tabs.nav-justified>.active>a:focus,.nav-tabs.nav-justified>.active>a:hover{border-bottom-color:#fff}}.nav-pills>li{float:left}.nav-justified>li,.nav-stacked>li{float:none}.nav-pills>li>a{border-radius:4px}.nav-pills>li+li{margin-left:2px}.nav-pills>li.active>a,.nav-pills>li.active>a:focus,.nav-pills>li.active>a:hover{color:#fff;background-color:#337ab7}.nav-stacked>li+li{margin-top:2px;margin-left:0}.nav-justified{width:100%}.nav-justified>li>a{margin-bottom:5px;text-align:center}.nav-tabs-justified{border-bottom:0}.nav-tabs-justified>li>a{margin-right:0;border-radius:4px}.nav-tabs-justified>.active>a,.nav-tabs-justified>.active>a:focus,.nav-tabs-justified>.active>a:hover{border:1px solid #ddd}@media (min-width:768px){.nav-justified>li{display:table-cell;width:1%}.nav-justified>li>a{margin-bottom:0}.nav-tabs-justified>li>a{border-bottom:1px solid #ddd;border-radius:4px 4px 0 0}.nav-tabs-justified>.active>a,.nav-tabs-justified>.active>a:focus,.nav-tabs-justified>.active>a:hover{border-bottom-color:#fff}}.tab-content>.tab-pane{display:none}.tab-content>.active{display:block}.nav-tabs .dropdown-menu{margin-top:-1px;border-top-left-radius:0;border-top-right-radius:0}.navbar{position:relative;min-height:50px;margin-bottom:20px;border:1px solid transparent}.navbar-collapse{padding-right:15px;padding-left:15px;overflow-x:visible;-webkit-overflow-scrolling:touch;border-top:1px solid transparent;-webkit-box-shadow:inset 0 1px 0 rgba(255,255,255,.1);box-shadow:inset 0 1px 0 rgba(255,255,255,.1)}.navbar-collapse.in{overflow-y:auto}@media (max-device-width:480px) and (orientation:landscape){.navbar-fixed-bottom .navbar-collapse,.navbar-fixed-top .navbar-collapse{max-height:200px}}.container-fluid>.navbar-collapse,.container-fluid>.navbar-header,.container>.navbar-collapse,.container>.navbar-header{margin-right:-15px;margin-left:-15px}@media (min-width:768px){.navbar{border-radius:4px}.navbar-header{float:left}.navbar-collapse{width:auto;border-top:0;-webkit-box-shadow:none;box-shadow:none}.navbar-collapse.collapse{display:block!important;height:auto!important;padding-bottom:0;overflow:visible!important}.navbar-collapse.in{overflow-y:visible}.navbar-fixed-bottom .navbar-collapse,.navbar-fixed-top .navbar-collapse,.navbar-static-top .navbar-collapse{padding-right:0;padding-left:0}.container-fluid>.navbar-collapse,.container-fluid>.navbar-header,.container>.navbar-collapse,.container>.navbar-header{margin-right:0;margin-left:0}.navbar-static-top{border-radius:0}}.navbar-static-top{z-index:1000;border-width:0 0 1px}.navbar-fixed-bottom,.navbar-fixed-top{position:fixed;right:0;left:0;z-index:1030}.navbar-fixed-top{top:0;border-width:0 0 1px}.navbar-fixed-bottom{bottom:0;margin-bottom:0;border-width:1px 0 0}.navbar-brand{float:left;height:50px;padding:15px;font-size:18px;line-height:20px}.navbar-brand:focus,.navbar-brand:hover{text-decoration:none}.navbar-brand>img{display:block}@media (min-width:768px){.navbar-fixed-bottom,.navbar-fixed-top{border-radius:0}.navbar>.container .navbar-brand,.navbar>.container-fluid .navbar-brand{margin-left:-15px}}.navbar-toggle{position:relative;float:right;padding:9px 10px;margin-top:8px;margin-right:15px;margin-bottom:8px;background-color:transparent;border:1px solid transparent;border-radius:4px}.navbar-toggle:focus{outline:0}.navbar-toggle .icon-bar{display:block;width:22px;height:2px;border-radius:1px}.navbar-toggle .icon-bar+.icon-bar{margin-top:4px}.navbar-nav{margin:7.5px -15px}.navbar-nav>li>a{padding-top:10px;padding-bottom:10px;line-height:20px}@media (max-width:767px){.navbar-nav .open .dropdown-menu{position:static;float:none;width:auto;margin-top:0;background-color:transparent;border:0;-webkit-box-shadow:none;box-shadow:none}.navbar-nav .open .dropdown-menu .dropdown-header,.navbar-nav .open .dropdown-menu>li>a{padding:5px 15px 5px 25px}.navbar-nav .open .dropdown-menu>li>a{line-height:20px}.navbar-nav .open .dropdown-menu>li>a:focus,.navbar-nav .open .dropdown-menu>li>a:hover{background-image:none}}.progress-bar-striped,.progress-striped .progress-bar,.progress-striped .progress-bar-success{background-image:-webkit-linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent);background-image:-o-linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent)}@media (min-width:768px){.navbar-toggle{display:none}.navbar-nav{float:left;margin:0}.navbar-nav>li{float:left}.navbar-nav>li>a{padding-top:15px;padding-bottom:15px}}.navbar-form{padding:10px 15px;border-top:1px solid transparent;border-bottom:1px solid transparent;-webkit-box-shadow:inset 0 1px 0 rgba(255,255,255,.1),0 1px 0 rgba(255,255,255,.1);box-shadow:inset 0 1px 0 rgba(255,255,255,.1),0 1px 0 rgba(255,255,255,.1);margin:8px -15px}@media (min-width:768px){.navbar-form .form-control-static,.navbar-form .form-group{display:inline-block}.navbar-form .control-label,.navbar-form .form-group{margin-bottom:0;vertical-align:middle}.navbar-form .form-control{display:inline-block;width:auto;vertical-align:middle}.navbar-form .input-group{display:inline-table;vertical-align:middle}.navbar-form .input-group .form-control,.navbar-form .input-group .input-group-addon,.navbar-form .input-group .input-group-btn{width:auto}.navbar-form .input-group>.form-control{width:100%}.navbar-form .checkbox,.navbar-form .radio{display:inline-block;margin-top:0;margin-bottom:0;vertical-align:middle}.navbar-form .checkbox label,.navbar-form .radio label{padding-left:0}.navbar-form .checkbox input[type=checkbox],.navbar-form .radio input[type=radio]{position:relative;margin-left:0}.navbar-form .has-feedback .form-control-feedback{top:0}.navbar-form{width:auto;padding-top:0;padding-bottom:0;margin-right:0;margin-left:0;border:0;-webkit-box-shadow:none;box-shadow:none}}.breadcrumb>li,.pagination{display:inline-block}.btn .badge,.btn .label{top:-1px;position:relative}@media (max-width:767px){.navbar-form .form-group{margin-bottom:5px}.navbar-form .form-group:last-child{margin-bottom:0}}.navbar-nav>li>.dropdown-menu{margin-top:0;border-top-left-radius:0;border-top-right-radius:0}.navbar-fixed-bottom .navbar-nav>li>.dropdown-menu{margin-bottom:0;border-radius:4px 4px 0 0}.navbar-btn{margin-top:8px;margin-bottom:8px}.navbar-btn.btn-sm{margin-top:10px;margin-bottom:10px}.navbar-btn.btn-xs{margin-top:14px;margin-bottom:14px}.navbar-text{margin-top:15px;margin-bottom:15px}@media (min-width:768px){.navbar-text{float:left;margin-right:15px;margin-left:15px}.navbar-left{float:left!important}.navbar-right{float:right!important;margin-right:-15px}.navbar-right~.navbar-right{margin-right:0}}.navbar-default{background-color:#f8f8f8;border-color:#e7e7e7}.navbar-default .navbar-brand{color:#777}.navbar-default .navbar-brand:focus,.navbar-default .navbar-brand:hover{color:#5e5e5e;background-color:transparent}.navbar-default .navbar-nav>li>a,.navbar-default .navbar-text{color:#777}.navbar-default .navbar-nav>li>a:focus,.navbar-default .navbar-nav>li>a:hover{color:#333;background-color:transparent}.navbar-default .navbar-nav>.active>a,.navbar-default .navbar-nav>.active>a:focus,.navbar-default .navbar-nav>.active>a:hover{color:#555;background-color:#e7e7e7}.navbar-default .navbar-nav>.disabled>a,.navbar-default .navbar-nav>.disabled>a:focus,.navbar-default .navbar-nav>.disabled>a:hover{color:#ccc;background-color:transparent}.navbar-default .navbar-toggle{border-color:#ddd}.navbar-default .navbar-toggle:focus,.navbar-default .navbar-toggle:hover{background-color:#ddd}.navbar-default .navbar-toggle .icon-bar{background-color:#888}.navbar-default .navbar-collapse,.navbar-default .navbar-form{border-color:#e7e7e7}.navbar-default .navbar-nav>.open>a,.navbar-default .navbar-nav>.open>a:focus,.navbar-default .navbar-nav>.open>a:hover{color:#555;background-color:#e7e7e7}@media (max-width:767px){.navbar-default .navbar-nav .open .dropdown-menu>li>a{color:#777}.navbar-default .navbar-nav .open .dropdown-menu>li>a:focus,.navbar-default .navbar-nav .open .dropdown-menu>li>a:hover{color:#333;background-color:transparent}.navbar-default .navbar-nav .open .dropdown-menu>.active>a,.navbar-default .navbar-nav .open .dropdown-menu>.active>a:focus,.navbar-default .navbar-nav .open .dropdown-menu>.active>a:hover{color:#555;background-color:#e7e7e7}.navbar-default .navbar-nav .open .dropdown-menu>.disabled>a,.navbar-default .navbar-nav .open .dropdown-menu>.disabled>a:focus,.navbar-default .navbar-nav .open .dropdown-menu>.disabled>a:hover{color:#ccc;background-color:transparent}}.navbar-default .navbar-link{color:#777}.navbar-default .navbar-link:hover{color:#333}.navbar-default .btn-link{color:#777}.navbar-default .btn-link:focus,.navbar-default .btn-link:hover{color:#333}.navbar-default .btn-link[disabled]:focus,.navbar-default .btn-link[disabled]:hover,fieldset[disabled] .navbar-default .btn-link:focus,fieldset[disabled] .navbar-default .btn-link:hover{color:#ccc}.navbar-inverse{background-color:#222;border-color:#080808}.navbar-inverse .navbar-brand{color:#9d9d9d}.navbar-inverse .navbar-brand:focus,.navbar-inverse .navbar-brand:hover{color:#fff;background-color:transparent}.navbar-inverse .navbar-nav>li>a,.navbar-inverse .navbar-text{color:#9d9d9d}.navbar-inverse .navbar-nav>li>a:focus,.navbar-inverse .navbar-nav>li>a:hover{color:#fff;background-color:transparent}.navbar-inverse .navbar-nav>.active>a,.navbar-inverse .navbar-nav>.active>a:focus,.navbar-inverse .navbar-nav>.active>a:hover{color:#fff;background-color:#080808}.navbar-inverse .navbar-nav>.disabled>a,.navbar-inverse .navbar-nav>.disabled>a:focus,.navbar-inverse .navbar-nav>.disabled>a:hover{color:#444;background-color:transparent}.navbar-inverse .navbar-toggle{border-color:#333}.navbar-inverse .navbar-toggle:focus,.navbar-inverse .navbar-toggle:hover{background-color:#333}.navbar-inverse .navbar-toggle .icon-bar{background-color:#fff}.navbar-inverse .navbar-collapse,.navbar-inverse .navbar-form{border-color:#101010}.navbar-inverse .navbar-nav>.open>a,.navbar-inverse .navbar-nav>.open>a:focus,.navbar-inverse .navbar-nav>.open>a:hover{color:#fff;background-color:#080808}@media (max-width:767px){.navbar-inverse .navbar-nav .open .dropdown-menu>.dropdown-header{border-color:#080808}.navbar-inverse .navbar-nav .open .dropdown-menu .divider{background-color:#080808}.navbar-inverse .navbar-nav .open .dropdown-menu>li>a{color:#9d9d9d}.navbar-inverse .navbar-nav .open .dropdown-menu>li>a:focus,.navbar-inverse .navbar-nav .open .dropdown-menu>li>a:hover{color:#fff;background-color:transparent}.navbar-inverse .navbar-nav .open .dropdown-menu>.active>a,.navbar-inverse .navbar-nav .open .dropdown-menu>.active>a:focus,.navbar-inverse .navbar-nav .open .dropdown-menu>.active>a:hover{color:#fff;background-color:#080808}.navbar-inverse .navbar-nav .open .dropdown-menu>.disabled>a,.navbar-inverse .navbar-nav .open .dropdown-menu>.disabled>a:focus,.navbar-inverse .navbar-nav .open .dropdown-menu>.disabled>a:hover{color:#444;background-color:transparent}}.navbar-inverse .navbar-link{color:#9d9d9d}.navbar-inverse .navbar-link:hover{color:#fff}.navbar-inverse .btn-link{color:#9d9d9d}.navbar-inverse .btn-link:focus,.navbar-inverse .btn-link:hover{color:#fff}.navbar-inverse .btn-link[disabled]:focus,.navbar-inverse .btn-link[disabled]:hover,fieldset[disabled] .navbar-inverse .btn-link:focus,fieldset[disabled] .navbar-inverse .btn-link:hover{color:#444}.breadcrumb{padding:8px 15px;margin-bottom:20px;list-style:none;background-color:#f5f5f5;border-radius:4px}.breadcrumb>li+li:before{padding:0 5px;color:#ccc;content:"/\00a0"}.breadcrumb>.active{color:#777}.pagination{padding-left:0;margin:20px 0;border-radius:4px}.pager li,.pagination>li{display:inline}.pagination>li>a,.pagination>li>span{position:relative;float:left;padding:6px 12px;margin-left:-1px;line-height:1.42857143;color:#337ab7;text-decoration:none;background-color:#fff;border:1px solid #ddd}.pagination>li:first-child>a,.pagination>li:first-child>span{margin-left:0;border-top-left-radius:4px;border-bottom-left-radius:4px}.pagination>li:last-child>a,.pagination>li:last-child>span{border-top-right-radius:4px;border-bottom-right-radius:4px}.pagination>li>a:focus,.pagination>li>a:hover,.pagination>li>span:focus,.pagination>li>span:hover{z-index:2;color:#23527c;background-color:#eee;border-color:#ddd}.pagination>.active>a,.pagination>.active>a:focus,.pagination>.active>a:hover,.pagination>.active>span,.pagination>.active>span:focus,.pagination>.active>span:hover{z-index:3;color:#fff;cursor:default;background-color:#337ab7;border-color:#337ab7}.pagination>.disabled>a,.pagination>.disabled>a:focus,.pagination>.disabled>a:hover,.pagination>.disabled>span,.pagination>.disabled>span:focus,.pagination>.disabled>span:hover{color:#777;cursor:not-allowed;background-color:#fff;border-color:#ddd}.pagination-lg>li>a,.pagination-lg>li>span{padding:10px 16px;font-size:18px;line-height:1.3333333}.pagination-lg>li:first-child>a,.pagination-lg>li:first-child>span{border-top-left-radius:6px;border-bottom-left-radius:6px}.pagination-lg>li:last-child>a,.pagination-lg>li:last-child>span{border-top-right-radius:6px;border-bottom-right-radius:6px}.pagination-sm>li>a,.pagination-sm>li>span{padding:5px 10px;font-size:12px;line-height:1.5}.badge,.label{font-weight:700;line-height:1;white-space:nowrap;text-align:center}.pagination-sm>li:first-child>a,.pagination-sm>li:first-child>span{border-top-left-radius:3px;border-bottom-left-radius:3px}.pagination-sm>li:last-child>a,.pagination-sm>li:last-child>span{border-top-right-radius:3px;border-bottom-right-radius:3px}.pager{padding-left:0;margin:20px 0;text-align:center;list-style:none}.pager li>a,.pager li>span{display:inline-block;padding:5px 14px;background-color:#fff;border:1px solid #ddd;border-radius:15px}.pager li>a:focus,.pager li>a:hover{text-decoration:none;background-color:#eee}.pager .next>a,.pager .next>span{float:right}.pager .previous>a,.pager .previous>span{float:left}.pager .disabled>a,.pager .disabled>a:focus,.pager .disabled>a:hover,.pager .disabled>span{color:#777;cursor:not-allowed;background-color:#fff}.label{display:inline;padding:.2em .6em .3em;font-size:75%;color:#fff;border-radius:.25em}a.label:focus,a.label:hover{color:#fff;text-decoration:none;cursor:pointer}.label:empty{display:none}.label-default{background-color:#777}.label-default[href]:focus,.label-default[href]:hover{background-color:#5e5e5e}.label-primary{background-color:#337ab7}.label-primary[href]:focus,.label-primary[href]:hover{background-color:#286090}.label-success{background-color:#5cb85c}.label-success[href]:focus,.label-success[href]:hover{background-color:#449d44}.label-info{background-color:#5bc0de}.label-info[href]:focus,.label-info[href]:hover{background-color:#31b0d5}.label-warning{background-color:#f0ad4e}.label-warning[href]:focus,.label-warning[href]:hover{background-color:#ec971f}.label-danger{background-color:#d9534f}.label-danger[href]:focus,.label-danger[href]:hover{background-color:#c9302c}.badge{display:inline-block;min-width:10px;padding:3px 7px;font-size:12px;color:#fff;vertical-align:middle;background-color:#777;border-radius:10px}.badge:empty{display:none}.media-object,.thumbnail{display:block}.btn-group-xs>.btn .badge,.btn-xs .badge{top:0;padding:1px 5px}a.badge:focus,a.badge:hover{color:#fff;text-decoration:none;cursor:pointer}.list-group-item.active>.badge,.nav-pills>.active>a>.badge{color:#337ab7;background-color:#fff}.jumbotron,.jumbotron .h1,.jumbotron h1{color:inherit}.list-group-item>.badge{float:right}.list-group-item>.badge+.badge{margin-right:5px}.nav-pills>li>a>.badge{margin-left:3px}.jumbotron{padding-top:30px;padding-bottom:30px;margin-bottom:30px;background-color:#eee}.jumbotron p{margin-bottom:15px;font-size:21px;font-weight:200}.alert,.thumbnail{margin-bottom:20px}.alert .alert-link,.close{font-weight:700}.jumbotron>hr{border-top-color:#d5d5d5}.container .jumbotron,.container-fluid .jumbotron{padding-right:15px;padding-left:15px;border-radius:6px}.jumbotron .container{max-width:100%}@media screen and (min-width:768px){.jumbotron{padding-top:48px;padding-bottom:48px}.container .jumbotron,.container-fluid .jumbotron{padding-right:60px;padding-left:60px}.jumbotron .h1,.jumbotron h1{font-size:63px}}.thumbnail{padding:4px;line-height:1.42857143;background-color:#fff;border:1px solid #ddd;border-radius:4px;-webkit-transition:border .2s ease-in-out;-o-transition:border .2s ease-in-out;transition:border .2s ease-in-out}.thumbnail a>img,.thumbnail>img{margin-right:auto;margin-left:auto}a.thumbnail.active,a.thumbnail:focus,a.thumbnail:hover{border-color:#337ab7}.thumbnail .caption{padding:9px;color:#333}.alert{padding:15px;border:1px solid transparent;border-radius:4px}.alert h4{margin-top:0;color:inherit}.alert>p,.alert>ul{margin-bottom:0}.alert>p+p{margin-top:5px}.alert-dismissable,.alert-dismissible{padding-right:35px}.alert-dismissable .close,.alert-dismissible .close{position:relative;top:-2px;right:-21px;color:inherit}.modal,.modal-backdrop{top:0;right:0;bottom:0;left:0}.alert-success{color:#3c763d;background-color:#dff0d8;border-color:#d6e9c6}.alert-success hr{border-top-color:#c9e2b3}.alert-success .alert-link{color:#2b542c}.alert-info{color:#31708f;background-color:#d9edf7;border-color:#bce8f1}.alert-info hr{border-top-color:#a6e1ec}.alert-info .alert-link{color:#245269}.alert-warning{color:#8a6d3b;background-color:#fcf8e3;border-color:#faebcc}.alert-warning hr{border-top-color:#f7e1b5}.alert-warning .alert-link{color:#66512c}.alert-danger{color:#a94442;background-color:#f2dede;border-color:#ebccd1}.alert-danger hr{border-top-color:#e4b9c0}.alert-danger .alert-link{color:#843534}@-webkit-keyframes progress-bar-stripes{from{background-position:40px 0}to{background-position:0 0}}@-o-keyframes progress-bar-stripes{from{background-position:40px 0}to{background-position:0 0}}@keyframes progress-bar-stripes{from{background-position:40px 0}to{background-position:0 0}}.progress{height:20px;margin-bottom:20px;overflow:hidden;background-color:#f5f5f5;border-radius:4px;-webkit-box-shadow:inset 0 1px 2px rgba(0,0,0,.1);box-shadow:inset 0 1px 2px rgba(0,0,0,.1)}.progress-bar{float:left;width:0;height:100%;font-size:12px;line-height:20px;color:#fff;text-align:center;background-color:#337ab7;-webkit-box-shadow:inset 0 -1px 0 rgba(0,0,0,.15);box-shadow:inset 0 -1px 0 rgba(0,0,0,.15);-webkit-transition:width .6s ease;-o-transition:width .6s ease;transition:width .6s ease}.progress-bar-striped,.progress-striped .progress-bar{background-image:linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent);-webkit-background-size:40px 40px;background-size:40px 40px}.progress-bar.active,.progress.active .progress-bar{-webkit-animation:progress-bar-stripes 2s linear infinite;-o-animation:progress-bar-stripes 2s linear infinite;animation:progress-bar-stripes 2s linear infinite}.progress-bar-success{background-color:#5cb85c}.progress-striped .progress-bar-success{background-image:linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent)}.progress-striped .progress-bar-info,.progress-striped .progress-bar-warning{background-image:-webkit-linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent);background-image:-o-linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent)}.progress-bar-info{background-color:#5bc0de}.progress-striped .progress-bar-info{background-image:linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent)}.progress-bar-warning{background-color:#f0ad4e}.progress-striped .progress-bar-warning{background-image:linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent)}.progress-bar-danger{background-color:#d9534f}.progress-striped .progress-bar-danger{background-image:-webkit-linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent);background-image:-o-linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent);background-image:linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent)}.media{margin-top:15px}.media:first-child{margin-top:0}.media,.media-body{overflow:hidden;zoom:1}.media-body{width:10000px}.media-object.img-thumbnail{max-width:none}.media-right,.media>.pull-right{padding-left:10px}.media-left,.media>.pull-left{padding-right:10px}.media-body,.media-left,.media-right{display:table-cell;vertical-align:top}.bootstrap-switch,.media-middle{vertical-align:middle}.media-bottom{vertical-align:bottom}.media-heading{margin-top:0;margin-bottom:5px}.media-list{padding-left:0;list-style:none}.list-group{padding-left:0;margin-bottom:20px}.list-group-item{position:relative;display:block;padding:10px 15px;margin-bottom:-1px;background-color:#fff;border:1px solid #ddd}.list-group-item:first-child{border-top-left-radius:4px;border-top-right-radius:4px}.list-group-item:last-child{margin-bottom:0;border-bottom-right-radius:4px;border-bottom-left-radius:4px}a.list-group-item,button.list-group-item{color:#555}a.list-group-item .list-group-item-heading,button.list-group-item .list-group-item-heading{color:#333}a.list-group-item:focus,a.list-group-item:hover,button.list-group-item:focus,button.list-group-item:hover{color:#555;text-decoration:none;background-color:#f5f5f5}button.list-group-item{width:100%;text-align:left}.list-group-item.disabled,.list-group-item.disabled:focus,.list-group-item.disabled:hover{color:#777;cursor:not-allowed;background-color:#eee}.list-group-item.disabled .list-group-item-heading,.list-group-item.disabled:focus .list-group-item-heading,.list-group-item.disabled:hover .list-group-item-heading{color:inherit}.list-group-item.disabled .list-group-item-text,.list-group-item.disabled:focus .list-group-item-text,.list-group-item.disabled:hover .list-group-item-text{color:#777}.list-group-item.active,.list-group-item.active:focus,.list-group-item.active:hover{z-index:2;color:#fff;background-color:#337ab7;border-color:#337ab7}.list-group-item.active .list-group-item-heading,.list-group-item.active .list-group-item-heading>.small,.list-group-item.active .list-group-item-heading>small,.list-group-item.active:focus .list-group-item-heading,.list-group-item.active:focus .list-group-item-heading>.small,.list-group-item.active:focus .list-group-item-heading>small,.list-group-item.active:hover .list-group-item-heading,.list-group-item.active:hover .list-group-item-heading>.small,.list-group-item.active:hover .list-group-item-heading>small{color:inherit}.list-group-item.active .list-group-item-text,.list-group-item.active:focus .list-group-item-text,.list-group-item.active:hover .list-group-item-text{color:#c7ddef}.list-group-item-success{color:#3c763d;background-color:#dff0d8}a.list-group-item-success,button.list-group-item-success{color:#3c763d}a.list-group-item-success .list-group-item-heading,button.list-group-item-success .list-group-item-heading{color:inherit}a.list-group-item-success:focus,a.list-group-item-success:hover,button.list-group-item-success:focus,button.list-group-item-success:hover{color:#3c763d;background-color:#d0e9c6}a.list-group-item-success.active,a.list-group-item-success.active:focus,a.list-group-item-success.active:hover,button.list-group-item-success.active,button.list-group-item-success.active:focus,button.list-group-item-success.active:hover{color:#fff;background-color:#3c763d;border-color:#3c763d}.list-group-item-info{color:#31708f;background-color:#d9edf7}a.list-group-item-info,button.list-group-item-info{color:#31708f}a.list-group-item-info .list-group-item-heading,button.list-group-item-info .list-group-item-heading{color:inherit}a.list-group-item-info:focus,a.list-group-item-info:hover,button.list-group-item-info:focus,button.list-group-item-info:hover{color:#31708f;background-color:#c4e3f3}a.list-group-item-info.active,a.list-group-item-info.active:focus,a.list-group-item-info.active:hover,button.list-group-item-info.active,button.list-group-item-info.active:focus,button.list-group-item-info.active:hover{color:#fff;background-color:#31708f;border-color:#31708f}.list-group-item-warning{color:#8a6d3b;background-color:#fcf8e3}a.list-group-item-warning,button.list-group-item-warning{color:#8a6d3b}a.list-group-item-warning .list-group-item-heading,button.list-group-item-warning .list-group-item-heading{color:inherit}a.list-group-item-warning:focus,a.list-group-item-warning:hover,button.list-group-item-warning:focus,button.list-group-item-warning:hover{color:#8a6d3b;background-color:#faf2cc}a.list-group-item-warning.active,a.list-group-item-warning.active:focus,a.list-group-item-warning.active:hover,button.list-group-item-warning.active,button.list-group-item-warning.active:focus,button.list-group-item-warning.active:hover{color:#fff;background-color:#8a6d3b;border-color:#8a6d3b}.list-group-item-danger{color:#a94442;background-color:#f2dede}a.list-group-item-danger,button.list-group-item-danger{color:#a94442}a.list-group-item-danger .list-group-item-heading,button.list-group-item-danger .list-group-item-heading{color:inherit}a.list-group-item-danger:focus,a.list-group-item-danger:hover,button.list-group-item-danger:focus,button.list-group-item-danger:hover{color:#a94442;background-color:#ebcccc}a.list-group-item-danger.active,a.list-group-item-danger.active:focus,a.list-group-item-danger.active:hover,button.list-group-item-danger.active,button.list-group-item-danger.active:focus,button.list-group-item-danger.active:hover{color:#fff;background-color:#a94442;border-color:#a94442}.panel-heading>.dropdown .dropdown-toggle,.panel-title,.panel-title>.small,.panel-title>.small>a,.panel-title>a,.panel-title>small,.panel-title>small>a{color:inherit}.list-group-item-heading{margin-top:0;margin-bottom:5px}.list-group-item-text{margin-bottom:0;line-height:1.3}.panel{margin-bottom:20px;background-color:#fff;border:1px solid transparent;border-radius:4px;-webkit-box-shadow:0 1px 1px rgba(0,0,0,.05);box-shadow:0 1px 1px rgba(0,0,0,.05)}.panel-title,.panel>.list-group,.panel>.panel-collapse>.list-group,.panel>.panel-collapse>.table,.panel>.table,.panel>.table-responsive>.table{margin-bottom:0}.panel-body{padding:15px}.panel-heading{padding:10px 15px;border-bottom:1px solid transparent;border-top-left-radius:3px;border-top-right-radius:3px}.panel-title{margin-top:0;font-size:16px}.panel-footer{padding:10px 15px;background-color:#f5f5f5;border-top:1px solid #ddd;border-bottom-right-radius:3px;border-bottom-left-radius:3px}.panel>.list-group .list-group-item,.panel>.panel-collapse>.list-group .list-group-item{border-width:1px 0;border-radius:0}.panel-group .panel-heading,.panel>.table-bordered>tbody>tr:first-child>td,.panel>.table-bordered>tbody>tr:first-child>th,.panel>.table-bordered>tbody>tr:last-child>td,.panel>.table-bordered>tbody>tr:last-child>th,.panel>.table-bordered>tfoot>tr:last-child>td,.panel>.table-bordered>tfoot>tr:last-child>th,.panel>.table-bordered>thead>tr:first-child>td,.panel>.table-bordered>thead>tr:first-child>th,.panel>.table-responsive>.table-bordered>tbody>tr:first-child>td,.panel>.table-responsive>.table-bordered>tbody>tr:first-child>th,.panel>.table-responsive>.table-bordered>tbody>tr:last-child>td,.panel>.table-responsive>.table-bordered>tbody>tr:last-child>th,.panel>.table-responsive>.table-bordered>tfoot>tr:last-child>td,.panel>.table-responsive>.table-bordered>tfoot>tr:last-child>th,.panel>.table-responsive>.table-bordered>thead>tr:first-child>td,.panel>.table-responsive>.table-bordered>thead>tr:first-child>th{border-bottom:0}.panel>.list-group:first-child .list-group-item:first-child,.panel>.panel-collapse>.list-group:first-child .list-group-item:first-child{border-top:0;border-top-left-radius:3px;border-top-right-radius:3px}.panel>.list-group:last-child .list-group-item:last-child,.panel>.panel-collapse>.list-group:last-child .list-group-item:last-child{border-bottom:0;border-bottom-right-radius:3px;border-bottom-left-radius:3px}.panel>.panel-heading+.panel-collapse>.list-group .list-group-item:first-child{border-top-left-radius:0;border-top-right-radius:0}.list-group+.panel-footer,.panel-heading+.list-group .list-group-item:first-child{border-top-width:0}.panel>.panel-collapse>.table caption,.panel>.table caption,.panel>.table-responsive>.table caption{padding-right:15px;padding-left:15px}.panel>.table-responsive:first-child>.table:first-child,.panel>.table-responsive:first-child>.table:first-child>tbody:first-child>tr:first-child,.panel>.table-responsive:first-child>.table:first-child>thead:first-child>tr:first-child,.panel>.table:first-child,.panel>.table:first-child>tbody:first-child>tr:first-child,.panel>.table:first-child>thead:first-child>tr:first-child{border-top-left-radius:3px;border-top-right-radius:3px}.panel>.table-responsive:first-child>.table:first-child>tbody:first-child>tr:first-child td:first-child,.panel>.table-responsive:first-child>.table:first-child>tbody:first-child>tr:first-child th:first-child,.panel>.table-responsive:first-child>.table:first-child>thead:first-child>tr:first-child td:first-child,.panel>.table-responsive:first-child>.table:first-child>thead:first-child>tr:first-child th:first-child,.panel>.table:first-child>tbody:first-child>tr:first-child td:first-child,.panel>.table:first-child>tbody:first-child>tr:first-child th:first-child,.panel>.table:first-child>thead:first-child>tr:first-child td:first-child,.panel>.table:first-child>thead:first-child>tr:first-child th:first-child{border-top-left-radius:3px}.panel>.table-responsive:first-child>.table:first-child>tbody:first-child>tr:first-child td:last-child,.panel>.table-responsive:first-child>.table:first-child>tbody:first-child>tr:first-child th:last-child,.panel>.table-responsive:first-child>.table:first-child>thead:first-child>tr:first-child td:last-child,.panel>.table-responsive:first-child>.table:first-child>thead:first-child>tr:first-child th:last-child,.panel>.table:first-child>tbody:first-child>tr:first-child td:last-child,.panel>.table:first-child>tbody:first-child>tr:first-child th:last-child,.panel>.table:first-child>thead:first-child>tr:first-child td:last-child,.panel>.table:first-child>thead:first-child>tr:first-child th:last-child{border-top-right-radius:3px}.panel>.table-responsive:last-child>.table:last-child,.panel>.table-responsive:last-child>.table:last-child>tbody:last-child>tr:last-child,.panel>.table-responsive:last-child>.table:last-child>tfoot:last-child>tr:last-child,.panel>.table:last-child,.panel>.table:last-child>tbody:last-child>tr:last-child,.panel>.table:last-child>tfoot:last-child>tr:last-child{border-bottom-right-radius:3px;border-bottom-left-radius:3px}.panel>.table-responsive:last-child>.table:last-child>tbody:last-child>tr:last-child td:first-child,.panel>.table-responsive:last-child>.table:last-child>tbody:last-child>tr:last-child th:first-child,.panel>.table-responsive:last-child>.table:last-child>tfoot:last-child>tr:last-child td:first-child,.panel>.table-responsive:last-child>.table:last-child>tfoot:last-child>tr:last-child th:first-child,.panel>.table:last-child>tbody:last-child>tr:last-child td:first-child,.panel>.table:last-child>tbody:last-child>tr:last-child th:first-child,.panel>.table:last-child>tfoot:last-child>tr:last-child td:first-child,.panel>.table:last-child>tfoot:last-child>tr:last-child th:first-child{border-bottom-left-radius:3px}.panel>.table-responsive:last-child>.table:last-child>tbody:last-child>tr:last-child td:last-child,.panel>.table-responsive:last-child>.table:last-child>tbody:last-child>tr:last-child th:last-child,.panel>.table-responsive:last-child>.table:last-child>tfoot:last-child>tr:last-child td:last-child,.panel>.table-responsive:last-child>.table:last-child>tfoot:last-child>tr:last-child th:last-child,.panel>.table:last-child>tbody:last-child>tr:last-child td:last-child,.panel>.table:last-child>tbody:last-child>tr:last-child th:last-child,.panel>.table:last-child>tfoot:last-child>tr:last-child td:last-child,.panel>.table:last-child>tfoot:last-child>tr:last-child th:last-child{border-bottom-right-radius:3px}.panel>.panel-body+.table,.panel>.panel-body+.table-responsive,.panel>.table+.panel-body,.panel>.table-responsive+.panel-body{border-top:1px solid #ddd}.panel>.table>tbody:first-child>tr:first-child td,.panel>.table>tbody:first-child>tr:first-child th{border-top:0}.panel>.table-bordered,.panel>.table-responsive>.table-bordered{border:0}.panel>.table-bordered>tbody>tr>td:first-child,.panel>.table-bordered>tbody>tr>th:first-child,.panel>.table-bordered>tfoot>tr>td:first-child,.panel>.table-bordered>tfoot>tr>th:first-child,.panel>.table-bordered>thead>tr>td:first-child,.panel>.table-bordered>thead>tr>th:first-child,.panel>.table-responsive>.table-bordered>tbody>tr>td:first-child,.panel>.table-responsive>.table-bordered>tbody>tr>th:first-child,.panel>.table-responsive>.table-bordered>tfoot>tr>td:first-child,.panel>.table-responsive>.table-bordered>tfoot>tr>th:first-child,.panel>.table-responsive>.table-bordered>thead>tr>td:first-child,.panel>.table-responsive>.table-bordered>thead>tr>th:first-child{border-left:0}.panel>.table-bordered>tbody>tr>td:last-child,.panel>.table-bordered>tbody>tr>th:last-child,.panel>.table-bordered>tfoot>tr>td:last-child,.panel>.table-bordered>tfoot>tr>th:last-child,.panel>.table-bordered>thead>tr>td:last-child,.panel>.table-bordered>thead>tr>th:last-child,.panel>.table-responsive>.table-bordered>tbody>tr>td:last-child,.panel>.table-responsive>.table-bordered>tbody>tr>th:last-child,.panel>.table-responsive>.table-bordered>tfoot>tr>td:last-child,.panel>.table-responsive>.table-bordered>tfoot>tr>th:last-child,.panel>.table-responsive>.table-bordered>thead>tr>td:last-child,.panel>.table-responsive>.table-bordered>thead>tr>th:last-child{border-right:0}.panel>.table-responsive{margin-bottom:0;border:0}.panel-group{margin-bottom:20px}.panel-group .panel{margin-bottom:0;border-radius:4px}.panel-group .panel+.panel{margin-top:5px}.panel-group .panel-heading+.panel-collapse>.list-group,.panel-group .panel-heading+.panel-collapse>.panel-body{border-top:1px solid #ddd}.panel-group .panel-footer{border-top:0}.panel-group .panel-footer+.panel-collapse .panel-body{border-bottom:1px solid #ddd}.panel-default{border-color:#ddd}.panel-default>.panel-heading{color:#333;background-color:#f5f5f5;border-color:#ddd}.panel-default>.panel-heading+.panel-collapse>.panel-body{border-top-color:#ddd}.panel-default>.panel-heading .badge{color:#f5f5f5;background-color:#333}.panel-default>.panel-footer+.panel-collapse>.panel-body{border-bottom-color:#ddd}.panel-primary{border-color:#337ab7}.panel-primary>.panel-heading{color:#fff;background-color:#337ab7;border-color:#337ab7}.panel-primary>.panel-heading+.panel-collapse>.panel-body{border-top-color:#337ab7}.panel-primary>.panel-heading .badge{color:#337ab7;background-color:#fff}.panel-primary>.panel-footer+.panel-collapse>.panel-body{border-bottom-color:#337ab7}.panel-success{border-color:#d6e9c6}.panel-success>.panel-heading{color:#3c763d;background-color:#dff0d8;border-color:#d6e9c6}.panel-success>.panel-heading+.panel-collapse>.panel-body{border-top-color:#d6e9c6}.panel-success>.panel-heading .badge{color:#dff0d8;background-color:#3c763d}.panel-success>.panel-footer+.panel-collapse>.panel-body{border-bottom-color:#d6e9c6}.panel-info{border-color:#bce8f1}.panel-info>.panel-heading{color:#31708f;background-color:#d9edf7;border-color:#bce8f1}.panel-info>.panel-heading+.panel-collapse>.panel-body{border-top-color:#bce8f1}.panel-info>.panel-heading .badge{color:#d9edf7;background-color:#31708f}.panel-info>.panel-footer+.panel-collapse>.panel-body{border-bottom-color:#bce8f1}.panel-warning{border-color:#faebcc}.panel-warning>.panel-heading{color:#8a6d3b;background-color:#fcf8e3;border-color:#faebcc}.panel-warning>.panel-heading+.panel-collapse>.panel-body{border-top-color:#faebcc}.panel-warning>.panel-heading .badge{color:#fcf8e3;background-color:#8a6d3b}.panel-warning>.panel-footer+.panel-collapse>.panel-body{border-bottom-color:#faebcc}.panel-danger{border-color:#ebccd1}.panel-danger>.panel-heading{color:#a94442;background-color:#f2dede;border-color:#ebccd1}.panel-danger>.panel-heading+.panel-collapse>.panel-body{border-top-color:#ebccd1}.panel-danger>.panel-heading .badge{color:#f2dede;background-color:#a94442}.panel-danger>.panel-footer+.panel-collapse>.panel-body{border-bottom-color:#ebccd1}.embed-responsive{position:relative;display:block;height:0;padding:0;overflow:hidden}.embed-responsive .embed-responsive-item,.embed-responsive embed,.embed-responsive iframe,.embed-responsive object,.embed-responsive video{position:absolute;top:0;bottom:0;left:0;width:100%;height:100%;border:0}.embed-responsive-16by9{padding-bottom:56.25%}.embed-responsive-4by3{padding-bottom:75%}.well{min-height:20px;padding:19px;margin-bottom:20px;background-color:#f5f5f5;border:1px solid #e3e3e3;border-radius:4px;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.05);box-shadow:inset 0 1px 1px rgba(0,0,0,.05)}.well blockquote{border-color:#ddd;border-color:rgba(0,0,0,.15)}.well-lg{padding:24px;border-radius:6px}.well-sm{padding:9px;border-radius:3px}.close{float:right;font-size:21px;line-height:1;color:#000;text-shadow:0 1px 0 #fff;filter:alpha(opacity=20);opacity:.2}.popover,.tooltip{font-style:normal;line-height:1.42857143;text-shadow:none;text-transform:none;letter-spacing:normal;word-break:normal;word-spacing:normal;word-wrap:normal;white-space:normal;line-break:auto;text-decoration:none;font-weight:400}.close:focus,.close:hover{color:#000;text-decoration:none;cursor:pointer;filter:alpha(opacity=50);opacity:.5}button.close{-webkit-appearance:none;padding:0;cursor:pointer;background:0 0;border:0}.modal-open{overflow:hidden}.modal{position:fixed;z-index:1050;display:none;overflow:hidden;-webkit-overflow-scrolling:touch;outline:0}.modal.fade .modal-dialog{-webkit-transition:-webkit-transform .3s ease-out;-o-transition:-o-transform .3s ease-out;transition:transform .3s ease-out;-webkit-transform:translate(0,-25%);-ms-transform:translate(0,-25%);-o-transform:translate(0,-25%);transform:translate(0,-25%)}.modal.in .modal-dialog{-webkit-transform:translate(0,0);-ms-transform:translate(0,0);-o-transform:translate(0,0);transform:translate(0,0)}.modal-open .modal{overflow-x:hidden;overflow-y:auto}.modal-dialog{position:relative;width:auto;margin:10px}.modal-content{position:relative;background-color:#fff;background-clip:padding-box;border:1px solid #999;border:1px solid rgba(0,0,0,.2);border-radius:6px;outline:0;-webkit-box-shadow:0 3px 9px rgba(0,0,0,.5);box-shadow:0 3px 9px rgba(0,0,0,.5)}.modal-backdrop{position:fixed;z-index:1040;background-color:#000}.modal-backdrop.fade{filter:alpha(opacity=0);opacity:0}.carousel-control,.modal-backdrop.in{filter:alpha(opacity=50);opacity:.5}.modal-header{padding:15px;border-bottom:1px solid #e5e5e5}.modal-header .close{margin-top:-2px}.modal-title{margin:0;line-height:1.42857143}.modal-body{position:relative;padding:15px}.modal-footer{padding:15px;text-align:right;border-top:1px solid #e5e5e5}.modal-footer .btn+.btn{margin-bottom:0;margin-left:5px}.modal-footer .btn-group .btn+.btn{margin-left:-1px}.modal-footer .btn-block+.btn-block{margin-left:0}.modal-scrollbar-measure{position:absolute;top:-9999px;width:50px;height:50px;overflow:scroll}@media (min-width:768px){.modal-dialog{width:600px;margin:30px auto}.modal-content{-webkit-box-shadow:0 5px 15px rgba(0,0,0,.5);box-shadow:0 5px 15px rgba(0,0,0,.5)}.modal-sm{width:300px}}.tooltip.top-left .tooltip-arrow,.tooltip.top-right .tooltip-arrow{bottom:0;margin-bottom:-5px;border-width:5px 5px 0;border-top-color:#000}@media (min-width:992px){.modal-lg{width:900px}}.tooltip{position:absolute;z-index:1070;display:block;font-size:12px;text-align:left;text-align:start;filter:alpha(opacity=0);opacity:0}.tooltip.in{filter:alpha(opacity=90);opacity:.9}.tooltip.top{padding:5px 0;margin-top:-3px}.tooltip.right{padding:0 5px;margin-left:3px}.tooltip.bottom{padding:5px 0;margin-top:3px}.tooltip.left{padding:0 5px;margin-left:-3px}.tooltip-inner{max-width:200px;padding:3px 8px;color:#fff;text-align:center;background-color:#000;border-radius:4px}.tooltip-arrow{position:absolute;width:0;height:0;border-color:transparent;border-style:solid}.tooltip.top .tooltip-arrow{bottom:0;left:50%;margin-left:-5px;border-width:5px 5px 0;border-top-color:#000}.tooltip.top-left .tooltip-arrow{right:5px}.tooltip.top-right .tooltip-arrow{left:5px}.tooltip.right .tooltip-arrow{top:50%;left:0;margin-top:-5px;border-width:5px 5px 5px 0;border-right-color:#000}.tooltip.left .tooltip-arrow{top:50%;right:0;margin-top:-5px;border-width:5px 0 5px 5px;border-left-color:#000}.tooltip.bottom .tooltip-arrow,.tooltip.bottom-left .tooltip-arrow,.tooltip.bottom-right .tooltip-arrow{border-width:0 5px 5px;border-bottom-color:#000;top:0}.tooltip.bottom .tooltip-arrow{left:50%;margin-left:-5px}.tooltip.bottom-left .tooltip-arrow{right:5px;margin-top:-5px}.tooltip.bottom-right .tooltip-arrow{left:5px;margin-top:-5px}.popover{position:absolute;top:0;left:0;z-index:1060;display:none;max-width:276px;padding:1px;font-size:14px;text-align:left;text-align:start;background-color:#fff;-webkit-background-clip:padding-box;background-clip:padding-box;border:1px solid #ccc;border:1px solid rgba(0,0,0,.2);border-radius:6px;-webkit-box-shadow:0 5px 10px rgba(0,0,0,.2);box-shadow:0 5px 10px rgba(0,0,0,.2)}.carousel-caption,.carousel-control{color:#fff;text-align:center;text-shadow:0 1px 2px rgba(0,0,0,.6)}.json-formatter-row .string,.toast-message,pre{word-wrap:break-word}.popover.top{margin-top:-10px}.popover.right{margin-left:10px}.popover.bottom{margin-top:10px}.popover.left{margin-left:-10px}.popover-title{padding:8px 14px;margin:0;font-size:14px;background-color:#f7f7f7;border-bottom:1px solid #ebebeb;border-radius:5px 5px 0 0}.popover-content{padding:9px 14px}.popover>.arrow,.popover>.arrow:after{position:absolute;display:block;width:0;height:0;border-color:transparent;border-style:solid}.carousel,.carousel-inner{position:relative}.popover>.arrow{border-width:11px}.popover>.arrow:after{content:"";border-width:10px}.popover.top>.arrow{bottom:-11px;left:50%;margin-left:-11px;border-top-color:#999;border-top-color:rgba(0,0,0,.25);border-bottom-width:0}.popover.top>.arrow:after{bottom:1px;margin-left:-10px;content:" ";border-top-color:#fff;border-bottom-width:0}.popover.left>.arrow:after,.popover.right>.arrow:after{bottom:-10px;content:" "}.popover.right>.arrow{top:50%;left:-11px;margin-top:-11px;border-right-color:#999;border-right-color:rgba(0,0,0,.25);border-left-width:0}.popover.right>.arrow:after{left:1px;border-right-color:#fff;border-left-width:0}.popover.bottom>.arrow{top:-11px;left:50%;margin-left:-11px;border-top-width:0;border-bottom-color:#999;border-bottom-color:rgba(0,0,0,.25)}.popover.bottom>.arrow:after{top:1px;margin-left:-10px;content:" ";border-top-width:0;border-bottom-color:#fff}.popover.left>.arrow{top:50%;right:-11px;margin-top:-11px;border-right-width:0;border-left-color:#999;border-left-color:rgba(0,0,0,.25)}.popover.left>.arrow:after{right:1px;border-right-width:0;border-left-color:#fff}.carousel-inner{width:100%;overflow:hidden}.carousel-inner>.item{position:relative;display:none;-webkit-transition:.6s ease-in-out left;-o-transition:.6s ease-in-out left;transition:.6s ease-in-out left}.carousel-inner>.item>a>img,.carousel-inner>.item>img{line-height:1}@media all and (transform-3d),(-webkit-transform-3d){.carousel-inner>.item{-webkit-transition:-webkit-transform .6s ease-in-out;-o-transition:-o-transform .6s ease-in-out;transition:transform .6s ease-in-out;-webkit-backface-visibility:hidden;backface-visibility:hidden;-webkit-perspective:1000px;perspective:1000px}.carousel-inner>.item.active.right,.carousel-inner>.item.next{left:0;-webkit-transform:translate3d(100%,0,0);transform:translate3d(100%,0,0)}.carousel-inner>.item.active.left,.carousel-inner>.item.prev{left:0;-webkit-transform:translate3d(-100%,0,0);transform:translate3d(-100%,0,0)}.carousel-inner>.item.active,.carousel-inner>.item.next.left,.carousel-inner>.item.prev.right{left:0;-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}.bootstrap-switch,.ui-grid-pager-control input{-webkit-transition:border-color ease-in-out .15s,box-shadow ease-in-out .15s}.carousel-inner>.active,.carousel-inner>.next,.carousel-inner>.prev{display:block}.carousel-inner>.active{left:0}.carousel-inner>.next,.carousel-inner>.prev{position:absolute;top:0;width:100%}.carousel-inner>.next{left:100%}.carousel-inner>.prev{left:-100%}.carousel-inner>.next.left,.carousel-inner>.prev.right{left:0}.carousel-inner>.active.left{left:-100%}.carousel-inner>.active.right{left:100%}.carousel-control{position:absolute;top:0;bottom:0;left:0;width:15%;font-size:20px;background-color:rgba(0,0,0,0)}.carousel-control.left{background-image:-webkit-linear-gradient(left,rgba(0,0,0,.5) 0,rgba(0,0,0,.0001) 100%);background-image:-o-linear-gradient(left,rgba(0,0,0,.5) 0,rgba(0,0,0,.0001) 100%);background-image:-webkit-gradient(linear,left top,right top,from(rgba(0,0,0,.5)),to(rgba(0,0,0,.0001)));background-image:linear-gradient(to right,rgba(0,0,0,.5) 0,rgba(0,0,0,.0001) 100%);filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#80000000', endColorstr='#00000000', GradientType=1);background-repeat:repeat-x}.carousel-control.right{right:0;left:auto;background-image:-webkit-linear-gradient(left,rgba(0,0,0,.0001) 0,rgba(0,0,0,.5) 100%);background-image:-o-linear-gradient(left,rgba(0,0,0,.0001) 0,rgba(0,0,0,.5) 100%);background-image:-webkit-gradient(linear,left top,right top,from(rgba(0,0,0,.0001)),to(rgba(0,0,0,.5)));background-image:linear-gradient(to right,rgba(0,0,0,.0001) 0,rgba(0,0,0,.5) 100%);filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#00000000', endColorstr='#80000000', GradientType=1);background-repeat:repeat-x}.carousel-control:focus,.carousel-control:hover{color:#fff;text-decoration:none;filter:alpha(opacity=90);outline:0;opacity:.9}.carousel-control .glyphicon-chevron-left,.carousel-control .glyphicon-chevron-right,.carousel-control .icon-next,.carousel-control .icon-prev{position:absolute;top:50%;z-index:5;display:inline-block;margin-top:-10px}.carousel-control .glyphicon-chevron-left,.carousel-control .icon-prev{left:50%;margin-left:-10px}.carousel-control .glyphicon-chevron-right,.carousel-control .icon-next{right:50%;margin-right:-10px}.carousel-control .icon-next,.carousel-control .icon-prev{width:20px;height:20px;font-family:serif;line-height:1}.carousel-control .icon-prev:before{content:'\2039'}.carousel-control .icon-next:before{content:'\203a'}.carousel-indicators{position:absolute;bottom:10px;left:50%;z-index:15;width:60%;padding-left:0;margin-left:-30%;text-align:center;list-style:none}.carousel-indicators li{display:inline-block;width:10px;height:10px;margin:1px;text-indent:-999px;cursor:pointer;background-color:#000\9;background-color:rgba(0,0,0,0);border:1px solid #fff;border-radius:10px}.carousel-indicators .active{width:12px;height:12px;margin:0;background-color:#fff}.carousel-caption{position:absolute;right:15%;bottom:20px;left:15%;z-index:10;padding-top:20px;padding-bottom:20px}.carousel-caption .btn,.text-hide{text-shadow:none}@media screen and (min-width:768px){.carousel-control .glyphicon-chevron-left,.carousel-control .glyphicon-chevron-right,.carousel-control .icon-next,.carousel-control .icon-prev{width:30px;height:30px;margin-top:-10px;font-size:30px}.carousel-control .glyphicon-chevron-left,.carousel-control .icon-prev{margin-left:-10px}.carousel-control .glyphicon-chevron-right,.carousel-control .icon-next{margin-right:-10px}.carousel-caption{right:20%;left:20%;padding-bottom:30px}.carousel-indicators{bottom:20px}}.btn-group-vertical>.btn-group:after,.btn-group-vertical>.btn-group:before,.btn-toolbar:after,.btn-toolbar:before,.clearfix:after,.clearfix:before,.container-fluid:after,.container-fluid:before,.container:after,.container:before,.dl-horizontal dd:after,.dl-horizontal dd:before,.form-horizontal .form-group:after,.form-horizontal .form-group:before,.modal-footer:after,.modal-footer:before,.modal-header:after,.modal-header:before,.nav:after,.nav:before,.navbar-collapse:after,.navbar-collapse:before,.navbar-header:after,.navbar-header:before,.navbar:after,.navbar:before,.pager:after,.pager:before,.panel-body:after,.panel-body:before,.row:after,.row:before{display:table;content:" "}.center-block{display:block;margin-right:auto;margin-left:auto}.pull-right{float:right!important}.pull-left{float:left!important}.hide{display:none!important}.show{display:block!important}.hidden,.visible-lg,.visible-lg-block,.visible-lg-inline,.visible-lg-inline-block,.visible-md,.visible-md-block,.visible-md-inline,.visible-md-inline-block,.visible-sm,.visible-sm-block,.visible-sm-inline,.visible-sm-inline-block,.visible-xs,.visible-xs-block,.visible-xs-inline,.visible-xs-inline-block{display:none!important}.invisible{visibility:hidden}.text-hide{font:0/0 a;color:transparent;background-color:transparent;border:0}.affix{position:fixed}@-ms-viewport{width:device-width}@media (max-width:767px){.visible-xs{display:block!important}table.visible-xs{display:table!important}tr.visible-xs{display:table-row!important}td.visible-xs,th.visible-xs{display:table-cell!important}.visible-xs-block{display:block!important}.visible-xs-inline{display:inline!important}.visible-xs-inline-block{display:inline-block!important}}@media (min-width:768px) and (max-width:991px){.visible-sm{display:block!important}table.visible-sm{display:table!important}tr.visible-sm{display:table-row!important}td.visible-sm,th.visible-sm{display:table-cell!important}.visible-sm-block{display:block!important}.visible-sm-inline{display:inline!important}.visible-sm-inline-block{display:inline-block!important}}@media (min-width:992px) and (max-width:1199px){.visible-md{display:block!important}table.visible-md{display:table!important}tr.visible-md{display:table-row!important}td.visible-md,th.visible-md{display:table-cell!important}.visible-md-block{display:block!important}.visible-md-inline{display:inline!important}.visible-md-inline-block{display:inline-block!important}}@media (min-width:1200px){.visible-lg{display:block!important}table.visible-lg{display:table!important}tr.visible-lg{display:table-row!important}td.visible-lg,th.visible-lg{display:table-cell!important}.visible-lg-block{display:block!important}.visible-lg-inline{display:inline!important}.visible-lg-inline-block{display:inline-block!important}.hidden-lg{display:none!important}}@media (max-width:767px){.hidden-xs{display:none!important}}@media (min-width:768px) and (max-width:991px){.hidden-sm{display:none!important}}@media (min-width:992px) and (max-width:1199px){.hidden-md{display:none!important}}.visible-print{display:none!important}@media print{.visible-print{display:block!important}table.visible-print{display:table!important}tr.visible-print{display:table-row!important}td.visible-print,th.visible-print{display:table-cell!important}}.visible-print-block{display:none!important}@media print{.visible-print-block{display:block!important}}.visible-print-inline{display:none!important}@media print{.visible-print-inline{display:inline!important}}.visible-print-inline-block{display:none!important}@media print{.visible-print-inline-block{display:inline-block!important}.hidden-print{display:none!important}}.bootstrap-switch{display:inline-block;direction:ltr;cursor:pointer;border-radius:4px;border:1px solid #ccc;position:relative;text-align:left;overflow:hidden;line-height:8px;z-index:0;-moz-user-select:none;user-select:none;transition:border-color ease-in-out .15s,box-shadow ease-in-out .15s}.bootstrap-switch .bootstrap-switch-container{display:inline-block;top:0;border-radius:4px;-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}.bootstrap-switch .bootstrap-switch-handle-off,.bootstrap-switch .bootstrap-switch-handle-on,.bootstrap-switch .bootstrap-switch-label{-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box;cursor:pointer;display:inline-block!important;height:100%;padding:6px 12px;font-size:14px;line-height:20px}.bootstrap-switch .bootstrap-switch-handle-off,.bootstrap-switch .bootstrap-switch-handle-on{text-align:center;z-index:1}.bootstrap-switch .bootstrap-switch-handle-off.bootstrap-switch-primary,.bootstrap-switch .bootstrap-switch-handle-on.bootstrap-switch-primary{color:#fff;background:#428bca}.bootstrap-switch .bootstrap-switch-handle-off.bootstrap-switch-info,.bootstrap-switch .bootstrap-switch-handle-on.bootstrap-switch-info{color:#fff;background:#5bc0de}.bootstrap-switch .bootstrap-switch-handle-off.bootstrap-switch-success,.bootstrap-switch .bootstrap-switch-handle-on.bootstrap-switch-success{color:#fff;background:#5cb85c}.bootstrap-switch .bootstrap-switch-handle-off.bootstrap-switch-warning,.bootstrap-switch .bootstrap-switch-handle-on.bootstrap-switch-warning{background:#f0ad4e;color:#fff}.bootstrap-switch .bootstrap-switch-handle-off.bootstrap-switch-danger,.bootstrap-switch .bootstrap-switch-handle-on.bootstrap-switch-danger{color:#fff;background:#d9534f}.bootstrap-switch .bootstrap-switch-handle-off.bootstrap-switch-default,.bootstrap-switch .bootstrap-switch-handle-on.bootstrap-switch-default{color:#000;background:#eee}.bootstrap-switch .bootstrap-switch-label{text-align:center;margin-top:-1px;margin-bottom:-1px;z-index:100;color:#333;background:#fff}.bootstrap-switch .bootstrap-switch-handle-on{border-bottom-left-radius:3px;border-top-left-radius:3px}.bootstrap-switch .bootstrap-switch-handle-off{border-bottom-right-radius:3px;border-top-right-radius:3px}.bootstrap-switch input[type=radio],.bootstrap-switch input[type=checkbox]{position:absolute!important;top:0;left:0;opacity:0;filter:alpha(opacity=0);z-index:-1}.bootstrap-switch input[type=radio].form-control,.bootstrap-switch input[type=checkbox].form-control{height:auto}.bootstrap-switch.bootstrap-switch-mini .bootstrap-switch-handle-off,.bootstrap-switch.bootstrap-switch-mini .bootstrap-switch-handle-on,.bootstrap-switch.bootstrap-switch-mini .bootstrap-switch-label{padding:1px 5px;font-size:12px;line-height:1.5}.bootstrap-switch.bootstrap-switch-small .bootstrap-switch-handle-off,.bootstrap-switch.bootstrap-switch-small .bootstrap-switch-handle-on,.bootstrap-switch.bootstrap-switch-small .bootstrap-switch-label{padding:5px 10px;font-size:12px;line-height:1.5}.bootstrap-switch.bootstrap-switch-large .bootstrap-switch-handle-off,.bootstrap-switch.bootstrap-switch-large .bootstrap-switch-handle-on,.bootstrap-switch.bootstrap-switch-large .bootstrap-switch-label{padding:6px 16px;font-size:18px;line-height:1.33}.bootstrap-switch.bootstrap-switch-disabled,.bootstrap-switch.bootstrap-switch-indeterminate,.bootstrap-switch.bootstrap-switch-readonly{cursor:default!important}.bootstrap-switch.bootstrap-switch-disabled .bootstrap-switch-handle-off,.bootstrap-switch.bootstrap-switch-disabled .bootstrap-switch-handle-on,.bootstrap-switch.bootstrap-switch-disabled .bootstrap-switch-label,.bootstrap-switch.bootstrap-switch-indeterminate .bootstrap-switch-handle-off,.bootstrap-switch.bootstrap-switch-indeterminate .bootstrap-switch-handle-on,.bootstrap-switch.bootstrap-switch-indeterminate .bootstrap-switch-label,.bootstrap-switch.bootstrap-switch-readonly .bootstrap-switch-handle-off,.bootstrap-switch.bootstrap-switch-readonly .bootstrap-switch-handle-on,.bootstrap-switch.bootstrap-switch-readonly .bootstrap-switch-label{opacity:.5;filter:alpha(opacity=50);cursor:default!important}.bootstrap-switch.bootstrap-switch-animate .bootstrap-switch-container{-webkit-transition:margin-left .5s;transition:margin-left .5s}.bootstrap-switch.bootstrap-switch-inverse .bootstrap-switch-handle-on{border-radius:0 3px 3px 0}.bootstrap-switch.bootstrap-switch-inverse .bootstrap-switch-handle-off{border-radius:3px 0 0 3px}.bootstrap-switch.bootstrap-switch-focused{border-color:#66afe9;outline:0;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6);box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6)}.bootstrap-switch.bootstrap-switch-inverse.bootstrap-switch-off .bootstrap-switch-label,.bootstrap-switch.bootstrap-switch-on .bootstrap-switch-label{border-bottom-right-radius:3px;border-top-right-radius:3px}.bootstrap-switch.bootstrap-switch-inverse.bootstrap-switch-on .bootstrap-switch-label,.bootstrap-switch.bootstrap-switch-off .bootstrap-switch-label{border-bottom-left-radius:3px;border-top-left-radius:3px}.bar-legend,.chart-legend,.doughnut-legend,.line-legend,.pie-legend,.polararea-legend,.radar-legend{list-style-type:none;margin-top:5px;text-align:center;-webkit-padding-start:0;-moz-padding-start:0;padding-left:0}.bar-legend li,.chart-legend li,.doughnut-legend li,.line-legend li,.pie-legend li,.polararea-legend li,.radar-legend li{display:inline-block;white-space:nowrap;position:relative;margin-bottom:4px;border-radius:5px;padding:2px 8px 2px 28px;font-size:smaller;cursor:default}.bar-legend li span,.chart-legend li span,.doughnut-legend li span,.line-legend li span,.pie-legend li span,.polararea-legend li span,.radar-legend li span{display:block;position:absolute;left:0;top:0;width:20px;height:20px;border-radius:5px}.toast-title{font-weight:700}.toast-message a,.toast-message label{color:#fff}.toast-message a:hover{color:#ccc;text-decoration:none}.toast-close-button{position:relative;right:-.3em;top:-.3em;float:right;font-size:20px;font-weight:700;color:#fff;-webkit-text-shadow:0 1px 0 #fff;text-shadow:0 1px 0 #fff;opacity:.8}.toast-top-center,.toast-top-full-width{top:0;right:0;width:100%}.toast-close-button:focus,.toast-close-button:hover{color:#000;text-decoration:none;cursor:pointer;opacity:.4}button.toast-close-button{padding:0;cursor:pointer;background:0 0;border:0;-webkit-appearance:none}.toast-bottom-center{bottom:0;right:0;width:100%}.toast-bottom-full-width{bottom:0;right:0;width:100%}.toast-top-left{top:12px;left:12px}.toast-top-right{top:12px;right:12px}.toast-bottom-right{right:12px;bottom:12px}.toast-bottom-left{bottom:12px;left:12px}#toast-container{position:fixed;z-index:999999}#toast-container *{-moz-box-sizing:border-box;-webkit-box-sizing:border-box;box-sizing:border-box}#toast-container>div{position:relative;overflow:hidden;margin:0 0 6px;padding:15px 15px 15px 50px;width:300px;-moz-border-radius:3px;-webkit-border-radius:3px;border-radius:3px;background-position:15px center;background-repeat:no-repeat;-moz-box-shadow:0 0 12px #999;-webkit-box-shadow:0 0 12px #999;box-shadow:0 0 12px #999;color:#fff;opacity:.8}#toast-container>:hover{-moz-box-shadow:0 0 12px #000;-webkit-box-shadow:0 0 12px #000;box-shadow:0 0 12px #000;opacity:1;cursor:pointer}#toast-container>.toast-info{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABgAAAAYCAYAAADgdz34AAAAAXNSR0IArs4c6QAAAARnQU1BAACxjwv8YQUAAAAJcEhZcwAADsMAAA7DAcdvqGQAAAGwSURBVEhLtZa9SgNBEMc9sUxxRcoUKSzSWIhXpFMhhYWFhaBg4yPYiWCXZxBLERsLRS3EQkEfwCKdjWJAwSKCgoKCcudv4O5YLrt7EzgXhiU3/4+b2ckmwVjJSpKkQ6wAi4gwhT+z3wRBcEz0yjSseUTrcRyfsHsXmD0AmbHOC9Ii8VImnuXBPglHpQ5wwSVM7sNnTG7Za4JwDdCjxyAiH3nyA2mtaTJufiDZ5dCaqlItILh1NHatfN5skvjx9Z38m69CgzuXmZgVrPIGE763Jx9qKsRozWYw6xOHdER+nn2KkO+Bb+UV5CBN6WC6QtBgbRVozrahAbmm6HtUsgtPC19tFdxXZYBOfkbmFJ1VaHA1VAHjd0pp70oTZzvR+EVrx2Ygfdsq6eu55BHYR8hlcki+n+kERUFG8BrA0BwjeAv2M8WLQBtcy+SD6fNsmnB3AlBLrgTtVW1c2QN4bVWLATaIS60J2Du5y1TiJgjSBvFVZgTmwCU+dAZFoPxGEEs8nyHC9Bwe2GvEJv2WXZb0vjdyFT4Cxk3e/kIqlOGoVLwwPevpYHT+00T+hWwXDf4AJAOUqWcDhbwAAAAASUVORK5CYII=)!important}#toast-container>.toast-error{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABgAAAAYCAYAAADgdz34AAAAAXNSR0IArs4c6QAAAARnQU1BAACxjwv8YQUAAAAJcEhZcwAADsMAAA7DAcdvqGQAAAHOSURBVEhLrZa/SgNBEMZzh0WKCClSCKaIYOED+AAKeQQLG8HWztLCImBrYadgIdY+gIKNYkBFSwu7CAoqCgkkoGBI/E28PdbLZmeDLgzZzcx83/zZ2SSXC1j9fr+I1Hq93g2yxH4iwM1vkoBWAdxCmpzTxfkN2RcyZNaHFIkSo10+8kgxkXIURV5HGxTmFuc75B2RfQkpxHG8aAgaAFa0tAHqYFfQ7Iwe2yhODk8+J4C7yAoRTWI3w/4klGRgR4lO7Rpn9+gvMyWp+uxFh8+H+ARlgN1nJuJuQAYvNkEnwGFck18Er4q3egEc/oO+mhLdKgRyhdNFiacC0rlOCbhNVz4H9FnAYgDBvU3QIioZlJFLJtsoHYRDfiZoUyIxqCtRpVlANq0EU4dApjrtgezPFad5S19Wgjkc0hNVnuF4HjVA6C7QrSIbylB+oZe3aHgBsqlNqKYH48jXyJKMuAbiyVJ8KzaB3eRc0pg9VwQ4niFryI68qiOi3AbjwdsfnAtk0bCjTLJKr6mrD9g8iq/S/B81hguOMlQTnVyG40wAcjnmgsCNESDrjme7wfftP4P7SP4N3CJZdvzoNyGq2c/HWOXJGsvVg+RA/k2MC/wN6I2YA2Pt8GkAAAAASUVORK5CYII=)!important}#toast-container>.toast-success{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABgAAAAYCAYAAADgdz34AAAAAXNSR0IArs4c6QAAAARnQU1BAACxjwv8YQUAAAAJcEhZcwAADsMAAA7DAcdvqGQAAADsSURBVEhLY2AYBfQMgf///3P8+/evAIgvA/FsIF+BavYDDWMBGroaSMMBiE8VC7AZDrIFaMFnii3AZTjUgsUUWUDA8OdAH6iQbQEhw4HyGsPEcKBXBIC4ARhex4G4BsjmweU1soIFaGg/WtoFZRIZdEvIMhxkCCjXIVsATV6gFGACs4Rsw0EGgIIH3QJYJgHSARQZDrWAB+jawzgs+Q2UO49D7jnRSRGoEFRILcdmEMWGI0cm0JJ2QpYA1RDvcmzJEWhABhD/pqrL0S0CWuABKgnRki9lLseS7g2AlqwHWQSKH4oKLrILpRGhEQCw2LiRUIa4lwAAAABJRU5ErkJggg==)!important}#toast-container>.toast-warning{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABgAAAAYCAYAAADgdz34AAAAAXNSR0IArs4c6QAAAARnQU1BAACxjwv8YQUAAAAJcEhZcwAADsMAAA7DAcdvqGQAAAGYSURBVEhL5ZSvTsNQFMbXZGICMYGYmJhAQIJAICYQPAACiSDB8AiICQQJT4CqQEwgJvYASAQCiZiYmJhAIBATCARJy+9rTsldd8sKu1M0+dLb057v6/lbq/2rK0mS/TRNj9cWNAKPYIJII7gIxCcQ51cvqID+GIEX8ASG4B1bK5gIZFeQfoJdEXOfgX4QAQg7kH2A65yQ87lyxb27sggkAzAuFhbbg1K2kgCkB1bVwyIR9m2L7PRPIhDUIXgGtyKw575yz3lTNs6X4JXnjV+LKM/m3MydnTbtOKIjtz6VhCBq4vSm3ncdrD2lk0VgUXSVKjVDJXJzijW1RQdsU7F77He8u68koNZTz8Oz5yGa6J3H3lZ0xYgXBK2QymlWWA+RWnYhskLBv2vmE+hBMCtbA7KX5drWyRT/2JsqZ2IvfB9Y4bWDNMFbJRFmC9E74SoS0CqulwjkC0+5bpcV1CZ8NMej4pjy0U+doDQsGyo1hzVJttIjhQ7GnBtRFN1UarUlH8F3xict+HY07rEzoUGPlWcjRFRr4/gChZgc3ZL2d8oAAAAASUVORK5CYII=)!important}#toast-container.toast-bottom-center>div,#toast-container.toast-top-center>div{width:300px;margin:auto}#toast-container.toast-bottom-full-width>div,#toast-container.toast-top-full-width>div{width:96%;margin:auto}.toast{background-color:#030303}.toast-success{background-color:#51a351}.toast-error{background-color:#bd362f}.toast-info{background-color:#2f96b4}.toast-warning{background-color:#f89406}.toast-progress{position:absolute;left:0;bottom:0;height:4px;background-color:#000;opacity:.4}.toast{opacity:1!important}.toast.ng-enter{opacity:0!important;transition:opacity .3s linear}.toast.ng-enter.ng-enter-active{opacity:1!important}.toast.ng-leave{opacity:1;transition:opacity .3s linear}.toast.ng-leave.ng-leave-active{opacity:0!important}@media all and (max-width:240px){#toast-container>div{padding:8px 8px 8px 50px;width:11em}#toast-container .toast-close-button{right:-.2em;top:-.2em}}@media all and (min-width:241px) and (max-width:480px){#toast-container>div{padding:8px 8px 8px 50px;width:18em}#toast-container .toast-close-button{right:-.2em;top:-.2em}}@media all and (min-width:481px) and (max-width:768px){#toast-container>div{padding:15px 15px 15px 50px;width:25em}}.ui-notification{position:fixed;z-index:9999;width:300px;cursor:pointer;-webkit-transition:all ease .5s;-o-transition:all ease .5s;transition:all ease .5s;color:#fff;background:#337ab7;box-shadow:5px 5px 10px rgba(0,0,0,.3)}.ui-notification.killed{-webkit-transition:opacity ease 1s;-o-transition:opacity ease 1s;transition:opacity ease 1s;opacity:0}.ui-notification>h3{font-size:14px;font-weight:700;display:block;margin:10px 10px 0;padding:0 0 5px;text-align:left;border-bottom:1px solid rgba(255,255,255,.3)}.editable-buttons,.editable-wrap,.editable-wrap .editable-input{display:inline-block}.ui-notification a{color:#fff}.ui-notification a:hover{text-decoration:underline}.ui-notification>.message{margin:10px}.editable-bstime .well-small,.editable-wrap .editable-controls,.editable-wrap .editable-controls>input,.editable-wrap .editable-controls>select,.editable-wrap .editable-controls>textarea,.editable-wrap .editable-error,.form-group .bootstrap-select.btn-group,.form-horizontal .bootstrap-select.btn-group,.form-inline .bootstrap-select.btn-group{margin-bottom:0}.ui-notification.warning{color:#fff;background:#f0ad4e}.ui-notification.error{color:#fff;background:#d9534f}.ui-notification.success{color:#fff;background:#5cb85c}.ui-notification.info{color:#fff;background:#5bc0de}.ui-notification:hover{opacity:.7}.steps-indicator li a:before,.steps-indicator:before{background-color:#e6e6e6;content:''}.steps-indicator li.current,.steps-indicator li.default,.steps-indicator li.editing{pointer-events:none}.steps-indicator{right:0;bottom:0;left:0;margin:0;padding:20px 0 0;height:30px;list-style:none}.steps-indicator:before{position:absolute;height:1px}.steps-indicator.steps-2:before{left:calc(100% / 2 / 2);right:calc(100% / 2 / 2)}.steps-indicator.steps-3:before{left:calc(100% / 3 / 2);right:calc(100% / 3 / 2)}.steps-indicator.steps-4:before{left:calc(100% / 4 / 2);right:calc(100% / 4 / 2)}.steps-indicator.steps-5:before{left:calc(100% / 5 / 2);right:calc(100% / 5 / 2)}.steps-indicator.steps-6:before{left:calc(100% / 6 / 2);right:calc(100% / 6 / 2)}.steps-indicator.steps-7:before{left:calc(100% / 7 / 2);right:calc(100% / 7 / 2)}.steps-indicator.steps-8:before{left:calc(100% / 8 / 2);right:calc(100% / 8 / 2)}.steps-indicator.steps-9:before{left:calc(100% / 9 / 2);right:calc(100% / 9 / 2)}.steps-indicator.steps-10:before{left:calc(100% / 10 / 2);right:calc(100% / 10 / 2)}.steps-indicator *{-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box}.steps-indicator li{position:relative;float:left;margin:0;padding:10px 0 0;text-align:center;line-height:15px}.steps-indicator li a{color:grey;text-decoration:none;text-transform:uppercase;font-weight:700;transition:.25s;cursor:pointer}.bootstrap-select.btn-group .dropdown-menu li.disabled a,.bootstrap-select.btn-group.disabled,.bootstrap-select.btn-group>.disabled{cursor:not-allowed}.steps-indicator li a:before{position:absolute;top:-7px;left:calc(50% - 7px);width:14px;height:14px;border-radius:100%;transition:.25s}.steps-indicator li a:hover{color:#4d4d4d}.steps-indicator.steps-2 li{width:calc(100% / 2)}.steps-indicator.steps-3 li{width:calc(100% / 3)}.steps-indicator.steps-4 li{width:calc(100% / 4)}.steps-indicator.steps-5 li{width:calc(100% / 5)}.steps-indicator.steps-6 li{width:calc(100% / 6)}.steps-indicator.steps-7 li{width:calc(100% / 7)}.steps-indicator.steps-8 li{width:calc(100% / 8)}.steps-indicator.steps-9 li{width:calc(100% / 9)}.steps-indicator.steps-10 li{width:calc(100% / 10)}.steps-indicator.steps-11 li{width:calc(100% / 11)}.steps-indicator li.default a:hover{color:grey}.steps-indicator li.current a:before{background-color:grey}.steps-indicator li.done a:before{background-color:#393}.steps-indicator li.editing a:before{background-color:red}/*! +angular-xeditable - 0.1.9 +Edit-in-place for angular.js +Build date: 2015-03-26 +*/.editable-wrap{white-space:nowrap;margin:0}.editable-buttons{vertical-align:top}.editable-buttons button{margin-left:5px}.editable-input.editable-has-buttons{width:auto}.editable-bstime .editable-input input[type=text]{width:46px}.editable-bstime .well-small{padding:10px}.editable-range output{display:inline-block;min-width:30px;vertical-align:top;text-align:center}.editable-color input[type=color]{width:50px}.editable-checkbox label span,.editable-checklist label span,.editable-radiolist label span{margin-left:7px;margin-right:10px}.editable-hide{display:none!important}.editable-click,a.editable-click{text-decoration:none;color:#428bca;border-bottom:dashed 1px #428bca}.editable-click:hover,a.editable-click:hover{text-decoration:none;color:#2a6496;border-bottom-color:#2a6496}.editable-empty,.editable-empty:focus,.editable-empty:hover,a.editable-empty,a.editable-empty:focus,a.editable-empty:hover{font-style:italic;color:#D14;text-decoration:none}/*! +Animate.css - http://daneden.me/animate +Licensed under the MIT license - http://opensource.org/licenses/MIT + +Copyright (c) 2015 Daniel Eden +*/.animated{-webkit-animation-duration:1s;animation-duration:1s;-webkit-animation-fill-mode:both;animation-fill-mode:both}.animated.infinite{-webkit-animation-iteration-count:infinite;animation-iteration-count:infinite}.animated.hinge{-webkit-animation-duration:2s;animation-duration:2s}.animated.bounceIn,.animated.bounceOut,.animated.flipOutX,.animated.flipOutY{-webkit-animation-duration:.75s;animation-duration:.75s}@-webkit-keyframes bounce{100%,20%,53%,80%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1);-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}40%,43%{-webkit-animation-timing-function:cubic-bezier(.755,.050,.855,.060);animation-timing-function:cubic-bezier(.755,.050,.855,.060);-webkit-transform:translate3d(0,-30px,0);transform:translate3d(0,-30px,0)}70%{-webkit-animation-timing-function:cubic-bezier(.755,.050,.855,.060);animation-timing-function:cubic-bezier(.755,.050,.855,.060);-webkit-transform:translate3d(0,-15px,0);transform:translate3d(0,-15px,0)}90%{-webkit-transform:translate3d(0,-4px,0);transform:translate3d(0,-4px,0)}}@keyframes bounce{100%,20%,53%,80%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1);-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}40%,43%{-webkit-animation-timing-function:cubic-bezier(.755,.050,.855,.060);animation-timing-function:cubic-bezier(.755,.050,.855,.060);-webkit-transform:translate3d(0,-30px,0);transform:translate3d(0,-30px,0)}70%{-webkit-animation-timing-function:cubic-bezier(.755,.050,.855,.060);animation-timing-function:cubic-bezier(.755,.050,.855,.060);-webkit-transform:translate3d(0,-15px,0);transform:translate3d(0,-15px,0)}90%{-webkit-transform:translate3d(0,-4px,0);transform:translate3d(0,-4px,0)}}.bounce{-webkit-animation-name:bounce;animation-name:bounce;-webkit-transform-origin:center bottom;transform-origin:center bottom}@-webkit-keyframes flash{100%,50%,from{opacity:1}25%,75%{opacity:0}}@keyframes flash{100%,50%,from{opacity:1}25%,75%{opacity:0}}.flash{-webkit-animation-name:flash;animation-name:flash}@-webkit-keyframes pulse{100%,from{-webkit-transform:scale3d(1,1,1);transform:scale3d(1,1,1)}50%{-webkit-transform:scale3d(1.05,1.05,1.05);transform:scale3d(1.05,1.05,1.05)}}@keyframes pulse{100%,from{-webkit-transform:scale3d(1,1,1);transform:scale3d(1,1,1)}50%{-webkit-transform:scale3d(1.05,1.05,1.05);transform:scale3d(1.05,1.05,1.05)}}.pulse{-webkit-animation-name:pulse;animation-name:pulse}@-webkit-keyframes rubberBand{100%,from{-webkit-transform:scale3d(1,1,1);transform:scale3d(1,1,1)}30%{-webkit-transform:scale3d(1.25,.75,1);transform:scale3d(1.25,.75,1)}40%{-webkit-transform:scale3d(.75,1.25,1);transform:scale3d(.75,1.25,1)}50%{-webkit-transform:scale3d(1.15,.85,1);transform:scale3d(1.15,.85,1)}65%{-webkit-transform:scale3d(.95,1.05,1);transform:scale3d(.95,1.05,1)}75%{-webkit-transform:scale3d(1.05,.95,1);transform:scale3d(1.05,.95,1)}}@keyframes rubberBand{100%,from{-webkit-transform:scale3d(1,1,1);transform:scale3d(1,1,1)}30%{-webkit-transform:scale3d(1.25,.75,1);transform:scale3d(1.25,.75,1)}40%{-webkit-transform:scale3d(.75,1.25,1);transform:scale3d(.75,1.25,1)}50%{-webkit-transform:scale3d(1.15,.85,1);transform:scale3d(1.15,.85,1)}65%{-webkit-transform:scale3d(.95,1.05,1);transform:scale3d(.95,1.05,1)}75%{-webkit-transform:scale3d(1.05,.95,1);transform:scale3d(1.05,.95,1)}}.rubberBand{-webkit-animation-name:rubberBand;animation-name:rubberBand}@-webkit-keyframes shake{100%,from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}10%,30%,50%,70%,90%{-webkit-transform:translate3d(-10px,0,0);transform:translate3d(-10px,0,0)}20%,40%,60%,80%{-webkit-transform:translate3d(10px,0,0);transform:translate3d(10px,0,0)}}@keyframes shake{100%,from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}10%,30%,50%,70%,90%{-webkit-transform:translate3d(-10px,0,0);transform:translate3d(-10px,0,0)}20%,40%,60%,80%{-webkit-transform:translate3d(10px,0,0);transform:translate3d(10px,0,0)}}.shake{-webkit-animation-name:shake;animation-name:shake}@-webkit-keyframes swing{20%{-webkit-transform:rotate3d(0,0,1,15deg);transform:rotate3d(0,0,1,15deg)}40%{-webkit-transform:rotate3d(0,0,1,-10deg);transform:rotate3d(0,0,1,-10deg)}60%{-webkit-transform:rotate3d(0,0,1,5deg);transform:rotate3d(0,0,1,5deg)}80%{-webkit-transform:rotate3d(0,0,1,-5deg);transform:rotate3d(0,0,1,-5deg)}100%{-webkit-transform:rotate3d(0,0,1,0deg);transform:rotate3d(0,0,1,0deg)}}@keyframes swing{20%{-webkit-transform:rotate3d(0,0,1,15deg);transform:rotate3d(0,0,1,15deg)}40%{-webkit-transform:rotate3d(0,0,1,-10deg);transform:rotate3d(0,0,1,-10deg)}60%{-webkit-transform:rotate3d(0,0,1,5deg);transform:rotate3d(0,0,1,5deg)}80%{-webkit-transform:rotate3d(0,0,1,-5deg);transform:rotate3d(0,0,1,-5deg)}100%{-webkit-transform:rotate3d(0,0,1,0deg);transform:rotate3d(0,0,1,0deg)}}.swing{-webkit-transform-origin:top center;transform-origin:top center;-webkit-animation-name:swing;animation-name:swing}@-webkit-keyframes tada{100%,from{-webkit-transform:scale3d(1,1,1);transform:scale3d(1,1,1)}10%,20%{-webkit-transform:scale3d(.9,.9,.9) rotate3d(0,0,1,-3deg);transform:scale3d(.9,.9,.9) rotate3d(0,0,1,-3deg)}30%,50%,70%,90%{-webkit-transform:scale3d(1.1,1.1,1.1) rotate3d(0,0,1,3deg);transform:scale3d(1.1,1.1,1.1) rotate3d(0,0,1,3deg)}40%,60%,80%{-webkit-transform:scale3d(1.1,1.1,1.1) rotate3d(0,0,1,-3deg);transform:scale3d(1.1,1.1,1.1) rotate3d(0,0,1,-3deg)}}@keyframes tada{100%,from{-webkit-transform:scale3d(1,1,1);transform:scale3d(1,1,1)}10%,20%{-webkit-transform:scale3d(.9,.9,.9) rotate3d(0,0,1,-3deg);transform:scale3d(.9,.9,.9) rotate3d(0,0,1,-3deg)}30%,50%,70%,90%{-webkit-transform:scale3d(1.1,1.1,1.1) rotate3d(0,0,1,3deg);transform:scale3d(1.1,1.1,1.1) rotate3d(0,0,1,3deg)}40%,60%,80%{-webkit-transform:scale3d(1.1,1.1,1.1) rotate3d(0,0,1,-3deg);transform:scale3d(1.1,1.1,1.1) rotate3d(0,0,1,-3deg)}}.tada{-webkit-animation-name:tada;animation-name:tada}@-webkit-keyframes wobble{100%,from{-webkit-transform:none;transform:none}15%{-webkit-transform:translate3d(-25%,0,0) rotate3d(0,0,1,-5deg);transform:translate3d(-25%,0,0) rotate3d(0,0,1,-5deg)}30%{-webkit-transform:translate3d(20%,0,0) rotate3d(0,0,1,3deg);transform:translate3d(20%,0,0) rotate3d(0,0,1,3deg)}45%{-webkit-transform:translate3d(-15%,0,0) rotate3d(0,0,1,-3deg);transform:translate3d(-15%,0,0) rotate3d(0,0,1,-3deg)}60%{-webkit-transform:translate3d(10%,0,0) rotate3d(0,0,1,2deg);transform:translate3d(10%,0,0) rotate3d(0,0,1,2deg)}75%{-webkit-transform:translate3d(-5%,0,0) rotate3d(0,0,1,-1deg);transform:translate3d(-5%,0,0) rotate3d(0,0,1,-1deg)}}@keyframes wobble{100%,from{-webkit-transform:none;transform:none}15%{-webkit-transform:translate3d(-25%,0,0) rotate3d(0,0,1,-5deg);transform:translate3d(-25%,0,0) rotate3d(0,0,1,-5deg)}30%{-webkit-transform:translate3d(20%,0,0) rotate3d(0,0,1,3deg);transform:translate3d(20%,0,0) rotate3d(0,0,1,3deg)}45%{-webkit-transform:translate3d(-15%,0,0) rotate3d(0,0,1,-3deg);transform:translate3d(-15%,0,0) rotate3d(0,0,1,-3deg)}60%{-webkit-transform:translate3d(10%,0,0) rotate3d(0,0,1,2deg);transform:translate3d(10%,0,0) rotate3d(0,0,1,2deg)}75%{-webkit-transform:translate3d(-5%,0,0) rotate3d(0,0,1,-1deg);transform:translate3d(-5%,0,0) rotate3d(0,0,1,-1deg)}}.wobble{-webkit-animation-name:wobble;animation-name:wobble}@-webkit-keyframes jello{100%,11.1%,from{-webkit-transform:none;transform:none}22.2%{-webkit-transform:skewX(-12.5deg) skewY(-12.5deg);transform:skewX(-12.5deg) skewY(-12.5deg)}33.3%{-webkit-transform:skewX(6.25deg) skewY(6.25deg);transform:skewX(6.25deg) skewY(6.25deg)}44.4%{-webkit-transform:skewX(-3.125deg) skewY(-3.125deg);transform:skewX(-3.125deg) skewY(-3.125deg)}55.5%{-webkit-transform:skewX(1.5625deg) skewY(1.5625deg);transform:skewX(1.5625deg) skewY(1.5625deg)}66.6%{-webkit-transform:skewX(-.78125deg) skewY(-.78125deg);transform:skewX(-.78125deg) skewY(-.78125deg)}77.7%{-webkit-transform:skewX(.390625deg) skewY(.390625deg);transform:skewX(.390625deg) skewY(.390625deg)}88.8%{-webkit-transform:skewX(-.1953125deg) skewY(-.1953125deg);transform:skewX(-.1953125deg) skewY(-.1953125deg)}}@keyframes jello{100%,11.1%,from{-webkit-transform:none;transform:none}22.2%{-webkit-transform:skewX(-12.5deg) skewY(-12.5deg);transform:skewX(-12.5deg) skewY(-12.5deg)}33.3%{-webkit-transform:skewX(6.25deg) skewY(6.25deg);transform:skewX(6.25deg) skewY(6.25deg)}44.4%{-webkit-transform:skewX(-3.125deg) skewY(-3.125deg);transform:skewX(-3.125deg) skewY(-3.125deg)}55.5%{-webkit-transform:skewX(1.5625deg) skewY(1.5625deg);transform:skewX(1.5625deg) skewY(1.5625deg)}66.6%{-webkit-transform:skewX(-.78125deg) skewY(-.78125deg);transform:skewX(-.78125deg) skewY(-.78125deg)}77.7%{-webkit-transform:skewX(.390625deg) skewY(.390625deg);transform:skewX(.390625deg) skewY(.390625deg)}88.8%{-webkit-transform:skewX(-.1953125deg) skewY(-.1953125deg);transform:skewX(-.1953125deg) skewY(-.1953125deg)}}.jello{-webkit-animation-name:jello;animation-name:jello;-webkit-transform-origin:center;transform-origin:center}@-webkit-keyframes bounceIn{100%,20%,40%,60%,80%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}0%{opacity:0;-webkit-transform:scale3d(.3,.3,.3);transform:scale3d(.3,.3,.3)}20%{-webkit-transform:scale3d(1.1,1.1,1.1);transform:scale3d(1.1,1.1,1.1)}40%{-webkit-transform:scale3d(.9,.9,.9);transform:scale3d(.9,.9,.9)}60%{opacity:1;-webkit-transform:scale3d(1.03,1.03,1.03);transform:scale3d(1.03,1.03,1.03)}80%{-webkit-transform:scale3d(.97,.97,.97);transform:scale3d(.97,.97,.97)}100%{opacity:1;-webkit-transform:scale3d(1,1,1);transform:scale3d(1,1,1)}}@keyframes bounceIn{100%,20%,40%,60%,80%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}0%{opacity:0;-webkit-transform:scale3d(.3,.3,.3);transform:scale3d(.3,.3,.3)}20%{-webkit-transform:scale3d(1.1,1.1,1.1);transform:scale3d(1.1,1.1,1.1)}40%{-webkit-transform:scale3d(.9,.9,.9);transform:scale3d(.9,.9,.9)}60%{opacity:1;-webkit-transform:scale3d(1.03,1.03,1.03);transform:scale3d(1.03,1.03,1.03)}80%{-webkit-transform:scale3d(.97,.97,.97);transform:scale3d(.97,.97,.97)}100%{opacity:1;-webkit-transform:scale3d(1,1,1);transform:scale3d(1,1,1)}}.bounceIn{-webkit-animation-name:bounceIn;animation-name:bounceIn}@-webkit-keyframes bounceInDown{100%,60%,75%,90%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}0%{opacity:0;-webkit-transform:translate3d(0,-3000px,0);transform:translate3d(0,-3000px,0)}60%{opacity:1;-webkit-transform:translate3d(0,25px,0);transform:translate3d(0,25px,0)}75%{-webkit-transform:translate3d(0,-10px,0);transform:translate3d(0,-10px,0)}90%{-webkit-transform:translate3d(0,5px,0);transform:translate3d(0,5px,0)}100%{-webkit-transform:none;transform:none}}@keyframes bounceInDown{100%,60%,75%,90%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}0%{opacity:0;-webkit-transform:translate3d(0,-3000px,0);transform:translate3d(0,-3000px,0)}60%{opacity:1;-webkit-transform:translate3d(0,25px,0);transform:translate3d(0,25px,0)}75%{-webkit-transform:translate3d(0,-10px,0);transform:translate3d(0,-10px,0)}90%{-webkit-transform:translate3d(0,5px,0);transform:translate3d(0,5px,0)}100%{-webkit-transform:none;transform:none}}.bounceInDown{-webkit-animation-name:bounceInDown;animation-name:bounceInDown}@-webkit-keyframes bounceInLeft{100%,60%,75%,90%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}0%{opacity:0;-webkit-transform:translate3d(-3000px,0,0);transform:translate3d(-3000px,0,0)}60%{opacity:1;-webkit-transform:translate3d(25px,0,0);transform:translate3d(25px,0,0)}75%{-webkit-transform:translate3d(-10px,0,0);transform:translate3d(-10px,0,0)}90%{-webkit-transform:translate3d(5px,0,0);transform:translate3d(5px,0,0)}100%{-webkit-transform:none;transform:none}}@keyframes bounceInLeft{100%,60%,75%,90%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}0%{opacity:0;-webkit-transform:translate3d(-3000px,0,0);transform:translate3d(-3000px,0,0)}60%{opacity:1;-webkit-transform:translate3d(25px,0,0);transform:translate3d(25px,0,0)}75%{-webkit-transform:translate3d(-10px,0,0);transform:translate3d(-10px,0,0)}90%{-webkit-transform:translate3d(5px,0,0);transform:translate3d(5px,0,0)}100%{-webkit-transform:none;transform:none}}.bounceInLeft{-webkit-animation-name:bounceInLeft;animation-name:bounceInLeft}@-webkit-keyframes bounceInRight{100%,60%,75%,90%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}from{opacity:0;-webkit-transform:translate3d(3000px,0,0);transform:translate3d(3000px,0,0)}60%{opacity:1;-webkit-transform:translate3d(-25px,0,0);transform:translate3d(-25px,0,0)}75%{-webkit-transform:translate3d(10px,0,0);transform:translate3d(10px,0,0)}90%{-webkit-transform:translate3d(-5px,0,0);transform:translate3d(-5px,0,0)}100%{-webkit-transform:none;transform:none}}@keyframes bounceInRight{100%,60%,75%,90%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}from{opacity:0;-webkit-transform:translate3d(3000px,0,0);transform:translate3d(3000px,0,0)}60%{opacity:1;-webkit-transform:translate3d(-25px,0,0);transform:translate3d(-25px,0,0)}75%{-webkit-transform:translate3d(10px,0,0);transform:translate3d(10px,0,0)}90%{-webkit-transform:translate3d(-5px,0,0);transform:translate3d(-5px,0,0)}100%{-webkit-transform:none;transform:none}}.bounceInRight{-webkit-animation-name:bounceInRight;animation-name:bounceInRight}@-webkit-keyframes bounceInUp{100%,60%,75%,90%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}from{opacity:0;-webkit-transform:translate3d(0,3000px,0);transform:translate3d(0,3000px,0)}60%{opacity:1;-webkit-transform:translate3d(0,-20px,0);transform:translate3d(0,-20px,0)}75%{-webkit-transform:translate3d(0,10px,0);transform:translate3d(0,10px,0)}90%{-webkit-transform:translate3d(0,-5px,0);transform:translate3d(0,-5px,0)}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}@keyframes bounceInUp{100%,60%,75%,90%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}from{opacity:0;-webkit-transform:translate3d(0,3000px,0);transform:translate3d(0,3000px,0)}60%{opacity:1;-webkit-transform:translate3d(0,-20px,0);transform:translate3d(0,-20px,0)}75%{-webkit-transform:translate3d(0,10px,0);transform:translate3d(0,10px,0)}90%{-webkit-transform:translate3d(0,-5px,0);transform:translate3d(0,-5px,0)}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}.bounceInUp{-webkit-animation-name:bounceInUp;animation-name:bounceInUp}@-webkit-keyframes bounceOut{20%{-webkit-transform:scale3d(.9,.9,.9);transform:scale3d(.9,.9,.9)}50%,55%{opacity:1;-webkit-transform:scale3d(1.1,1.1,1.1);transform:scale3d(1.1,1.1,1.1)}100%{opacity:0;-webkit-transform:scale3d(.3,.3,.3);transform:scale3d(.3,.3,.3)}}@keyframes bounceOut{20%{-webkit-transform:scale3d(.9,.9,.9);transform:scale3d(.9,.9,.9)}50%,55%{opacity:1;-webkit-transform:scale3d(1.1,1.1,1.1);transform:scale3d(1.1,1.1,1.1)}100%{opacity:0;-webkit-transform:scale3d(.3,.3,.3);transform:scale3d(.3,.3,.3)}}.bounceOut{-webkit-animation-name:bounceOut;animation-name:bounceOut}@-webkit-keyframes bounceOutDown{20%{-webkit-transform:translate3d(0,10px,0);transform:translate3d(0,10px,0)}40%,45%{opacity:1;-webkit-transform:translate3d(0,-20px,0);transform:translate3d(0,-20px,0)}100%{opacity:0;-webkit-transform:translate3d(0,2000px,0);transform:translate3d(0,2000px,0)}}@keyframes bounceOutDown{20%{-webkit-transform:translate3d(0,10px,0);transform:translate3d(0,10px,0)}40%,45%{opacity:1;-webkit-transform:translate3d(0,-20px,0);transform:translate3d(0,-20px,0)}100%{opacity:0;-webkit-transform:translate3d(0,2000px,0);transform:translate3d(0,2000px,0)}}.bounceOutDown{-webkit-animation-name:bounceOutDown;animation-name:bounceOutDown}@-webkit-keyframes bounceOutLeft{20%{opacity:1;-webkit-transform:translate3d(20px,0,0);transform:translate3d(20px,0,0)}100%{opacity:0;-webkit-transform:translate3d(-2000px,0,0);transform:translate3d(-2000px,0,0)}}@keyframes bounceOutLeft{20%{opacity:1;-webkit-transform:translate3d(20px,0,0);transform:translate3d(20px,0,0)}100%{opacity:0;-webkit-transform:translate3d(-2000px,0,0);transform:translate3d(-2000px,0,0)}}.bounceOutLeft{-webkit-animation-name:bounceOutLeft;animation-name:bounceOutLeft}@-webkit-keyframes bounceOutRight{20%{opacity:1;-webkit-transform:translate3d(-20px,0,0);transform:translate3d(-20px,0,0)}100%{opacity:0;-webkit-transform:translate3d(2000px,0,0);transform:translate3d(2000px,0,0)}}@keyframes bounceOutRight{20%{opacity:1;-webkit-transform:translate3d(-20px,0,0);transform:translate3d(-20px,0,0)}100%{opacity:0;-webkit-transform:translate3d(2000px,0,0);transform:translate3d(2000px,0,0)}}.bounceOutRight{-webkit-animation-name:bounceOutRight;animation-name:bounceOutRight}@-webkit-keyframes bounceOutUp{20%{-webkit-transform:translate3d(0,-10px,0);transform:translate3d(0,-10px,0)}40%,45%{opacity:1;-webkit-transform:translate3d(0,20px,0);transform:translate3d(0,20px,0)}100%{opacity:0;-webkit-transform:translate3d(0,-2000px,0);transform:translate3d(0,-2000px,0)}}@keyframes bounceOutUp{20%{-webkit-transform:translate3d(0,-10px,0);transform:translate3d(0,-10px,0)}40%,45%{opacity:1;-webkit-transform:translate3d(0,20px,0);transform:translate3d(0,20px,0)}100%{opacity:0;-webkit-transform:translate3d(0,-2000px,0);transform:translate3d(0,-2000px,0)}}.bounceOutUp{-webkit-animation-name:bounceOutUp;animation-name:bounceOutUp}@-webkit-keyframes fadeIn{from{opacity:0}100%{opacity:1}}@keyframes fadeIn{from{opacity:0}100%{opacity:1}}.fadeIn{-webkit-animation-name:fadeIn;animation-name:fadeIn}@-webkit-keyframes fadeInDown{from{opacity:0;-webkit-transform:translate3d(0,-100%,0);transform:translate3d(0,-100%,0)}100%{opacity:1;-webkit-transform:none;transform:none}}@keyframes fadeInDown{from{opacity:0;-webkit-transform:translate3d(0,-100%,0);transform:translate3d(0,-100%,0)}100%{opacity:1;-webkit-transform:none;transform:none}}.fadeInDown{-webkit-animation-name:fadeInDown;animation-name:fadeInDown}@-webkit-keyframes fadeInDownBig{from{opacity:0;-webkit-transform:translate3d(0,-2000px,0);transform:translate3d(0,-2000px,0)}100%{opacity:1;-webkit-transform:none;transform:none}}@keyframes fadeInDownBig{from{opacity:0;-webkit-transform:translate3d(0,-2000px,0);transform:translate3d(0,-2000px,0)}100%{opacity:1;-webkit-transform:none;transform:none}}.fadeInDownBig{-webkit-animation-name:fadeInDownBig;animation-name:fadeInDownBig}@-webkit-keyframes fadeInLeft{from{opacity:0;-webkit-transform:translate3d(-100%,0,0);transform:translate3d(-100%,0,0)}100%{opacity:1;-webkit-transform:none;transform:none}}@keyframes fadeInLeft{from{opacity:0;-webkit-transform:translate3d(-100%,0,0);transform:translate3d(-100%,0,0)}100%{opacity:1;-webkit-transform:none;transform:none}}.fadeInLeft{-webkit-animation-name:fadeInLeft;animation-name:fadeInLeft}@-webkit-keyframes fadeInLeftBig{from{opacity:0;-webkit-transform:translate3d(-2000px,0,0);transform:translate3d(-2000px,0,0)}100%{opacity:1;-webkit-transform:none;transform:none}}@keyframes fadeInLeftBig{from{opacity:0;-webkit-transform:translate3d(-2000px,0,0);transform:translate3d(-2000px,0,0)}100%{opacity:1;-webkit-transform:none;transform:none}}.fadeInLeftBig{-webkit-animation-name:fadeInLeftBig;animation-name:fadeInLeftBig}@-webkit-keyframes fadeInRight{from{opacity:0;-webkit-transform:translate3d(100%,0,0);transform:translate3d(100%,0,0)}100%{opacity:1;-webkit-transform:none;transform:none}}@keyframes fadeInRight{from{opacity:0;-webkit-transform:translate3d(100%,0,0);transform:translate3d(100%,0,0)}100%{opacity:1;-webkit-transform:none;transform:none}}.fadeInRight{-webkit-animation-name:fadeInRight;animation-name:fadeInRight}@-webkit-keyframes fadeInRightBig{from{opacity:0;-webkit-transform:translate3d(2000px,0,0);transform:translate3d(2000px,0,0)}100%{opacity:1;-webkit-transform:none;transform:none}}@keyframes fadeInRightBig{from{opacity:0;-webkit-transform:translate3d(2000px,0,0);transform:translate3d(2000px,0,0)}100%{opacity:1;-webkit-transform:none;transform:none}}.fadeInRightBig{-webkit-animation-name:fadeInRightBig;animation-name:fadeInRightBig}@-webkit-keyframes fadeInUp{from{opacity:0;-webkit-transform:translate3d(0,100%,0);transform:translate3d(0,100%,0)}100%{opacity:1;-webkit-transform:none;transform:none}}@keyframes fadeInUp{from{opacity:0;-webkit-transform:translate3d(0,100%,0);transform:translate3d(0,100%,0)}100%{opacity:1;-webkit-transform:none;transform:none}}.fadeInUp{-webkit-animation-name:fadeInUp;animation-name:fadeInUp}@-webkit-keyframes fadeInUpBig{from{opacity:0;-webkit-transform:translate3d(0,2000px,0);transform:translate3d(0,2000px,0)}100%{opacity:1;-webkit-transform:none;transform:none}}@keyframes fadeInUpBig{from{opacity:0;-webkit-transform:translate3d(0,2000px,0);transform:translate3d(0,2000px,0)}100%{opacity:1;-webkit-transform:none;transform:none}}.fadeInUpBig{-webkit-animation-name:fadeInUpBig;animation-name:fadeInUpBig}@-webkit-keyframes fadeOut{from{opacity:1}100%{opacity:0}}@keyframes fadeOut{from{opacity:1}100%{opacity:0}}.fadeOut{-webkit-animation-name:fadeOut;animation-name:fadeOut}@-webkit-keyframes fadeOutDown{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(0,100%,0);transform:translate3d(0,100%,0)}}@keyframes fadeOutDown{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(0,100%,0);transform:translate3d(0,100%,0)}}.fadeOutDown{-webkit-animation-name:fadeOutDown;animation-name:fadeOutDown}@-webkit-keyframes fadeOutDownBig{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(0,2000px,0);transform:translate3d(0,2000px,0)}}@keyframes fadeOutDownBig{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(0,2000px,0);transform:translate3d(0,2000px,0)}}.fadeOutDownBig{-webkit-animation-name:fadeOutDownBig;animation-name:fadeOutDownBig}@-webkit-keyframes fadeOutLeft{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(-100%,0,0);transform:translate3d(-100%,0,0)}}@keyframes fadeOutLeft{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(-100%,0,0);transform:translate3d(-100%,0,0)}}.fadeOutLeft{-webkit-animation-name:fadeOutLeft;animation-name:fadeOutLeft}@-webkit-keyframes fadeOutLeftBig{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(-2000px,0,0);transform:translate3d(-2000px,0,0)}}@keyframes fadeOutLeftBig{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(-2000px,0,0);transform:translate3d(-2000px,0,0)}}.fadeOutLeftBig{-webkit-animation-name:fadeOutLeftBig;animation-name:fadeOutLeftBig}@-webkit-keyframes fadeOutRight{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(100%,0,0);transform:translate3d(100%,0,0)}}@keyframes fadeOutRight{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(100%,0,0);transform:translate3d(100%,0,0)}}.fadeOutRight{-webkit-animation-name:fadeOutRight;animation-name:fadeOutRight}@-webkit-keyframes fadeOutRightBig{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(2000px,0,0);transform:translate3d(2000px,0,0)}}@keyframes fadeOutRightBig{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(2000px,0,0);transform:translate3d(2000px,0,0)}}.fadeOutRightBig{-webkit-animation-name:fadeOutRightBig;animation-name:fadeOutRightBig}@-webkit-keyframes fadeOutUp{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(0,-100%,0);transform:translate3d(0,-100%,0)}}@keyframes fadeOutUp{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(0,-100%,0);transform:translate3d(0,-100%,0)}}.fadeOutUp{-webkit-animation-name:fadeOutUp;animation-name:fadeOutUp}@-webkit-keyframes fadeOutUpBig{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(0,-2000px,0);transform:translate3d(0,-2000px,0)}}@keyframes fadeOutUpBig{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(0,-2000px,0);transform:translate3d(0,-2000px,0)}}.fadeOutUpBig{-webkit-animation-name:fadeOutUpBig;animation-name:fadeOutUpBig}@-webkit-keyframes flip{from{-webkit-transform:perspective(400px) rotate3d(0,1,0,-360deg);transform:perspective(400px) rotate3d(0,1,0,-360deg);-webkit-animation-timing-function:ease-out;animation-timing-function:ease-out}40%{-webkit-transform:perspective(400px) translate3d(0,0,150px) rotate3d(0,1,0,-190deg);transform:perspective(400px) translate3d(0,0,150px) rotate3d(0,1,0,-190deg);-webkit-animation-timing-function:ease-out;animation-timing-function:ease-out}50%{-webkit-transform:perspective(400px) translate3d(0,0,150px) rotate3d(0,1,0,-170deg);transform:perspective(400px) translate3d(0,0,150px) rotate3d(0,1,0,-170deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}80%{-webkit-transform:perspective(400px) scale3d(.95,.95,.95);transform:perspective(400px) scale3d(.95,.95,.95);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}100%{-webkit-transform:perspective(400px);transform:perspective(400px);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}}@keyframes flip{from{-webkit-transform:perspective(400px) rotate3d(0,1,0,-360deg);transform:perspective(400px) rotate3d(0,1,0,-360deg);-webkit-animation-timing-function:ease-out;animation-timing-function:ease-out}40%{-webkit-transform:perspective(400px) translate3d(0,0,150px) rotate3d(0,1,0,-190deg);transform:perspective(400px) translate3d(0,0,150px) rotate3d(0,1,0,-190deg);-webkit-animation-timing-function:ease-out;animation-timing-function:ease-out}50%{-webkit-transform:perspective(400px) translate3d(0,0,150px) rotate3d(0,1,0,-170deg);transform:perspective(400px) translate3d(0,0,150px) rotate3d(0,1,0,-170deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}80%{-webkit-transform:perspective(400px) scale3d(.95,.95,.95);transform:perspective(400px) scale3d(.95,.95,.95);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}100%{-webkit-transform:perspective(400px);transform:perspective(400px);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}}.animated.flip{-webkit-backface-visibility:visible;backface-visibility:visible;-webkit-animation-name:flip;animation-name:flip}@-webkit-keyframes flipInX{from{-webkit-transform:perspective(400px) rotate3d(1,0,0,90deg);transform:perspective(400px) rotate3d(1,0,0,90deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in;opacity:0}40%{-webkit-transform:perspective(400px) rotate3d(1,0,0,-20deg);transform:perspective(400px) rotate3d(1,0,0,-20deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}60%{-webkit-transform:perspective(400px) rotate3d(1,0,0,10deg);transform:perspective(400px) rotate3d(1,0,0,10deg);opacity:1}80%{-webkit-transform:perspective(400px) rotate3d(1,0,0,-5deg);transform:perspective(400px) rotate3d(1,0,0,-5deg)}100%{-webkit-transform:perspective(400px);transform:perspective(400px)}}@keyframes flipInX{from{-webkit-transform:perspective(400px) rotate3d(1,0,0,90deg);transform:perspective(400px) rotate3d(1,0,0,90deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in;opacity:0}40%{-webkit-transform:perspective(400px) rotate3d(1,0,0,-20deg);transform:perspective(400px) rotate3d(1,0,0,-20deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}60%{-webkit-transform:perspective(400px) rotate3d(1,0,0,10deg);transform:perspective(400px) rotate3d(1,0,0,10deg);opacity:1}80%{-webkit-transform:perspective(400px) rotate3d(1,0,0,-5deg);transform:perspective(400px) rotate3d(1,0,0,-5deg)}100%{-webkit-transform:perspective(400px);transform:perspective(400px)}}.flipInX{-webkit-backface-visibility:visible!important;backface-visibility:visible!important;-webkit-animation-name:flipInX;animation-name:flipInX}.flipInY,.flipOutX{-webkit-backface-visibility:visible!important}@-webkit-keyframes flipInY{from{-webkit-transform:perspective(400px) rotate3d(0,1,0,90deg);transform:perspective(400px) rotate3d(0,1,0,90deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in;opacity:0}40%{-webkit-transform:perspective(400px) rotate3d(0,1,0,-20deg);transform:perspective(400px) rotate3d(0,1,0,-20deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}60%{-webkit-transform:perspective(400px) rotate3d(0,1,0,10deg);transform:perspective(400px) rotate3d(0,1,0,10deg);opacity:1}80%{-webkit-transform:perspective(400px) rotate3d(0,1,0,-5deg);transform:perspective(400px) rotate3d(0,1,0,-5deg)}100%{-webkit-transform:perspective(400px);transform:perspective(400px)}}@keyframes flipInY{from{-webkit-transform:perspective(400px) rotate3d(0,1,0,90deg);transform:perspective(400px) rotate3d(0,1,0,90deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in;opacity:0}40%{-webkit-transform:perspective(400px) rotate3d(0,1,0,-20deg);transform:perspective(400px) rotate3d(0,1,0,-20deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}60%{-webkit-transform:perspective(400px) rotate3d(0,1,0,10deg);transform:perspective(400px) rotate3d(0,1,0,10deg);opacity:1}80%{-webkit-transform:perspective(400px) rotate3d(0,1,0,-5deg);transform:perspective(400px) rotate3d(0,1,0,-5deg)}100%{-webkit-transform:perspective(400px);transform:perspective(400px)}}.flipInY{backface-visibility:visible!important;-webkit-animation-name:flipInY;animation-name:flipInY}@-webkit-keyframes flipOutX{from{-webkit-transform:perspective(400px);transform:perspective(400px)}30%{-webkit-transform:perspective(400px) rotate3d(1,0,0,-20deg);transform:perspective(400px) rotate3d(1,0,0,-20deg);opacity:1}100%{-webkit-transform:perspective(400px) rotate3d(1,0,0,90deg);transform:perspective(400px) rotate3d(1,0,0,90deg);opacity:0}}@keyframes flipOutX{from{-webkit-transform:perspective(400px);transform:perspective(400px)}30%{-webkit-transform:perspective(400px) rotate3d(1,0,0,-20deg);transform:perspective(400px) rotate3d(1,0,0,-20deg);opacity:1}100%{-webkit-transform:perspective(400px) rotate3d(1,0,0,90deg);transform:perspective(400px) rotate3d(1,0,0,90deg);opacity:0}}.flipOutX{-webkit-animation-name:flipOutX;animation-name:flipOutX;backface-visibility:visible!important}@-webkit-keyframes flipOutY{from{-webkit-transform:perspective(400px);transform:perspective(400px)}30%{-webkit-transform:perspective(400px) rotate3d(0,1,0,-15deg);transform:perspective(400px) rotate3d(0,1,0,-15deg);opacity:1}100%{-webkit-transform:perspective(400px) rotate3d(0,1,0,90deg);transform:perspective(400px) rotate3d(0,1,0,90deg);opacity:0}}@keyframes flipOutY{from{-webkit-transform:perspective(400px);transform:perspective(400px)}30%{-webkit-transform:perspective(400px) rotate3d(0,1,0,-15deg);transform:perspective(400px) rotate3d(0,1,0,-15deg);opacity:1}100%{-webkit-transform:perspective(400px) rotate3d(0,1,0,90deg);transform:perspective(400px) rotate3d(0,1,0,90deg);opacity:0}}.flipOutY{-webkit-backface-visibility:visible!important;backface-visibility:visible!important;-webkit-animation-name:flipOutY;animation-name:flipOutY}@-webkit-keyframes lightSpeedIn{from{-webkit-transform:translate3d(100%,0,0) skewX(-30deg);transform:translate3d(100%,0,0) skewX(-30deg);opacity:0}60%{-webkit-transform:skewX(20deg);transform:skewX(20deg);opacity:1}80%{-webkit-transform:skewX(-5deg);transform:skewX(-5deg);opacity:1}100%{-webkit-transform:none;transform:none;opacity:1}}@keyframes lightSpeedIn{from{-webkit-transform:translate3d(100%,0,0) skewX(-30deg);transform:translate3d(100%,0,0) skewX(-30deg);opacity:0}60%{-webkit-transform:skewX(20deg);transform:skewX(20deg);opacity:1}80%{-webkit-transform:skewX(-5deg);transform:skewX(-5deg);opacity:1}100%{-webkit-transform:none;transform:none;opacity:1}}.lightSpeedIn{-webkit-animation-name:lightSpeedIn;animation-name:lightSpeedIn;-webkit-animation-timing-function:ease-out;animation-timing-function:ease-out}@-webkit-keyframes lightSpeedOut{from{opacity:1}100%{-webkit-transform:translate3d(100%,0,0) skewX(30deg);transform:translate3d(100%,0,0) skewX(30deg);opacity:0}}@keyframes lightSpeedOut{from{opacity:1}100%{-webkit-transform:translate3d(100%,0,0) skewX(30deg);transform:translate3d(100%,0,0) skewX(30deg);opacity:0}}.lightSpeedOut{-webkit-animation-name:lightSpeedOut;animation-name:lightSpeedOut;-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}@-webkit-keyframes rotateIn{from{-webkit-transform-origin:center;transform-origin:center;-webkit-transform:rotate3d(0,0,1,-200deg);transform:rotate3d(0,0,1,-200deg);opacity:0}100%{-webkit-transform-origin:center;transform-origin:center;-webkit-transform:none;transform:none;opacity:1}}@keyframes rotateIn{from{-webkit-transform-origin:center;transform-origin:center;-webkit-transform:rotate3d(0,0,1,-200deg);transform:rotate3d(0,0,1,-200deg);opacity:0}100%{-webkit-transform-origin:center;transform-origin:center;-webkit-transform:none;transform:none;opacity:1}}.rotateIn{-webkit-animation-name:rotateIn;animation-name:rotateIn}@-webkit-keyframes rotateInDownLeft{from{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:rotate3d(0,0,1,-45deg);transform:rotate3d(0,0,1,-45deg);opacity:0}100%{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:none;transform:none;opacity:1}}@keyframes rotateInDownLeft{from{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:rotate3d(0,0,1,-45deg);transform:rotate3d(0,0,1,-45deg);opacity:0}100%{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:none;transform:none;opacity:1}}.rotateInDownLeft{-webkit-animation-name:rotateInDownLeft;animation-name:rotateInDownLeft}@-webkit-keyframes rotateInDownRight{from{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:rotate3d(0,0,1,45deg);transform:rotate3d(0,0,1,45deg);opacity:0}100%{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:none;transform:none;opacity:1}}@keyframes rotateInDownRight{from{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:rotate3d(0,0,1,45deg);transform:rotate3d(0,0,1,45deg);opacity:0}100%{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:none;transform:none;opacity:1}}.rotateInDownRight{-webkit-animation-name:rotateInDownRight;animation-name:rotateInDownRight}@-webkit-keyframes rotateInUpLeft{from{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:rotate3d(0,0,1,45deg);transform:rotate3d(0,0,1,45deg);opacity:0}100%{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:none;transform:none;opacity:1}}@keyframes rotateInUpLeft{from{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:rotate3d(0,0,1,45deg);transform:rotate3d(0,0,1,45deg);opacity:0}100%{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:none;transform:none;opacity:1}}.rotateInUpLeft{-webkit-animation-name:rotateInUpLeft;animation-name:rotateInUpLeft}@-webkit-keyframes rotateInUpRight{from{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:rotate3d(0,0,1,-90deg);transform:rotate3d(0,0,1,-90deg);opacity:0}100%{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:none;transform:none;opacity:1}}@keyframes rotateInUpRight{from{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:rotate3d(0,0,1,-90deg);transform:rotate3d(0,0,1,-90deg);opacity:0}100%{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:none;transform:none;opacity:1}}.rotateInUpRight{-webkit-animation-name:rotateInUpRight;animation-name:rotateInUpRight}@-webkit-keyframes rotateOut{from{-webkit-transform-origin:center;transform-origin:center;opacity:1}100%{-webkit-transform-origin:center;transform-origin:center;-webkit-transform:rotate3d(0,0,1,200deg);transform:rotate3d(0,0,1,200deg);opacity:0}}@keyframes rotateOut{from{-webkit-transform-origin:center;transform-origin:center;opacity:1}100%{-webkit-transform-origin:center;transform-origin:center;-webkit-transform:rotate3d(0,0,1,200deg);transform:rotate3d(0,0,1,200deg);opacity:0}}.rotateOut{-webkit-animation-name:rotateOut;animation-name:rotateOut}@-webkit-keyframes rotateOutDownLeft{from{-webkit-transform-origin:left bottom;transform-origin:left bottom;opacity:1}100%{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:rotate3d(0,0,1,45deg);transform:rotate3d(0,0,1,45deg);opacity:0}}@keyframes rotateOutDownLeft{from{-webkit-transform-origin:left bottom;transform-origin:left bottom;opacity:1}100%{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:rotate3d(0,0,1,45deg);transform:rotate3d(0,0,1,45deg);opacity:0}}.rotateOutDownLeft{-webkit-animation-name:rotateOutDownLeft;animation-name:rotateOutDownLeft}@-webkit-keyframes rotateOutDownRight{from{-webkit-transform-origin:right bottom;transform-origin:right bottom;opacity:1}100%{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:rotate3d(0,0,1,-45deg);transform:rotate3d(0,0,1,-45deg);opacity:0}}@keyframes rotateOutDownRight{from{-webkit-transform-origin:right bottom;transform-origin:right bottom;opacity:1}100%{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:rotate3d(0,0,1,-45deg);transform:rotate3d(0,0,1,-45deg);opacity:0}}.rotateOutDownRight{-webkit-animation-name:rotateOutDownRight;animation-name:rotateOutDownRight}@-webkit-keyframes rotateOutUpLeft{from{-webkit-transform-origin:left bottom;transform-origin:left bottom;opacity:1}100%{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:rotate3d(0,0,1,-45deg);transform:rotate3d(0,0,1,-45deg);opacity:0}}@keyframes rotateOutUpLeft{from{-webkit-transform-origin:left bottom;transform-origin:left bottom;opacity:1}100%{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:rotate3d(0,0,1,-45deg);transform:rotate3d(0,0,1,-45deg);opacity:0}}.rotateOutUpLeft{-webkit-animation-name:rotateOutUpLeft;animation-name:rotateOutUpLeft}@-webkit-keyframes rotateOutUpRight{from{-webkit-transform-origin:right bottom;transform-origin:right bottom;opacity:1}100%{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:rotate3d(0,0,1,90deg);transform:rotate3d(0,0,1,90deg);opacity:0}}@keyframes rotateOutUpRight{from{-webkit-transform-origin:right bottom;transform-origin:right bottom;opacity:1}100%{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:rotate3d(0,0,1,90deg);transform:rotate3d(0,0,1,90deg);opacity:0}}.rotateOutUpRight{-webkit-animation-name:rotateOutUpRight;animation-name:rotateOutUpRight}@-webkit-keyframes hinge{0%{-webkit-transform-origin:top left;transform-origin:top left;-webkit-animation-timing-function:ease-in-out;animation-timing-function:ease-in-out}20%,60%{-webkit-transform:rotate3d(0,0,1,80deg);transform:rotate3d(0,0,1,80deg);-webkit-transform-origin:top left;transform-origin:top left;-webkit-animation-timing-function:ease-in-out;animation-timing-function:ease-in-out}40%,80%{-webkit-transform:rotate3d(0,0,1,60deg);transform:rotate3d(0,0,1,60deg);-webkit-transform-origin:top left;transform-origin:top left;-webkit-animation-timing-function:ease-in-out;animation-timing-function:ease-in-out;opacity:1}100%{-webkit-transform:translate3d(0,700px,0);transform:translate3d(0,700px,0);opacity:0}}@keyframes hinge{0%{-webkit-transform-origin:top left;transform-origin:top left;-webkit-animation-timing-function:ease-in-out;animation-timing-function:ease-in-out}20%,60%{-webkit-transform:rotate3d(0,0,1,80deg);transform:rotate3d(0,0,1,80deg);-webkit-transform-origin:top left;transform-origin:top left;-webkit-animation-timing-function:ease-in-out;animation-timing-function:ease-in-out}40%,80%{-webkit-transform:rotate3d(0,0,1,60deg);transform:rotate3d(0,0,1,60deg);-webkit-transform-origin:top left;transform-origin:top left;-webkit-animation-timing-function:ease-in-out;animation-timing-function:ease-in-out;opacity:1}100%{-webkit-transform:translate3d(0,700px,0);transform:translate3d(0,700px,0);opacity:0}}.hinge{-webkit-animation-name:hinge;animation-name:hinge}@-webkit-keyframes rollIn{from{opacity:0;-webkit-transform:translate3d(-100%,0,0) rotate3d(0,0,1,-120deg);transform:translate3d(-100%,0,0) rotate3d(0,0,1,-120deg)}100%{opacity:1;-webkit-transform:none;transform:none}}@keyframes rollIn{from{opacity:0;-webkit-transform:translate3d(-100%,0,0) rotate3d(0,0,1,-120deg);transform:translate3d(-100%,0,0) rotate3d(0,0,1,-120deg)}100%{opacity:1;-webkit-transform:none;transform:none}}.rollIn{-webkit-animation-name:rollIn;animation-name:rollIn}@-webkit-keyframes rollOut{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(100%,0,0) rotate3d(0,0,1,120deg);transform:translate3d(100%,0,0) rotate3d(0,0,1,120deg)}}@keyframes rollOut{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(100%,0,0) rotate3d(0,0,1,120deg);transform:translate3d(100%,0,0) rotate3d(0,0,1,120deg)}}.rollOut{-webkit-animation-name:rollOut;animation-name:rollOut}@-webkit-keyframes zoomIn{from{opacity:0;-webkit-transform:scale3d(.3,.3,.3);transform:scale3d(.3,.3,.3)}50%{opacity:1}}@keyframes zoomIn{from{opacity:0;-webkit-transform:scale3d(.3,.3,.3);transform:scale3d(.3,.3,.3)}50%{opacity:1}}.zoomIn{-webkit-animation-name:zoomIn;animation-name:zoomIn}@-webkit-keyframes zoomInDown{from{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(0,-1000px,0);transform:scale3d(.1,.1,.1) translate3d(0,-1000px,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}60%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(0,60px,0);transform:scale3d(.475,.475,.475) translate3d(0,60px,0);-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}@keyframes zoomInDown{from{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(0,-1000px,0);transform:scale3d(.1,.1,.1) translate3d(0,-1000px,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}60%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(0,60px,0);transform:scale3d(.475,.475,.475) translate3d(0,60px,0);-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}.zoomInDown{-webkit-animation-name:zoomInDown;animation-name:zoomInDown}@-webkit-keyframes zoomInLeft{from{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(-1000px,0,0);transform:scale3d(.1,.1,.1) translate3d(-1000px,0,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}60%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(10px,0,0);transform:scale3d(.475,.475,.475) translate3d(10px,0,0);-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}@keyframes zoomInLeft{from{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(-1000px,0,0);transform:scale3d(.1,.1,.1) translate3d(-1000px,0,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}60%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(10px,0,0);transform:scale3d(.475,.475,.475) translate3d(10px,0,0);-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}.zoomInLeft{-webkit-animation-name:zoomInLeft;animation-name:zoomInLeft}@-webkit-keyframes zoomInRight{from{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(1000px,0,0);transform:scale3d(.1,.1,.1) translate3d(1000px,0,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}60%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(-10px,0,0);transform:scale3d(.475,.475,.475) translate3d(-10px,0,0);-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}@keyframes zoomInRight{from{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(1000px,0,0);transform:scale3d(.1,.1,.1) translate3d(1000px,0,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}60%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(-10px,0,0);transform:scale3d(.475,.475,.475) translate3d(-10px,0,0);-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}.zoomInRight{-webkit-animation-name:zoomInRight;animation-name:zoomInRight}@-webkit-keyframes zoomInUp{from{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(0,1000px,0);transform:scale3d(.1,.1,.1) translate3d(0,1000px,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}60%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(0,-60px,0);transform:scale3d(.475,.475,.475) translate3d(0,-60px,0);-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}@keyframes zoomInUp{from{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(0,1000px,0);transform:scale3d(.1,.1,.1) translate3d(0,1000px,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}60%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(0,-60px,0);transform:scale3d(.475,.475,.475) translate3d(0,-60px,0);-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}.zoomInUp{-webkit-animation-name:zoomInUp;animation-name:zoomInUp}@-webkit-keyframes zoomOut{from{opacity:1}50%{opacity:0;-webkit-transform:scale3d(.3,.3,.3);transform:scale3d(.3,.3,.3)}100%{opacity:0}}@keyframes zoomOut{from{opacity:1}50%{opacity:0;-webkit-transform:scale3d(.3,.3,.3);transform:scale3d(.3,.3,.3)}100%{opacity:0}}.zoomOut{-webkit-animation-name:zoomOut;animation-name:zoomOut}@-webkit-keyframes zoomOutDown{40%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(0,-60px,0);transform:scale3d(.475,.475,.475) translate3d(0,-60px,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}100%{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(0,2000px,0);transform:scale3d(.1,.1,.1) translate3d(0,2000px,0);-webkit-transform-origin:center bottom;transform-origin:center bottom;-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}@keyframes zoomOutDown{40%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(0,-60px,0);transform:scale3d(.475,.475,.475) translate3d(0,-60px,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}100%{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(0,2000px,0);transform:scale3d(.1,.1,.1) translate3d(0,2000px,0);-webkit-transform-origin:center bottom;transform-origin:center bottom;-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}.zoomOutDown{-webkit-animation-name:zoomOutDown;animation-name:zoomOutDown}@-webkit-keyframes zoomOutLeft{40%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(42px,0,0);transform:scale3d(.475,.475,.475) translate3d(42px,0,0)}100%{opacity:0;-webkit-transform:scale(.1) translate3d(-2000px,0,0);transform:scale(.1) translate3d(-2000px,0,0);-webkit-transform-origin:left center;transform-origin:left center}}@keyframes zoomOutLeft{40%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(42px,0,0);transform:scale3d(.475,.475,.475) translate3d(42px,0,0)}100%{opacity:0;-webkit-transform:scale(.1) translate3d(-2000px,0,0);transform:scale(.1) translate3d(-2000px,0,0);-webkit-transform-origin:left center;transform-origin:left center}}.zoomOutLeft{-webkit-animation-name:zoomOutLeft;animation-name:zoomOutLeft}@-webkit-keyframes zoomOutRight{40%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(-42px,0,0);transform:scale3d(.475,.475,.475) translate3d(-42px,0,0)}100%{opacity:0;-webkit-transform:scale(.1) translate3d(2000px,0,0);transform:scale(.1) translate3d(2000px,0,0);-webkit-transform-origin:right center;transform-origin:right center}}@keyframes zoomOutRight{40%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(-42px,0,0);transform:scale3d(.475,.475,.475) translate3d(-42px,0,0)}100%{opacity:0;-webkit-transform:scale(.1) translate3d(2000px,0,0);transform:scale(.1) translate3d(2000px,0,0);-webkit-transform-origin:right center;transform-origin:right center}}.zoomOutRight{-webkit-animation-name:zoomOutRight;animation-name:zoomOutRight}@-webkit-keyframes zoomOutUp{40%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(0,60px,0);transform:scale3d(.475,.475,.475) translate3d(0,60px,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}100%{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(0,-2000px,0);transform:scale3d(.1,.1,.1) translate3d(0,-2000px,0);-webkit-transform-origin:center bottom;transform-origin:center bottom;-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}@keyframes zoomOutUp{40%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(0,60px,0);transform:scale3d(.475,.475,.475) translate3d(0,60px,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}100%{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(0,-2000px,0);transform:scale3d(.1,.1,.1) translate3d(0,-2000px,0);-webkit-transform-origin:center bottom;transform-origin:center bottom;-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}.zoomOutUp{-webkit-animation-name:zoomOutUp;animation-name:zoomOutUp}@-webkit-keyframes slideInDown{from{-webkit-transform:translate3d(0,-100%,0);transform:translate3d(0,-100%,0);visibility:visible}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}@keyframes slideInDown{from{-webkit-transform:translate3d(0,-100%,0);transform:translate3d(0,-100%,0);visibility:visible}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}.slideInDown{-webkit-animation-name:slideInDown;animation-name:slideInDown}@-webkit-keyframes slideInLeft{from{-webkit-transform:translate3d(-100%,0,0);transform:translate3d(-100%,0,0);visibility:visible}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}@keyframes slideInLeft{from{-webkit-transform:translate3d(-100%,0,0);transform:translate3d(-100%,0,0);visibility:visible}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}.slideInLeft{-webkit-animation-name:slideInLeft;animation-name:slideInLeft}@-webkit-keyframes slideInRight{from{-webkit-transform:translate3d(100%,0,0);transform:translate3d(100%,0,0);visibility:visible}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}@keyframes slideInRight{from{-webkit-transform:translate3d(100%,0,0);transform:translate3d(100%,0,0);visibility:visible}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}.slideInRight{-webkit-animation-name:slideInRight;animation-name:slideInRight}@-webkit-keyframes slideInUp{from{-webkit-transform:translate3d(0,100%,0);transform:translate3d(0,100%,0);visibility:visible}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}@keyframes slideInUp{from{-webkit-transform:translate3d(0,100%,0);transform:translate3d(0,100%,0);visibility:visible}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}.slideInUp{-webkit-animation-name:slideInUp;animation-name:slideInUp}@-webkit-keyframes slideOutDown{from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}100%{visibility:hidden;-webkit-transform:translate3d(0,100%,0);transform:translate3d(0,100%,0)}}@keyframes slideOutDown{from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}100%{visibility:hidden;-webkit-transform:translate3d(0,100%,0);transform:translate3d(0,100%,0)}}.slideOutDown{-webkit-animation-name:slideOutDown;animation-name:slideOutDown}@-webkit-keyframes slideOutLeft{from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}100%{visibility:hidden;-webkit-transform:translate3d(-100%,0,0);transform:translate3d(-100%,0,0)}}@keyframes slideOutLeft{from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}100%{visibility:hidden;-webkit-transform:translate3d(-100%,0,0);transform:translate3d(-100%,0,0)}}.slideOutLeft{-webkit-animation-name:slideOutLeft;animation-name:slideOutLeft}@-webkit-keyframes slideOutRight{from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}100%{visibility:hidden;-webkit-transform:translate3d(100%,0,0);transform:translate3d(100%,0,0)}}@keyframes slideOutRight{from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}100%{visibility:hidden;-webkit-transform:translate3d(100%,0,0);transform:translate3d(100%,0,0)}}.slideOutRight{-webkit-animation-name:slideOutRight;animation-name:slideOutRight}@-webkit-keyframes slideOutUp{from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}100%{visibility:hidden;-webkit-transform:translate3d(0,-100%,0);transform:translate3d(0,-100%,0)}}@keyframes slideOutUp{from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}100%{visibility:hidden;-webkit-transform:translate3d(0,-100%,0);transform:translate3d(0,-100%,0)}}.slideOutUp{-webkit-animation-name:slideOutUp;animation-name:slideOutUp}/*! + * Bootstrap-select v1.7.7 (http://silviomoreto.github.io/bootstrap-select) + * + * Copyright 2013-2015 bootstrap-select + * Licensed under MIT (https://github.com/silviomoreto/bootstrap-select/blob/master/LICENSE) + */.bootstrap-select{width:220px\9}.bootstrap-select>.dropdown-toggle{width:100%;padding-right:25px}.error .bootstrap-select .dropdown-toggle,.has-error .bootstrap-select .dropdown-toggle{border-color:#b94a48}.bootstrap-select.fit-width{width:auto!important}.bootstrap-select:not([class*=col-]):not([class*=form-control]):not(.input-group-btn){width:220px}.bootstrap-select.btn-group[class*=col-] .dropdown-toggle,.bootstrap-select.form-control:not([class*=col-]),.form-inline .bootstrap-select.btn-group .form-control{width:100%}.bootstrap-select .dropdown-toggle:focus{outline:#333 dotted thin!important;outline:-webkit-focus-ring-color auto 5px!important;outline-offset:-2px}.bootstrap-select.form-control{margin-bottom:0;padding:0;border:none}.bootstrap-select.form-control.input-group-btn{z-index:auto}.bootstrap-select.btn-group:not(.input-group-btn),.bootstrap-select.btn-group[class*=col-]{float:none;display:inline-block;margin-left:0}.bootstrap-select.btn-group.dropdown-menu-right,.bootstrap-select.btn-group[class*=col-].dropdown-menu-right,.row .bootstrap-select.btn-group[class*=col-].dropdown-menu-right{float:right}.form-group-lg .bootstrap-select.btn-group.form-control,.form-group-sm .bootstrap-select.btn-group.form-control{padding:0}.bootstrap-select.btn-group.disabled:focus,.bootstrap-select.btn-group>.disabled:focus{outline:0!important}.bootstrap-select.btn-group.bs-container{position:absolute}.bootstrap-select.btn-group.bs-container .dropdown-menu{z-index:1060}.bootstrap-select.btn-group .dropdown-toggle .filter-option{display:inline-block;overflow:hidden;width:100%;text-align:left}.bootstrap-select.btn-group .dropdown-toggle .caret{position:absolute;top:50%;right:12px;margin-top:-2px;vertical-align:middle}.bootstrap-select.btn-group .dropdown-menu{min-width:100%;-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box}.bootstrap-select.btn-group .dropdown-menu.inner{position:static;float:none;border:0;padding:0;margin:0;border-radius:0;-webkit-box-shadow:none;box-shadow:none}.bootstrap-select.btn-group .dropdown-menu li{position:relative}.bootstrap-select.btn-group .dropdown-menu li.active small{color:#fff}.bootstrap-select.btn-group .dropdown-menu li a{cursor:pointer;-webkit-user-select:none;-moz-user-select:none;-ms-user-select:none;user-select:none}.bootstrap-select.btn-group .dropdown-menu li a.opt{position:relative;padding-left:2.25em}.bootstrap-select.btn-group .dropdown-menu li a span.check-mark{display:none}.bootstrap-select.btn-group .dropdown-menu li a span.text{display:inline-block}.bootstrap-select.btn-group .dropdown-menu li small{padding-left:.5em}.bootstrap-select.btn-group .dropdown-menu .notify{position:absolute;bottom:5px;width:96%;margin:0 2%;min-height:26px;padding:3px 5px;background:#f5f5f5;border:1px solid #e3e3e3;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.05);box-shadow:inset 0 1px 1px rgba(0,0,0,.05);pointer-events:none;opacity:.9;-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box}.bootstrap-select.btn-group .no-results{padding:3px;background:#f5f5f5;margin:0 5px;white-space:nowrap}.bootstrap-select.btn-group.fit-width .dropdown-toggle .filter-option{position:static}.bootstrap-select.btn-group.fit-width .dropdown-toggle .caret{position:static;top:auto;margin-top:-1px}.bootstrap-select.btn-group.show-tick .dropdown-menu li.selected a span.check-mark{position:absolute;display:inline-block;right:15px;margin-top:5px}.bootstrap-select.btn-group.show-tick .dropdown-menu li a span.text{margin-right:34px}.bootstrap-select.show-menu-arrow.open>.dropdown-toggle{z-index:1061}.bootstrap-select.show-menu-arrow .dropdown-toggle:before{content:'';border-left:7px solid transparent;border-right:7px solid transparent;border-bottom:7px solid rgba(204,204,204,.2);position:absolute;bottom:-4px;left:9px;display:none}.bootstrap-select.show-menu-arrow .dropdown-toggle:after{content:'';border-left:6px solid transparent;border-right:6px solid transparent;border-bottom:6px solid #fff;position:absolute;bottom:-4px;left:10px;display:none}.bootstrap-select.show-menu-arrow.dropup .dropdown-toggle:before{bottom:auto;top:-3px;border-top:7px solid rgba(204,204,204,.2);border-bottom:0}.bootstrap-select.show-menu-arrow.dropup .dropdown-toggle:after{bottom:auto;top:-3px;border-top:6px solid #fff;border-bottom:0}.bootstrap-select.show-menu-arrow.pull-right .dropdown-toggle:before{right:12px;left:auto}.bootstrap-select.show-menu-arrow.pull-right .dropdown-toggle:after{right:13px;left:auto}.bootstrap-select.show-menu-arrow.open>.dropdown-toggle:after,.bootstrap-select.show-menu-arrow.open>.dropdown-toggle:before{display:block}.bs-actionsbox,.bs-donebutton,.bs-searchbox{padding:4px 8px}.bs-actionsbox{width:100%;-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box}.bs-donebutton,.mCSB_container_wrapper>.mCSB_container{-webkit-box-sizing:border-box;-moz-box-sizing:border-box}.bs-actionsbox .btn-group button{width:50%}.bs-donebutton{float:left;width:100%;box-sizing:border-box}.bs-donebutton .btn-group button{width:100%}.bs-searchbox+.bs-actionsbox{padding:0 8px 4px}.bs-searchbox .form-control{margin-bottom:0;width:100%;float:none}select.bs-select-hidden,select.selectpicker{display:none!important}select.mobile-device{position:absolute!important;top:0;left:0;display:block!important;width:100%;height:100%!important;opacity:0}@-moz-keyframes spinner-loader{0%{-moz-transform:rotate(0);transform:rotate(0)}100%{-moz-transform:rotate(360deg);transform:rotate(360deg)}}@-webkit-keyframes spinner-loader{0%{-webkit-transform:rotate(0);transform:rotate(0)}100%{-webkit-transform:rotate(360deg);transform:rotate(360deg)}}@keyframes spinner-loader{0%{-moz-transform:rotate(0);-ms-transform:rotate(0);-webkit-transform:rotate(0);transform:rotate(0)}100%{-moz-transform:rotate(360deg);-ms-transform:rotate(360deg);-webkit-transform:rotate(360deg);transform:rotate(360deg)}}.spinner-loader:not(:required){-moz-animation:spinner-loader 1.5s infinite linear;-webkit-animation:spinner-loader 1.5s infinite linear;animation:spinner-loader 1.5s infinite linear;-moz-border-radius:.5em;-webkit-border-radius:.5em;border-radius:.5em;-moz-box-shadow:rgba(0,0,51,.3) 1.5em 0 0 0,rgba(0,0,51,.3) 1.1em 1.1em 0 0,rgba(0,0,51,.3) 0 1.5em 0 0,rgba(0,0,51,.3) -1.1em 1.1em 0 0,rgba(0,0,51,.3) -1.5em 0 0 0,rgba(0,0,51,.3) -1.1em -1.1em 0 0,rgba(0,0,51,.3) 0 -1.5em 0 0,rgba(0,0,51,.3) 1.1em -1.1em 0 0;-webkit-box-shadow:rgba(0,0,51,.3) 1.5em 0 0 0,rgba(0,0,51,.3) 1.1em 1.1em 0 0,rgba(0,0,51,.3) 0 1.5em 0 0,rgba(0,0,51,.3) -1.1em 1.1em 0 0,rgba(0,0,51,.3) -1.5em 0 0 0,rgba(0,0,51,.3) -1.1em -1.1em 0 0,rgba(0,0,51,.3) 0 -1.5em 0 0,rgba(0,0,51,.3) 1.1em -1.1em 0 0;box-shadow:rgba(0,0,51,.3) 1.5em 0 0 0,rgba(0,0,51,.3) 1.1em 1.1em 0 0,rgba(0,0,51,.3) 0 1.5em 0 0,rgba(0,0,51,.3) -1.1em 1.1em 0 0,rgba(0,0,51,.3) -1.5em 0 0 0,rgba(0,0,51,.3) -1.1em -1.1em 0 0,rgba(0,0,51,.3) 0 -1.5em 0 0,rgba(0,0,51,.3) 1.1em -1.1em 0 0;display:inline-block;font-size:10px;width:1em;height:1em;margin:1.5em;overflow:hidden;text-indent:100%}.mCustomScrollbar{-ms-touch-action:pinch-zoom;touch-action:pinch-zoom}.mCustomScrollbar.mCS_no_scrollbar,.mCustomScrollbar.mCS_touch_action{-ms-touch-action:auto;touch-action:auto}.mCustomScrollBox{position:relative;overflow:hidden;height:100%;max-width:100%;outline:0;direction:ltr}.mCSB_container{overflow:hidden;width:auto;height:auto}.mCSB_inside>.mCSB_container{margin-right:30px}.mCSB_container.mCS_no_scrollbar_y.mCS_y_hidden{margin-right:0}.mCS-dir-rtl>.mCSB_inside>.mCSB_container{margin-right:0;margin-left:30px}.mCS-dir-rtl>.mCSB_inside>.mCSB_container.mCS_no_scrollbar_y.mCS_y_hidden{margin-left:0}.mCSB_scrollTools{position:absolute;width:16px;height:auto;left:auto;top:0;right:0;bottom:0;opacity:.75;filter:"alpha(opacity=75)";-ms-filter:"alpha(opacity=75)"}.mCSB_outside+.mCSB_scrollTools{right:-26px}.mCS-dir-rtl>.mCSB_inside>.mCSB_scrollTools,.mCS-dir-rtl>.mCSB_outside+.mCSB_scrollTools{right:auto;left:0}.mCS-dir-rtl>.mCSB_outside+.mCSB_scrollTools{left:-26px}.mCSB_scrollTools .mCSB_draggerContainer{position:absolute;top:0;left:0;bottom:0;right:0;height:auto}.mCSB_scrollTools a+.mCSB_draggerContainer{margin:20px 0}.mCSB_scrollTools .mCSB_draggerRail{width:2px;height:100%;margin:0 auto;-webkit-border-radius:16px;-moz-border-radius:16px;border-radius:16px}.mCSB_scrollTools .mCSB_dragger{cursor:pointer;width:100%;height:30px;z-index:1}.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{position:relative;width:4px;height:100%;margin:0 auto;-webkit-border-radius:16px;-moz-border-radius:16px;border-radius:16px;text-align:center}.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded .mCSB_dragger_bar,.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_dragger .mCSB_dragger_bar{width:12px}.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail{width:8px}.mCSB_scrollTools .mCSB_buttonDown,.mCSB_scrollTools .mCSB_buttonUp{display:block;position:absolute;height:20px;width:100%;overflow:hidden;margin:0 auto;cursor:pointer}.mCSB_scrollTools .mCSB_buttonDown{bottom:0}.mCSB_horizontal.mCSB_inside>.mCSB_container{margin-right:0;margin-bottom:30px}.mCSB_horizontal.mCSB_outside>.mCSB_container{min-height:100%}.mCSB_horizontal>.mCSB_container.mCS_no_scrollbar_x.mCS_x_hidden{margin-bottom:0}.mCSB_scrollTools.mCSB_scrollTools_horizontal{width:auto;height:16px;top:auto;right:0;bottom:0;left:0}.mCustomScrollBox+.mCSB_scrollTools+.mCSB_scrollTools.mCSB_scrollTools_horizontal,.mCustomScrollBox+.mCSB_scrollTools.mCSB_scrollTools_horizontal{bottom:-26px}.mCSB_scrollTools.mCSB_scrollTools_horizontal a+.mCSB_draggerContainer{margin:0 20px}.mCSB_scrollTools.mCSB_scrollTools_horizontal .mCSB_draggerRail{width:100%;height:2px;margin:7px 0}.mCSB_scrollTools.mCSB_scrollTools_horizontal .mCSB_dragger{width:30px;height:100%;left:0}.mCSB_scrollTools.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar{width:100%;height:4px;margin:6px auto}.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded .mCSB_dragger_bar,.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_dragger .mCSB_dragger_bar{height:12px;margin:2px auto}.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail{height:8px;margin:4px 0}.mCSB_scrollTools.mCSB_scrollTools_horizontal .mCSB_buttonLeft,.mCSB_scrollTools.mCSB_scrollTools_horizontal .mCSB_buttonRight{display:block;position:absolute;width:20px;height:100%;overflow:hidden;margin:0 auto;cursor:pointer}.mCSB_scrollTools.mCSB_scrollTools_horizontal .mCSB_buttonLeft{left:0}.mCSB_scrollTools.mCSB_scrollTools_horizontal .mCSB_buttonRight{right:0}.mCSB_container_wrapper{position:absolute;height:auto;width:auto;overflow:hidden;top:0;left:0;right:0;bottom:0;margin-right:30px;margin-bottom:30px}.mCSB_container_wrapper>.mCSB_container{padding-right:30px;padding-bottom:30px;box-sizing:border-box}.mCSB_vertical_horizontal>.mCSB_scrollTools.mCSB_scrollTools_vertical{bottom:20px}.mCSB_vertical_horizontal>.mCSB_scrollTools.mCSB_scrollTools_horizontal{right:20px}.mCSB_container_wrapper.mCS_no_scrollbar_x.mCS_x_hidden+.mCSB_scrollTools.mCSB_scrollTools_vertical{bottom:0}.mCS-dir-rtl>.mCustomScrollBox.mCSB_vertical_horizontal.mCSB_inside>.mCSB_scrollTools.mCSB_scrollTools_horizontal,.mCSB_container_wrapper.mCS_no_scrollbar_y.mCS_y_hidden+.mCSB_scrollTools~.mCSB_scrollTools.mCSB_scrollTools_horizontal{right:0}.mCS-dir-rtl>.mCustomScrollBox.mCSB_vertical_horizontal.mCSB_inside>.mCSB_scrollTools.mCSB_scrollTools_horizontal{left:20px}.mCS-dir-rtl>.mCustomScrollBox.mCSB_vertical_horizontal.mCSB_inside>.mCSB_container_wrapper.mCS_no_scrollbar_y.mCS_y_hidden+.mCSB_scrollTools~.mCSB_scrollTools.mCSB_scrollTools_horizontal{left:0}.mCS-dir-rtl>.mCSB_inside>.mCSB_container_wrapper{margin-right:0;margin-left:30px}.mCSB_container_wrapper.mCS_no_scrollbar_y.mCS_y_hidden>.mCSB_container{padding-right:0}.mCSB_container_wrapper.mCS_no_scrollbar_x.mCS_x_hidden>.mCSB_container{padding-bottom:0}.mCustomScrollBox.mCSB_vertical_horizontal.mCSB_inside>.mCSB_container_wrapper.mCS_no_scrollbar_y.mCS_y_hidden{margin-right:0;margin-left:0}.mCustomScrollBox.mCSB_vertical_horizontal.mCSB_inside>.mCSB_container_wrapper.mCS_no_scrollbar_x.mCS_x_hidden{margin-bottom:0}.mCSB_scrollTools,.mCSB_scrollTools .mCSB_buttonDown,.mCSB_scrollTools .mCSB_buttonLeft,.mCSB_scrollTools .mCSB_buttonRight,.mCSB_scrollTools .mCSB_buttonUp,.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{-webkit-transition:opacity .2s ease-in-out,background-color .2s ease-in-out;-moz-transition:opacity .2s ease-in-out,background-color .2s ease-in-out;-o-transition:opacity .2s ease-in-out,background-color .2s ease-in-out;transition:opacity .2s ease-in-out,background-color .2s ease-in-out}.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_draggerRail,.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_dragger_bar,.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_draggerRail,.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_dragger_bar{-webkit-transition:width .2s ease-out .2s,height .2s ease-out .2s,margin-left .2s ease-out .2s,margin-right .2s ease-out .2s,margin-top .2s ease-out .2s,margin-bottom .2s ease-out .2s,opacity .2s ease-in-out,background-color .2s ease-in-out;-moz-transition:width .2s ease-out .2s,height .2s ease-out .2s,margin-left .2s ease-out .2s,margin-right .2s ease-out .2s,margin-top .2s ease-out .2s,margin-bottom .2s ease-out .2s,opacity .2s ease-in-out,background-color .2s ease-in-out;-o-transition:width .2s ease-out .2s,height .2s ease-out .2s,margin-left .2s ease-out .2s,margin-right .2s ease-out .2s,margin-top .2s ease-out .2s,margin-bottom .2s ease-out .2s,opacity .2s ease-in-out,background-color .2s ease-in-out;transition:width .2s ease-out .2s,height .2s ease-out .2s,margin-left .2s ease-out .2s,margin-right .2s ease-out .2s,margin-top .2s ease-out .2s,margin-bottom .2s ease-out .2s,opacity .2s ease-in-out,background-color .2s ease-in-out}.ne-pdf,.ne-pdf-view button,.ne-video{-webkit-transition:all .5s linear;-o-transition:all .5s linear;-moz-transition:all .5s linear;-ms-transition:all .5s linear}.ne-image,.ne-pdf,.ne-pdf-view button,.ne-video{-kthtml-transition:all .5s linear}.mCS-autoHide>.mCustomScrollBox>.mCSB_scrollTools,.mCS-autoHide>.mCustomScrollBox~.mCSB_scrollTools{opacity:0;filter:"alpha(opacity=0)";-ms-filter:"alpha(opacity=0)"}.mCS-autoHide:hover>.mCustomScrollBox>.mCSB_scrollTools,.mCS-autoHide:hover>.mCustomScrollBox~.mCSB_scrollTools,.mCustomScrollBox:hover>.mCSB_scrollTools,.mCustomScrollBox:hover~.mCSB_scrollTools,.mCustomScrollbar>.mCustomScrollBox>.mCSB_scrollTools.mCSB_scrollTools_onDrag,.mCustomScrollbar>.mCustomScrollBox~.mCSB_scrollTools.mCSB_scrollTools_onDrag{opacity:1;filter:"alpha(opacity=100)";-ms-filter:"alpha(opacity=100)"}.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.4);filter:"alpha(opacity=40)";-ms-filter:"alpha(opacity=40)"}.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.75);filter:"alpha(opacity=75)";-ms-filter:"alpha(opacity=75)"}.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.85);filter:"alpha(opacity=85)";-ms-filter:"alpha(opacity=85)"}.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.9);filter:"alpha(opacity=90)";-ms-filter:"alpha(opacity=90)"}.mCSB_scrollTools .mCSB_buttonDown,.mCSB_scrollTools .mCSB_buttonLeft,.mCSB_scrollTools .mCSB_buttonRight,.mCSB_scrollTools .mCSB_buttonUp{background-image:url(../../assets/images/mCSB_buttons.png);background-repeat:no-repeat;opacity:.4;filter:"alpha(opacity=40)";-ms-filter:"alpha(opacity=40)"}.mCSB_scrollTools .mCSB_buttonUp{background-position:0 0}.mCSB_scrollTools .mCSB_buttonDown{background-position:0 -20px}.mCSB_scrollTools .mCSB_buttonLeft{background-position:0 -40px}.mCSB_scrollTools .mCSB_buttonRight{background-position:0 -56px}.mCSB_scrollTools .mCSB_buttonDown:hover,.mCSB_scrollTools .mCSB_buttonLeft:hover,.mCSB_scrollTools .mCSB_buttonRight:hover,.mCSB_scrollTools .mCSB_buttonUp:hover{opacity:.75;filter:"alpha(opacity=75)";-ms-filter:"alpha(opacity=75)"}.mCSB_scrollTools .mCSB_buttonDown:active,.mCSB_scrollTools .mCSB_buttonLeft:active,.mCSB_scrollTools .mCSB_buttonRight:active,.mCSB_scrollTools .mCSB_buttonUp:active{opacity:.9;filter:"alpha(opacity=90)";-ms-filter:"alpha(opacity=90)"}.mCS-dark.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.15)}.mCS-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.75)}.mCS-dark.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:rgba(0,0,0,.85)}.mCS-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:rgba(0,0,0,.9)}.mCS-dark.mCSB_scrollTools .mCSB_buttonUp{background-position:-80px 0}.mCS-dark.mCSB_scrollTools .mCSB_buttonDown{background-position:-80px -20px}.mCS-dark.mCSB_scrollTools .mCSB_buttonLeft{background-position:-80px -40px}.mCS-dark.mCSB_scrollTools .mCSB_buttonRight{background-position:-80px -56px}.mCS-dark-2.mCSB_scrollTools .mCSB_draggerRail,.mCS-light-2.mCSB_scrollTools .mCSB_draggerRail{width:4px;background-color:#fff;background-color:rgba(255,255,255,.1);-webkit-border-radius:1px;-moz-border-radius:1px;border-radius:1px}.mCS-dark-2.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-light-2.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{width:4px;background-color:#fff;background-color:rgba(255,255,255,.75);-webkit-border-radius:1px;-moz-border-radius:1px;border-radius:1px}.mCS-dark-2.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-dark-2.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-light-2.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-light-2.mCSB_scrollTools_horizontal .mCSB_draggerRail{width:100%;height:4px;margin:6px auto}.mCS-light-2.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.85)}.mCS-light-2.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-light-2.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.9)}.mCS-light-2.mCSB_scrollTools .mCSB_buttonUp{background-position:-32px 0}.mCS-light-2.mCSB_scrollTools .mCSB_buttonDown{background-position:-32px -20px}.mCS-light-2.mCSB_scrollTools .mCSB_buttonLeft{background-position:-40px -40px}.mCS-light-2.mCSB_scrollTools .mCSB_buttonRight{background-position:-40px -56px}.mCS-dark-2.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.1);-webkit-border-radius:1px;-moz-border-radius:1px;border-radius:1px}.mCS-dark-2.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.75);-webkit-border-radius:1px;-moz-border-radius:1px;border-radius:1px}.mCS-dark-2.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.85)}.mCS-dark-2.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-dark-2.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.9)}.mCS-dark-2.mCSB_scrollTools .mCSB_buttonUp{background-position:-112px 0}.mCS-dark-2.mCSB_scrollTools .mCSB_buttonDown{background-position:-112px -20px}.mCS-dark-2.mCSB_scrollTools .mCSB_buttonLeft{background-position:-120px -40px}.mCS-dark-2.mCSB_scrollTools .mCSB_buttonRight{background-position:-120px -56px}.mCS-dark-thick.mCSB_scrollTools .mCSB_draggerRail,.mCS-light-thick.mCSB_scrollTools .mCSB_draggerRail{width:4px;background-color:#fff;background-color:rgba(255,255,255,.1);-webkit-border-radius:2px;-moz-border-radius:2px;border-radius:2px}.mCS-dark-thick.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-light-thick.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{width:6px;background-color:#fff;background-color:rgba(255,255,255,.75);-webkit-border-radius:2px;-moz-border-radius:2px;border-radius:2px}.mCS-dark-thick.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-light-thick.mCSB_scrollTools_horizontal .mCSB_draggerRail{width:100%;height:4px;margin:6px 0}.mCS-dark-thick.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-light-thick.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar{width:100%;height:6px;margin:5px auto}.mCS-light-thick.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.85)}.mCS-light-thick.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-light-thick.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.9)}.mCS-light-thick.mCSB_scrollTools .mCSB_buttonUp{background-position:-16px 0}.mCS-light-thick.mCSB_scrollTools .mCSB_buttonDown{background-position:-16px -20px}.mCS-light-thick.mCSB_scrollTools .mCSB_buttonLeft{background-position:-20px -40px}.mCS-light-thick.mCSB_scrollTools .mCSB_buttonRight{background-position:-20px -56px}.mCS-dark-thick.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.1);-webkit-border-radius:2px;-moz-border-radius:2px;border-radius:2px}.mCS-dark-thick.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.75);-webkit-border-radius:2px;-moz-border-radius:2px;border-radius:2px}.mCS-dark-thick.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.85)}.mCS-dark-thick.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-dark-thick.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.9)}.mCS-dark-thick.mCSB_scrollTools .mCSB_buttonUp{background-position:-96px 0}.mCS-dark-thick.mCSB_scrollTools .mCSB_buttonDown{background-position:-96px -20px}.mCS-dark-thick.mCSB_scrollTools .mCSB_buttonLeft{background-position:-100px -40px}.mCS-dark-thick.mCSB_scrollTools .mCSB_buttonRight{background-position:-100px -56px}.mCS-light-thin.mCSB_scrollTools .mCSB_draggerRail{background-color:#fff;background-color:rgba(255,255,255,.1)}.mCS-dark-thin.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-light-thin.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{width:2px}.mCS-dark-thin.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-light-thin.mCSB_scrollTools_horizontal .mCSB_draggerRail{width:100%}.mCS-dark-thin.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-light-thin.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar{width:100%;height:2px;margin:7px auto}.mCS-dark-thin.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.15)}.mCS-dark-thin.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.75)}.mCS-dark-thin.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.85)}.mCS-dark-thin.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-dark-thin.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.9)}.mCS-dark-thin.mCSB_scrollTools .mCSB_buttonUp{background-position:-80px 0}.mCS-dark-thin.mCSB_scrollTools .mCSB_buttonDown{background-position:-80px -20px}.mCS-dark-thin.mCSB_scrollTools .mCSB_buttonLeft{background-position:-80px -40px}.mCS-dark-thin.mCSB_scrollTools .mCSB_buttonRight{background-position:-80px -56px}.mCS-rounded.mCSB_scrollTools .mCSB_draggerRail{background-color:#fff;background-color:rgba(255,255,255,.15)}.mCS-rounded-dark.mCSB_scrollTools .mCSB_dragger,.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_dragger,.mCS-rounded-dots.mCSB_scrollTools .mCSB_dragger,.mCS-rounded.mCSB_scrollTools .mCSB_dragger{height:14px}.mCS-rounded-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-rounded-dots.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-rounded.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{width:14px;margin:0 1px}.mCS-rounded-dark.mCSB_scrollTools_horizontal .mCSB_dragger,.mCS-rounded-dots-dark.mCSB_scrollTools_horizontal .mCSB_dragger,.mCS-rounded-dots.mCSB_scrollTools_horizontal .mCSB_dragger,.mCS-rounded.mCSB_scrollTools_horizontal .mCSB_dragger{width:14px}.mCS-rounded-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-rounded-dots-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-rounded-dots.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-rounded.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar{height:14px;margin:1px 0}.mCS-rounded-dark.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded .mCSB_dragger_bar,.mCS-rounded-dark.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_dragger .mCSB_dragger_bar,.mCS-rounded.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded .mCSB_dragger_bar,.mCS-rounded.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_dragger .mCSB_dragger_bar{width:16px;height:16px;margin:-1px 0}.mCS-rounded-dark.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCS-rounded-dark.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail,.mCS-rounded.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCS-rounded.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail{width:4px}.mCS-rounded-dark.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded .mCSB_dragger_bar,.mCS-rounded-dark.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_dragger .mCSB_dragger_bar,.mCS-rounded.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded .mCSB_dragger_bar,.mCS-rounded.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_dragger .mCSB_dragger_bar{height:16px;width:16px;margin:0 -1px}.mCS-rounded-dark.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCS-rounded-dark.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail,.mCS-rounded.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCS-rounded.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail{height:4px;margin:6px 0}.mCS-rounded.mCSB_scrollTools .mCSB_buttonUp{background-position:0 -72px}.mCS-rounded.mCSB_scrollTools .mCSB_buttonDown{background-position:0 -92px}.mCS-rounded.mCSB_scrollTools .mCSB_buttonLeft{background-position:0 -112px}.mCS-rounded.mCSB_scrollTools .mCSB_buttonRight{background-position:0 -128px}.mCS-rounded-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.75)}.mCS-rounded-dark.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.15)}.mCS-rounded-dark.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar,.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.85)}.mCS-rounded-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-rounded-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar,.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.9)}.mCS-rounded-dark.mCSB_scrollTools .mCSB_buttonUp{background-position:-80px -72px}.mCS-rounded-dark.mCSB_scrollTools .mCSB_buttonDown{background-position:-80px -92px}.mCS-rounded-dark.mCSB_scrollTools .mCSB_buttonLeft{background-position:-80px -112px}.mCS-rounded-dark.mCSB_scrollTools .mCSB_buttonRight{background-position:-80px -128px}.mCS-rounded-dots-dark.mCSB_scrollTools_vertical .mCSB_draggerRail,.mCS-rounded-dots.mCSB_scrollTools_vertical .mCSB_draggerRail{width:4px}.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-rounded-dots-dark.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-rounded-dots.mCSB_scrollTools .mCSB_draggerRail,.mCS-rounded-dots.mCSB_scrollTools_horizontal .mCSB_draggerRail{background-color:transparent;background-position:center}.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-rounded-dots.mCSB_scrollTools .mCSB_draggerRail{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAAgAAAAICAYAAADED76LAAAANElEQVQYV2NkIAAYiVbw//9/Y6DiM1ANJoyMjGdBbLgJQAX/kU0DKgDLkaQAvxW4HEvQFwCRcxIJK1XznAAAAABJRU5ErkJggg==);background-repeat:repeat-y;opacity:.3;filter:"alpha(opacity=30)";-ms-filter:"alpha(opacity=30)"}.mCS-rounded-dots-dark.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-rounded-dots.mCSB_scrollTools_horizontal .mCSB_draggerRail{height:4px;margin:6px 0;background-repeat:repeat-x}.mCS-rounded-dots.mCSB_scrollTools .mCSB_buttonUp{background-position:-16px -72px}.mCS-rounded-dots.mCSB_scrollTools .mCSB_buttonDown{background-position:-16px -92px}.mCS-rounded-dots.mCSB_scrollTools .mCSB_buttonLeft{background-position:-20px -112px}.mCS-rounded-dots.mCSB_scrollTools .mCSB_buttonRight{background-position:-20px -128px}.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_draggerRail{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAAgAAAAICAYAAADED76LAAAALElEQVQYV2NkIAAYSVFgDFR8BqrBBEifBbGRTfiPZhpYjiQFBK3A6l6CvgAAE9kGCd1mvgEAAAAASUVORK5CYII=)}.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_buttonUp{background-position:-96px -72px}.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_buttonDown{background-position:-96px -92px}.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_buttonLeft{background-position:-100px -112px}.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_buttonRight{background-position:-100px -128px}.mCS-3d-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-thick.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-repeat:repeat-y;background-image:-moz-linear-gradient(left,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%);background-image:-webkit-gradient(linear,left top,right top,color-stop(0,rgba(255,255,255,.5)),color-stop(100%,rgba(255,255,255,0)));background-image:-webkit-linear-gradient(left,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%);background-image:-o-linear-gradient(left,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%);background-image:-ms-linear-gradient(left,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%);background-image:linear-gradient(to right,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%)}.mCS-3d-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-thick-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-thick.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-3d.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar{background-repeat:repeat-x;background-image:-moz-linear-gradient(top,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%);background-image:-webkit-gradient(linear,left top,left bottom,color-stop(0,rgba(255,255,255,.5)),color-stop(100%,rgba(255,255,255,0)));background-image:-webkit-linear-gradient(top,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%);background-image:-o-linear-gradient(top,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%);background-image:-ms-linear-gradient(top,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%);background-image:linear-gradient(to bottom,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%)}.mCS-3d-dark.mCSB_scrollTools_vertical .mCSB_dragger,.mCS-3d.mCSB_scrollTools_vertical .mCSB_dragger{height:70px}.mCS-3d-dark.mCSB_scrollTools_horizontal .mCSB_dragger,.mCS-3d.mCSB_scrollTools_horizontal .mCSB_dragger{width:70px}.mCS-3d-dark.mCSB_scrollTools,.mCS-3d.mCSB_scrollTools{opacity:1;filter:"alpha(opacity=30)";-ms-filter:"alpha(opacity=30)"}.mCS-3d-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-3d.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d.mCSB_scrollTools .mCSB_draggerRail{-webkit-border-radius:16px;-moz-border-radius:16px;border-radius:16px}.mCS-3d-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-3d.mCSB_scrollTools .mCSB_draggerRail{width:8px;background-color:#000;background-color:rgba(0,0,0,.2);box-shadow:inset 1px 0 1px rgba(0,0,0,.5),inset -1px 0 1px rgba(255,255,255,.2)}.mCS-3d-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-3d-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar,.mCS-3d-dark.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar,.mCS-3d.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-3d.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar,.mCS-3d.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#555}.mCS-3d-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{width:8px}.mCS-3d-dark.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-3d.mCSB_scrollTools_horizontal .mCSB_draggerRail{width:100%;height:8px;margin:4px 0;box-shadow:inset 0 1px 1px rgba(0,0,0,.5),inset 0 -1px 1px rgba(255,255,255,.2)}.mCS-3d-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-3d.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar{width:100%;height:8px;margin:4px auto}.mCS-3d.mCSB_scrollTools .mCSB_buttonUp{background-position:-32px -72px}.mCS-3d.mCSB_scrollTools .mCSB_buttonDown{background-position:-32px -92px}.mCS-3d.mCSB_scrollTools .mCSB_buttonLeft{background-position:-40px -112px}.mCS-3d.mCSB_scrollTools .mCSB_buttonRight{background-position:-40px -128px}.mCS-3d-dark.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.1);box-shadow:inset 1px 0 1px rgba(0,0,0,.1)}.mCS-3d-dark.mCSB_scrollTools_horizontal .mCSB_draggerRail{box-shadow:inset 0 1px 1px rgba(0,0,0,.1)}.mCS-3d-dark.mCSB_scrollTools .mCSB_buttonUp{background-position:-112px -72px}.mCS-3d-dark.mCSB_scrollTools .mCSB_buttonDown{background-position:-112px -92px}.mCS-3d-dark.mCSB_scrollTools .mCSB_buttonLeft{background-position:-120px -112px}.mCS-3d-dark.mCSB_scrollTools .mCSB_buttonRight{background-position:-120px -128px}.mCS-3d-thick-dark.mCSB_scrollTools,.mCS-3d-thick.mCSB_scrollTools{opacity:1;filter:"alpha(opacity=30)";-ms-filter:"alpha(opacity=30)"}.mCS-3d-thick-dark.mCSB_scrollTools,.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_draggerContainer,.mCS-3d-thick.mCSB_scrollTools,.mCS-3d-thick.mCSB_scrollTools .mCSB_draggerContainer{-webkit-border-radius:7px;-moz-border-radius:7px;border-radius:7px}.mCSB_inside+.mCS-3d-thick-dark.mCSB_scrollTools_vertical,.mCSB_inside+.mCS-3d-thick.mCSB_scrollTools_vertical{right:1px}.mCS-3d-thick-dark.mCSB_scrollTools_vertical,.mCS-3d-thick.mCSB_scrollTools_vertical{box-shadow:inset 1px 0 1px rgba(0,0,0,.1),inset 0 0 14px rgba(0,0,0,.5)}.mCS-3d-thick-dark.mCSB_scrollTools_horizontal,.mCS-3d-thick.mCSB_scrollTools_horizontal{bottom:1px;box-shadow:inset 0 1px 1px rgba(0,0,0,.1),inset 0 0 14px rgba(0,0,0,.5)}.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-thick.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{-webkit-border-radius:5px;-moz-border-radius:5px;border-radius:5px;box-shadow:inset 1px 0 0 rgba(255,255,255,.4);width:12px;margin:2px;position:absolute;height:auto;top:0;bottom:0;left:0;right:0}.mCS-3d-thick-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-thick.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar{box-shadow:inset 0 1px 0 rgba(255,255,255,.4);height:12px;width:auto}.mCS-3d-thick.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-thick.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-3d-thick.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar,.mCS-3d-thick.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#555}.mCS-3d-thick.mCSB_scrollTools .mCSB_draggerContainer{background-color:#000;background-color:rgba(0,0,0,.05);box-shadow:inset 1px 1px 16px rgba(0,0,0,.1)}.mCS-3d-thick.mCSB_scrollTools .mCSB_draggerRail{background-color:transparent}.mCS-3d-thick.mCSB_scrollTools .mCSB_buttonUp{background-position:-32px -72px}.mCS-3d-thick.mCSB_scrollTools .mCSB_buttonDown{background-position:-32px -92px}.mCS-3d-thick.mCSB_scrollTools .mCSB_buttonLeft{background-position:-40px -112px}.mCS-3d-thick.mCSB_scrollTools .mCSB_buttonRight{background-position:-40px -128px}.mCS-3d-thick-dark.mCSB_scrollTools{box-shadow:inset 0 0 14px rgba(0,0,0,.2)}.mCS-3d-thick-dark.mCSB_scrollTools_horizontal{box-shadow:inset 0 1px 1px rgba(0,0,0,.1),inset 0 0 14px rgba(0,0,0,.2)}.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{box-shadow:inset 1px 0 0 rgba(255,255,255,.4),inset -1px 0 0 rgba(0,0,0,.2)}.mCS-3d-thick-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar{box-shadow:inset 0 1px 0 rgba(255,255,255,.4),inset 0 -1px 0 rgba(0,0,0,.2)}.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar,.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#777}.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_draggerContainer{background-color:#fff;background-color:rgba(0,0,0,.05);box-shadow:inset 1px 1px 16px rgba(0,0,0,.1)}.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-minimal-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-minimal.mCSB_scrollTools .mCSB_draggerRail{background-color:transparent}.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_buttonUp{background-position:-112px -72px}.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_buttonDown{background-position:-112px -92px}.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_buttonLeft{background-position:-120px -112px}.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_buttonRight{background-position:-120px -128px}.mCSB_outside+.mCS-minimal-dark.mCSB_scrollTools_vertical,.mCSB_outside+.mCS-minimal.mCSB_scrollTools_vertical{right:0;margin:12px 0}.mCustomScrollBox.mCS-minimal+.mCSB_scrollTools+.mCSB_scrollTools.mCSB_scrollTools_horizontal,.mCustomScrollBox.mCS-minimal+.mCSB_scrollTools.mCSB_scrollTools_horizontal,.mCustomScrollBox.mCS-minimal-dark+.mCSB_scrollTools+.mCSB_scrollTools.mCSB_scrollTools_horizontal,.mCustomScrollBox.mCS-minimal-dark+.mCSB_scrollTools.mCSB_scrollTools_horizontal{bottom:0;margin:0 12px}.mCS-dir-rtl>.mCSB_outside+.mCS-minimal-dark.mCSB_scrollTools_vertical,.mCS-dir-rtl>.mCSB_outside+.mCS-minimal.mCSB_scrollTools_vertical{left:0;right:auto}.mCS-minimal-dark.mCSB_scrollTools_vertical .mCSB_dragger,.mCS-minimal.mCSB_scrollTools_vertical .mCSB_dragger{height:50px}.mCS-minimal-dark.mCSB_scrollTools_horizontal .mCSB_dragger,.mCS-minimal.mCSB_scrollTools_horizontal .mCSB_dragger{width:50px}.mCS-minimal.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.2);filter:"alpha(opacity=20)";-ms-filter:"alpha(opacity=20)"}.mCS-minimal.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-minimal.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.5);filter:"alpha(opacity=50)";-ms-filter:"alpha(opacity=50)"}.mCS-minimal-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.2);filter:"alpha(opacity=20)";-ms-filter:"alpha(opacity=20)"}.mCS-minimal-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-minimal-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.5);filter:"alpha(opacity=50)";-ms-filter:"alpha(opacity=50)"}.mCS-dark-3.mCSB_scrollTools .mCSB_draggerRail,.mCS-light-3.mCSB_scrollTools .mCSB_draggerRail{width:6px;background-color:#000;background-color:rgba(0,0,0,.2)}.mCS-dark-3.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-light-3.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{width:6px}.mCS-dark-3.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-dark-3.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-light-3.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-light-3.mCSB_scrollTools_horizontal .mCSB_draggerRail{width:100%;height:6px;margin:5px 0}.mCS-dark-3.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCS-dark-3.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail,.mCS-light-3.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCS-light-3.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail{width:12px}.mCS-dark-3.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCS-dark-3.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail,.mCS-light-3.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCS-light-3.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail{height:12px;margin:2px 0}.mCS-light-3.mCSB_scrollTools .mCSB_buttonUp{background-position:-32px -72px}.mCS-light-3.mCSB_scrollTools .mCSB_buttonDown{background-position:-32px -92px}.mCS-light-3.mCSB_scrollTools .mCSB_buttonLeft{background-position:-40px -112px}.mCS-light-3.mCSB_scrollTools .mCSB_buttonRight{background-position:-40px -128px}.mCS-dark-3.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.75)}.mCS-dark-3.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.85)}.mCS-dark-3.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-dark-3.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.9)}.mCS-dark-3.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.1)}.mCS-dark-3.mCSB_scrollTools .mCSB_buttonUp{background-position:-112px -72px}.mCS-dark-3.mCSB_scrollTools .mCSB_buttonDown{background-position:-112px -92px}.mCS-dark-3.mCSB_scrollTools .mCSB_buttonLeft{background-position:-120px -112px}.mCS-dark-3.mCSB_scrollTools .mCSB_buttonRight{background-position:-120px -128px}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-inset-2.mCSB_scrollTools .mCSB_draggerRail,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-inset-3.mCSB_scrollTools .mCSB_draggerRail,.mCS-inset-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-inset.mCSB_scrollTools .mCSB_draggerRail{width:12px;background-color:#000;background-color:rgba(0,0,0,.2)}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-2.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-3.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-inset.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{width:6px;margin:3px 5px;position:absolute;height:auto;top:0;bottom:0;left:0;right:0}.mCS-inset-2-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-2.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-3-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-3.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-inset.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar{height:6px;margin:5px 3px;position:absolute;width:auto;top:0;bottom:0;left:0;right:0}.mCS-inset-2-dark.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-inset-2.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-inset-3-dark.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-inset-3.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-inset-dark.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-inset.mCSB_scrollTools_horizontal .mCSB_draggerRail{width:100%;height:12px;margin:2px 0}.mCS-inset-2.mCSB_scrollTools .mCSB_buttonUp,.mCS-inset-3.mCSB_scrollTools .mCSB_buttonUp,.mCS-inset.mCSB_scrollTools .mCSB_buttonUp{background-position:-32px -72px}.mCS-inset-2.mCSB_scrollTools .mCSB_buttonDown,.mCS-inset-3.mCSB_scrollTools .mCSB_buttonDown,.mCS-inset.mCSB_scrollTools .mCSB_buttonDown{background-position:-32px -92px}.mCS-inset-2.mCSB_scrollTools .mCSB_buttonLeft,.mCS-inset-3.mCSB_scrollTools .mCSB_buttonLeft,.mCS-inset.mCSB_scrollTools .mCSB_buttonLeft{background-position:-40px -112px}.mCS-inset-2.mCSB_scrollTools .mCSB_buttonRight,.mCS-inset-3.mCSB_scrollTools .mCSB_buttonRight,.mCS-inset.mCSB_scrollTools .mCSB_buttonRight{background-position:-40px -128px}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.75)}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar,.mCS-inset-dark.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.85)}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-inset-2-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar,.mCS-inset-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-inset-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.9)}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-inset-dark.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.1)}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_buttonUp,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_buttonUp,.mCS-inset-dark.mCSB_scrollTools .mCSB_buttonUp{background-position:-112px -72px}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_buttonDown,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_buttonDown,.mCS-inset-dark.mCSB_scrollTools .mCSB_buttonDown{background-position:-112px -92px}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_buttonLeft,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_buttonLeft,.mCS-inset-dark.mCSB_scrollTools .mCSB_buttonLeft{background-position:-120px -112px}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_buttonRight,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_buttonRight,.mCS-inset-dark.mCSB_scrollTools .mCSB_buttonRight{background-position:-120px -128px}.ne-image-wrapper,.ne-video-player{background-image:url(../assets/images/loader.svg);background-repeat:no-repeat;background-position:center}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-inset-2.mCSB_scrollTools .mCSB_draggerRail{background-color:transparent;border-width:1px;border-style:solid;border-color:#fff;border-color:rgba(255,255,255,.2);-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box}*,.ui-grid-cell,.ui-grid-header,.ui-grid-header-cell{box-sizing:border-box}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_draggerRail{border-color:#000;border-color:rgba(0,0,0,.2)}.mCS-inset-3.mCSB_scrollTools .mCSB_draggerRail{background-color:#fff;background-color:rgba(255,255,255,.6)}.mCS-inset-3-dark.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.6)}.mCS-inset-3.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.75)}.mCS-inset-3.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.85)}.mCS-inset-3.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-inset-3.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.9)}.mCS-inset-3-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.75)}.mCS-inset-3-dark.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.85)}.mCS-inset-3-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.9)}@font-face{font-family:simple-line-icons;src:url(../assets/fonts/Simple-Line-Icons.eot?v=2.2.2);src:url(../assets/fonts/Simple-Line-Icons.eot?#iefix&v=2.2.2) format('embedded-opentype'),url(../assets/fonts/Simple-Line-Icons.ttf?v=2.2.2) format('truetype'),url(../assets/fonts/Simple-Line-Icons.woff2?v=2.2.2) format('woff2'),url(../assets/fonts/Simple-Line-Icons.woff?v=2.2.2) format('woff'),url(../assets/fonts/Simple-Line-Icons.svg?v=2.2.2#simple-line-icons) format('svg');font-weight:400;font-style:normal}.icon-action-redo,.icon-action-undo,.icon-anchor,.icon-arrow-down,.icon-arrow-down-circle,.icon-arrow-left,.icon-arrow-left-circle,.icon-arrow-right,.icon-arrow-right-circle,.icon-arrow-up,.icon-arrow-up-circle,.icon-badge,.icon-bag,.icon-ban,.icon-basket,.icon-basket-loaded,.icon-bell,.icon-book-open,.icon-briefcase,.icon-bubble,.icon-bubbles,.icon-bulb,.icon-calculator,.icon-calendar,.icon-call-end,.icon-call-in,.icon-call-out,.icon-camera,.icon-camrecorder,.icon-chart,.icon-check,.icon-chemistry,.icon-clock,.icon-close,.icon-cloud-download,.icon-cloud-upload,.icon-compass,.icon-control-end,.icon-control-forward,.icon-control-pause,.icon-control-play,.icon-control-rewind,.icon-control-start,.icon-credit-card,.icon-crop,.icon-cup,.icon-cursor,.icon-cursor-move,.icon-diamond,.icon-direction,.icon-directions,.icon-disc,.icon-dislike,.icon-doc,.icon-docs,.icon-drawar,.icon-drop,.icon-earphones,.icon-earphones-alt,.icon-emotsmile,.icon-energy,.icon-envelope,.icon-envelope-letter,.icon-envelope-open,.icon-equalizer,.icon-eye,.icon-eyeglass,.icon-feed,.icon-film,.icon-fire,.icon-flag,.icon-folder,.icon-folder-alt,.icon-frame,.icon-game-controller,.icon-ghost,.icon-globe,.icon-globe-alt,.icon-graduation,.icon-graph,.icon-grid,.icon-handbag,.icon-heart,.icon-home,.icon-hourglass,.icon-info,.icon-key,.icon-layers,.icon-like,.icon-link,.icon-list,.icon-location-pin,.icon-lock,.icon-lock-open,.icon-login,.icon-logout,.icon-loop,.icon-magic-wand,.icon-magnet,.icon-magnifier,.icon-magnifier-add,.icon-magnifier-remove,.icon-map,.icon-menu,.icon-microphone,.icon-mouse,.icon-music-tone,.icon-music-tone-alt,.icon-mustache,.icon-note,.icon-notebook,.icon-options,.icon-options-vertical,.icon-paper-clip,.icon-paper-plane,.icon-paypal,.icon-pencil,.icon-people,.icon-phone,.icon-picture,.icon-pie-chart,.icon-pin,.icon-plane,.icon-playlist,.icon-plus,.icon-power,.icon-present,.icon-printer,.icon-puzzle,.icon-question,.icon-refresh,.icon-reload,.icon-rocket,.icon-screen-desktop,.icon-screen-smartphone,.icon-screen-tablet,.icon-settings,.icon-share,.icon-share-alt,.icon-shield,.icon-shuffle,.icon-size-actual,.icon-size-fullscreen,.icon-social-behance,.icon-social-dribbble,.icon-social-dropbox,.icon-social-facebook,.icon-social-foursqare,.icon-social-github,.icon-social-gplus,.icon-social-instagram,.icon-social-linkedin,.icon-social-pinterest,.icon-social-reddit,.icon-social-skype,.icon-social-soundcloud,.icon-social-spotify,.icon-social-stumbleupon,.icon-social-tumblr,.icon-social-twitter,.icon-social-youtube,.icon-speech,.icon-speedometer,.icon-star,.icon-support,.icon-symble-female,.icon-symbol-male,.icon-tag,.icon-target,.icon-trash,.icon-trophy,.icon-umbrella,.icon-user,.icon-user-female,.icon-user-follow,.icon-user-following,.icon-user-unfollow,.icon-vector,.icon-volume-1,.icon-volume-2,.icon-volume-off,.icon-wallet,.icon-wrench{font-family:simple-line-icons;speak:none;font-style:normal;font-weight:400;font-variant:normal;text-transform:none;line-height:1;-webkit-font-smoothing:antialiased;-moz-osx-font-smoothing:grayscale}.pre-numbering,code.has-numbering{font-family:Consolas,Menlo,Monaco,monospace;font-size:14px;line-height:20px}.icon-user:before{content:"\e005"}.icon-people:before{content:"\e001"}.icon-user-female:before{content:"\e000"}.icon-user-follow:before{content:"\e002"}.icon-user-following:before{content:"\e003"}.icon-user-unfollow:before{content:"\e004"}.icon-login:before{content:"\e066"}.icon-logout:before{content:"\e065"}.icon-emotsmile:before{content:"\e021"}.icon-phone:before{content:"\e600"}.icon-call-end:before{content:"\e048"}.icon-call-in:before{content:"\e047"}.icon-call-out:before{content:"\e046"}.icon-map:before{content:"\e033"}.icon-location-pin:before{content:"\e096"}.icon-direction:before{content:"\e042"}.icon-directions:before{content:"\e041"}.icon-compass:before{content:"\e045"}.icon-layers:before{content:"\e034"}.icon-menu:before{content:"\e601"}.icon-list:before{content:"\e067"}.icon-options-vertical:before{content:"\e602"}.icon-options:before{content:"\e603"}.icon-arrow-down:before{content:"\e604"}.icon-arrow-left:before{content:"\e605"}.icon-arrow-right:before{content:"\e606"}.icon-arrow-up:before{content:"\e607"}.icon-arrow-up-circle:before{content:"\e078"}.icon-arrow-left-circle:before{content:"\e07a"}.icon-arrow-right-circle:before{content:"\e079"}.icon-arrow-down-circle:before{content:"\e07b"}.icon-check:before{content:"\e080"}.icon-clock:before{content:"\e081"}.icon-plus:before{content:"\e095"}.icon-close:before{content:"\e082"}.icon-trophy:before{content:"\e006"}.icon-screen-smartphone:before{content:"\e010"}.icon-screen-desktop:before{content:"\e011"}.icon-plane:before{content:"\e012"}.icon-notebook:before{content:"\e013"}.icon-mustache:before{content:"\e014"}.icon-mouse:before{content:"\e015"}.icon-magnet:before{content:"\e016"}.icon-energy:before{content:"\e020"}.icon-disc:before{content:"\e022"}.icon-cursor:before{content:"\e06e"}.icon-cursor-move:before{content:"\e023"}.icon-crop:before{content:"\e024"}.icon-chemistry:before{content:"\e026"}.icon-speedometer:before{content:"\e007"}.icon-shield:before{content:"\e00e"}.icon-screen-tablet:before{content:"\e00f"}.icon-magic-wand:before{content:"\e017"}.icon-hourglass:before{content:"\e018"}.icon-graduation:before{content:"\e019"}.icon-ghost:before{content:"\e01a"}.icon-game-controller:before{content:"\e01b"}.icon-fire:before{content:"\e01c"}.icon-eyeglass:before{content:"\e01d"}.icon-envelope-open:before{content:"\e01e"}.icon-envelope-letter:before{content:"\e01f"}.icon-bell:before{content:"\e027"}.icon-badge:before{content:"\e028"}.icon-anchor:before{content:"\e029"}.icon-wallet:before{content:"\e02a"}.icon-vector:before{content:"\e02b"}.icon-speech:before{content:"\e02c"}.icon-puzzle:before{content:"\e02d"}.icon-printer:before{content:"\e02e"}.icon-present:before{content:"\e02f"}.icon-playlist:before{content:"\e030"}.icon-pin:before{content:"\e031"}.icon-picture:before{content:"\e032"}.icon-handbag:before{content:"\e035"}.icon-globe-alt:before{content:"\e036"}.icon-globe:before{content:"\e037"}.icon-folder-alt:before{content:"\e039"}.icon-folder:before{content:"\e089"}.icon-film:before{content:"\e03a"}.icon-feed:before{content:"\e03b"}.icon-drop:before{content:"\e03e"}.icon-drawar:before{content:"\e03f"}.icon-docs:before{content:"\e040"}.icon-doc:before{content:"\e085"}.icon-diamond:before{content:"\e043"}.icon-cup:before{content:"\e044"}.icon-calculator:before{content:"\e049"}.icon-bubbles:before{content:"\e04a"}.icon-briefcase:before{content:"\e04b"}.icon-book-open:before{content:"\e04c"}.icon-basket-loaded:before{content:"\e04d"}.icon-basket:before{content:"\e04e"}.icon-bag:before{content:"\e04f"}.icon-action-undo:before{content:"\e050"}.icon-action-redo:before{content:"\e051"}.icon-wrench:before{content:"\e052"}.icon-umbrella:before{content:"\e053"}.icon-trash:before{content:"\e054"}.icon-tag:before{content:"\e055"}.icon-support:before{content:"\e056"}.icon-frame:before{content:"\e038"}.icon-size-fullscreen:before{content:"\e057"}.icon-size-actual:before{content:"\e058"}.icon-shuffle:before{content:"\e059"}.icon-share-alt:before{content:"\e05a"}.icon-share:before{content:"\e05b"}.icon-rocket:before{content:"\e05c"}.icon-question:before{content:"\e05d"}.icon-pie-chart:before{content:"\e05e"}.icon-pencil:before{content:"\e05f"}.icon-note:before{content:"\e060"}.icon-loop:before{content:"\e064"}.icon-home:before{content:"\e069"}.icon-grid:before{content:"\e06a"}.icon-graph:before{content:"\e06b"}.icon-microphone:before{content:"\e063"}.icon-music-tone-alt:before{content:"\e061"}.icon-music-tone:before{content:"\e062"}.icon-earphones-alt:before{content:"\e03c"}.icon-earphones:before{content:"\e03d"}.icon-equalizer:before{content:"\e06c"}.icon-like:before{content:"\e068"}.icon-dislike:before{content:"\e06d"}.icon-control-start:before{content:"\e06f"}.icon-control-rewind:before{content:"\e070"}.icon-control-play:before{content:"\e071"}.icon-control-pause:before{content:"\e072"}.icon-control-forward:before{content:"\e073"}.icon-control-end:before{content:"\e074"}.icon-volume-1:before{content:"\e09f"}.icon-volume-2:before{content:"\e0a0"}.icon-volume-off:before{content:"\e0a1"}.icon-calendar:before{content:"\e075"}.icon-bulb:before{content:"\e076"}.icon-chart:before{content:"\e077"}.icon-ban:before{content:"\e07c"}.icon-bubble:before{content:"\e07d"}.icon-camrecorder:before{content:"\e07e"}.icon-camera:before{content:"\e07f"}.icon-cloud-download:before{content:"\e083"}.icon-cloud-upload:before{content:"\e084"}.icon-envelope:before{content:"\e086"}.icon-eye:before{content:"\e087"}.icon-flag:before{content:"\e088"}.icon-heart:before{content:"\e08a"}.icon-info:before{content:"\e08b"}.icon-key:before{content:"\e08c"}.icon-link:before{content:"\e08d"}.icon-lock:before{content:"\e08e"}.icon-lock-open:before{content:"\e08f"}.icon-magnifier:before{content:"\e090"}.icon-magnifier-add:before{content:"\e091"}.icon-magnifier-remove:before{content:"\e092"}.icon-paper-clip:before{content:"\e093"}.icon-paper-plane:before{content:"\e094"}.icon-power:before{content:"\e097"}.icon-refresh:before{content:"\e098"}.icon-reload:before{content:"\e099"}.icon-settings:before{content:"\e09a"}.icon-star:before{content:"\e09b"}.icon-symble-female:before{content:"\e09c"}.icon-symbol-male:before{content:"\e09d"}.icon-target:before{content:"\e09e"}.icon-credit-card:before{content:"\e025"}.icon-paypal:before{content:"\e608"}.icon-social-tumblr:before{content:"\e00a"}.icon-social-twitter:before{content:"\e009"}.icon-social-facebook:before{content:"\e00b"}.icon-social-instagram:before{content:"\e609"}.icon-social-linkedin:before{content:"\e60a"}.icon-social-pinterest:before{content:"\e60b"}.icon-social-github:before{content:"\e60c"}.icon-social-gplus:before{content:"\e60d"}.icon-social-reddit:before{content:"\e60e"}.icon-social-skype:before{content:"\e60f"}.icon-social-dribbble:before{content:"\e00d"}.icon-social-behance:before{content:"\e610"}.icon-social-foursqare:before{content:"\e611"}.icon-social-soundcloud:before{content:"\e612"}.icon-social-spotify:before{content:"\e613"}.icon-social-stumbleupon:before{content:"\e614"}.icon-social-youtube:before{content:"\e008"}.icon-social-dropbox:before{content:"\e00c"}@font-face{font-family:emoticons;src:url(../assets/fonts/emoticons.eot?5zh0vu);src:url(../assets/fonts/emoticons.eot?#iefix5zh0vu) format('embedded-opentype'),url(../assets/fonts/emoticons.woff?5zh0vu) format('woff'),url(../assets/fonts/emoticons.ttf?5zh0vu) format('truetype'),url(../assets/fonts/emoticons.svg?5zh0vu#icomoon) format('svg');font-weight:400;font-style:normal}.ne-code{display:none;font-size:14px;font-family:Consolas,Menlo,Monaco,monospace;padding:1.5em}pre{position:relative;margin-bottom:24px;overflow:hidden}code.has-numbering{display:block;padding:12px 30px;overflow-y:auto;font-weight:300;margin-left:36px}.pre-numbering{position:absolute;top:0;left:0;width:35px;padding:1.1em 5px 12px 0;border-right:1px solid #e8e8e8;border-radius:3px 0 0 3px;background-color:#EEE;text-align:right;color:#AAA;margin-top:-4px}.pre-numbering li{list-style-type:none}.ne-pdf iframe{width:420px;height:530px;display:block}.ne-pdf-icon{width:200px;height:150px;float:left;border-right:1px solid #eee}.ne-audio audio,.ne-video video{width:100%}.ne-pdf-icon i{margin:29px 62px;color:#999;font-size:84px}.ne-pdf-view button{font-family:inherit;font-size:14px;background-color:transparent;border:1px solid #ccc;padding:6px 15px;border-radius:2px;color:#333;cursor:pointer;box-shadow:0 1px 1px 0 rgba(0,0,0,.26);outline:0;border-bottom:0;float:right;margin:80px 10px 0;transition:all .5s linear}.ne-pdf-view button:hover{box-shadow:0 2px 2px 0 rgba(0,0,0,.26)}.ne-pdf-view button i{margin-right:6px}.ne-pdf-view button a{color:#333}.ne-pdf-viewer iframe{margin:0 auto}.ne-pdf-viewer{background-color:#3498DB;position:relative}.ne-pdf-viewer i{position:absolute;right:10px;top:8px;color:#fff;font-size:25px;cursor:pointer}.ne-audio{background-color:#1D1D1D;border-radius:2px;padding-top:6px}.ne-pdf,.ne-video{padding:5px;border:1px solid #e4e4e4;border-radius:2px;margin:20px 0;box-shadow:0 1px 1px 0 rgba(0,0,0,.26);border-bottom:0;overflow:auto;transition:all .5s linear}.ne-image:hover,.ne-video:hover{box-shadow:0 2px 2px 0 rgba(0,0,0,.26)}.ne-pdf-preview,.ne-video-preview{overflow:auto}.ne-video-preview img{display:block;width:200px;height:150px;border-radius:2px;background-color:#3498DB}.ne-video-thumb{width:200px;float:left;cursor:pointer;color:rgba(255,255,255,.6)}.ne-video-thumb:hover{color:#fff}.ne-video-thumb i{position:absolute;font-size:42px;margin-top:-95px;margin-left:80px;cursor:pointer}.ne-pdf-detail,.ne-video-detail{width:calc(100% - 200px);float:left;padding-left:15px}.emoticons-image,.emoticons-image-wrapper,.ne-image,.ne-video-player iframe{width:100%}.ne-pdf-title,.ne-video-title{font-weight:bolder;padding:5px 0;white-space:nowrap;overflow:hidden;text-overflow:ellipsis}.ne-video-desc{font-size:16px;color:#666;height:90px}.ne-stats{padding-top:7px;overflow:auto}.ne-video-stats span{padding:0 15px;float:right}.ne-video-stats span i{margin-right:10px}.ne-video-player{background-color:#3498DB}.ne-image{border-radius:2px;border:1px solid #e4e4e4;min-height:250px;max-height:250px;overflow:hidden;cursor:pointer;padding-bottom:5px;-webkit-transition:all .5s linear;-o-transition:all .5s linear;-moz-transition:all .5s linear;-ms-transition:all .5s linear;transition:all .5s linear;box-shadow:0 1px 1px 0 rgba(0,0,0,.26);border-bottom:5px solid #fff;margin:10px 0}.ne-image-long{max-height:800px}.ne-image img,.ne-image-long img{width:100%;display:block;min-height:250px}.ne-image-wrapper{margin:5px 5px 0;background-color:#3498DB;height:100%;overflow:hidden}.emoticons-image{padding:5px;border-radius:2px;border:1px solid #F2F2F2;display:block;margin:20px 0}.emoticon,.icon-emoticon{display:inline-block;vertical-align:middle}.icon-emoticon{font-family:emoticons;speak:none;font-style:normal;font-weight:400;font-variant:normal;text-transform:none;line-height:1;-webkit-font-smoothing:antialiased}.emoticon{text-indent:-9999px;height:22px;width:22px}@media all and (-webkit-min-device-pixel-ratio :1),all and (min--moz-device-pixel-ratio :1),all and (-o-min-device-pixel-ratio :1),all and (min-device-pixel-ratio :1),all and (min-resolution :96dpi){.emoticon{background:url(../assets/images/emojis.png) no-repeat}}@media all and (-webkit-min-device-pixel-ratio :1.5),all and (-o-min-device-pixel-ratio :3/2),all and (min-device-pixel-ratio :1.5),all and (min-resolution :144dpi){.emoticon{background:url(../assets/images/emojis@2x.png) no-repeat;background-size:27776px 22px}}@-moz-document url-prefix(){.emoticon{background:url(../assets/images/emojis.png) no-repeat}}.emoticon-bowtie{background-position:-5px 0}.emoticon-smile{background-position:-37px 0}.emoticon-laughing{background-position:-69px 0}.emoticon-blush{background-position:-101px 0}.emoticon-smiley{background-position:-133px 0}.emoticon-relaxed{background-position:-165px 0}.emoticon-smirk{background-position:-197px 0}.emoticon-heart_eyes{background-position:-229px 0}.emoticon-kissing_heart{background-position:-261px 0}.emoticon-kissing_closed_eyes{background-position:-293px 0}.emoticon-flushed{background-position:-325px 0}.emoticon-relieved{background-position:-357px 0}.emoticon-satisfied{background-position:-389px 0}.emoticon-grin{background-position:-421px 0}.emoticon-wink{background-position:-453px 0}.emoticon-stuck_out_tongue_winking_eye,.emoticon-winky_face{background-position:-485px 0}.emoticon-stuck_out_tongue_closed_eyes{background-position:-517px 0}.emoticon-grinning{background-position:-549px 0}.emoticon-kissing{background-position:-581px 0}.emoticon-kissing_smiling_eyes{background-position:-613px 0}.emoticon-stuck_out_tongue{background-position:-645px 0}.emoticon-sleeping{background-position:-677px 0}.emoticon-worried{background-position:-709px 0}.emoticon-frowning{background-position:-741px 0}.emoticon-anguished{background-position:-773px 0}.emoticon-open_mouth,.emoticon-wow{background-position:-805px 0}.emoticon-grimacing{background-position:-837px 0}.emoticon-confused{background-position:-869px 0}.emoticon-hushed{background-position:-901px 0}.emoticon-expressionless{background-position:-933px 0}.emoticon-unamused{background-position:-965px 0}.emoticon-sweat_smile{background-position:-997px 0}.emoticon-sweat{background-position:-1029px 0}.emoticon-disappointed_relieved{background-position:-1061px 0}.emoticon-weary{background-position:-1093px 0}.emoticon-pensive{background-position:-1125px 0}.emoticon-disappointed{background-position:-1157px 0}.emoticon-confounded{background-position:-1189px 0}.emoticon-fearful{background-position:-1221px 0}.emoticon-cold_sweat{background-position:-1253px 0}.emoticon-persevere{background-position:-1285px 0}.emoticon-cry{background-position:-1317px 0}.emoticon-sob{background-position:-1349px 0}.emoticon-joy{background-position:-1381px 0}.emoticon-astonished{background-position:-1413px 0}.emoticon-scream{background-position:-1445px 0}.emoticon-neckbeard{background-position:-1477px 0}.emoticon-tired_face{background-position:-1509px 0}.emoticon-angry{background-position:-1541px 0}.emoticon-rage{background-position:-1573px 0}.emoticon-triumph{background-position:-1605px 0}.emoticon-sleepy{background-position:-1637px 0}.emoticon-yum{background-position:-1669px 0}.emoticon-mask{background-position:-1701px 0}.emoticon-sunglasses{background-position:-1733px 0}.emoticon-dizzy_face{background-position:-1765px 0}.emoticon-imp{background-position:-1797px 0}.emoticon-smiling_imp{background-position:-1829px 0}.emoticon-neutral_face{background-position:-1861px 0}.emoticon-no_mouth{background-position:-1893px 0}.emoticon-innocent{background-position:-1925px 0}.emoticon-alien{background-position:-1957px 0}.emoticon-yellow_heart{background-position:-1989px 0}.emoticon-blue_heart{background-position:-2021px 0}.emoticon-purple_heart{background-position:-2053px 0}.emoticon-heart{background-position:-2085px 0}.emoticon-green_heart{background-position:-2117px 0}.emoticon-broken_heart{background-position:-2149px 0}.emoticon-heartbeat{background-position:-2181px 0}.emoticon-heartpulse{background-position:-2213px 0}.emoticon-two_hearts{background-position:-2245px 0}.emoticon-revolving_hearts{background-position:-2277px 0}.emoticon-cupid{background-position:-2309px 0}.emoticon-sparkling_heart{background-position:-2341px 0}.emoticon-sparkles{background-position:-2373px 0}.emoticon-star{background-position:-2405px 0}.emoticon-star2{background-position:-2437px 0}.emoticon-dizzy{background-position:-2469px 0}.emoticon-boom{background-position:-2501px 0}.emoticon-collision{background-position:-2533px 0}.emoticon-anger{background-position:-2565px 0}.emoticon-exclamation{background-position:-2597px 0}.emoticon-question{background-position:-2629px 0}.emoticon-grey_exclamation{background-position:-2661px 0}.emoticon-grey_question{background-position:-2693px 0}.emoticon-zzz{background-position:-2725px 0}.emoticon-dash{background-position:-2757px 0}.emoticon-sweat_drops{background-position:-2789px 0}.emoticon-notes{background-position:-2821px 0}.emoticon-musical_note{background-position:-2853px 0}.emoticon-fire{background-position:-2885px 0}.emoticon-hankey{background-position:-2917px 0}.emoticon-poop{background-position:-2949px 0}.emoticon-shit{background-position:-2981px 0}.emoticon-\+1{background-position:-3013px 0}.emoticon-thumbsup{background-position:-3045px 0}.emoticon-\-1{background-position:-3077px 0}.emoticon-thumbsdown{background-position:-3109px 0}.emoticon-ok_hand{background-position:-3141px 0}.emoticon-punch{background-position:-3173px 0}.emoticon-facepunch{background-position:-3205px 0}.emoticon-fist{background-position:-3237px 0}.emoticon-v{background-position:-3269px 0}.emoticon-wave{background-position:-3301px 0}.emoticon-hand{background-position:-3333px 0}.emoticon-raised_hand{background-position:-3365px 0}.emoticon-open_hands{background-position:-3397px 0}.emoticon-point_up{background-position:-3429px 0}.emoticon-point_down{background-position:-3461px 0}.emoticon-point_left{background-position:-3493px 0}.emoticon-point_right{background-position:-3525px 0}.emoticon-raised_hands{background-position:-3557px 0}.emoticon-pray{background-position:-3589px 0}.emoticon-point_up_2{background-position:-3621px 0}.emoticon-clap{background-position:-3653px 0}.emoticon-muscle{background-position:-3685px 0}.emoticon-metal{background-position:-3717px 0}.emoticon-fu{background-position:-3749px 0}.emoticon-walking{background-position:-3781px 0}.emoticon-runner{background-position:-3813px 0}.emoticon-running{background-position:-3845px 0}.emoticon-couple{background-position:-3877px 0}.emoticon-family{background-position:-3909px 0}.emoticon-two_men_holding_hands{background-position:-3941px 0}.emoticon-two_women_holding_hands{background-position:-3973px 0}.emoticon-dancer{background-position:-4005px 0}.emoticon-dancers{background-position:-4037px 0}.emoticon-ok_woman{background-position:-4069px 0}.emoticon-no_good{background-position:-4101px 0}.emoticon-information_desk_person{background-position:-4133px 0}.emoticon-raising_hand{background-position:-4165px 0}.emoticon-bride_with_veil{background-position:-4197px 0}.emoticon-person_with_pouting_face{background-position:-4229px 0}.emoticon-person_frowning{background-position:-4261px 0}.emoticon-bow{background-position:-4293px 0}.emoticon-couplekiss{background-position:-4325px 0}.emoticon-couple_with_heart{background-position:-4357px 0}.emoticon-massage{background-position:-4389px 0}.emoticon-haircut{background-position:-4421px 0}.emoticon-nail_care{background-position:-4453px 0}.emoticon-boy{background-position:-4485px 0}.emoticon-girl{background-position:-4517px 0}.emoticon-woman{background-position:-4549px 0}.emoticon-man{background-position:-4581px 0}.emoticon-baby{background-position:-4613px 0}.emoticon-older_woman{background-position:-4645px 0}.emoticon-older_man{background-position:-4677px 0}.emoticon-person_with_blond_hair{background-position:-4709px 0}.emoticon-man_with_gua_pi_mao{background-position:-4741px 0}.emoticon-man_with_turban{background-position:-4773px 0}.emoticon-construction_worker{background-position:-4805px 0}.emoticon-cop{background-position:-4837px 0}.emoticon-angel{background-position:-4869px 0}.emoticon-princess{background-position:-4901px 0}.emoticon-emoticon_cat{background-position:-4933px 0}.emoticon-smile_cat{background-position:-4965px 0}.emoticon-heart_eyes_cat{background-position:-4997px 0}.emoticon-kissing_cat{background-position:-5029px 0}.emoticon-smirk_cat{background-position:-5061px 0}.emoticon-scream_cat{background-position:-5093px 0}.emoticon-crying_cat_face{background-position:-5125px 0}.emoticon-joy_cat{background-position:-5157px 0}.emoticon-pouting_cat{background-position:-5189px 0}.emoticon-japanese_ogre{background-position:-5221px 0}.emoticon-japanese_goblin{background-position:-5253px 0}.emoticon-see_no_evil{background-position:-5285px 0}.emoticon-hear_no_evil{background-position:-5317px 0}.emoticon-speak_no_evil{background-position:-5349px 0}.emoticon-guardsman{background-position:-5381px 0}.emoticon-skull{background-position:-5413px 0}.emoticon-feet{background-position:-5445px 0}.emoticon-lips{background-position:-5477px 0}.emoticon-kiss{background-position:-5509px 0}.emoticon-droplet{background-position:-5541px 0}.emoticon-ear{background-position:-5573px 0}.emoticon-eyes{background-position:-5605px 0}.emoticon-nose{background-position:-5637px 0}.emoticon-tongue{background-position:-5669px 0}.emoticon-love_letter{background-position:-5701px 0}.emoticon-bust_in_silhouette{background-position:-5733px 0}.emoticon-busts_in_silhouette{background-position:-5765px 0}.emoticon-speech_balloon{background-position:-5797px 0}.emoticon-thought_balloon{background-position:-5829px 0}.emoticon-feelsgood{background-position:-5861px 0}.emoticon-finnadie{background-position:-5893px 0}.emoticon-goberserk{background-position:-5925px 0}.emoticon-godmode{background-position:-5957px 0}.emoticon-hurtrealbad{background-position:-5989px 0}.emoticon-rage1{background-position:-6021px 0}.emoticon-rage2{background-position:-6053px 0}.emoticon-rage3{background-position:-6085px 0}.emoticon-rage4{background-position:-6117px 0}.emoticon-suspect{background-position:-6149px 0}.emoticon-trollface{background-position:-6181px 0}.emoticon-sunny{background-position:-6213px 0}.emoticon-umbrella{background-position:-6245px 0}.emoticon-cloud{background-position:-6277px 0}.emoticon-snowflake{background-position:-6309px 0}.emoticon-snowman{background-position:-6341px 0}.emoticon-zap{background-position:-6373px 0}.emoticon-cyclone{background-position:-6405px 0}.emoticon-foggy{background-position:-6437px 0}.emoticon-ocean{background-position:-6469px 0}.emoticon-cat{background-position:-6501px 0}.emoticon-dog{background-position:-6533px 0}.emoticon-mouse{background-position:-6565px 0}.emoticon-hamster{background-position:-6597px 0}.emoticon-rabbit{background-position:-6629px 0}.emoticon-wolf{background-position:-6661px 0}.emoticon-frog{background-position:-6693px 0}.emoticon-tiger{background-position:-6725px 0}.emoticon-koala{background-position:-6757px 0}.emoticon-bear{background-position:-6789px 0}.emoticon-pig{background-position:-6821px 0}.emoticon-pig_nose{background-position:-6853px 0}.emoticon-cow{background-position:-6885px 0}.emoticon-boar{background-position:-6917px 0}.emoticon-monkey_face{background-position:-6949px 0}.emoticon-monkey{background-position:-6981px 0}.emoticon-horse{background-position:-7013px 0}.emoticon-racehorse{background-position:-7045px 0}.emoticon-camel{background-position:-7077px 0}.emoticon-sheep{background-position:-7109px 0}.emoticon-elephant{background-position:-7141px 0}.emoticon-panda_face{background-position:-7173px 0}.emoticon-snake{background-position:-7205px 0}.emoticon-bird{background-position:-7237px 0}.emoticon-baby_chick{background-position:-7269px 0}.emoticon-hatched_chick{background-position:-7301px 0}.emoticon-hatching_chick{background-position:-7333px 0}.emoticon-chicken{background-position:-7365px 0}.emoticon-penguin{background-position:-7397px 0}.emoticon-turtle{background-position:-7429px 0}.emoticon-bug{background-position:-7461px 0}.emoticon-honeybee{background-position:-7493px 0}.emoticon-ant{background-position:-7525px 0}.emoticon-beetle{background-position:-7557px 0}.emoticon-snail{background-position:-7589px 0}.emoticon-octopus{background-position:-7621px 0}.emoticon-tropical_fish{background-position:-7653px 0}.emoticon-fish{background-position:-7685px 0}.emoticon-whale{background-position:-7717px 0}.emoticon-whale2{background-position:-7749px 0}.emoticon-dolphin{background-position:-7781px 0}.emoticon-cow2{background-position:-7813px 0}.emoticon-ram{background-position:-7845px 0}.emoticon-rat{background-position:-7877px 0}.emoticon-water_buffalo{background-position:-7909px 0}.emoticon-tiger2{background-position:-7941px 0}.emoticon-rabbit2{background-position:-7973px 0}.emoticon-dragon{background-position:-8005px 0}.emoticon-goat{background-position:-8037px 0}.emoticon-rooster{background-position:-8069px 0}.emoticon-dog2{background-position:-8101px 0}.emoticon-pig2{background-position:-8133px 0}.emoticon-mouse2{background-position:-8165px 0}.emoticon-ox{background-position:-8197px 0}.emoticon-dragon_face{background-position:-8229px 0}.emoticon-blowfish{background-position:-8261px 0}.emoticon-crocodile{background-position:-8293px 0}.emoticon-dromedary_camel{background-position:-8325px 0}.emoticon-leopard{background-position:-8357px 0}.emoticon-cat2{background-position:-8389px 0}.emoticon-poodle{background-position:-8421px 0}.emoticon-paw_prints{background-position:-8453px 0}.emoticon-bouquet{background-position:-8485px 0}.emoticon-cherry_blossom{background-position:-8517px 0}.emoticon-tulip{background-position:-8549px 0}.emoticon-four_leaf_clover{background-position:-8581px 0}.emoticon-rose{background-position:-8613px 0}.emoticon-sunflower{background-position:-8645px 0}.emoticon-hibiscus{background-position:-8677px 0}.emoticon-maple_leaf{background-position:-8709px 0}.emoticon-leaves{background-position:-8741px 0}.emoticon-fallen_leaf{background-position:-8773px 0}.emoticon-herb{background-position:-8805px 0}.emoticon-mushroom{background-position:-8837px 0}.emoticon-cactus{background-position:-8869px 0}.emoticon-palm_tree{background-position:-8901px 0}.emoticon-evergreen_tree{background-position:-8933px 0}.emoticon-deciduous_tree{background-position:-8965px 0}.emoticon-chestnut{background-position:-8997px 0}.emoticon-seedling{background-position:-9029px 0}.emoticon-blossom{background-position:-9061px 0}.emoticon-ear_of_rice{background-position:-9093px 0}.emoticon-shell{background-position:-9125px 0}.emoticon-globe_with_meridians{background-position:-9157px 0}.emoticon-sun_with_face{background-position:-9189px 0}.emoticon-full_moon_with_face{background-position:-9221px 0}.emoticon-new_moon_with_face{background-position:-9253px 0}.emoticon-new_moon{background-position:-9285px 0}.emoticon-waxing_crescent_moon{background-position:-9317px 0}.emoticon-first_quarter_moon{background-position:-9349px 0}.emoticon-waxing_gibbous_moon{background-position:-9381px 0}.emoticon-full_moon{background-position:-9413px 0}.emoticon-waning_gibbous_moon{background-position:-9445px 0}.emoticon-last_quarter_moon{background-position:-9477px 0}.emoticon-waning_crescent_moon{background-position:-9509px 0}.emoticon-last_quarter_moon_with_face{background-position:-9541px 0}.emoticon-first_quarter_moon_with_face{background-position:-9573px 0}.emoticon-moon{background-position:-9605px 0}.emoticon-earth_africa{background-position:-9637px 0}.emoticon-earth_americas{background-position:-9669px 0}.emoticon-earth_asia{background-position:-9701px 0}.emoticon-volcano{background-position:-9733px 0}.emoticon-milky_way{background-position:-9765px 0}.emoticon-partly_sunny{background-position:-9797px 0}.emoticon-octocat{background-position:-9829px 0}.emoticon-squirrel{background-position:-9861px 0}.emoticon-bamboo{background-position:-9893px 0}.emoticon-gift_heart{background-position:-9925px 0}.emoticon-dolls{background-position:-9957px 0}.emoticon-school_satchel{background-position:-9989px 0}.emoticon-mortar_board{background-position:-10021px 0}.emoticon-flags{background-position:-10053px 0}.emoticon-fireworks{background-position:-10085px 0}.emoticon-sparkler{background-position:-10117px 0}.emoticon-wind_chime{background-position:-10149px 0}.emoticon-rice_scene{background-position:-10181px 0}.emoticon-jack_o_lantern{background-position:-10213px 0}.emoticon-ghost{background-position:-10245px 0}.emoticon-santa{background-position:-10277px 0}.emoticon-christmas_tree{background-position:-10309px 0}.emoticon-gift{background-position:-10341px 0}.emoticon-bell{background-position:-10373px 0}.emoticon-no_bell{background-position:-10405px 0}.emoticon-tanabata_tree{background-position:-10437px 0}.emoticon-tada{background-position:-10469px 0}.emoticon-confetti_ball{background-position:-10501px 0}.emoticon-balloon{background-position:-10533px 0}.emoticon-crystal_ball{background-position:-10565px 0}.emoticon-cd{background-position:-10597px 0}.emoticon-dvd{background-position:-10629px 0}.emoticon-floppy_disk{background-position:-10661px 0}.emoticon-camera{background-position:-10693px 0}.emoticon-video_camera{background-position:-10725px 0}.emoticon-movie_camera{background-position:-10757px 0}.emoticon-computer{background-position:-10789px 0}.emoticon-tv{background-position:-10821px 0}.emoticon-iphone{background-position:-10853px 0}.emoticon-phone{background-position:-10885px 0}.emoticon-telephone{background-position:-10917px 0}.emoticon-telephone_receiver{background-position:-10949px 0}.emoticon-pager{background-position:-10981px 0}.emoticon-fax{background-position:-11013px 0}.emoticon-minidisc{background-position:-11045px 0}.emoticon-vhs{background-position:-11077px 0}.emoticon-sound{background-position:-11109px 0}.emoticon-speaker{background-position:-11141px 0}.emoticon-mute{background-position:-11173px 0}.emoticon-loudspeaker{background-position:-11205px 0}.emoticon-mega{background-position:-11237px 0}.emoticon-hourglass{background-position:-11269px 0}.emoticon-hourglass_flowing_sand{background-position:-11301px 0}.emoticon-alarm_clock{background-position:-11333px 0}.emoticon-watch{background-position:-11365px 0}.emoticon-radio{background-position:-11397px 0}.emoticon-satellite{background-position:-11429px 0}.emoticon-loop{background-position:-11461px 0}.emoticon-mag{background-position:-11493px 0}.emoticon-mag_right{background-position:-11525px 0}.emoticon-unlock{background-position:-11557px 0}.emoticon-lock{background-position:-11589px 0}.emoticon-lock_with_ink_pen{background-position:-11621px 0}.emoticon-closed_lock_with_key{background-position:-11653px 0}.emoticon-key{background-position:-11685px 0}.emoticon-bulb{background-position:-11717px 0}.emoticon-flashlight{background-position:-11749px 0}.emoticon-high_brightness{background-position:-11781px 0}.emoticon-low_brightness{background-position:-11813px 0}.emoticon-electric_plug{background-position:-11845px 0}.emoticon-battery{background-position:-11877px 0}.emoticon-calling{background-position:-11909px 0}.emoticon-email{background-position:-11941px 0}.emoticon-mailbox{background-position:-11973px 0}.emoticon-postbox{background-position:-12005px 0}.emoticon-bath{background-position:-12037px 0}.emoticon-bathtub{background-position:-12069px 0}.emoticon-shower{background-position:-12101px 0}.emoticon-toilet{background-position:-12133px 0}.emoticon-wrench{background-position:-12165px 0}.emoticon-nut_and_bolt{background-position:-12197px 0}.emoticon-hammer{background-position:-12229px 0}.emoticon-seat{background-position:-12261px 0}.emoticon-moneybag{background-position:-12293px 0}.emoticon-yen{background-position:-12325px 0}.emoticon-dollar{background-position:-12357px 0}.emoticon-pound{background-position:-12389px 0}.emoticon-euro{background-position:-12421px 0}.emoticon-credit_card{background-position:-12453px 0}.emoticon-money_with_wings{background-position:-12485px 0}.emoticon-e\-mail{background-position:-12517px 0}.emoticon-inbox_tray{background-position:-12549px 0}.emoticon-outbox_tray{background-position:-12581px 0}.emoticon-envelope{background-position:-12613px 0}.emoticon-incoming_envelope{background-position:-12645px 0}.emoticon-postal_horn{background-position:-12677px 0}.emoticon-mailbox_closed{background-position:-12709px 0}.emoticon-mailbox_with_mail{background-position:-12741px 0}.emoticon-mailbox_with_no_mail{background-position:-12773px 0}.emoticon-door{background-position:-12805px 0}.emoticon-smoking{background-position:-12837px 0}.emoticon-bomb{background-position:-12869px 0}.emoticon-gun{background-position:-12901px 0}.emoticon-hocho{background-position:-12933px 0}.emoticon-pill{background-position:-12965px 0}.emoticon-syringe{background-position:-12997px 0}.emoticon-page_facing_up{background-position:-13029px 0}.emoticon-page_with_curl{background-position:-13061px 0}.emoticon-bookmark_tabs{background-position:-13093px 0}.emoticon-bar_chart{background-position:-13125px 0}.emoticon-chart_with_upwards_trend{background-position:-13157px 0}.emoticon-chart_with_downwards_trend{background-position:-13189px 0}.emoticon-scroll{background-position:-13221px 0}.emoticon-clipboard{background-position:-13253px 0}.emoticon-calendar{background-position:-13285px 0}.emoticon-date{background-position:-13317px 0}.emoticon-card_index{background-position:-13349px 0}.emoticon-file_folder{background-position:-13381px 0}.emoticon-open_file_folder{background-position:-13413px 0}.emoticon-scissors{background-position:-13445px 0}.emoticon-pushpin{background-position:-13477px 0}.emoticon-paperclip{background-position:-13509px 0}.emoticon-black_nib{background-position:-13541px 0}.emoticon-pencil2{background-position:-13573px 0}.emoticon-straight_ruler{background-position:-13605px 0}.emoticon-triangular_ruler{background-position:-13637px 0}.emoticon-closed_book{background-position:-13669px 0}.emoticon-green_book{background-position:-13701px 0}.emoticon-blue_book{background-position:-13733px 0}.emoticon-orange_book{background-position:-13765px 0}.emoticon-notebook{background-position:-13797px 0}.emoticon-notebook_with_decorative_cover{background-position:-13829px 0}.emoticon-ledger{background-position:-13861px 0}.emoticon-books{background-position:-13893px 0}.emoticon-bookmark{background-position:-13925px 0}.emoticon-name_badge{background-position:-13957px 0}.emoticon-microscope{background-position:-13989px 0}.emoticon-telescope{background-position:-14021px 0}.emoticon-newspaper{background-position:-14053px 0}.emoticon-football{background-position:-14085px 0}.emoticon-basketball{background-position:-14117px 0}.emoticon-soccer{background-position:-14149px 0}.emoticon-baseball{background-position:-14181px 0}.emoticon-tennis{background-position:-14213px 0}.emoticon-8ball{background-position:-14245px 0}.emoticon-rugby_football{background-position:-14277px 0}.emoticon-bowling{background-position:-14309px 0}.emoticon-golf{background-position:-14341px 0}.emoticon-mountain_bicyclist{background-position:-14373px 0}.emoticon-bicyclist{background-position:-14405px 0}.emoticon-horse_racing{background-position:-14437px 0}.emoticon-snowboarder{background-position:-14469px 0}.emoticon-swimmer{background-position:-14501px 0}.emoticon-surfer{background-position:-14533px 0}.emoticon-ski{background-position:-14565px 0}.emoticon-spades{background-position:-14597px 0}.emoticon-hearts{background-position:-14629px 0}.emoticon-clubs{background-position:-14661px 0}.emoticon-diamonds{background-position:-14693px 0}.emoticon-gem{background-position:-14725px 0}.emoticon-ring{background-position:-14757px 0}.emoticon-trophy{background-position:-14789px 0}.emoticon-musical_score{background-position:-14821px 0}.emoticon-musical_keyboard{background-position:-14853px 0}.emoticon-violin{background-position:-14885px 0}.emoticon-space_invader{background-position:-14917px 0}.emoticon-video_game{background-position:-14949px 0}.emoticon-black_joker{background-position:-14981px 0}.emoticon-flower_playing_cards{background-position:-15013px 0}.emoticon-game_die{background-position:-15045px 0}.emoticon-dart{background-position:-15077px 0}.emoticon-mahjong{background-position:-15109px 0}.emoticon-clapper{background-position:-15141px 0}.emoticon-memo{background-position:-15173px 0}.emoticon-pencil{background-position:-15205px 0}.emoticon-book{background-position:-15237px 0}.emoticon-art{background-position:-15269px 0}.emoticon-microphone{background-position:-15301px 0}.emoticon-headphones{background-position:-15333px 0}.emoticon-trumpet{background-position:-15365px 0}.emoticon-saxophone{background-position:-15397px 0}.emoticon-guitar{background-position:-15429px 0}.emoticon-shoe{background-position:-15461px 0}.emoticon-sandal{background-position:-15493px 0}.emoticon-high_heel{background-position:-15525px 0}.emoticon-lipstick{background-position:-15557px 0}.emoticon-boot{background-position:-15589px 0}.emoticon-shirt{background-position:-15621px 0}.emoticon-tshirt{background-position:-15653px 0}.emoticon-necktie{background-position:-15685px 0}.emoticon-womans_clothes{background-position:-15717px 0}.emoticon-dress{background-position:-15749px 0}.emoticon-running_shirt_with_sash{background-position:-15781px 0}.emoticon-jeans{background-position:-15813px 0}.emoticon-kimono{background-position:-15845px 0}.emoticon-bikini{background-position:-15877px 0}.emoticon-ribbon{background-position:-15909px 0}.emoticon-tophat{background-position:-15941px 0}.emoticon-crown{background-position:-15973px 0}.emoticon-womans_hat{background-position:-16005px 0}.emoticon-mans_shoe{background-position:-16037px 0}.emoticon-closed_umbrella{background-position:-16069px 0}.emoticon-briefcase{background-position:-16101px 0}.emoticon-handbag{background-position:-16133px 0}.emoticon-pouch{background-position:-16165px 0}.emoticon-purse{background-position:-16197px 0}.emoticon-eyeglasses{background-position:-16229px 0}.emoticon-fishing_pole_and_fish{background-position:-16261px 0}.emoticon-coffee{background-position:-16293px 0}.emoticon-tea{background-position:-16325px 0}.emoticon-sake{background-position:-16357px 0}.emoticon-baby_bottle{background-position:-16389px 0}.emoticon-beer{background-position:-16421px 0}.emoticon-beers{background-position:-16453px 0}.emoticon-cocktail{background-position:-16485px 0}.emoticon-tropical_drink{background-position:-16517px 0}.emoticon-wine_glass{background-position:-16549px 0}.emoticon-fork_and_knife{background-position:-16581px 0}.emoticon-pizza{background-position:-16613px 0}.emoticon-hamburger{background-position:-16645px 0}.emoticon-fries{background-position:-16677px 0}.emoticon-poultry_leg{background-position:-16709px 0}.emoticon-meat_on_bone{background-position:-16741px 0}.emoticon-spaghetti{background-position:-16773px 0}.emoticon-curry{background-position:-16805px 0}.emoticon-fried_shrimp{background-position:-16837px 0}.emoticon-bento{background-position:-16869px 0}.emoticon-sushi{background-position:-16901px 0}.emoticon-fish_cake{background-position:-16933px 0}.emoticon-rice_ball{background-position:-16965px 0}.emoticon-rice_cracker{background-position:-16997px 0}.emoticon-rice{background-position:-17029px 0}.emoticon-ramen{background-position:-17061px 0}.emoticon-stew{background-position:-17093px 0}.emoticon-oden{background-position:-17125px 0}.emoticon-dango{background-position:-17157px 0}.emoticon-egg{background-position:-17189px 0}.emoticon-bread{background-position:-17221px 0}.emoticon-doughnut{background-position:-17253px 0}.emoticon-custard{background-position:-17285px 0}.emoticon-icecream{background-position:-17317px 0}.emoticon-ice_cream{background-position:-17349px 0}.emoticon-shaved_ice{background-position:-17381px 0}.emoticon-birthday{background-position:-17413px 0}.emoticon-cake{background-position:-17445px 0}.emoticon-cookie{background-position:-17477px 0}.emoticon-chocolate_bar{background-position:-17509px 0}.emoticon-candy{background-position:-17541px 0}.emoticon-lollipop{background-position:-17573px 0}.emoticon-honey_pot{background-position:-17605px 0}.emoticon-apple{background-position:-17637px 0}.emoticon-green_apple{background-position:-17669px 0}.emoticon-tangerine{background-position:-17701px 0}.emoticon-lemon{background-position:-17733px 0}.emoticon-cherries{background-position:-17765px 0}.emoticon-grapes{background-position:-17797px 0}.emoticon-watermelon{background-position:-17829px 0}.emoticon-strawberry{background-position:-17861px 0}.emoticon-peach{background-position:-17893px 0}.emoticon-melon{background-position:-17925px 0}.emoticon-banana{background-position:-17957px 0}.emoticon-pear{background-position:-17989px 0}.emoticon-pineapple{background-position:-18021px 0}.emoticon-sweet_potato{background-position:-18053px 0}.emoticon-eggplant{background-position:-18085px 0}.emoticon-tomato{background-position:-18117px 0}.emoticon-corn{background-position:-18149px 0}.emoticon-house{background-position:-18181px 0}.emoticon-house_with_garden{background-position:-18213px 0}.emoticon-school{background-position:-18245px 0}.emoticon-office{background-position:-18277px 0}.emoticon-post_office{background-position:-18309px 0}.emoticon-hospital{background-position:-18341px 0}.emoticon-bank{background-position:-18373px 0}.emoticon-convenience_store{background-position:-18405px 0}.emoticon-love_hotel{background-position:-18437px 0}.emoticon-hotel{background-position:-18469px 0}.emoticon-wedding{background-position:-18501px 0}.emoticon-church{background-position:-18533px 0}.emoticon-department_store{background-position:-18565px 0}.emoticon-european_post_office{background-position:-18597px 0}.emoticon-city_sunrise{background-position:-18629px 0}.emoticon-city_sunset{background-position:-18661px 0}.emoticon-japanese_castle{background-position:-18693px 0}.emoticon-european_castle{background-position:-18725px 0}.emoticon-tent{background-position:-18757px 0}.emoticon-factory{background-position:-18789px 0}.emoticon-tokyo_tower{background-position:-18821px 0}.emoticon-japan{background-position:-18853px 0}.emoticon-mount_fuji{background-position:-18885px 0}.emoticon-sunrise_over_mountains{background-position:-18917px 0}.emoticon-sunrise{background-position:-18949px 0}.emoticon-stars,.emoticon-themoreyouknow,.emoticon-tmyk{background-position:-18981px 0}.emoticon-statue_of_liberty{background-position:-19013px 0}.emoticon-bridge_at_night{background-position:-19045px 0}.emoticon-carousel_horse{background-position:-19077px 0}.emoticon-rainbow{background-position:-19109px 0}.emoticon-ferris_wheel{background-position:-19141px 0}.emoticon-fountain{background-position:-19173px 0}.emoticon-roller_coaster{background-position:-19205px 0}.emoticon-ship{background-position:-19237px 0}.emoticon-speedboat{background-position:-19269px 0}.emoticon-boat{background-position:-19301px 0}.emoticon-sailboat{background-position:-19333px 0}.emoticon-rowboat{background-position:-19365px 0}.emoticon-anchor{background-position:-19397px 0}.emoticon-rocket{background-position:-19429px 0}.emoticon-airplane{background-position:-19461px 0}.emoticon-helicopter{background-position:-19493px 0}.emoticon-steam_locomotive{background-position:-19525px 0}.emoticon-tram{background-position:-19557px 0}.emoticon-mountain_railway{background-position:-19589px 0}.emoticon-bike{background-position:-19621px 0}.emoticon-aerial_tramway{background-position:-19653px 0}.emoticon-suspension_railway{background-position:-19685px 0}.emoticon-mountain_cableway{background-position:-19717px 0}.emoticon-tractor{background-position:-19749px 0}.emoticon-blue_car{background-position:-19781px 0}.emoticon-oncoming_automobile{background-position:-19813px 0}.emoticon-car{background-position:-19845px 0}.emoticon-red_car{background-position:-19877px 0}.emoticon-taxi{background-position:-19909px 0}.emoticon-oncoming_taxi{background-position:-19941px 0}.emoticon-articulated_lorry{background-position:-19973px 0}.emoticon-bus{background-position:-20005px 0}.emoticon-oncoming_bus{background-position:-20037px 0}.emoticon-rotating_light{background-position:-20069px 0}.emoticon-police_car{background-position:-20101px 0}.emoticon-oncoming_police_car{background-position:-20133px 0}.emoticon-fire_engine{background-position:-20165px 0}.emoticon-ambulance{background-position:-20197px 0}.emoticon-minibus{background-position:-20229px 0}.emoticon-truck{background-position:-20261px 0}.emoticon-train{background-position:-20293px 0}.emoticon-station{background-position:-20325px 0}.emoticon-train2{background-position:-20357px 0}.emoticon-bullettrain_front{background-position:-20389px 0}.emoticon-bullettrain_side{background-position:-20421px 0}.emoticon-light_rail{background-position:-20453px 0}.emoticon-monorail{background-position:-20485px 0}.emoticon-railway_car{background-position:-20517px 0}.emoticon-trolleybus{background-position:-20549px 0}.emoticon-ticket{background-position:-20581px 0}.emoticon-fuelpump{background-position:-20613px 0}.emoticon-vertical_traffic_light{background-position:-20645px 0}.emoticon-traffic_light{background-position:-20677px 0}.emoticon-warning{background-position:-20709px 0}.emoticon-construction{background-position:-20741px 0}.emoticon-beginner{background-position:-20773px 0}.emoticon-atm{background-position:-20805px 0}.emoticon-slot_machine{background-position:-20837px 0}.emoticon-busstop{background-position:-20869px 0}.emoticon-barber{background-position:-20901px 0}.emoticon-hotsprings{background-position:-20933px 0}.emoticon-checkered_flag{background-position:-20965px 0}.emoticon-crossed_flags{background-position:-20997px 0}.emoticon-izakaya_lantern{background-position:-21029px 0}.emoticon-moyai{background-position:-21061px 0}.emoticon-circus_tent{background-position:-21093px 0}.emoticon-performing_arts{background-position:-21125px 0}.emoticon-round_pushpin{background-position:-21157px 0}.emoticon-triangular_flag_on_post{background-position:-21189px 0}.emoticon-jp{background-position:-21221px 0}.emoticon-kr{background-position:-21253px 0}.emoticon-cn{background-position:-21285px 0}.emoticon-us{background-position:-21317px 0}.emoticon-fr{background-position:-21349px 0}.emoticon-es{background-position:-21381px 0}.emoticon-it{background-position:-21413px 0}.emoticon-ru{background-position:-21445px 0}.emoticon-gb{background-position:-21477px 0}.emoticon-uk{background-position:-21509px 0}.emoticon-de{background-position:-21541px 0}.emoticon-one{background-position:-21573px 0}.emoticon-two{background-position:-21605px 0}.emoticon-three{background-position:-21637px 0}.emoticon-four{background-position:-21669px 0}.emoticon-five{background-position:-21701px 0}.emoticon-six{background-position:-21733px 0}.emoticon-seven{background-position:-21765px 0}.emoticon-eight{background-position:-21797px 0}.emoticon-nine{background-position:-21829px 0}.emoticon-keycap_ten{background-position:-21861px 0}.emoticon-1234{background-position:-21893px 0}.emoticon-zero{background-position:-21925px 0}.emoticon-hash{background-position:-21957px 0}.emoticon-symbols{background-position:-21989px 0}.emoticon-arrow_backward{background-position:-22021px 0}.emoticon-arrow_down{background-position:-22053px 0}.emoticon-arrow_forward{background-position:-22085px 0}.emoticon-arrow_left{background-position:-22117px 0}.emoticon-capital_abcd{background-position:-22149px 0}.emoticon-abcd{background-position:-22181px 0}.emoticon-abc{background-position:-22213px 0}.emoticon-arrow_lower_left{background-position:-22245px 0}.emoticon-arrow_lower_right{background-position:-22277px 0}.emoticon-arrow_right{background-position:-22309px 0}.emoticon-arrow_up{background-position:-22341px 0}.emoticon-arrow_upper_left{background-position:-22373px 0}.emoticon-arrow_upper_right{background-position:-22405px 0}.emoticon-arrow_double_down{background-position:-22437px 0}.emoticon-arrow_double_up{background-position:-22469px 0}.emoticon-arrow_down_small{background-position:-22501px 0}.emoticon-arrow_heading_down{background-position:-22533px 0}.emoticon-arrow_heading_up{background-position:-22565px 0}.emoticon-leftwards_arrow_with_hook{background-position:-22597px 0}.emoticon-arrow_right_hook{background-position:-22629px 0}.emoticon-left_right_arrow{background-position:-22661px 0}.emoticon-arrow_up_down{background-position:-22693px 0}.emoticon-arrow_up_small{background-position:-22725px 0}.emoticon-arrows_clockwise{background-position:-22757px 0}.emoticon-arrows_counterclockwise{background-position:-22789px 0}.emoticon-rewind{background-position:-22821px 0}.emoticon-fast_forward{background-position:-22853px 0}.emoticon-information_source{background-position:-22885px 0}.emoticon-ok{background-position:-22917px 0}.emoticon-twisted_rightwards_arrows{background-position:-22949px 0}.emoticon-repeat{background-position:-22981px 0}.emoticon-repeat_one{background-position:-23013px 0}.emoticon-new{background-position:-23045px 0}.emoticon-top{background-position:-23077px 0}.emoticon-up{background-position:-23109px 0}.emoticon-cool{background-position:-23141px 0}.emoticon-free{background-position:-23173px 0}.emoticon-ng{background-position:-23205px 0}.emoticon-cinema{background-position:-23237px 0}.emoticon-koko{background-position:-23269px 0}.emoticon-signal_strength{background-position:-23301px 0}.emoticon-u5272{background-position:-23333px 0}.emoticon-u5408{background-position:-23365px 0}.emoticon-u55b6{background-position:-23397px 0}.emoticon-u6307{background-position:-23429px 0}.emoticon-u6708{background-position:-23461px 0}.emoticon-u6709{background-position:-23493px 0}.emoticon-u6e80{background-position:-23525px 0}.emoticon-u7121{background-position:-23557px 0}.emoticon-u7533{background-position:-23589px 0}.emoticon-u7a7a{background-position:-23621px 0}.emoticon-u7981{background-position:-23653px 0}.emoticon-sa{background-position:-23685px 0}.emoticon-restroom{background-position:-23717px 0}.emoticon-mens{background-position:-23749px 0}.emoticon-womens{background-position:-23781px 0}.emoticon-baby_symbol{background-position:-23813px 0}.emoticon-no_smoking{background-position:-23845px 0}.emoticon-parking{background-position:-23877px 0}.emoticon-wheelchair{background-position:-23909px 0}.emoticon-metro{background-position:-23941px 0}.emoticon-baggage_claim{background-position:-23973px 0}.emoticon-accept{background-position:-24005px 0}.emoticon-wc{background-position:-24037px 0}.emoticon-potable_water{background-position:-24069px 0}.emoticon-put_litter_in_its_place{background-position:-24101px 0}.emoticon-secret{background-position:-24133px 0}.emoticon-congratulations{background-position:-24165px 0}.emoticon-m{background-position:-24197px 0}.emoticon-passport_control{background-position:-24229px 0}.emoticon-left_luggage{background-position:-24261px 0}.emoticon-customs{background-position:-24293px 0}.emoticon-ideograph_advantage{background-position:-24325px 0}.emoticon-cl{background-position:-24357px 0}.emoticon-sos{background-position:-24389px 0}.emoticon-id{background-position:-24421px 0}.emoticon-no_entry_sign{background-position:-24453px 0}.emoticon-underage{background-position:-24485px 0}.emoticon-no_mobile_phones{background-position:-24517px 0}.emoticon-do_not_litter{background-position:-24549px 0}.emoticon-non\-potable_water{background-position:-24581px 0}.emoticon-no_bicycles{background-position:-24613px 0}.emoticon-no_pedestrians{background-position:-24645px 0}.emoticon-children_crossing{background-position:-24677px 0}.emoticon-no_entry{background-position:-24709px 0}.emoticon-eight_spoked_asterisk{background-position:-24741px 0}.emoticon-eight_pointed_black_star{background-position:-24773px 0}.emoticon-heart_decoration{background-position:-24805px 0}.emoticon-vs{background-position:-24837px 0}.emoticon-vibration_mode{background-position:-24869px 0}.emoticon-mobile_phone_off{background-position:-24901px 0}.emoticon-chart{background-position:-24933px 0}.emoticon-currency_exchange{background-position:-24965px 0}.emoticon-aries{background-position:-24997px 0}.emoticon-taurus{background-position:-25029px 0}.emoticon-gemini{background-position:-25061px 0}.emoticon-cancer{background-position:-25093px 0}.emoticon-leo{background-position:-25125px 0}.emoticon-virgo{background-position:-25157px 0}.emoticon-libra{background-position:-25189px 0}.emoticon-scorpius{background-position:-25221px 0}.emoticon-sagittarius{background-position:-25253px 0}.emoticon-capricorn{background-position:-25285px 0}.emoticon-aquarius{background-position:-25317px 0}.emoticon-pisces{background-position:-25349px 0}.emoticon-ophiuchus{background-position:-25381px 0}.emoticon-six_pointed_star{background-position:-25413px 0}.emoticon-negative_squared_cross_mark{background-position:-25445px 0}.emoticon-a{background-position:-25477px 0}.emoticon-b{background-position:-25509px 0}.emoticon-ab{background-position:-25541px 0}.emoticon-o2{background-position:-25573px 0}.emoticon-diamond_shape_with_a_dot_inside{background-position:-25605px 0}.emoticon-recycle{background-position:-25637px 0}.emoticon-end{background-position:-25669px 0}.emoticon-on{background-position:-25701px 0}.emoticon-soon{background-position:-25733px 0}.emoticon-clock1{background-position:-25765px 0}.emoticon-clock130{background-position:-25797px 0}.emoticon-clock10{background-position:-25829px 0}.emoticon-clock1030{background-position:-25861px 0}.emoticon-clock11{background-position:-25893px 0}.emoticon-clock1130{background-position:-25925px 0}.emoticon-clock12{background-position:-25957px 0}.emoticon-clock1230{background-position:-25989px 0}.emoticon-clock2{background-position:-26021px 0}.emoticon-clock230{background-position:-26053px 0}.emoticon-clock3{background-position:-26085px 0}.emoticon-clock330{background-position:-26117px 0}.emoticon-clock4{background-position:-26149px 0}.emoticon-clock430{background-position:-26181px 0}.emoticon-clock5{background-position:-26213px 0}.emoticon-clock530{background-position:-26245px 0}.emoticon-clock6{background-position:-26277px 0}.emoticon-clock630{background-position:-26309px 0}.emoticon-clock7{background-position:-26341px 0}.emoticon-clock730{background-position:-26373px 0}.emoticon-clock8{background-position:-26405px 0}.emoticon-clock830{background-position:-26437px 0}.emoticon-clock9{background-position:-26469px 0}.emoticon-clock930{background-position:-26501px 0}.emoticon-heavy_dollar_sign{background-position:-26533px 0}.emoticon-copyright{background-position:-26565px 0}.emoticon-registered{background-position:-26597px 0}.emoticon-tm{background-position:-26629px 0}.emoticon-x{background-position:-26661px 0}.emoticon-heavy_exclamation_mark{background-position:-26693px 0}.emoticon-bangbang{background-position:-26725px 0}.emoticon-interrobang{background-position:-26757px 0}.emoticon-o{background-position:-26789px 0}.emoticon-heavy_multiplication_x{background-position:-26821px 0}.emoticon-heavy_plus_sign{background-position:-26853px 0}.emoticon-heavy_minus_sign{background-position:-26885px 0}.emoticon-heavy_division_sign{background-position:-26917px 0}.emoticon-white_flower{background-position:-26949px 0}.emoticon-100{background-position:-26981px 0}.emoticon-heavy_check_mark{background-position:-27013px 0}.emoticon-ballot_box_with_check{background-position:-27045px 0}.emoticon-radio_button{background-position:-27077px 0}.emoticon-link{background-position:-27109px 0}.emoticon-curly_loop{background-position:-27141px 0}.emoticon-wavy_dash{background-position:-27173px 0}.emoticon-part_alternation_mark{background-position:-27205px 0}.emoticon-trident{background-position:-27237px 0}.emoticon-black_large_square{background-position:-27269px 0}.emoticon-white_large_square{background-position:-27301px 0}.emoticon-white_check_mark{background-position:-27333px 0}.emoticon-black_square{background-position:-27268px 0}.emoticon-white_square{background-position:-27301px 0}.emoticon-black_square_button{background-position:-27365px 0}.emoticon-white_square_button{background-position:-27397px 0}.emoticon-black_circle{background-position:-27429px 0}.emoticon-white_circle{background-position:-27461px 0}.emoticon-red_circle{background-position:-27493px 0}.emoticon-large_blue_circle{background-position:-27525px 0}.emoticon-large_blue_diamond{background-position:-27557px 0}.emoticon-large_orange_diamond{background-position:-27589px 0}.emoticon-small_blue_diamond{background-position:-27621px 0}.emoticon-small_orange_diamond{background-position:-27653px 0}.emoticon-small_red_triangle{background-position:-27685px 0}.emoticon-small_red_triangle_down{background-position:-27717px 0}.emoticon-shipit{background-position:-27749px 0}.hljs{display:block;overflow-x:auto;padding:.5em;background:#f0f0f0;-webkit-text-size-adjust:none}.hljs,.hljs-subst,.hljs-tag .hljs-title,.nginx .hljs-title{color:#000}.apache .hljs-cbracket,.apache .hljs-tag,.asciidoc .hljs-header,.bash .hljs-variable,.coffeescript .hljs-attribute,.django .hljs-variable,.erlang_repl .hljs-function_or_atom,.haml .hljs-symbol,.hljs-addition,.hljs-constant,.hljs-flow,.hljs-name,.hljs-parent,.hljs-pragma,.hljs-preprocessor,.hljs-rule .hljs-value,.hljs-stream,.hljs-string,.hljs-tag .hljs-value,.hljs-template_tag,.hljs-title,.markdown .hljs-header,.pf .hljs-variable,.ruby .hljs-symbol,.ruby .hljs-symbol .hljs-string,.smalltalk .hljs-class,.tex .hljs-command,.tex .hljs-special,.tp .hljs-variable{color:#800}.asciidoc .hljs-blockquote,.diff .hljs-header,.hljs-annotation,.hljs-chunk,.hljs-comment,.markdown .hljs-blockquote,.smartquote{color:#888}.asciidoc .hljs-bullet,.asciidoc .hljs-link_url,.go .hljs-constant,.hljs-change,.hljs-date,.hljs-hexcolor,.hljs-literal,.hljs-number,.hljs-regexp,.lasso .hljs-variable,.makefile .hljs-variable,.markdown .hljs-bullet,.markdown .hljs-link_url,.smalltalk .hljs-char,.smalltalk .hljs-symbol{color:#080}.apache .hljs-sqbracket,.asciidoc .hljs-attribute,.asciidoc .hljs-link_label,.clojure .hljs-attribute,.coffeescript .hljs-property,.erlang_repl .hljs-reserved,.haml .hljs-bullet,.hljs-array,.hljs-attr_selector,.hljs-decorator,.hljs-deletion,.hljs-doctype,.hljs-envvar,.hljs-filter .hljs-argument,.hljs-important,.hljs-label,.hljs-localvars,.hljs-phony,.hljs-pi,.hljs-prompt,.hljs-pseudo,.hljs-shebang,.lasso .hljs-attribute,.markdown .hljs-link_label,.nginx .hljs-built_in,.ruby .hljs-string,.tex .hljs-formula,.vhdl .hljs-attribute{color:#88f}.apache .hljs-tag,.asciidoc .hljs-strong,.bash .hljs-variable,.css .hljs-tag,.hljs-built_in,.hljs-doctag,.hljs-id,.hljs-keyword,.hljs-request,.hljs-status,.hljs-title,.hljs-type,.hljs-typename,.hljs-winutils,.markdown .hljs-strong,.pf .hljs-variable,.smalltalk .hljs-class,.tex .hljs-command,.tp .hljs-data,.tp .hljs-io{font-weight:700}.asciidoc .hljs-emphasis,.markdown .hljs-emphasis,.tp .hljs-units{font-style:italic}.nginx .hljs-built_in{font-weight:400}.coffeescript .javascript,.javascript .xml,.lasso .markup,.tex .hljs-formula,.xml .css,.xml .hljs-cdata,.xml .javascript,.xml .vbscript{opacity:.5}/*! + * Stylesheet for the Date Range Picker, for use with Bootstrap 3.x + * + * Copyright 2013 Dan Grossman ( http://www.dangrossman.info ) + * Licensed under the Apache License v2.0 + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Built for http://www.improvely.com + */.daterangepicker.dropdown-menu{max-width:none;z-index:3000}.daterangepicker.opensleft .calendar,.daterangepicker.opensleft .ranges{float:left;margin:4px}.daterangepicker.openscenter .calendar,.daterangepicker.openscenter .ranges,.daterangepicker.opensright .calendar,.daterangepicker.opensright .ranges{float:right;margin:4px}.daterangepicker.single .calendar,.daterangepicker.single .ranges{float:none}.daterangepicker .ranges{width:160px;text-align:left}.daterangepicker .ranges .range_inputs>div{float:left}.daterangepicker .ranges .range_inputs>div:nth-child(2){padding-left:11px}.daterangepicker .calendar{display:none;max-width:270px}.daterangepicker.show-calendar .calendar{display:block}.daterangepicker .calendar.single .calendar-date{border:none}.daterangepicker .calendar td,.daterangepicker .calendar th{font-family:'Helvetica Neue',Helvetica,Arial,sans-serif;white-space:nowrap;text-align:center;min-width:32px}.daterangepicker .daterangepicker_end_input label,.daterangepicker .daterangepicker_start_input label{color:#333;display:block;font-size:11px;font-weight:400;height:20px;line-height:20px;margin-bottom:2px;text-shadow:#fff 1px 1px 0;text-transform:uppercase;width:74px}.daterangepicker .ranges input{font-size:11px}.daterangepicker .ranges .input-mini{border:1px solid #ccc;border-radius:4px;color:#555;display:block;font-size:11px;height:30px;line-height:30px;vertical-align:middle;margin:0 0 10px;padding:0 6px;width:74px}.daterangepicker .ranges ul{list-style:none;margin:0;padding:0}.daterangepicker .ranges li{font-size:13px;background:#f5f5f5;border:1px solid #f5f5f5;color:#08c;padding:3px 12px;margin-bottom:8px;-webkit-border-radius:5px;-moz-border-radius:5px;border-radius:5px;cursor:pointer}.daterangepicker .ranges li.active,.daterangepicker .ranges li:hover{background:#08c;border:1px solid #08c;color:#fff}.daterangepicker .calendar-date{border:1px solid #ddd;padding:4px;border-radius:4px;background:#fff}.daterangepicker .calendar-time{text-align:center;margin:8px auto 0;line-height:30px}.daterangepicker{position:absolute;background:#fff;top:100px;left:20px;padding:4px;margin-top:1px;-webkit-border-radius:4px;-moz-border-radius:4px;border-radius:4px}.daterangepicker.openscenter:after,.daterangepicker.openscenter:before{left:0;width:0;margin-left:auto;margin-right:auto;display:inline-block;content:'';position:absolute}.daterangepicker.opensleft:before{position:absolute;top:-7px;right:9px;display:inline-block;border-right:7px solid transparent;border-bottom:7px solid #ccc;border-left:7px solid transparent;border-bottom-color:rgba(0,0,0,.2);content:''}.daterangepicker.opensleft:after{position:absolute;top:-6px;right:10px;display:inline-block;border-right:6px solid transparent;border-bottom:6px solid #fff;border-left:6px solid transparent;content:''}.daterangepicker.openscenter:before{top:-7px;right:0;border-right:7px solid transparent;border-bottom:7px solid #ccc;border-left:7px solid transparent;border-bottom-color:rgba(0,0,0,.2)}.daterangepicker.openscenter:after{top:-6px;right:0;border-right:6px solid transparent;border-bottom:6px solid #fff;border-left:6px solid transparent}.daterangepicker.opensright:before{position:absolute;top:-7px;left:9px;display:inline-block;border-right:7px solid transparent;border-bottom:7px solid #ccc;border-left:7px solid transparent;border-bottom-color:rgba(0,0,0,.2);content:''}.daterangepicker.opensright:after{position:absolute;top:-6px;left:10px;display:inline-block;border-right:6px solid transparent;border-bottom:6px solid #fff;border-left:6px solid transparent;content:''}.daterangepicker table{width:100%;margin:0}.daterangepicker td,.daterangepicker th{text-align:center;width:20px;height:20px;-webkit-border-radius:4px;-moz-border-radius:4px;border-radius:4px;cursor:pointer;white-space:nowrap}.daterangepicker option.disabled,.daterangepicker td.disabled,.daterangepicker td.off{color:#999}.daterangepicker td.available:hover,.daterangepicker th.available:hover{background:#eee}.daterangepicker td.in-range{background:#ebf4f8;-webkit-border-radius:0;-moz-border-radius:0;border-radius:0}.daterangepicker td.start-date{-webkit-border-radius:4px 0 0 4px;-moz-border-radius:4px 0 0 4px;border-radius:4px 0 0 4px}.daterangepicker td.end-date{-webkit-border-radius:0 4px 4px 0;-moz-border-radius:0 4px 4px 0;border-radius:0 4px 4px 0}.daterangepicker td.start-date.end-date{-webkit-border-radius:4px;-moz-border-radius:4px;border-radius:4px}.daterangepicker td.active,.daterangepicker td.active:hover{background-color:#357ebd;border-color:#3071a9;color:#fff}.daterangepicker td.week,.daterangepicker th.week{font-size:80%;color:#ccc}.daterangepicker select.monthselect,.daterangepicker select.yearselect{font-size:12px;padding:1px;height:auto;margin:0;cursor:default}.daterangepicker select.monthselect{margin-right:2%;width:56%}.daterangepicker select.yearselect{width:40%}.daterangepicker select.ampmselect,.daterangepicker select.hourselect,.daterangepicker select.minuteselect,.daterangepicker select.secondselect{width:50px;margin-bottom:0}.daterangepicker_start_input{float:left}.daterangepicker_end_input{float:left;padding-left:11px}.daterangepicker th.month{width:auto}.ng-cloak,.x-ng-cloak,[data-ng-cloak],[ng-cloak],[ng\:cloak],[x-ng-cloak]{display:none!important}.adf-move{cursor:move;cursor:-webkit-grabbing}.edit .column{min-height:120px;border:1px dashed #ccc;padding-top:15px;-webkit-border-radius:5px;-moz-border-radius:5px;border-radius:5px}pre.edit{margin-top:15px}.column .placeholder{opacity:.4;background:#E8E8E8;border:1px dashed #505050;margin-bottom:15px;-webkit-border-radius:5px;-moz-border-radius:5px;border-radius:5px}.dashboard-container h1 a,.widget h3 a{text-decoration:none}.padding-bottom{padding-bottom:5px}.adf-flip{-moz-transform:scaleX(-1);-o-transform:scaleX(-1);-webkit-transform:scaleX(-1);transform:scaleX(-1);filter:FlipH;-ms-filter:"FlipH"}.ui-grid-top-panel,.ui-grid-top-panel-background{filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#ffffff', endColorstr='#eeeeee', GradientType=0)}.dashboard-modal.widget-fullscreen .modal-dialog{width:98%}.clock{text-align:center}.clock-time{font-size:3em}.clock-date{font-size:1em}iframe{width:100%;height:100%;min-height:400px;border:1px solid grey}/*! + * ui-grid - v3.1.0 - 2016-01-19 + * Copyright (c) 2016 ; License: MIT + */.ui-grid{border:1px solid #d4d4d4;box-sizing:content-box;-webkit-border-radius:0;-moz-border-radius:0;border-radius:0;-webkit-transform:translateZ(0);-moz-transform:translateZ(0);-o-transform:translateZ(0);-ms-transform:translateZ(0);transform:translateZ(0)}.ui-grid-vertical-bar{position:absolute;right:0;width:0}.ui-grid-scrollbar-placeholder{background-color:transparent}.ui-grid-cell:not(:last-child) .ui-grid-vertical-bar,.ui-grid-header-cell:not(:last-child) .ui-grid-vertical-bar{width:1px;background-color:#d4d4d4}.ui-grid-header-cell:last-child .ui-grid-vertical-bar{right:-1px;width:1px;background-color:#d4d4d4}.ui-grid-clearfix:after,.ui-grid-clearfix:before{content:"";display:table}.ui-grid-invisible{visibility:hidden}.ui-grid-contents-wrapper{position:relative;height:100%;width:100%}.ui-grid-sr-only{position:absolute;width:1px;height:1px;margin:-1px;padding:0;overflow:hidden;clip:rect(0,0,0,0);border:0}.ui-grid-top-panel-background{background:#f3f3f3;background:-webkit-gradient(linear,left bottom,left top,color-stop(0,#eee),color-stop(1,#fff));background:-ms-linear-gradient(bottom,#eee,#fff);background:-moz-linear-gradient(center bottom,#eee 0,#fff 100%);background:-o-linear-gradient(#fff,#eee)}.ui-grid-header{border-bottom:1px solid #d4d4d4}.ui-grid-top-panel{position:relative;overflow:hidden;font-weight:700;background:#f3f3f3;background:-webkit-gradient(linear,left bottom,left top,color-stop(0,#eee),color-stop(1,#fff));background:-ms-linear-gradient(bottom,#eee,#fff);background:-moz-linear-gradient(center bottom,#eee 0,#fff 100%);background:-o-linear-gradient(#fff,#eee);-moz-border-radius-topright:-1px;-moz-border-radius-bottomright:0;-moz-border-radius-bottomleft:0;-moz-border-radius-topleft:-1px;-moz-background-clip:padding-box;-webkit-background-clip:padding-box;background-clip:padding-box;-webkit-border-radius:-1px -1px 0 0;border-radius:-1px -1px 0 0}.ui-grid-header-viewport{overflow:hidden}.ui-grid-header-canvas:after,.ui-grid-header-canvas:before{content:"";display:table;line-height:0}.ui-grid-header-cell-wrapper{position:relative;display:table;box-sizing:border-box;height:100%}.ui-grid-header-cell-row{display:table-row;position:relative}.ui-grid-header-cell{position:relative;background-color:inherit;border-right:1px solid;border-color:#d4d4d4;display:table-cell;-webkit-user-select:none;-moz-user-select:none;-ms-user-select:none;user-select:none;width:0}.ui-grid-header-cell:last-child{border-right:0}.ui-grid-header-cell .sortable{cursor:pointer}.ui-grid-header-cell .ui-grid-sort-priority-number{margin-left:-8px}.ui-grid-header .ui-grid-vertical-bar{top:0;bottom:0}.ui-grid-column-menu-button{position:absolute;right:1px;top:0}.ui-grid-column-menu-button .ui-grid-icon-angle-down{vertical-align:sub}.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button,.ui-grid-pager-control button{vertical-align:middle;touch-action:manipulation;white-space:nowrap;-webkit-user-select:none}.ui-grid-column-menu-button-last-col{margin-right:25px}.ui-grid-column-menu{position:absolute}.ui-grid-column-menu .ui-grid-menu .ui-grid-menu-mid.ng-hide-add,.ui-grid-column-menu .ui-grid-menu .ui-grid-menu-mid.ng-hide-remove{-webkit-transition:all 50ms linear;-moz-transition:all 50ms linear;-o-transition:all 50ms linear;transition:all 50ms linear;display:block!important}.ui-grid-column-menu .ui-grid-menu .ui-grid-menu-mid.ng-hide-add.ng-hide-add-active,.ui-grid-column-menu .ui-grid-menu .ui-grid-menu-mid.ng-hide-remove{-webkit-transform:translateY(-100%);-moz-transform:translateY(-100%);-o-transform:translateY(-100%);-ms-transform:translateY(-100%);transform:translateY(-100%)}.ui-grid-column-menu .ui-grid-menu .ui-grid-menu-mid.ng-hide-add,.ui-grid-column-menu .ui-grid-menu .ui-grid-menu-mid.ng-hide-remove.ng-hide-remove-active{-webkit-transform:translateY(0);-moz-transform:translateY(0);-o-transform:translateY(0);-ms-transform:translateY(0);transform:translateY(0)}.ui-grid-menu-button .ui-grid-menu .ui-grid-menu-mid.ng-hide-add,.ui-grid-menu-button .ui-grid-menu .ui-grid-menu-mid.ng-hide-remove{-webkit-transition:all 50ms linear;-moz-transition:all 50ms linear;-o-transition:all 50ms linear;transition:all 50ms linear;display:block!important}.ui-grid-menu-button .ui-grid-menu .ui-grid-menu-mid.ng-hide-add.ng-hide-add-active,.ui-grid-menu-button .ui-grid-menu .ui-grid-menu-mid.ng-hide-remove{-webkit-transform:translateY(-100%);-moz-transform:translateY(-100%);-o-transform:translateY(-100%);-ms-transform:translateY(-100%);transform:translateY(-100%)}.ui-grid-menu-button .ui-grid-menu .ui-grid-menu-mid.ng-hide-add,.ui-grid-menu-button .ui-grid-menu .ui-grid-menu-mid.ng-hide-remove.ng-hide-remove-active{-webkit-transform:translateY(0);-moz-transform:translateY(0);-o-transform:translateY(0);-ms-transform:translateY(0);transform:translateY(0)}.ui-grid-filter-container{padding:4px 10px;position:relative}.ui-grid-filter-container .ui-grid-filter-button{position:absolute;top:0;bottom:0;right:0}.ui-grid-filter-container .ui-grid-filter-button [class^=ui-grid-icon]{position:absolute;top:50%;line-height:32px;margin-top:-16px;right:10px;opacity:.66}.ui-grid-filter-container .ui-grid-filter-button [class^=ui-grid-icon]:hover{opacity:1}.ui-grid-filter-container .ui-grid-filter-button-select{position:absolute;top:0;bottom:0;right:0}.ui-grid-filter-container .ui-grid-filter-button-select [class^=ui-grid-icon]{position:absolute;top:50%;line-height:32px;margin-top:-16px;right:0;opacity:.66}.ui-grid-filter-container .ui-grid-filter-button-select [class^=ui-grid-icon]:hover{opacity:1}select.ui-grid-filter-select{padding:0;margin:0;width:90%;border:1px solid #d4d4d4;-moz-border-radius-topright:0;-moz-border-radius-bottomright:0;-moz-border-radius-bottomleft:0;-moz-border-radius-topleft:0;-moz-background-clip:padding-box;-webkit-background-clip:padding-box;background-clip:padding-box;-webkit-border-radius:0;border-radius:0}select.ui-grid-filter-select:hover{border:1px solid #d4d4d4}.ui-grid-no-row-overlay,.ui-grid-render-container{-moz-border-radius-topright:0;-moz-border-radius-bottomright:0;-moz-border-radius-bottomleft:0;-moz-border-radius-topleft:0}.ui-grid-filter-cancel-button-hidden select.ui-grid-filter-select{width:100%}.ui-grid-render-container{position:inherit;-moz-background-clip:padding-box;-webkit-background-clip:padding-box;background-clip:padding-box;-webkit-border-radius:0;border-radius:0}.ui-grid-render-container:focus{outline:0}.ui-grid-viewport{min-height:20px;position:relative;overflow-y:scroll;-webkit-overflow-scrolling:touch}.ui-grid-viewport:focus{outline:0!important}.ui-grid-canvas{position:relative;padding-top:1px}.ui-grid-row:nth-child(odd) .ui-grid-cell{background-color:#fdfdfd}.ui-grid-footer-panel-background,.ui-grid-no-row-overlay{background:#f3f3f3;filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#ffffff', endColorstr='#eeeeee', GradientType=0)}.ui-grid-row:nth-child(even) .ui-grid-cell{background-color:#f3f3f3}.ui-grid-row:last-child .ui-grid-cell{border-bottom-color:#d4d4d4;border-bottom-style:solid}.ui-grid-no-row-overlay{position:absolute;top:0;bottom:0;left:0;right:0;margin:10%;background:-webkit-gradient(linear,left bottom,left top,color-stop(0,#eee),color-stop(1,#fff));background:-ms-linear-gradient(bottom,#eee,#fff);background:-moz-linear-gradient(center bottom,#eee 0,#fff 100%);background:-o-linear-gradient(#fff,#eee);-moz-background-clip:padding-box;-webkit-background-clip:padding-box;background-clip:padding-box;border:1px solid #d4d4d4;font-size:2em;text-align:center;-webkit-border-radius:0;border-radius:0}.ui-grid-no-row-overlay>*{position:absolute;display:table;margin:auto 0;width:100%;top:0;bottom:0;left:0;right:0;opacity:.66}.ui-grid-cell{overflow:hidden;float:left;background-color:inherit;border-right:1px solid;border-color:#d4d4d4}.ui-grid-cell:last-child{border-right:0}.ui-grid-cell-contents{padding:5px;-moz-box-sizing:border-box;-webkit-box-sizing:border-box;box-sizing:border-box;white-space:nowrap;-ms-text-overflow:ellipsis;-o-text-overflow:ellipsis;text-overflow:ellipsis;overflow:hidden;height:100%}.ui-grid-cell-contents-hidden{visibility:hidden;width:0;height:0;display:none}.ui-grid-row .ui-grid-cell.ui-grid-row-header-cell{background-color:#f0f0ee;border-bottom:solid 1px #d4d4d4}.ui-grid-footer-panel-background{background:-webkit-gradient(linear,left bottom,left top,color-stop(0,#eee),color-stop(1,#fff));background:-ms-linear-gradient(bottom,#eee,#fff);background:-moz-linear-gradient(center bottom,#eee 0,#fff 100%);background:-o-linear-gradient(#fff,#eee)}.ui-grid-footer-panel{position:relative;border-bottom:1px solid #d4d4d4;border-top:1px solid #d4d4d4;overflow:hidden;font-weight:700;background:#f3f3f3;background:-webkit-gradient(linear,left bottom,left top,color-stop(0,#eee),color-stop(1,#fff));background:-ms-linear-gradient(bottom,#eee,#fff);background:-moz-linear-gradient(center bottom,#eee 0,#fff 100%);background:-o-linear-gradient(#fff,#eee);filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#ffffff', endColorstr='#eeeeee', GradientType=0);-moz-border-radius-topright:-1px;-moz-border-radius-bottomright:0;-moz-border-radius-bottomleft:0;-moz-border-radius-topleft:-1px;-moz-background-clip:padding-box;-webkit-background-clip:padding-box;background-clip:padding-box;-webkit-border-radius:-1px -1px 0 0;border-radius:-1px -1px 0 0}.ui-grid-grid-footer{float:left;width:100%}.ui-grid-footer-viewport{overflow:hidden}.ui-grid-footer-canvas{position:relative}.ui-grid-footer-canvas:after,.ui-grid-footer-canvas:before{content:"";display:table;line-height:0}.ui-grid-footer-cell-wrapper{position:relative;display:table;box-sizing:border-box;height:100%}.ui-grid-menu,.ui-grid-menu-button{position:absolute;cursor:pointer;z-index:2}.ui-grid-footer-cell-row{display:table-row}.ui-grid-footer-cell{overflow:hidden;background-color:inherit;border-right:1px solid;border-color:#d4d4d4;box-sizing:border-box;display:table-cell}.ui-grid-footer-cell:last-child{border-right:0}input[type=text].ui-grid-filter-input{padding:0;margin:0;width:100%;border:1px solid #d4d4d4;-moz-border-radius-topright:0;-moz-border-radius-bottomright:0;-moz-border-radius-bottomleft:0;-moz-border-radius-topleft:0;-moz-background-clip:padding-box;-webkit-background-clip:padding-box;background-clip:padding-box;-webkit-border-radius:0;border-radius:0}input[type=text].ui-grid-filter-input:hover{border:1px solid #d4d4d4}.ui-grid-menu-button{right:0;top:0;background:#f3f3f3;border:1px solid #d4d4d4;height:31px;font-weight:400}.ui-grid-menu-button .ui-grid-icon-container{margin-top:3px}.ui-grid-menu-button .ui-grid-menu{right:0}.ui-grid-menu-button .ui-grid-menu .ui-grid-menu-mid{overflow:scroll;border:1px solid #d4d4d4}.ui-grid-menu{padding:0 10px 20px;box-sizing:border-box}.ui-grid-menu .ui-grid-menu-inner{background:#f3f3f3;border:1px solid #d4d4d4;position:relative;white-space:nowrap;-webkit-border-radius:0;-moz-border-radius:0;border-radius:0;-webkit-box-shadow:0 10px 20px rgba(0,0,0,.2),inset 0 12px 12px -14px rgba(0,0,0,.2);-moz-box-shadow:0 10px 20px rgba(0,0,0,.2),inset 0 12px 12px -14px rgba(0,0,0,.2);box-shadow:0 10px 20px rgba(0,0,0,.2),inset 0 12px 12px -14px rgba(0,0,0,.2)}.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button{position:absolute;right:0;top:0;display:inline-block;margin-bottom:0;font-weight:400;text-align:center;cursor:pointer;background-image:none;border:1px solid transparent;-moz-user-select:none;-ms-user-select:none;user-select:none;padding:1px;font-size:10px;line-height:1;border-radius:2px;color:transparent;background-color:transparent}.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.active.focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.active:focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:active.focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:active:focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:focus{outline:dotted thin;outline:-webkit-focus-ring-color auto 5px;outline-offset:-2px}.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:hover{text-decoration:none}.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.active,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:active{outline:0;-webkit-box-shadow:inset 0 3px 5px rgba(0,0,0,.125);box-shadow:inset 0 3px 5px rgba(0,0,0,.125)}.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.disabled,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button[disabled],fieldset[disabled] .ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button{cursor:not-allowed;opacity:.65;filter:alpha(opacity=65);-webkit-box-shadow:none;box-shadow:none}a.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.disabled,fieldset[disabled] a.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button{pointer-events:none}.open>.dropdown-toggle.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button,.open>.dropdown-toggle.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.focus,.open>.dropdown-toggle.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:focus,.open>.dropdown-toggle.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:hover,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.active,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.active.focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.active:focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.active:hover,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:active,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:active.focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:active:focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:active:hover,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:hover{color:transparent;background-color:rgba(0,0,0,0);border-color:transparent}.open>.dropdown-toggle.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.active,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:active{background-image:none}.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.disabled,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.disabled.active,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.disabled.focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.disabled:active,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.disabled:focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.disabled:hover,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button[disabled],.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button[disabled].active,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button[disabled].focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button[disabled]:active,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button[disabled]:focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button[disabled]:hover,fieldset[disabled] .ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button,fieldset[disabled] .ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.active,fieldset[disabled] .ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.focus,fieldset[disabled] .ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:active,fieldset[disabled] .ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:focus,fieldset[disabled] .ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:hover{background-color:transparent;border-color:transparent}.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button .badge{color:transparent;background-color:transparent}.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button>i{opacity:.75;color:#000}div.ui-grid-cell input,div.ui-grid-cell input:focus{color:inherit;outline:0}.ui-grid-menu .ui-grid-menu-inner ul{margin:0;padding:0;list-style-type:none}.ui-grid-menu .ui-grid-menu-inner ul li{padding:0}.ui-grid-menu .ui-grid-menu-inner ul li button{min-width:100%;padding:8px;text-align:left;background:0 0;border:none}.ui-grid-menu .ui-grid-menu-inner ul li button:focus,.ui-grid-menu .ui-grid-menu-inner ul li button:hover{-webkit-box-shadow:inset 0 0 14px rgba(0,0,0,.2);-moz-box-shadow:inset 0 0 14px rgba(0,0,0,.2);box-shadow:inset 0 0 14px rgba(0,0,0,.2)}.ui-grid-menu .ui-grid-menu-inner ul li button.ui-grid-menu-item-active{-webkit-box-shadow:inset 0 0 14px rgba(0,0,0,.2);-moz-box-shadow:inset 0 0 14px rgba(0,0,0,.2);box-shadow:inset 0 0 14px rgba(0,0,0,.2);background-color:#cecece}.ui-grid-menu .ui-grid-menu-inner ul li:not(:last-child)>button{border-bottom:1px solid #d4d4d4}.ui-grid-sortarrow{right:5px;position:absolute;width:20px;top:0;bottom:0;background-position:center}.ui-grid-sortarrow.down{-webkit-transform:rotate(180deg);-moz-transform:rotate(180deg);-o-transform:rotate(180deg);-ms-transform:rotate(180deg);transform:rotate(180deg)}@font-face{font-family:ui-grid;src:url(ui-grid.eot);src:url(ui-grid.eot#iefix) format('embedded-opentype'),url(../../assets/css/global/ui-grid.woff) format('woff'),url(../../assets/css/global/ui-grid.ttf) format('truetype'),url(ui-grid.svg?#ui-grid) format('svg');font-weight:400;font-style:normal}[class*=" ui-grid-icon"]:before,[class^=ui-grid-icon]:before{font-family:ui-grid;font-style:normal;font-weight:400;speak:none;display:inline-block;text-decoration:inherit;width:1em;margin-right:.2em;text-align:center;font-variant:normal;text-transform:none;line-height:1em;margin-left:.2em}.ui-grid-icon-blank::before{width:1em;content:' '}.ui-grid[dir=rtl] .ui-grid-cell,.ui-grid[dir=rtl] .ui-grid-footer-cell,.ui-grid[dir=rtl] .ui-grid-header-cell{float:right!important}.scrollFiller,.ui-grid-pager-container,.ui-grid-pager-row-count-picker{float:left}.ui-grid[dir=rtl] .ui-grid-column-menu-button{position:absolute;left:1px;top:0;right:inherit}.ui-grid[dir=rtl] .ui-grid-cell:first-child,.ui-grid[dir=rtl] .ui-grid-footer-cell:first-child,.ui-grid[dir=rtl] .ui-grid-header-cell:first-child{border-right:0}.ui-grid[dir=rtl] .ui-grid-cell:last-child,.ui-grid[dir=rtl] .ui-grid-header-cell:last-child{border-right:1px solid #d4d4d4;border-left:0}.ui-grid[dir=rtl] .ui-grid-cell:first-child .ui-grid-vertical-bar,.ui-grid[dir=rtl] .ui-grid-footer-cell:first-child .ui-grid-vertical-bar,.ui-grid[dir=rtl] .ui-grid-header-cell:first-child .ui-grid-vertical-bar{width:0}.ui-grid[dir=rtl] .ui-grid-menu-button{z-index:2;position:absolute;left:0;right:auto;background:#f3f3f3;border:1px solid #d4d4d4;cursor:pointer;min-height:27px;font-weight:400}.ui-grid[dir=rtl] .ui-grid-menu-button .ui-grid-menu{left:0;right:auto}.ui-grid[dir=rtl] .ui-grid-filter-container .ui-grid-filter-button{right:initial;left:0}.ui-grid[dir=rtl] .ui-grid-filter-container .ui-grid-filter-button [class^=ui-grid-icon]{right:initial;left:10px}.ui-grid-animate-spin{-moz-animation:ui-grid-spin 2s infinite linear;-o-animation:ui-grid-spin 2s infinite linear;-webkit-animation:ui-grid-spin 2s infinite linear;animation:ui-grid-spin 2s infinite linear;display:inline-block}@-moz-keyframes ui-grid-spin{0%{-moz-transform:rotate(0);-o-transform:rotate(0);-webkit-transform:rotate(0);transform:rotate(0)}100%{-moz-transform:rotate(359deg);-o-transform:rotate(359deg);-webkit-transform:rotate(359deg);transform:rotate(359deg)}}@-webkit-keyframes ui-grid-spin{0%{-moz-transform:rotate(0);-o-transform:rotate(0);-webkit-transform:rotate(0);transform:rotate(0)}100%{-moz-transform:rotate(359deg);-o-transform:rotate(359deg);-webkit-transform:rotate(359deg);transform:rotate(359deg)}}@-o-keyframes ui-grid-spin{0%{-moz-transform:rotate(0);-o-transform:rotate(0);-webkit-transform:rotate(0);transform:rotate(0)}100%{-moz-transform:rotate(359deg);-o-transform:rotate(359deg);-webkit-transform:rotate(359deg);transform:rotate(359deg)}}@-ms-keyframes ui-grid-spin{0%{-moz-transform:rotate(0);-o-transform:rotate(0);-webkit-transform:rotate(0);transform:rotate(0)}100%{-moz-transform:rotate(359deg);-o-transform:rotate(359deg);-webkit-transform:rotate(359deg);transform:rotate(359deg)}}@keyframes ui-grid-spin{0%{-moz-transform:rotate(0);-o-transform:rotate(0);-webkit-transform:rotate(0);transform:rotate(0)}100%{-moz-transform:rotate(359deg);-o-transform:rotate(359deg);-webkit-transform:rotate(359deg);transform:rotate(359deg)}}.json-formatter-dark.json-formatter-row .toggler.open:after,.json-formatter-row .toggler.open:after{transform:rotate(90deg)}.ui-grid-cell-focus{outline:0;background-color:#b3c4c7}.ui-grid-focuser{position:absolute;left:0;top:0;z-index:-1;width:100%;height:100%}.ui-grid-focuser:focus{border-color:#66afe9;outline:0;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6);box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6)}.ui-grid-offscreen{display:block;position:absolute;left:-10000px;top:-10000px;clip:rect(0,0,0,0)}div.ui-grid-cell input{border-radius:inherit;padding:0;width:100%;height:auto;font:inherit}div.ui-grid-cell input[type=checkbox]{margin:9px 0 0 6px;width:auto}div.ui-grid-cell input.ng-invalid{border:1px solid #fc8f8f}.movingColumn,.scrollFiller,div.ui-grid-cell input.ng-valid{border:1px solid #d4d4d4}.expandableRow .ui-grid-row:nth-child(odd) .ui-grid-cell{background-color:#fdfdfd}.expandableRow .ui-grid-row:nth-child(even) .ui-grid-cell{background-color:#f3f3f3}.ui-grid-cell.ui-grid-disable-selection.ui-grid-row-header-cell{pointer-events:none}.ui-grid-expandable-buttons-cell i{pointer-events:all}.movingColumn{position:absolute;top:0;box-shadow:inset 0 0 14px rgba(0,0,0,.2)}.movingColumn .ui-grid-icon-angle-down{display:none}#ui-grid-twbs #ui-grid-twbs .btn-group-vertical>.btn-group:after,#ui-grid-twbs #ui-grid-twbs .btn-group-vertical>.btn-group:before,#ui-grid-twbs #ui-grid-twbs .btn-toolbar:after,#ui-grid-twbs #ui-grid-twbs .btn-toolbar:before,#ui-grid-twbs #ui-grid-twbs .form-horizontal .form-group:after,#ui-grid-twbs #ui-grid-twbs .form-horizontal .form-group:before{content:" ";display:table}.ui-grid-pager-panel{position:absolute;left:0;bottom:0;width:100%;padding-top:3px;padding-bottom:3px;box-sizing:content-box}.ui-grid-pager-control{margin-right:10px;margin-left:10px;min-width:135px;float:left}.ui-grid-pager-control button{height:25px;min-width:26px;display:inline-block;margin-bottom:0;font-weight:400;text-align:center;cursor:pointer;background-image:none;border:1px solid #ccc;padding:6px 12px;font-size:14px;line-height:1.42857143;border-radius:4px;-moz-user-select:none;-ms-user-select:none;user-select:none;color:#eee;background-color:#f3f3f3}.ui-grid-pager-control input[disabled],.ui-grid-pager-row-count-picker select[disabled],fieldset[disabled] .ui-grid-pager-control input,fieldset[disabled] .ui-grid-pager-row-count-picker select{cursor:not-allowed}.ui-grid-pager-control input,.ui-grid-pager-row-count-picker select{background-image:none;padding:5px 10px;font-size:12px;display:inline}.ui-grid-pager-control button.active.focus,.ui-grid-pager-control button.active:focus,.ui-grid-pager-control button.focus,.ui-grid-pager-control button:active.focus,.ui-grid-pager-control button:active:focus,.ui-grid-pager-control button:focus{outline:dotted thin;outline:-webkit-focus-ring-color auto 5px;outline-offset:-2px}.ui-grid-pager-control button.focus,.ui-grid-pager-control button:focus,.ui-grid-pager-control button:hover{text-decoration:none}.ui-grid-pager-control button.active,.ui-grid-pager-control button:active{outline:0;-webkit-box-shadow:inset 0 3px 5px rgba(0,0,0,.125);box-shadow:inset 0 3px 5px rgba(0,0,0,.125)}.ui-grid-pager-control button.disabled,.ui-grid-pager-control button[disabled],fieldset[disabled] .ui-grid-pager-control button{cursor:not-allowed;opacity:.65;filter:alpha(opacity=65);-webkit-box-shadow:none;box-shadow:none}a.ui-grid-pager-control button.disabled,fieldset[disabled] a.ui-grid-pager-control button{pointer-events:none}.ui-grid-pager-control button.focus,.ui-grid-pager-control button:focus{color:#eee;background-color:#dadada;border-color:#8c8c8c}.open>.dropdown-toggle.ui-grid-pager-control button,.ui-grid-pager-control button.active,.ui-grid-pager-control button:active,.ui-grid-pager-control button:hover{color:#eee;background-color:#dadada;border-color:#adadad}.open>.dropdown-toggle.ui-grid-pager-control button.focus,.open>.dropdown-toggle.ui-grid-pager-control button:focus,.open>.dropdown-toggle.ui-grid-pager-control button:hover,.ui-grid-pager-control button.active.focus,.ui-grid-pager-control button.active:focus,.ui-grid-pager-control button.active:hover,.ui-grid-pager-control button:active.focus,.ui-grid-pager-control button:active:focus,.ui-grid-pager-control button:active:hover{color:#eee;background-color:#c8c8c8;border-color:#8c8c8c}.open>.dropdown-toggle.ui-grid-pager-control button,.ui-grid-pager-control button.active,.ui-grid-pager-control button:active{background-image:none}.ui-grid-pager-control button.disabled,.ui-grid-pager-control button.disabled.active,.ui-grid-pager-control button.disabled.focus,.ui-grid-pager-control button.disabled:active,.ui-grid-pager-control button.disabled:focus,.ui-grid-pager-control button.disabled:hover,.ui-grid-pager-control button[disabled],.ui-grid-pager-control button[disabled].active,.ui-grid-pager-control button[disabled].focus,.ui-grid-pager-control button[disabled]:active,.ui-grid-pager-control button[disabled]:focus,.ui-grid-pager-control button[disabled]:hover,fieldset[disabled] .ui-grid-pager-control button,fieldset[disabled] .ui-grid-pager-control button.active,fieldset[disabled] .ui-grid-pager-control button.focus,fieldset[disabled] .ui-grid-pager-control button:active,fieldset[disabled] .ui-grid-pager-control button:focus,fieldset[disabled] .ui-grid-pager-control button:hover{background-color:#f3f3f3;border-color:#ccc}.ui-grid-pager-control button .badge{color:#f3f3f3;background-color:#eee}.ui-grid-pager-control input{color:#555;background-color:#fff;border:1px solid #ccc;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075);box-shadow:inset 0 1px 1px rgba(0,0,0,.075);transition:border-color ease-in-out .15s,box-shadow ease-in-out .15s;line-height:1.5;border-radius:3px;height:26px;width:50px;vertical-align:top}.ui-grid-pager-control input:focus{border-color:#66afe9;outline:0;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6);box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6)}.ui-grid-pager-control input::-moz-placeholder{color:#999;opacity:1}.ui-grid-pager-control input:-ms-input-placeholder{color:#999}.ui-grid-pager-control input::-webkit-input-placeholder{color:#999}.ui-grid-pager-control input[disabled],.ui-grid-pager-control input[readonly],fieldset[disabled] .ui-grid-pager-control input{background-color:#eee;opacity:1}textarea.ui-grid-pager-control input{height:auto}select.ui-grid-pager-control input{height:30px;line-height:30px}select[multiple].ui-grid-pager-control input,textarea.ui-grid-pager-control input{height:auto}.ui-grid-pager-control .ui-grid-pager-max-pages-number{vertical-align:bottom}.json-formatter-row .toggler,.slider,.ui-grid-pager-control .ui-grid-pager-max-pages-number>*{vertical-align:middle}.ui-grid-pager-control .first-bar{width:10px;border-left:2px solid #4d4d4d;margin-top:-6px;height:12px;margin-left:-3px}.ui-grid-pager-control .first-triangle{width:0;height:0;border-style:solid;border-width:5px 8.7px 5px 0;border-color:transparent #4d4d4d transparent transparent;margin-left:2px}.ui-grid-pager-control .next-triangle{margin-left:1px}.ui-grid-pager-control .prev-triangle{margin-left:0}.ui-grid-pager-control .last-triangle{width:0;height:0;border-style:solid;border-width:5px 0 5px 8.7px;border-color:transparent transparent transparent #4d4d4d;margin-left:-1px}.ui-grid-pager-control .last-bar{width:10px;border-left:2px solid #4d4d4d;margin-top:-6px;height:12px;margin-left:1px}.ui-grid-pager-row-count-picker select{color:#555;background-color:#fff;border:1px solid #ccc;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075);box-shadow:inset 0 1px 1px rgba(0,0,0,.075);-webkit-transition:border-color ease-in-out .15s,box-shadow ease-in-out .15s;-o-transition:border-color ease-in-out .15s,box-shadow ease-in-out .15s;transition:border-color ease-in-out .15s,box-shadow ease-in-out .15s;line-height:1.5;border-radius:3px;height:26px;width:67px}.ui-grid-pager-row-count-picker select:focus{border-color:#66afe9;outline:0;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6);box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6)}.ui-grid-pager-row-count-picker select::-moz-placeholder{color:#999;opacity:1}.ui-grid-pager-row-count-picker select:-ms-input-placeholder{color:#999}.ui-grid-pager-row-count-picker select::-webkit-input-placeholder{color:#999}.ui-grid-pager-row-count-picker select[disabled],.ui-grid-pager-row-count-picker select[readonly],fieldset[disabled] .ui-grid-pager-row-count-picker select{background-color:#eee;opacity:1}textarea.ui-grid-pager-row-count-picker select{height:auto}select.ui-grid-pager-row-count-picker select{height:30px;line-height:30px}select[multiple].ui-grid-pager-row-count-picker select,textarea.ui-grid-pager-row-count-picker select{height:auto}.ui-grid-pager-row-count-picker .ui-grid-pager-row-count-label{margin-top:3px}.ui-grid-pager-count-container{float:right;margin-top:4px;min-width:50px}.ui-grid-pager-count-container .ui-grid-pager-count{margin-right:10px;margin-left:10px;float:right}.ui-grid-pinned-container{position:absolute;display:inline;top:0}.ui-grid-pinned-container.ui-grid-pinned-container-left{float:left;left:0}.ui-grid-pinned-container.ui-grid-pinned-container-right{float:right;right:0}.ui-grid-pinned-container.ui-grid-pinned-container-left .ui-grid-cell:last-child,.ui-grid-pinned-container.ui-grid-pinned-container-left .ui-grid-header-cell:last-child{box-sizing:border-box;border-right:1px solid;border-width:1px;border-right-color:#aeaeae}.ui-grid-pinned-container .ui-grid-cell:not(:last-child) .ui-grid-vertical-bar,.ui-grid-pinned-container.ui-grid-pinned-container-left .ui-grid-header-cell:not(:last-child) .ui-grid-vertical-bar{width:1px}.ui-grid-pinned-container.ui-grid-pinned-container-left .ui-grid-header-cell:not(:last-child) .ui-grid-vertical-bar{background-color:#d4d4d4}.ui-grid-pinned-container.ui-grid-pinned-container-left .ui-grid-cell:not(:last-child) .ui-grid-vertical-bar{background-color:#aeaeae}.ui-grid-pinned-container.ui-grid-pinned-container-left .ui-grid-header-cell:last-child .ui-grid-vertical-bar{right:-1px;width:1px;background-color:#aeaeae}.ui-grid-pinned-container.ui-grid-pinned-container-right .ui-grid-cell:first-child,.ui-grid-pinned-container.ui-grid-pinned-container-right .ui-grid-header-cell:first-child{box-sizing:border-box;border-left:1px solid;border-width:1px;border-left-color:#aeaeae}.slider-selection,.slider-track-high,.slider-track-low{-webkit-box-sizing:border-box;-moz-box-sizing:border-box}.ui-grid-pinned-container .ui-grid-cell:not(:first-child) .ui-grid-vertical-bar,.ui-grid-pinned-container.ui-grid-pinned-container-right .ui-grid-header-cell:not(:first-child) .ui-grid-vertical-bar{width:1px}.ui-grid-pinned-container.ui-grid-pinned-container-right .ui-grid-header-cell:not(:first-child) .ui-grid-vertical-bar{background-color:#d4d4d4}.ui-grid-pinned-container.ui-grid-pinned-container-right .ui-grid-cell:not(:last-child) .ui-grid-vertical-bar{background-color:#aeaeae}.ui-grid-pinned-container.ui-grid-pinned-container-first .ui-grid-header-cell:first-child .ui-grid-vertical-bar{left:-1px;width:1px;background-color:#aeaeae}.ui-grid-column-resizer{top:0;bottom:0;width:5px;position:absolute;cursor:col-resize}.ui-grid-column-resizer.left{left:0}.ui-grid-column-resizer.right{right:0}.ui-grid-header-cell:last-child .ui-grid-column-resizer.right{border-right:1px solid #d4d4d4}.ui-grid[dir=rtl] .ui-grid-header-cell:last-child .ui-grid-column-resizer.right{border-right:0}.ui-grid[dir=rtl] .ui-grid-header-cell:last-child .ui-grid-column-resizer.left{border-left:1px solid #d4d4d4}.ui-grid.column-resizing{cursor:col-resize}.ui-grid.column-resizing .ui-grid-resize-overlay{position:absolute;top:0;height:100%;width:1px;background-color:#aeaeae}.ui-grid-row-saving .ui-grid-cell{color:#848484!important}.ui-grid-row-dirty .ui-grid-cell{color:#610b38}.ui-grid-row-error .ui-grid-cell{color:red!important}.ui-grid-row.ui-grid-row-selected>[ui-grid-row]>.ui-grid-cell{background-color:#c9dde1}.ui-grid-disable-selection{-webkit-touch-callout:none;-webkit-user-select:none;-khtml-user-select:none;-moz-user-select:none;-ms-user-select:none;user-select:none;cursor:default}rzslider,v-pane-header{-webkit-user-select:none;-moz-user-select:none;-ms-user-select:none}.ui-grid-selection-row-header-buttons{cursor:pointer;opacity:.1}.ui-grid-selection-row-header-buttons.ui-grid-all-selected,.ui-grid-selection-row-header-buttons.ui-grid-row-selected{opacity:1}.ui-grid-tree-row-header-buttons.ui-grid-tree-header{cursor:pointer;opacity:1}.fb-component input,.fb-component label,.fb-component select,.fb-component textarea,.fb-form-object-editable.fb-draggable,.fb-form-object-editable.fb-draggable input{cursor:move}.ui-grid-tree-header-row{font-weight:700!important}.ui-grid-tree-header-row .ui-grid-cell.ui-grid-disable-selection.ui-grid-row-header-cell{pointer-events:all}div.ui-grid-cell-contents.invalid{border:1px solid #fc8f8f}.ui-grid-icon-plus-squared:before{content:'\c350'}.ui-grid-icon-minus-squared:before{content:'\c351'}.ui-grid-icon-search:before{content:'\c352'}.ui-grid-icon-cancel:before{content:'\c353'}.ui-grid-icon-info-circled:before{content:'\c354'}.ui-grid-icon-lock:before{content:'\c355'}.ui-grid-icon-lock-open:before{content:'\c356'}.ui-grid-icon-pencil:before{content:'\c357'}.ui-grid-icon-down-dir:before{content:'\c358'}.ui-grid-icon-up-dir:before{content:'\c359'}.ui-grid-icon-left-dir:before{content:'\c35a'}.ui-grid-icon-right-dir:before{content:'\c35b'}.ui-grid-icon-left-open:before{content:'\c35c'}.ui-grid-icon-right-open:before{content:'\c35d'}.ui-grid-icon-angle-down:before{content:'\c35e'}.ui-grid-icon-filter:before{content:'\c35f'}.ui-grid-icon-sort-alt-up:before{content:'\c360'}.ui-grid-icon-sort-alt-down:before{content:'\c361'}.ui-grid-icon-ok:before{content:'\c362'}.ui-grid-icon-menu:before{content:'\c363'}.ui-grid-icon-indent-left:before{content:'\e800'}.ui-grid-icon-indent-right:before{content:'\e801'}.ui-grid-icon-spin5:before{content:'\ea61'}.fb-component{padding:10px;cursor:move}.fb-form-object-editable{padding:10px}.fb-form-object-editable.fb-draggable label{cursor:pointer}.fb-form-object-editable.fb-draggable select,.fb-form-object-editable.fb-draggable textarea{cursor:move}.fb-form-object-editable.empty{cursor:default;margin:6px;height:80px;border:1px dashed #aaa;background-color:#eee}.fb-draggable.dragging{background-color:#fff;position:absolute;z-index:800;-webkit-box-shadow:#666 0 0 20px;-moz-box-shadow:#666 0 0 20px;box-shadow:#666 0 0 20px}.fb-required:after{color:#b94a48;content:' *'}.fb-builder{min-height:250px}.popover .control-label{text-align:left}.popover form{width:240px}pre{display:block;padding:9.5px;margin:0 0 10px;font-size:13px;line-height:1.428571429;word-break:break-all;color:#333;background-color:#f5f5f5;border:1px solid #ccc;border-radius:4px}.fa.fa-pull-left,.fa.pull-left{margin-right:.3em}code,pre{font-family:Menlo,Monaco,Consolas,"Courier New",monospace}.json-formatter-dark.json-formatter-row,.json-formatter-row{font-family:monospace}.pln{color:#000}@media screen{.kwd,.tag,.typ{font-weight:700}.str{color:#080}.kwd{color:#008}.com{color:#800;font-style:italic}.typ{color:#606}.lit{color:#066}.clo,.opn,.pun{color:#660}.tag{color:#008}.atn{color:#606}.atv{color:#080}.dec,.var{color:#606}.fun{color:red}}@media print,projection{.kwd,.tag,.typ{font-weight:700}.str{color:#060}.kwd{color:#006}.com{color:#600;font-style:italic}.typ{color:#404}.lit{color:#044}.clo,.opn,.pun{color:#440}.tag{color:#006}.atn{color:#404}.atv{color:#060}}pre.prettyprint{padding:2px;border:1px solid #888}ol.linenums{margin-top:0;margin-bottom:0}li.L1,li.L3,li.L5,li.L7,li.L9{background:#eee}/*! + * jsonformatter + * + * Version: 0.4.1 - 2015-12-03T03:38:59.933Z + * License: MIT + */.json-formatter-row,.json-formatter-row a,.json-formatter-row a:hover{color:#000;text-decoration:none}.json-formatter-row .json-formatter-row{margin-left:1em}.json-formatter-row .children.empty{opacity:.5;margin-left:1em}.json-formatter-row .children.empty.object:after{content:"No properties"}.json-formatter-row .children.empty.array:after{content:"[]"}.json-formatter-row .string{color:green;white-space:pre}.json-formatter-row .number{color:#00f}.json-formatter-row .boolean{color:red}.json-formatter-row .null{color:#855a00}.json-formatter-row .undefined{color:#ca0b69}.json-formatter-row .function{color:#ff20ed}.json-formatter-row .date{background-color:rgba(0,0,0,.05)}.json-formatter-row .url{text-decoration:underline;color:#00f;cursor:pointer}.json-formatter-row .bracket{color:#00f}.json-formatter-row .key{color:#00008b;cursor:pointer}.json-formatter-row .constructor-name{cursor:pointer}.json-formatter-row .toggler{font-size:.8em;line-height:1.2em;opacity:.6;cursor:pointer}.json-formatter-row .toggler:after{display:inline-block;transition:transform .1s ease-in;content:"►"}.json-formatter-row>a>.thumbnail-text{opacity:0;transition:opacity .15s ease-in;font-style:italic}.json-formatter-row:hover>a>.thumbnail-text{opacity:.6}.json-formatter-dark.json-formatter-row,.json-formatter-dark.json-formatter-row a,.json-formatter-dark.json-formatter-row a:hover{color:#fff;text-decoration:none}.json-formatter-dark.json-formatter-row .json-formatter-row{margin-left:1em}.json-formatter-dark.json-formatter-row .children.empty{opacity:.5;margin-left:1em}.json-formatter-dark.json-formatter-row .children.empty.object:after{content:"No properties"}.json-formatter-dark.json-formatter-row .children.empty.array:after{content:"[]"}.json-formatter-dark.json-formatter-row .string{color:#31f031;white-space:pre;word-wrap:break-word}.json-formatter-dark.json-formatter-row .number{color:#66c2ff}.json-formatter-dark.json-formatter-row .boolean{color:#ec4242}.json-formatter-dark.json-formatter-row .null{color:#eec97d}.json-formatter-dark.json-formatter-row .undefined{color:#ef8fbe}.json-formatter-dark.json-formatter-row .function{color:#fd48cb}.json-formatter-dark.json-formatter-row .date{background-color:rgba(255,255,255,.05)}.json-formatter-dark.json-formatter-row .url{text-decoration:underline;color:#027bff;cursor:pointer}.json-formatter-dark.json-formatter-row .bracket{color:#9494ff}.json-formatter-dark.json-formatter-row .key{color:#23a0db;cursor:pointer}.json-formatter-dark.json-formatter-row .constructor-name{cursor:pointer}.json-formatter-dark.json-formatter-row .toggler{font-size:.8em;line-height:1.2em;vertical-align:middle;opacity:.6;cursor:pointer}.json-formatter-dark.json-formatter-row .toggler:after{display:inline-block;transition:transform .1s ease-in;content:"►"}.slider-handle.custom::before,.slider-tick.custom::before{line-height:20px;font-size:20px;content:'\2605';color:#726204}.json-formatter-dark.json-formatter-row>a>.thumbnail-text{opacity:0;transition:opacity .15s ease-in;font-style:italic}.json-formatter-dark.json-formatter-row:hover>a>.thumbnail-text{opacity:.6}/*! ======================================================= + VERSION 6.1.8 +========================================================= *//*! ========================================================= + * bootstrap-slider.js + * + * Maintainers: + * Kyle Kemp + * - Twitter: @seiyria + * - Github: seiyria + * Rohit Kalkur + * - Twitter: @Rovolutionary + * - Github: rovolution + * + * ========================================================= + * + * Licensed under the Apache License, Version 2.0 (the "License"); + * you may not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * http://www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * ========================================================= */.slider{display:inline-block;position:relative}.slider.slider-horizontal{width:210px;height:20px}.slider.slider-horizontal .slider-track{height:10px;width:100%;margin-top:-5px;top:50%;left:0}.slider.slider-horizontal .slider-selection,.slider.slider-horizontal .slider-track-high,.slider.slider-horizontal .slider-track-low{height:100%;top:0;bottom:0}.slider.slider-horizontal .slider-handle,.slider.slider-horizontal .slider-tick{margin-left:-10px;margin-top:-5px}.slider.slider-horizontal .slider-handle.triangle,.slider.slider-horizontal .slider-tick.triangle{border-width:0 10px 10px;width:0;height:0;border-bottom-color:#0480be;margin-top:0}.slider.slider-horizontal .slider-tick-label-container{white-space:nowrap;margin-top:20px}.slider.slider-horizontal .slider-tick-label-container .slider-tick-label{padding-top:4px;display:inline-block;text-align:center}.slider .hide,.slider input{display:none}.slider.slider-vertical{height:210px;width:20px}.slider.slider-vertical .slider-track{width:10px;height:100%;margin-left:-5px;left:50%;top:0}.slider.slider-vertical .slider-selection{width:100%;left:0;top:0;bottom:0}.slider.slider-vertical .slider-track-high,.slider.slider-vertical .slider-track-low{width:100%;left:0;right:0}.slider.slider-vertical .slider-handle,.slider.slider-vertical .slider-tick{margin-left:-5px;margin-top:-10px}.slider.slider-vertical .slider-handle.triangle,.slider.slider-vertical .slider-tick.triangle{border-width:10px 0 10px 10px;width:1px;height:1px;border-left-color:#0480be;margin-left:0}.slider-handle,.slider-tick{position:absolute;width:20px;height:20px}.slider.slider-vertical .slider-tick-label-container{white-space:nowrap}.slider.slider-vertical .slider-tick-label-container .slider-tick-label{padding-left:4px}.slider.slider-disabled .slider-handle{background-image:-webkit-linear-gradient(top,#dfdfdf 0,#bebebe 100%);background-image:-o-linear-gradient(top,#dfdfdf 0,#bebebe 100%);background-image:linear-gradient(to bottom,#dfdfdf 0,#bebebe 100%);background-repeat:repeat-x;filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#ffdfdfdf', endColorstr='#ffbebebe', GradientType=0)}.slider.slider-disabled .slider-track{background-image:-webkit-linear-gradient(top,#e5e5e5 0,#e9e9e9 100%);background-image:-o-linear-gradient(top,#e5e5e5 0,#e9e9e9 100%);background-image:linear-gradient(to bottom,#e5e5e5 0,#e9e9e9 100%);background-repeat:repeat-x;filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#ffe5e5e5', endColorstr='#ffe9e9e9', GradientType=0);cursor:not-allowed}.slider .tooltip.top{margin-top:-36px}.slider .tooltip-inner{white-space:nowrap;max-width:none}.fa,.fa-stack{display:inline-block}.slider-track{position:absolute;cursor:pointer;background-image:-webkit-linear-gradient(top,#f5f5f5 0,#f9f9f9 100%);background-image:-o-linear-gradient(top,#f5f5f5 0,#f9f9f9 100%);background-image:linear-gradient(to bottom,#f5f5f5 0,#f9f9f9 100%);background-repeat:repeat-x;filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#fff5f5f5', endColorstr='#fff9f9f9', GradientType=0);-webkit-box-shadow:inset 0 1px 2px rgba(0,0,0,.1);box-shadow:inset 0 1px 2px rgba(0,0,0,.1);border-radius:4px}.slider-selection{position:absolute;background-image:-webkit-linear-gradient(top,#f9f9f9 0,#f5f5f5 100%);background-image:-o-linear-gradient(top,#f9f9f9 0,#f5f5f5 100%);background-image:linear-gradient(to bottom,#f9f9f9 0,#f5f5f5 100%);background-repeat:repeat-x;filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#fff9f9f9', endColorstr='#fff5f5f5', GradientType=0);-webkit-box-shadow:inset 0 -1px 0 rgba(0,0,0,.15);box-shadow:inset 0 -1px 0 rgba(0,0,0,.15);box-sizing:border-box;border-radius:4px}.slider-selection.tick-slider-selection{background-image:-webkit-linear-gradient(top,#89cdef 0,#81bfde 100%);background-image:-o-linear-gradient(top,#89cdef 0,#81bfde 100%);background-image:linear-gradient(to bottom,#89cdef 0,#81bfde 100%);background-repeat:repeat-x;filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#ff89cdef', endColorstr='#ff81bfde', GradientType=0)}.slider-track-high,.slider-track-low{position:absolute;background:0 0;box-sizing:border-box;border-radius:4px}.slider-handle{background-color:#337ab7;background-image:-webkit-linear-gradient(top,#149bdf 0,#0480be 100%);background-image:-o-linear-gradient(top,#149bdf 0,#0480be 100%);background-image:linear-gradient(to bottom,#149bdf 0,#0480be 100%);background-repeat:repeat-x;filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#ff149bdf', endColorstr='#ff0480be', GradientType=0);filter:none;-webkit-box-shadow:inset 0 1px 0 rgba(255,255,255,.2),0 1px 2px rgba(0,0,0,.05);box-shadow:inset 0 1px 0 rgba(255,255,255,.2),0 1px 2px rgba(0,0,0,.05);border:0 solid transparent}.slider-handle.round{border-radius:50%}.slider-handle.custom,.slider-handle.triangle{background:0 0}.slider-tick{background-image:-webkit-linear-gradient(top,#f9f9f9 0,#f5f5f5 100%);background-image:-o-linear-gradient(top,#f9f9f9 0,#f5f5f5 100%);background-image:linear-gradient(to bottom,#f9f9f9 0,#f5f5f5 100%);background-repeat:repeat-x;-webkit-box-shadow:inset 0 -1px 0 rgba(0,0,0,.15);box-shadow:inset 0 -1px 0 rgba(0,0,0,.15);-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box;filter:none;opacity:.8;border:0 solid transparent}.slider-tick.round{border-radius:50%}.slider-tick.custom,.slider-tick.triangle{background:0 0}.slider-tick.in-selection{background-image:-webkit-linear-gradient(top,#89cdef 0,#81bfde 100%);background-image:-o-linear-gradient(top,#89cdef 0,#81bfde 100%);background-image:linear-gradient(to bottom,#89cdef 0,#81bfde 100%);background-repeat:repeat-x;filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#ff89cdef', endColorstr='#ff81bfde', GradientType=0);opacity:1}/*! + * Font Awesome 4.6.1 by @davegandy - http://fontawesome.io - @fontawesome + * License - http://fontawesome.io/license (Font: SIL OFL 1.1, CSS: MIT License) + */@font-face{font-family:FontAwesome;src:url(../assets/fonts/fontawesome-webfont.eot?v=4.6.1);src:url(../assets/fonts/fontawesome-webfont.eot?#iefix&v=4.6.1) format('embedded-opentype'),url(../assets/fonts/fontawesome-webfont.woff2?v=4.6.1) format('woff2'),url(../assets/fonts/fontawesome-webfont.woff?v=4.6.1) format('woff'),url(../assets/fonts/fontawesome-webfont.ttf?v=4.6.1) format('truetype'),url(../assets/fonts/fontawesome-webfont.svg?v=4.6.1#fontawesomeregular) format('svg');font-weight:400;font-style:normal}.fa{font:normal normal normal 14px/1 FontAwesome;font-size:inherit;text-rendering:auto;-webkit-font-smoothing:antialiased}.fa-lg{font-size:1.33333333em;line-height:.75em;vertical-align:-15%}.fa-2x{font-size:2em}.fa-3x{font-size:3em}.fa-4x{font-size:4em}.fa-5x{font-size:5em}.fa-fw{width:1.28571429em;text-align:center}.fa-ul{padding-left:0;margin-left:2.14285714em;list-style-type:none}.fa.fa-pull-right,.fa.pull-right{margin-left:.3em}.fa-ul>li{position:relative}.fa-li{position:absolute;left:-2.14285714em;width:2.14285714em;top:.14285714em;text-align:center}.fa-li.fa-lg{left:-1.85714286em}.fa-border{padding:.2em .25em .15em;border:.08em solid #eee;border-radius:.1em}.fa-pull-left{float:left}.fa-pull-right{float:right}.fa-spin{-webkit-animation:fa-spin 2s infinite linear;animation:fa-spin 2s infinite linear}.fa-pulse{-webkit-animation:fa-spin 1s infinite steps(8);animation:fa-spin 1s infinite steps(8)}@-webkit-keyframes fa-spin{0%{-webkit-transform:rotate(0);transform:rotate(0)}100%{-webkit-transform:rotate(359deg);transform:rotate(359deg)}}@keyframes fa-spin{0%{-webkit-transform:rotate(0);transform:rotate(0)}100%{-webkit-transform:rotate(359deg);transform:rotate(359deg)}}.fa-rotate-90{-ms-filter:"progid:DXImageTransform.Microsoft.BasicImage(rotation=1)";-webkit-transform:rotate(90deg);-ms-transform:rotate(90deg);transform:rotate(90deg)}.fa-rotate-180{-ms-filter:"progid:DXImageTransform.Microsoft.BasicImage(rotation=2)";-webkit-transform:rotate(180deg);-ms-transform:rotate(180deg);transform:rotate(180deg)}.fa-rotate-270{-ms-filter:"progid:DXImageTransform.Microsoft.BasicImage(rotation=3)";-webkit-transform:rotate(270deg);-ms-transform:rotate(270deg);transform:rotate(270deg)}.fa-flip-horizontal{-ms-filter:"progid:DXImageTransform.Microsoft.BasicImage(rotation=0, mirror=1)";-webkit-transform:scale(-1,1);-ms-transform:scale(-1,1);transform:scale(-1,1)}.fa-flip-vertical{-ms-filter:"progid:DXImageTransform.Microsoft.BasicImage(rotation=2, mirror=1)";-webkit-transform:scale(1,-1);-ms-transform:scale(1,-1);transform:scale(1,-1)}:root .fa-flip-horizontal,:root .fa-flip-vertical,:root .fa-rotate-180,:root .fa-rotate-270,:root .fa-rotate-90{filter:none}.fa-stack{position:relative;width:2em;height:2em;line-height:2em;vertical-align:middle}.fa-stack-1x,.fa-stack-2x{position:absolute;left:0;width:100%;text-align:center}.fa-stack-1x{line-height:inherit}.fa-stack-2x{font-size:2em}.fa-inverse{color:#fff}.fa-glass:before{content:"\f000"}.fa-music:before{content:"\f001"}.fa-search:before{content:"\f002"}.fa-envelope-o:before{content:"\f003"}.fa-heart:before{content:"\f004"}.fa-star:before{content:"\f005"}.fa-star-o:before{content:"\f006"}.fa-user:before{content:"\f007"}.fa-film:before{content:"\f008"}.fa-th-large:before{content:"\f009"}.fa-th:before{content:"\f00a"}.fa-th-list:before{content:"\f00b"}.fa-check:before{content:"\f00c"}.fa-close:before,.fa-remove:before,.fa-times:before{content:"\f00d"}.fa-search-plus:before{content:"\f00e"}.fa-search-minus:before{content:"\f010"}.fa-power-off:before{content:"\f011"}.fa-signal:before{content:"\f012"}.fa-cog:before,.fa-gear:before{content:"\f013"}.fa-trash-o:before{content:"\f014"}.fa-home:before{content:"\f015"}.fa-file-o:before{content:"\f016"}.fa-clock-o:before{content:"\f017"}.fa-road:before{content:"\f018"}.fa-download:before{content:"\f019"}.fa-arrow-circle-o-down:before{content:"\f01a"}.fa-arrow-circle-o-up:before{content:"\f01b"}.fa-inbox:before{content:"\f01c"}.fa-play-circle-o:before{content:"\f01d"}.fa-repeat:before,.fa-rotate-right:before{content:"\f01e"}.fa-refresh:before{content:"\f021"}.fa-list-alt:before{content:"\f022"}.fa-lock:before{content:"\f023"}.fa-flag:before{content:"\f024"}.fa-headphones:before{content:"\f025"}.fa-volume-off:before{content:"\f026"}.fa-volume-down:before{content:"\f027"}.fa-volume-up:before{content:"\f028"}.fa-qrcode:before{content:"\f029"}.fa-barcode:before{content:"\f02a"}.fa-tag:before{content:"\f02b"}.fa-tags:before{content:"\f02c"}.fa-book:before{content:"\f02d"}.fa-bookmark:before{content:"\f02e"}.fa-print:before{content:"\f02f"}.fa-camera:before{content:"\f030"}.fa-font:before{content:"\f031"}.fa-bold:before{content:"\f032"}.fa-italic:before{content:"\f033"}.fa-text-height:before{content:"\f034"}.fa-text-width:before{content:"\f035"}.fa-align-left:before{content:"\f036"}.fa-align-center:before{content:"\f037"}.fa-align-right:before{content:"\f038"}.fa-align-justify:before{content:"\f039"}.fa-list:before{content:"\f03a"}.fa-dedent:before,.fa-outdent:before{content:"\f03b"}.fa-indent:before{content:"\f03c"}.fa-video-camera:before{content:"\f03d"}.fa-image:before,.fa-photo:before,.fa-picture-o:before{content:"\f03e"}.fa-pencil:before{content:"\f040"}.fa-map-marker:before{content:"\f041"}.fa-adjust:before{content:"\f042"}.fa-tint:before{content:"\f043"}.fa-edit:before,.fa-pencil-square-o:before{content:"\f044"}.fa-share-square-o:before{content:"\f045"}.fa-check-square-o:before{content:"\f046"}.fa-arrows:before{content:"\f047"}.fa-step-backward:before{content:"\f048"}.fa-fast-backward:before{content:"\f049"}.fa-backward:before{content:"\f04a"}.fa-play:before{content:"\f04b"}.fa-pause:before{content:"\f04c"}.fa-stop:before{content:"\f04d"}.fa-forward:before{content:"\f04e"}.fa-fast-forward:before{content:"\f050"}.fa-step-forward:before{content:"\f051"}.fa-eject:before{content:"\f052"}.fa-chevron-left:before{content:"\f053"}.fa-chevron-right:before{content:"\f054"}.fa-plus-circle:before{content:"\f055"}.fa-minus-circle:before{content:"\f056"}.fa-times-circle:before{content:"\f057"}.fa-check-circle:before{content:"\f058"}.fa-question-circle:before{content:"\f059"}.fa-info-circle:before{content:"\f05a"}.fa-crosshairs:before{content:"\f05b"}.fa-times-circle-o:before{content:"\f05c"}.fa-check-circle-o:before{content:"\f05d"}.fa-ban:before{content:"\f05e"}.fa-arrow-left:before{content:"\f060"}.fa-arrow-right:before{content:"\f061"}.fa-arrow-up:before{content:"\f062"}.fa-arrow-down:before{content:"\f063"}.fa-mail-forward:before,.fa-share:before{content:"\f064"}.fa-expand:before{content:"\f065"}.fa-compress:before{content:"\f066"}.fa-plus:before{content:"\f067"}.fa-minus:before{content:"\f068"}.fa-asterisk:before{content:"\f069"}.fa-exclamation-circle:before{content:"\f06a"}.fa-gift:before{content:"\f06b"}.fa-leaf:before{content:"\f06c"}.fa-fire:before{content:"\f06d"}.fa-eye:before{content:"\f06e"}.fa-eye-slash:before{content:"\f070"}.fa-exclamation-triangle:before,.fa-warning:before{content:"\f071"}.fa-plane:before{content:"\f072"}.fa-calendar:before{content:"\f073"}.fa-random:before{content:"\f074"}.fa-comment:before{content:"\f075"}.fa-magnet:before{content:"\f076"}.fa-chevron-up:before{content:"\f077"}.fa-chevron-down:before{content:"\f078"}.fa-retweet:before{content:"\f079"}.fa-shopping-cart:before{content:"\f07a"}.fa-folder:before{content:"\f07b"}.fa-folder-open:before{content:"\f07c"}.fa-arrows-v:before{content:"\f07d"}.fa-arrows-h:before{content:"\f07e"}.fa-bar-chart-o:before,.fa-bar-chart:before{content:"\f080"}.fa-twitter-square:before{content:"\f081"}.fa-facebook-square:before{content:"\f082"}.fa-camera-retro:before{content:"\f083"}.fa-key:before{content:"\f084"}.fa-cogs:before,.fa-gears:before{content:"\f085"}.fa-comments:before{content:"\f086"}.fa-thumbs-o-up:before{content:"\f087"}.fa-thumbs-o-down:before{content:"\f088"}.fa-star-half:before{content:"\f089"}.fa-heart-o:before{content:"\f08a"}.fa-sign-out:before{content:"\f08b"}.fa-linkedin-square:before{content:"\f08c"}.fa-thumb-tack:before{content:"\f08d"}.fa-external-link:before{content:"\f08e"}.fa-sign-in:before{content:"\f090"}.fa-trophy:before{content:"\f091"}.fa-github-square:before{content:"\f092"}.fa-upload:before{content:"\f093"}.fa-lemon-o:before{content:"\f094"}.fa-phone:before{content:"\f095"}.fa-square-o:before{content:"\f096"}.fa-bookmark-o:before{content:"\f097"}.fa-phone-square:before{content:"\f098"}.fa-twitter:before{content:"\f099"}.fa-facebook-f:before,.fa-facebook:before{content:"\f09a"}.fa-github:before{content:"\f09b"}.fa-unlock:before{content:"\f09c"}.fa-credit-card:before{content:"\f09d"}.fa-feed:before,.fa-rss:before{content:"\f09e"}.fa-hdd-o:before{content:"\f0a0"}.fa-bullhorn:before{content:"\f0a1"}.fa-bell:before{content:"\f0f3"}.fa-certificate:before{content:"\f0a3"}.fa-hand-o-right:before{content:"\f0a4"}.fa-hand-o-left:before{content:"\f0a5"}.fa-hand-o-up:before{content:"\f0a6"}.fa-hand-o-down:before{content:"\f0a7"}.fa-arrow-circle-left:before{content:"\f0a8"}.fa-arrow-circle-right:before{content:"\f0a9"}.fa-arrow-circle-up:before{content:"\f0aa"}.fa-arrow-circle-down:before{content:"\f0ab"}.fa-globe:before{content:"\f0ac"}.fa-wrench:before{content:"\f0ad"}.fa-tasks:before{content:"\f0ae"}.fa-filter:before{content:"\f0b0"}.fa-briefcase:before{content:"\f0b1"}.fa-arrows-alt:before{content:"\f0b2"}.fa-group:before,.fa-users:before{content:"\f0c0"}.fa-chain:before,.fa-link:before{content:"\f0c1"}.fa-cloud:before{content:"\f0c2"}.fa-flask:before{content:"\f0c3"}.fa-cut:before,.fa-scissors:before{content:"\f0c4"}.fa-copy:before,.fa-files-o:before{content:"\f0c5"}.fa-paperclip:before{content:"\f0c6"}.fa-floppy-o:before,.fa-save:before{content:"\f0c7"}.fa-square:before{content:"\f0c8"}.fa-bars:before,.fa-navicon:before,.fa-reorder:before{content:"\f0c9"}.fa-list-ul:before{content:"\f0ca"}.fa-list-ol:before{content:"\f0cb"}.fa-strikethrough:before{content:"\f0cc"}.fa-underline:before{content:"\f0cd"}.fa-table:before{content:"\f0ce"}.fa-magic:before{content:"\f0d0"}.fa-truck:before{content:"\f0d1"}.fa-pinterest:before{content:"\f0d2"}.fa-pinterest-square:before{content:"\f0d3"}.fa-google-plus-square:before{content:"\f0d4"}.fa-google-plus:before{content:"\f0d5"}.fa-money:before{content:"\f0d6"}.fa-caret-down:before{content:"\f0d7"}.fa-caret-up:before{content:"\f0d8"}.fa-caret-left:before{content:"\f0d9"}.fa-caret-right:before{content:"\f0da"}.fa-columns:before{content:"\f0db"}.fa-sort:before,.fa-unsorted:before{content:"\f0dc"}.fa-sort-desc:before,.fa-sort-down:before{content:"\f0dd"}.fa-sort-asc:before,.fa-sort-up:before{content:"\f0de"}.fa-envelope:before{content:"\f0e0"}.fa-linkedin:before{content:"\f0e1"}.fa-rotate-left:before,.fa-undo:before{content:"\f0e2"}.fa-gavel:before,.fa-legal:before{content:"\f0e3"}.fa-dashboard:before,.fa-tachometer:before{content:"\f0e4"}.fa-comment-o:before{content:"\f0e5"}.fa-comments-o:before{content:"\f0e6"}.fa-bolt:before,.fa-flash:before{content:"\f0e7"}.fa-sitemap:before{content:"\f0e8"}.fa-umbrella:before{content:"\f0e9"}.fa-clipboard:before,.fa-paste:before{content:"\f0ea"}.fa-lightbulb-o:before{content:"\f0eb"}.fa-exchange:before{content:"\f0ec"}.fa-cloud-download:before{content:"\f0ed"}.fa-cloud-upload:before{content:"\f0ee"}.fa-user-md:before{content:"\f0f0"}.fa-stethoscope:before{content:"\f0f1"}.fa-suitcase:before{content:"\f0f2"}.fa-bell-o:before{content:"\f0a2"}.fa-coffee:before{content:"\f0f4"}.fa-cutlery:before{content:"\f0f5"}.fa-file-text-o:before{content:"\f0f6"}.fa-building-o:before{content:"\f0f7"}.fa-hospital-o:before{content:"\f0f8"}.fa-ambulance:before{content:"\f0f9"}.fa-medkit:before{content:"\f0fa"}.fa-fighter-jet:before{content:"\f0fb"}.fa-beer:before{content:"\f0fc"}.fa-h-square:before{content:"\f0fd"}.fa-plus-square:before{content:"\f0fe"}.fa-angle-double-left:before{content:"\f100"}.fa-angle-double-right:before{content:"\f101"}.fa-angle-double-up:before{content:"\f102"}.fa-angle-double-down:before{content:"\f103"}.fa-angle-left:before{content:"\f104"}.fa-angle-right:before{content:"\f105"}.fa-angle-up:before{content:"\f106"}.fa-angle-down:before{content:"\f107"}.fa-desktop:before{content:"\f108"}.fa-laptop:before{content:"\f109"}.fa-tablet:before{content:"\f10a"}.fa-mobile-phone:before,.fa-mobile:before{content:"\f10b"}.fa-circle-o:before{content:"\f10c"}.fa-quote-left:before{content:"\f10d"}.fa-quote-right:before{content:"\f10e"}.fa-spinner:before{content:"\f110"}.fa-circle:before{content:"\f111"}.fa-mail-reply:before,.fa-reply:before{content:"\f112"}.fa-github-alt:before{content:"\f113"}.fa-folder-o:before{content:"\f114"}.fa-folder-open-o:before{content:"\f115"}.fa-smile-o:before{content:"\f118"}.fa-frown-o:before{content:"\f119"}.fa-meh-o:before{content:"\f11a"}.fa-gamepad:before{content:"\f11b"}.fa-keyboard-o:before{content:"\f11c"}.fa-flag-o:before{content:"\f11d"}.fa-flag-checkered:before{content:"\f11e"}.fa-terminal:before{content:"\f120"}.fa-code:before{content:"\f121"}.fa-mail-reply-all:before,.fa-reply-all:before{content:"\f122"}.fa-star-half-empty:before,.fa-star-half-full:before,.fa-star-half-o:before{content:"\f123"}.fa-location-arrow:before{content:"\f124"}.fa-crop:before{content:"\f125"}.fa-code-fork:before{content:"\f126"}.fa-chain-broken:before,.fa-unlink:before{content:"\f127"}.fa-question:before{content:"\f128"}.fa-info:before{content:"\f129"}.fa-exclamation:before{content:"\f12a"}.fa-superscript:before{content:"\f12b"}.fa-subscript:before{content:"\f12c"}.fa-eraser:before{content:"\f12d"}.fa-puzzle-piece:before{content:"\f12e"}.fa-microphone:before{content:"\f130"}.fa-microphone-slash:before{content:"\f131"}.fa-shield:before{content:"\f132"}.fa-calendar-o:before{content:"\f133"}.fa-fire-extinguisher:before{content:"\f134"}.fa-rocket:before{content:"\f135"}.fa-maxcdn:before{content:"\f136"}.fa-chevron-circle-left:before{content:"\f137"}.fa-chevron-circle-right:before{content:"\f138"}.fa-chevron-circle-up:before{content:"\f139"}.fa-chevron-circle-down:before{content:"\f13a"}.fa-html5:before{content:"\f13b"}.fa-css3:before{content:"\f13c"}.fa-anchor:before{content:"\f13d"}.fa-unlock-alt:before{content:"\f13e"}.fa-bullseye:before{content:"\f140"}.fa-ellipsis-h:before{content:"\f141"}.fa-ellipsis-v:before{content:"\f142"}.fa-rss-square:before{content:"\f143"}.fa-play-circle:before{content:"\f144"}.fa-ticket:before{content:"\f145"}.fa-minus-square:before{content:"\f146"}.fa-minus-square-o:before{content:"\f147"}.fa-level-up:before{content:"\f148"}.fa-level-down:before{content:"\f149"}.fa-check-square:before{content:"\f14a"}.fa-pencil-square:before{content:"\f14b"}.fa-external-link-square:before{content:"\f14c"}.fa-share-square:before{content:"\f14d"}.fa-compass:before{content:"\f14e"}.fa-caret-square-o-down:before,.fa-toggle-down:before{content:"\f150"}.fa-caret-square-o-up:before,.fa-toggle-up:before{content:"\f151"}.fa-caret-square-o-right:before,.fa-toggle-right:before{content:"\f152"}.fa-eur:before,.fa-euro:before{content:"\f153"}.fa-gbp:before{content:"\f154"}.fa-dollar:before,.fa-usd:before{content:"\f155"}.fa-inr:before,.fa-rupee:before{content:"\f156"}.fa-cny:before,.fa-jpy:before,.fa-rmb:before,.fa-yen:before{content:"\f157"}.fa-rouble:before,.fa-rub:before,.fa-ruble:before{content:"\f158"}.fa-krw:before,.fa-won:before{content:"\f159"}.fa-bitcoin:before,.fa-btc:before{content:"\f15a"}.fa-file:before{content:"\f15b"}.fa-file-text:before{content:"\f15c"}.fa-sort-alpha-asc:before{content:"\f15d"}.fa-sort-alpha-desc:before{content:"\f15e"}.fa-sort-amount-asc:before{content:"\f160"}.fa-sort-amount-desc:before{content:"\f161"}.fa-sort-numeric-asc:before{content:"\f162"}.fa-sort-numeric-desc:before{content:"\f163"}.fa-thumbs-up:before{content:"\f164"}.fa-thumbs-down:before{content:"\f165"}.fa-youtube-square:before{content:"\f166"}.fa-youtube:before{content:"\f167"}.fa-xing:before{content:"\f168"}.fa-xing-square:before{content:"\f169"}.fa-youtube-play:before{content:"\f16a"}.fa-dropbox:before{content:"\f16b"}.fa-stack-overflow:before{content:"\f16c"}.fa-instagram:before{content:"\f16d"}.fa-flickr:before{content:"\f16e"}.fa-adn:before{content:"\f170"}.fa-bitbucket:before{content:"\f171"}.fa-bitbucket-square:before{content:"\f172"}.fa-tumblr:before{content:"\f173"}.fa-tumblr-square:before{content:"\f174"}.fa-long-arrow-down:before{content:"\f175"}.fa-long-arrow-up:before{content:"\f176"}.fa-long-arrow-left:before{content:"\f177"}.fa-long-arrow-right:before{content:"\f178"}.fa-apple:before{content:"\f179"}.fa-windows:before{content:"\f17a"}.fa-android:before{content:"\f17b"}.fa-linux:before{content:"\f17c"}.fa-dribbble:before{content:"\f17d"}.fa-skype:before{content:"\f17e"}.fa-foursquare:before{content:"\f180"}.fa-trello:before{content:"\f181"}.fa-female:before{content:"\f182"}.fa-male:before{content:"\f183"}.fa-gittip:before,.fa-gratipay:before{content:"\f184"}.fa-sun-o:before{content:"\f185"}.fa-moon-o:before{content:"\f186"}.fa-archive:before{content:"\f187"}.fa-bug:before{content:"\f188"}.fa-vk:before{content:"\f189"}.fa-weibo:before{content:"\f18a"}.fa-renren:before{content:"\f18b"}.fa-pagelines:before{content:"\f18c"}.fa-stack-exchange:before{content:"\f18d"}.fa-arrow-circle-o-right:before{content:"\f18e"}.fa-arrow-circle-o-left:before{content:"\f190"}.fa-caret-square-o-left:before,.fa-toggle-left:before{content:"\f191"}.fa-dot-circle-o:before{content:"\f192"}.fa-wheelchair:before{content:"\f193"}.fa-vimeo-square:before{content:"\f194"}.fa-try:before,.fa-turkish-lira:before{content:"\f195"}.fa-plus-square-o:before{content:"\f196"}.fa-space-shuttle:before{content:"\f197"}.fa-slack:before{content:"\f198"}.fa-envelope-square:before{content:"\f199"}.fa-wordpress:before{content:"\f19a"}.fa-openid:before{content:"\f19b"}.fa-bank:before,.fa-institution:before,.fa-university:before{content:"\f19c"}.fa-graduation-cap:before,.fa-mortar-board:before{content:"\f19d"}.fa-yahoo:before{content:"\f19e"}.fa-google:before{content:"\f1a0"}.fa-reddit:before{content:"\f1a1"}.fa-reddit-square:before{content:"\f1a2"}.fa-stumbleupon-circle:before{content:"\f1a3"}.fa-stumbleupon:before{content:"\f1a4"}.fa-delicious:before{content:"\f1a5"}.fa-digg:before{content:"\f1a6"}.fa-pied-piper:before{content:"\f1a7"}.fa-pied-piper-alt:before{content:"\f1a8"}.fa-drupal:before{content:"\f1a9"}.fa-joomla:before{content:"\f1aa"}.fa-language:before{content:"\f1ab"}.fa-fax:before{content:"\f1ac"}.fa-building:before{content:"\f1ad"}.fa-child:before{content:"\f1ae"}.fa-paw:before{content:"\f1b0"}.fa-spoon:before{content:"\f1b1"}.fa-cube:before{content:"\f1b2"}.fa-cubes:before{content:"\f1b3"}.fa-behance:before{content:"\f1b4"}.fa-behance-square:before{content:"\f1b5"}.fa-steam:before{content:"\f1b6"}.fa-steam-square:before{content:"\f1b7"}.fa-recycle:before{content:"\f1b8"}.fa-automobile:before,.fa-car:before{content:"\f1b9"}.fa-cab:before,.fa-taxi:before{content:"\f1ba"}.fa-tree:before{content:"\f1bb"}.fa-spotify:before{content:"\f1bc"}.fa-deviantart:before{content:"\f1bd"}.fa-soundcloud:before{content:"\f1be"}.fa-database:before{content:"\f1c0"}.fa-file-pdf-o:before{content:"\f1c1"}.fa-file-word-o:before{content:"\f1c2"}.fa-file-excel-o:before{content:"\f1c3"}.fa-file-powerpoint-o:before{content:"\f1c4"}.fa-file-image-o:before,.fa-file-photo-o:before,.fa-file-picture-o:before{content:"\f1c5"}.fa-file-archive-o:before,.fa-file-zip-o:before{content:"\f1c6"}.fa-file-audio-o:before,.fa-file-sound-o:before{content:"\f1c7"}.fa-file-movie-o:before,.fa-file-video-o:before{content:"\f1c8"}.fa-file-code-o:before{content:"\f1c9"}.fa-vine:before{content:"\f1ca"}.fa-codepen:before{content:"\f1cb"}.fa-jsfiddle:before{content:"\f1cc"}.fa-life-bouy:before,.fa-life-buoy:before,.fa-life-ring:before,.fa-life-saver:before,.fa-support:before{content:"\f1cd"}.fa-circle-o-notch:before{content:"\f1ce"}.fa-ra:before,.fa-rebel:before{content:"\f1d0"}.fa-empire:before,.fa-ge:before{content:"\f1d1"}.fa-git-square:before{content:"\f1d2"}.fa-git:before{content:"\f1d3"}.fa-hacker-news:before,.fa-y-combinator-square:before,.fa-yc-square:before{content:"\f1d4"}.fa-tencent-weibo:before{content:"\f1d5"}.fa-qq:before{content:"\f1d6"}.fa-wechat:before,.fa-weixin:before{content:"\f1d7"}.fa-paper-plane:before,.fa-send:before{content:"\f1d8"}.fa-paper-plane-o:before,.fa-send-o:before{content:"\f1d9"}.fa-history:before{content:"\f1da"}.fa-circle-thin:before{content:"\f1db"}.fa-header:before{content:"\f1dc"}.fa-paragraph:before{content:"\f1dd"}.fa-sliders:before{content:"\f1de"}.fa-share-alt:before{content:"\f1e0"}.fa-share-alt-square:before{content:"\f1e1"}.fa-bomb:before{content:"\f1e2"}.fa-futbol-o:before,.fa-soccer-ball-o:before{content:"\f1e3"}.fa-tty:before{content:"\f1e4"}.fa-binoculars:before{content:"\f1e5"}.fa-plug:before{content:"\f1e6"}.fa-slideshare:before{content:"\f1e7"}.fa-twitch:before{content:"\f1e8"}.fa-yelp:before{content:"\f1e9"}.fa-newspaper-o:before{content:"\f1ea"}.fa-wifi:before{content:"\f1eb"}.fa-calculator:before{content:"\f1ec"}.fa-paypal:before{content:"\f1ed"}.fa-google-wallet:before{content:"\f1ee"}.fa-cc-visa:before{content:"\f1f0"}.fa-cc-mastercard:before{content:"\f1f1"}.fa-cc-discover:before{content:"\f1f2"}.fa-cc-amex:before{content:"\f1f3"}.fa-cc-paypal:before{content:"\f1f4"}.fa-cc-stripe:before{content:"\f1f5"}.fa-bell-slash:before{content:"\f1f6"}.fa-bell-slash-o:before{content:"\f1f7"}.fa-trash:before{content:"\f1f8"}.fa-copyright:before{content:"\f1f9"}.fa-at:before{content:"\f1fa"}.fa-eyedropper:before{content:"\f1fb"}.fa-paint-brush:before{content:"\f1fc"}.fa-birthday-cake:before{content:"\f1fd"}.fa-area-chart:before{content:"\f1fe"}.fa-pie-chart:before{content:"\f200"}.fa-line-chart:before{content:"\f201"}.fa-lastfm:before{content:"\f202"}.fa-lastfm-square:before{content:"\f203"}.fa-toggle-off:before{content:"\f204"}.fa-toggle-on:before{content:"\f205"}.fa-bicycle:before{content:"\f206"}.fa-bus:before{content:"\f207"}.fa-ioxhost:before{content:"\f208"}.fa-angellist:before{content:"\f209"}.fa-cc:before{content:"\f20a"}.fa-ils:before,.fa-shekel:before,.fa-sheqel:before{content:"\f20b"}.fa-meanpath:before{content:"\f20c"}.fa-buysellads:before{content:"\f20d"}.fa-connectdevelop:before{content:"\f20e"}.fa-dashcube:before{content:"\f210"}.fa-forumbee:before{content:"\f211"}.fa-leanpub:before{content:"\f212"}.fa-sellsy:before{content:"\f213"}.fa-shirtsinbulk:before{content:"\f214"}.fa-simplybuilt:before{content:"\f215"}.fa-skyatlas:before{content:"\f216"}.fa-cart-plus:before{content:"\f217"}.fa-cart-arrow-down:before{content:"\f218"}.fa-diamond:before{content:"\f219"}.fa-ship:before{content:"\f21a"}.fa-user-secret:before{content:"\f21b"}.fa-motorcycle:before{content:"\f21c"}.fa-street-view:before{content:"\f21d"}.fa-heartbeat:before{content:"\f21e"}.fa-venus:before{content:"\f221"}.fa-mars:before{content:"\f222"}.fa-mercury:before{content:"\f223"}.fa-intersex:before,.fa-transgender:before{content:"\f224"}.fa-transgender-alt:before{content:"\f225"}.fa-venus-double:before{content:"\f226"}.fa-mars-double:before{content:"\f227"}.fa-venus-mars:before{content:"\f228"}.fa-mars-stroke:before{content:"\f229"}.fa-mars-stroke-v:before{content:"\f22a"}.fa-mars-stroke-h:before{content:"\f22b"}.fa-neuter:before{content:"\f22c"}.fa-genderless:before{content:"\f22d"}.fa-facebook-official:before{content:"\f230"}.fa-pinterest-p:before{content:"\f231"}.fa-whatsapp:before{content:"\f232"}.fa-server:before{content:"\f233"}.fa-user-plus:before{content:"\f234"}.fa-user-times:before{content:"\f235"}.fa-bed:before,.fa-hotel:before{content:"\f236"}.fa-viacoin:before{content:"\f237"}.fa-train:before{content:"\f238"}.fa-subway:before{content:"\f239"}.fa-medium:before{content:"\f23a"}.fa-y-combinator:before,.fa-yc:before{content:"\f23b"}.fa-optin-monster:before{content:"\f23c"}.fa-opencart:before{content:"\f23d"}.fa-expeditedssl:before{content:"\f23e"}.fa-battery-4:before,.fa-battery-full:before{content:"\f240"}.fa-battery-3:before,.fa-battery-three-quarters:before{content:"\f241"}.fa-battery-2:before,.fa-battery-half:before{content:"\f242"}.fa-battery-1:before,.fa-battery-quarter:before{content:"\f243"}.fa-battery-0:before,.fa-battery-empty:before{content:"\f244"}.fa-mouse-pointer:before{content:"\f245"}.fa-i-cursor:before{content:"\f246"}.fa-object-group:before{content:"\f247"}.fa-object-ungroup:before{content:"\f248"}.fa-sticky-note:before{content:"\f249"}.fa-sticky-note-o:before{content:"\f24a"}.fa-cc-jcb:before{content:"\f24b"}.fa-cc-diners-club:before{content:"\f24c"}.fa-clone:before{content:"\f24d"}.fa-balance-scale:before{content:"\f24e"}.fa-hourglass-o:before{content:"\f250"}.fa-hourglass-1:before,.fa-hourglass-start:before{content:"\f251"}.fa-hourglass-2:before,.fa-hourglass-half:before{content:"\f252"}.fa-hourglass-3:before,.fa-hourglass-end:before{content:"\f253"}.fa-hourglass:before{content:"\f254"}.fa-hand-grab-o:before,.fa-hand-rock-o:before{content:"\f255"}.fa-hand-paper-o:before,.fa-hand-stop-o:before{content:"\f256"}.fa-hand-scissors-o:before{content:"\f257"}.fa-hand-lizard-o:before{content:"\f258"}.fa-hand-spock-o:before{content:"\f259"}.fa-hand-pointer-o:before{content:"\f25a"}.fa-hand-peace-o:before{content:"\f25b"}.fa-trademark:before{content:"\f25c"}.fa-registered:before{content:"\f25d"}.fa-creative-commons:before{content:"\f25e"}.fa-gg:before{content:"\f260"}.fa-gg-circle:before{content:"\f261"}.fa-tripadvisor:before{content:"\f262"}.fa-odnoklassniki:before{content:"\f263"}.fa-odnoklassniki-square:before{content:"\f264"}.fa-get-pocket:before{content:"\f265"}.fa-wikipedia-w:before{content:"\f266"}.fa-safari:before{content:"\f267"}.fa-chrome:before{content:"\f268"}.fa-firefox:before{content:"\f269"}.fa-opera:before{content:"\f26a"}.fa-internet-explorer:before{content:"\f26b"}.fa-television:before,.fa-tv:before{content:"\f26c"}.fa-contao:before{content:"\f26d"}.fa-500px:before{content:"\f26e"}.fa-amazon:before{content:"\f270"}.fa-calendar-plus-o:before{content:"\f271"}.fa-calendar-minus-o:before{content:"\f272"}.fa-calendar-times-o:before{content:"\f273"}.fa-calendar-check-o:before{content:"\f274"}.fa-industry:before{content:"\f275"}.fa-map-pin:before{content:"\f276"}.fa-map-signs:before{content:"\f277"}.fa-map-o:before{content:"\f278"}.fa-map:before{content:"\f279"}.fa-commenting:before{content:"\f27a"}.fa-commenting-o:before{content:"\f27b"}.fa-houzz:before{content:"\f27c"}.fa-vimeo:before{content:"\f27d"}.fa-black-tie:before{content:"\f27e"}.fa-fonticons:before{content:"\f280"}.fa-reddit-alien:before{content:"\f281"}.fa-edge:before{content:"\f282"}.fa-credit-card-alt:before{content:"\f283"}.fa-codiepie:before{content:"\f284"}.fa-modx:before{content:"\f285"}.fa-fort-awesome:before{content:"\f286"}.fa-usb:before{content:"\f287"}.fa-product-hunt:before{content:"\f288"}.fa-mixcloud:before{content:"\f289"}.fa-scribd:before{content:"\f28a"}.fa-pause-circle:before{content:"\f28b"}.fa-pause-circle-o:before{content:"\f28c"}.fa-stop-circle:before{content:"\f28d"}.fa-stop-circle-o:before{content:"\f28e"}.fa-shopping-bag:before{content:"\f290"}.fa-shopping-basket:before{content:"\f291"}.fa-hashtag:before{content:"\f292"}.fa-bluetooth:before{content:"\f293"}.fa-bluetooth-b:before{content:"\f294"}.fa-percent:before{content:"\f295"}.fa-gitlab:before{content:"\f296"}.fa-wpbeginner:before{content:"\f297"}.fa-wpforms:before{content:"\f298"}.fa-envira:before{content:"\f299"}.fa-universal-access:before{content:"\f29a"}.fa-wheelchair-alt:before{content:"\f29b"}.fa-question-circle-o:before{content:"\f29c"}.fa-blind:before{content:"\f29d"}.fa-audio-description:before{content:"\f29e"}.fa-volume-control-phone:before{content:"\f2a0"}.fa-braille:before{content:"\f2a1"}.fa-assistive-listening-systems:before{content:"\f2a2"}.fa-american-sign-language-interpreting:before,.fa-asl-interpreting:before{content:"\f2a3"}.fa-deaf:before,.fa-deafness:before,.fa-hard-of-hearing:before{content:"\f2a4"}.fa-glide:before{content:"\f2a5"}.fa-glide-g:before{content:"\f2a6"}.fa-sign-language:before,.fa-signing:before{content:"\f2a7"}.fa-low-vision:before{content:"\f2a8"}.fa-viadeo:before{content:"\f2a9"}.fa-viadeo-square:before{content:"\f2aa"}.fa-snapchat:before{content:"\f2ab"}.fa-snapchat-ghost:before{content:"\f2ac"}.fa-snapchat-square:before{content:"\f2ad"}.sr-only{position:absolute;width:1px;height:1px;padding:0;margin:-1px;overflow:hidden;clip:rect(0,0,0,0);border:0}.sr-only-focusable:active,.sr-only-focusable:focus{position:static;width:auto;height:auto;margin:0;overflow:visible;clip:auto}.vAccordion--default v-pane,v-pane-content{overflow:hidden}v-accordion,v-pane{display:block}v-pane.is-expanded>v-pane-content>div{display:visible}v-pane-header,v-pane-header>div{display:block}v-pane[disabled]>v-pane-header{opacity:.6;pointer-events:none}v-pane-header{position:relative;cursor:pointer;user-select:none;outline:0}v-pane-header:focus{outline:0}v-pane-content{display:block;position:relative;max-height:0}v-pane-content>div{visibility:none}.vAccordion--default v-accordion{margin-top:20px;padding-left:20px}.vAccordion--default v-pane-content>div{padding-bottom:20px;opacity:0;-webkit-transform:translate3d(0,30px,0);transform:translate3d(0,30px,0);-webkit-transition:all .5s;transition:all .5s}.vAccordion--default v-pane.is-expanded>v-pane-header{border-bottom-color:#2196F3}.vAccordion--default v-pane.is-expanded>v-pane-header::after{-webkit-transform:rotate(90deg);transform:rotate(90deg);opacity:0}.vAccordion--default v-pane.is-expanded>v-pane-header::before{-webkit-transform:rotate(0);transform:rotate(0)}.vAccordion--default v-pane.is-expanded>v-pane-content>div{opacity:1;-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}.vAccordion--default v-pane[disabled] v-pane-header::after,.vAccordion--default v-pane[disabled] v-pane-header::before{display:none}.vAccordion--default v-pane-header{padding:5px 0;margin-bottom:20px;border-bottom:2px solid #D8D8D8;-webkit-transition:all .25s;transition:all .25s}.vAccordion--default v-pane-header::after,.vAccordion--default v-pane-header::before{content:'';display:block;position:absolute;top:50%;right:0;width:10px;height:1px;background-color:#2196F3;-webkit-transform-origin:50% 50%;transform-origin:50% 50%;will-change:transform;-webkit-transition:all .25s;transition:all .25s}.vAccordion--default v-pane-header::before{-webkit-transform:rotate(-90deg);transform:rotate(-90deg)}.vAccordion--default v-pane-header:focus,.vAccordion--default v-pane-header:hover{color:#2196F3}/*! + * ui-select + * http://github.com/angular-ui/ui-select + * Version: 0.16.0 - 2016-03-23T20:51:56.678Z + * License: MIT + */.ui-select-highlight{font-weight:700}.ui-select-offscreen{clip:rect(0 0 0 0)!important;width:1px!important;height:1px!important;border:0!important;margin:0!important;padding:0!important;overflow:hidden!important;position:absolute!important;outline:0!important;left:0!important;top:0!important}.ui-select-choices-row:hover{background-color:#f5f5f5}.ng-dirty.ng-invalid>a.select2-choice{border-color:#D44950}.select2-result-single{padding-left:0}.select-locked>.ui-select-match-close,.select2-locked>.select2-search-choice-close{display:none}body>.select2-container.open{z-index:9999}.ui-select-container[theme=select2].direction-up .ui-select-match{border-radius:0 0 4px 4px}.ui-select-container[theme=select2].direction-up .ui-select-dropdown{border-radius:4px 4px 0 0;border-top-width:1px;border-top-style:solid;box-shadow:0 -4px 8px rgba(0,0,0,.25);margin-top:-4px}.ui-select-container[theme=select2].direction-up .ui-select-dropdown .select2-search{margin-top:4px}.ui-select-container[theme=select2].direction-up.select2-dropdown-open .ui-select-match{border-bottom-color:#5897fb}.selectize-input.selectize-focus{border-color:#007FBB!important}.selectize-control>.selectize-dropdown,.selectize-control>.selectize-input>input{width:100%}.ng-dirty.ng-invalid>div.selectize-input{border-color:#D44950}.ui-select-container[theme=selectize].direction-up .ui-select-dropdown{box-shadow:0 -4px 8px rgba(0,0,0,.25);margin-top:-2px}.btn-default-focus{color:#333;background-color:#EBEBEB;border-color:#ADADAD;text-decoration:none;outline:-webkit-focus-ring-color auto 5px;outline-offset:-2px;box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6)}.ui-select-bootstrap .ui-select-toggle{position:relative}.ui-select-bootstrap .ui-select-toggle>.caret{position:absolute;height:10px;top:50%;right:10px;margin-top:-2px}.input-group>.ui-select-bootstrap.dropdown{position:static}.input-group>.ui-select-bootstrap>input.ui-select-search.form-control{border-radius:4px 0 0 4px}.input-group>.ui-select-bootstrap>input.ui-select-search.form-control.direction-up{border-radius:4px 0 0 4px!important}.ui-select-bootstrap>.ui-select-match>.btn{text-align:left!important}.ui-select-bootstrap>.ui-select-match>.caret{position:absolute;top:45%;right:15px}.ui-select-bootstrap>.ui-select-choices{width:100%;height:auto;max-height:200px;overflow-x:hidden;margin-top:-1px}body>.ui-select-bootstrap.open{z-index:1000}.ui-select-multiple.ui-select-bootstrap{height:auto;padding:3px 3px 0}.ui-select-multiple.ui-select-bootstrap input.ui-select-search{background-color:transparent!important;border:none;outline:0;height:1.666666em;margin-bottom:3px}.ui-select-multiple.ui-select-bootstrap .ui-select-match .close{font-size:1.6em;line-height:.75}.ui-select-multiple.ui-select-bootstrap .ui-select-match-item{outline:0;margin:0 3px 3px 0}.ui-select-multiple .ui-select-match-item{position:relative}.ui-select-multiple .ui-select-match-item.dropping-before:before{content:"";position:absolute;top:0;right:100%;height:100%;margin-right:2px;border-left:1px solid #428bca}.ui-select-multiple .ui-select-match-item.dropping-after:after{content:"";position:absolute;top:0;left:100%;height:100%;margin-left:2px;border-right:1px solid #428bca}.ui-select-bootstrap .ui-select-choices-row>a{display:block;padding:3px 20px;clear:both;font-weight:400;line-height:1.42857143;color:#333;white-space:nowrap}.ui-select-bootstrap .ui-select-choices-row>a:focus,.ui-select-bootstrap .ui-select-choices-row>a:hover{text-decoration:none;color:#262626;background-color:#f5f5f5}.ui-select-bootstrap .ui-select-choices-row.active>a{color:#fff;text-decoration:none;outline:0;background-color:#428bca}.ui-select-bootstrap .ui-select-choices-row.active.disabled>a,.ui-select-bootstrap .ui-select-choices-row.disabled>a{color:#777;cursor:not-allowed;background-color:#fff}.ui-select-match.ng-hide-add,.ui-select-search.ng-hide-add{display:none!important}.ui-select-bootstrap.ng-dirty.ng-invalid>button.btn.ui-select-match{border-color:#D44950}.ui-select-container[theme=bootstrap].direction-up .ui-select-dropdown{box-shadow:0 -4px 8px rgba(0,0,0,.25)}.lightbox-nav{position:relative;margin-bottom:12px;height:22px;text-align:center;font-size:0}.lightbox-nav .btn-group{vertical-align:top}.lightbox-nav .close{position:absolute;top:0;right:0}.lightbox-image-container{position:relative;text-align:center}.lightbox-image-caption{position:absolute;top:0;left:0;margin:.5em .9em;color:#000;font-size:1.5em;font-weight:700;text-align:left;text-shadow:.1em .1em .2em rgba(255,255,255,.5)}.lightbox-image-caption span{padding-top:.1em;padding-bottom:.1em;background-color:rgba(255,255,255,.75);box-shadow:.4em 0 0 rgba(255,255,255,.75),-.4em 0 0 rgba(255,255,255,.75)}/*! angularjs-slider - v2.14.0 - + (c) Rafal Zajac , Valentin Hervieu , Jussi Saarivirta , Angelin Sirbu - + https://github.com/angular-slider/angularjs-slider - + 2016-05-22 */rzslider{position:relative;display:inline-block;width:100%;height:4px;margin:35px 0 15px;vertical-align:middle;user-select:none}rzslider.with-legend{margin-bottom:40px}rzslider[disabled]{cursor:not-allowed}rzslider[disabled] .rz-pointer{cursor:not-allowed;background-color:#d8e0f3}rzslider span{position:absolute;display:inline-block;white-space:nowrap}rzslider .rz-base{width:100%;height:100%;padding:0}rzslider .rz-bar-wrapper{left:0;z-index:1;width:100%;height:32px;padding-top:16px;margin-top:-16px;box-sizing:border-box}rzslider .rz-bar-wrapper.rz-draggable{cursor:move}rzslider .rz-bar{left:0;z-index:1;width:100%;height:4px;background:#d8e0f3;-webkit-border-radius:2px;-moz-border-radius:2px;border-radius:2px}rzslider .rz-bar.rz-selection{z-index:2;background:#0db9f0;-webkit-border-radius:2px;-moz-border-radius:2px;border-radius:2px}rzslider .rz-pointer{top:-14px;z-index:3;width:32px;height:32px;cursor:pointer;background-color:#0db9f0;-webkit-border-radius:16px;-moz-border-radius:16px;border-radius:16px}rzslider .rz-pointer:after{position:absolute;top:12px;left:12px;width:8px;height:8px;background:#fff;-webkit-border-radius:4px;-moz-border-radius:4px;border-radius:4px;content:''}rzslider .rz-pointer:hover:after{background-color:#fff}rzslider .rz-pointer.rz-active{z-index:4}rzslider .rz-pointer.rz-active:after{background-color:#451aff}rzslider .rz-bubble{bottom:16px;padding:1px 3px;color:#55637d;cursor:default}rzslider .rz-bubble.rz-selection{top:16px}rzslider .rz-bubble.rz-limit{color:#55637d}rzslider .rz-ticks{position:absolute;top:-3px;left:0;z-index:1;display:-webkit-flex;display:-ms-flexbox;display:flex;width:100%;height:0;padding:0 11px;margin:0;list-style:none;box-sizing:border-box;-webkit-justify-content:space-between;-ms-flex-pack:justify;justify-content:space-between}rzslider .rz-ticks .rz-tick{width:10px;height:10px;text-align:center;cursor:pointer;background:#d8e0f3;border-radius:50%}rzslider .rz-ticks .rz-tick.rz-selected{background:#0db9f0}rzslider .rz-ticks .rz-tick .rz-tick-value{position:absolute;top:-30px;transform:translate(-50%,0)}rzslider .rz-ticks .rz-tick .rz-tick-legend{position:absolute;top:24px;max-width:50px;white-space:normal;transform:translate(-50%,0)}.jstree-anchor,.jstree-node,.vakata-context li>a{white-space:nowrap}rzslider .rz-ticks.rz-ticks-values-under .rz-tick-value{top:initial;bottom:-40px}rzslider.rz-vertical{position:relative;width:4px;height:100%;padding:0;margin:0 20px;vertical-align:baseline}rzslider.rz-vertical .rz-base{width:100%;height:100%;padding:0}rzslider.rz-vertical .rz-bar-wrapper{top:auto;left:0;width:32px;height:100%;padding:0 0 0 16px;margin:0 0 0 -16px}rzslider.rz-vertical .rz-bar{bottom:0;left:auto;width:4px;height:100%}rzslider.rz-vertical .rz-pointer{top:auto;bottom:0;left:-14px!important}rzslider.rz-vertical .rz-bubble{bottom:0;left:16px!important;margin-left:3px}rzslider.rz-vertical .rz-bubble.rz-selection{top:auto;left:16px!important}rzslider.rz-vertical .rz-ticks{top:0;left:-3px;z-index:1;width:0;height:100%;padding:11px 0;-webkit-flex-direction:column-reverse;-ms-flex-direction:column-reverse;flex-direction:column-reverse}rzslider.rz-vertical .rz-ticks .rz-tick{vertical-align:middle}rzslider.rz-vertical .rz-ticks .rz-tick .rz-tick-value{top:initial;left:22px;transform:translate(0,-28%)}rzslider.rz-vertical .rz-ticks .rz-tick .rz-tick-legend{top:initial;right:24px;max-width:none;white-space:nowrap;transform:translate(0,-28%)}rzslider.rz-vertical .rz-ticks.rz-ticks-values-under .rz-tick-value{right:12px;bottom:initial;left:initial}.jstree-children,.jstree-container-ul,.jstree-node{display:block;margin:0;padding:0;list-style-type:none;list-style-image:none}.jstree-anchor,.jstree-icon,.jstree-icon:empty{display:inline-block;margin:0;vertical-align:top}.jstree-anchor{padding:0 4px 0 1px}.jstree-anchor:focus{outline:0}.jstree-anchor,.jstree-anchor:active,.jstree-anchor:hover,.jstree-anchor:link,.jstree-anchor:visited{text-decoration:none;color:inherit}.jstree-icon,.jstree-icon:empty{text-decoration:none;padding:0;text-align:center}.jstree-ocl{cursor:pointer}.jstree-leaf>.jstree-ocl{cursor:default}.jstree .jstree-open>.jstree-children{display:block}.jstree .jstree-closed>.jstree-children,.jstree .jstree-leaf>.jstree-children,.jstree-anchor>.jstree-themeicon-hidden,.jstree-hidden,.jstree-no-icons .jstree-themeicon{display:none}.jstree-anchor>.jstree-themeicon{margin-right:2px}.jstree-rtl .jstree-anchor{padding:0 1px 0 4px}.jstree-rtl .jstree-anchor>.jstree-themeicon{margin-left:2px;margin-right:0}.jstree-rtl .jstree-node{margin-left:0}.jstree-rtl .jstree-container-ul>.jstree-node{margin-right:0}.jstree-wholerow-ul{position:relative;display:inline-block;min-width:100%}.jstree-wholerow-ul .jstree-leaf>.jstree-ocl{cursor:pointer}.jstree-wholerow-ul .jstree-anchor,.jstree-wholerow-ul .jstree-icon{position:relative}.jstree-wholerow-ul .jstree-wholerow{width:100%;cursor:pointer;position:absolute;left:0;-webkit-user-select:none;-moz-user-select:none;-ms-user-select:none;user-select:none}.vakata-context{display:none}.vakata-context,.vakata-context ul{margin:0;padding:2px;position:absolute;background:#f5f5f5;border:1px solid #979797;box-shadow:2px 2px 2px #999}.vakata-context .vakata-context-hover>a,.vakata-context li>a:hover{position:relative;background-color:#e8eff7;box-shadow:0 0 2px #0a6aa1}.vakata-context ul{list-style:none;left:100%;margin-top:-2.7em;margin-left:-4px}.vakata-context .vakata-context-right ul{left:auto;right:100%;margin-left:auto;margin-right:-4px}.vakata-context li{list-style:none;display:inline}.vakata-context li>a{display:block;padding:0 2em;text-decoration:none;width:auto;color:#000;line-height:2.4em;text-shadow:1px 1px 0 #fff;border-radius:1px}.vakata-context li>a>i,.vakata-context li>a>i:empty{width:2.4em;line-height:2.4em}.vakata-context li>a.vakata-context-parent{background-image:url(data:image/gif;base64,R0lGODlhCwAHAIAAACgoKP///yH5BAEAAAEALAAAAAALAAcAAAIORI4JlrqN1oMSnmmZDQUAOw==);background-position:right center;background-repeat:no-repeat}.vakata-context li>a:focus{outline:0}.vakata-context .vakata-context-separator>a,.vakata-context .vakata-context-separator>a:hover{background:#fff;border:0;border-top:1px solid #e2e3e3;height:1px;min-height:1px;max-height:1px;padding:0;margin:0 0 0 2.4em;border-left:1px solid #e0e0e0;text-shadow:0 0 0 transparent;box-shadow:0 0 0 transparent;border-radius:0}.vakata-context .vakata-contextmenu-disabled a,.vakata-context .vakata-contextmenu-disabled a:hover{color:silver;background-color:transparent;border:0;box-shadow:0 0 0}.vakata-context li>a>i{text-decoration:none;display:inline-block;height:2.4em;background:0 0;margin:0 0 0 -2em;vertical-align:top;text-align:center}.vakata-context li>a .vakata-contextmenu-sep{display:inline-block;width:1px;height:2.4em;background:#fff;margin:0 .5em 0 0;border-left:1px solid #e2e3e3}.vakata-context .vakata-contextmenu-shortcut{font-size:.8em;color:silver;opacity:.5;display:none}.vakata-context-rtl ul{left:auto;right:100%;margin-left:auto;margin-right:-4px}.vakata-context-rtl li>a.vakata-context-parent{background-image:url(data:image/gif;base64,R0lGODlhCwAHAIAAACgoKP///yH5BAEAAAEALAAAAAALAAcAAAINjI+AC7rWHIsPtmoxLAA7);background-position:left center;background-repeat:no-repeat}.vakata-context-rtl .vakata-context-separator>a{margin:0 2.4em 0 0;border-left:0;border-right:1px solid #e2e3e3}.vakata-context-rtl .vakata-context-left ul{right:auto;left:100%;margin-left:-4px;margin-right:auto}.vakata-context-rtl li>a>i{margin:0 -2em 0 0}.vakata-context-rtl li>a .vakata-contextmenu-sep{margin:0 0 0 .5em;border-left-color:#fff;background:#e2e3e3}#jstree-marker{position:absolute;top:0;left:0;margin:-5px 0 0;padding:0;border-right:0;border-top:5px solid transparent;border-bottom:5px solid transparent;border-left:5px solid;width:0;height:0;font-size:0;line-height:0}#jstree-dnd{line-height:16px;margin:0;padding:4px}#jstree-dnd .jstree-copy,#jstree-dnd .jstree-icon{display:inline-block;text-decoration:none;margin:0 2px 0 0;padding:0;width:16px;height:16px}#jstree-dnd .jstree-ok{background:green}#jstree-dnd .jstree-er{background:red}#jstree-dnd .jstree-copy{margin:0 2px}.jstree-default .jstree-icon,.jstree-default .jstree-node{background-repeat:no-repeat;background-color:transparent}.jstree-default .jstree-anchor,.jstree-default .jstree-wholerow{transition:background-color .15s,box-shadow .15s}.jstree-default .jstree-hovered{background:#e7f4f9;border-radius:2px;box-shadow:inset 0 0 1px #ccc}.jstree-default .jstree-clicked{background:#beebff;border-radius:2px;box-shadow:inset 0 0 1px #999}.jstree-default .jstree-no-icons .jstree-anchor>.jstree-themeicon{display:none}.jstree-default .jstree-disabled{color:#666}.jstree-default .jstree-disabled.jstree-hovered{box-shadow:none}.jstree-default .jstree-disabled>.jstree-icon{opacity:.8;filter:url("data:image/svg+xml;utf8,#jstree-grayscale");filter:gray;-webkit-filter:grayscale(100%)}.jstree-default .jstree-search{font-style:italic;color:#8b0000;font-weight:700}.jstree-default .jstree-no-checkboxes .jstree-checkbox{display:none!important}.jstree-default.jstree-checkbox-no-clicked .jstree-clicked{background:0 0;box-shadow:none}.jstree-default.jstree-checkbox-no-clicked .jstree-clicked.jstree-hovered{background:#e7f4f9}.jstree-default.jstree-checkbox-no-clicked>.jstree-wholerow-ul .jstree-wholerow-clicked{background:0 0}.jstree-default.jstree-checkbox-no-clicked>.jstree-wholerow-ul .jstree-wholerow-clicked.jstree-wholerow-hovered{background:#e7f4f9}.jstree-default>.jstree-striped{min-width:100%;display:inline-block;background:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAAEAAAAkCAMAAAB/qqA+AAAABlBMVEUAAAAAAAClZ7nPAAAAAnRSTlMNAMM9s3UAAAAXSURBVHjajcEBAQAAAIKg/H/aCQZ70AUBjAATb6YPDgAAAABJRU5ErkJggg==) left top}.jstree-default>.jstree-wholerow-ul .jstree-clicked,.jstree-default>.jstree-wholerow-ul .jstree-hovered{background:0 0;box-shadow:none;border-radius:0}.jstree-default .jstree-wholerow{-moz-box-sizing:border-box;-webkit-box-sizing:border-box;box-sizing:border-box;height:24px}.jstree-default .jstree-wholerow-hovered{background:#e7f4f9}.jstree-default .jstree-wholerow-clicked{background:#beebff;background:-webkit-linear-gradient(top,#beebff 0,#a8e4ff 100%);background:linear-gradient(to bottom,#beebff 0,#a8e4ff 100%)}.jstree-default .jstree-node{min-height:24px;line-height:24px;margin-left:24px;min-width:24px;background-position:-292px -4px;background-repeat:repeat-y}.jstree-default .jstree-anchor{line-height:24px;height:24px}.jstree-default .jstree-icon,.jstree-default .jstree-icon:empty{width:24px;height:24px;line-height:24px}.jstree-default .jstree-icon,.jstree-default .jstree-node{background-image:url(32px.png)}.jstree-default .jstree-last{background:0 0}.jstree-default .jstree-open>.jstree-ocl{background-position:-132px -4px}.jstree-default .jstree-closed>.jstree-ocl{background-position:-100px -4px}.jstree-default .jstree-leaf>.jstree-ocl{background-position:-68px -4px}.jstree-default .jstree-themeicon{background-position:-260px -4px}.jstree-default>.jstree-no-dots .jstree-leaf>.jstree-ocl,.jstree-default>.jstree-no-dots .jstree-node{background:0 0}.jstree-default>.jstree-no-dots .jstree-open>.jstree-ocl{background-position:-36px -4px}.jstree-default>.jstree-no-dots .jstree-closed>.jstree-ocl{background-position:-4px -4px}.jstree-default .jstree-disabled,.jstree-default .jstree-disabled.jstree-hovered{background:0 0}.jstree-default .jstree-disabled.jstree-clicked{background:#efefef}.jstree-default .jstree-checkbox{background-position:-164px -4px}.jstree-default .jstree-checkbox:hover{background-position:-164px -36px}.jstree-default .jstree-checked>.jstree-checkbox,.jstree-default.jstree-checkbox-selection .jstree-clicked>.jstree-checkbox{background-position:-228px -4px}.jstree-default .jstree-checked>.jstree-checkbox:hover,.jstree-default.jstree-checkbox-selection .jstree-clicked>.jstree-checkbox:hover{background-position:-228px -36px}.jstree-default .jstree-anchor>.jstree-undetermined{background-position:-196px -4px}.jstree-default .jstree-anchor>.jstree-undetermined:hover{background-position:-196px -36px}.jstree-default .jstree-checkbox-disabled{opacity:.8;filter:url("data:image/svg+xml;utf8,#jstree-grayscale");filter:gray;-webkit-filter:grayscale(100%)}.jstree-default>.jstree-striped{background-size:auto 48px}.jstree-default.jstree-rtl .jstree-node{margin-right:24px;background-position:100% 1px;background-repeat:repeat-y}.jstree-default.jstree-rtl .jstree-open>.jstree-ocl{background-position:-132px -36px}.jstree-default.jstree-rtl .jstree-closed>.jstree-ocl{background-position:-100px -36px}.jstree-default.jstree-rtl .jstree-leaf>.jstree-ocl{background-position:-68px -36px}.jstree-default.jstree-rtl>.jstree-no-dots .jstree-leaf>.jstree-ocl,.jstree-default.jstree-rtl>.jstree-no-dots .jstree-node{background:0 0}.jstree-default.jstree-rtl>.jstree-no-dots .jstree-open>.jstree-ocl{background-position:-36px -36px}.jstree-default.jstree-rtl>.jstree-no-dots .jstree-closed>.jstree-ocl{background-position:-4px -36px}.jstree-default .jstree-themeicon-custom{background-color:transparent;background-image:none;background-position:0 0}.jstree-default>.jstree-container-ul .jstree-loading>.jstree-ocl{background:url(throbber.gif) center center no-repeat}.jstree-default .jstree-file{background:url(32px.png) -100px -68px no-repeat}.jstree-default .jstree-folder{background:url(32px.png) -260px -4px no-repeat}.jstree-default>.jstree-container-ul>.jstree-node{margin-left:0;margin-right:0}#jstree-dnd.jstree-default{line-height:24px;padding:0 4px}#jstree-dnd.jstree-default .jstree-er,#jstree-dnd.jstree-default .jstree-ok{background-image:url(32px.png);background-repeat:no-repeat;background-color:transparent}#jstree-dnd.jstree-default i{background:0 0;width:24px;height:24px;line-height:24px}#jstree-dnd.jstree-default .jstree-ok{background-position:-4px -68px}#jstree-dnd.jstree-default .jstree-er{background-position:-36px -68px}.jstree-default.jstree-rtl .jstree-node{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABgAAAACAQMAAAB49I5GAAAABlBMVEUAAAAdHRvEkCwcAAAAAXRSTlMAQObYZgAAAAxJREFUCNdjAAMOBgAAGAAJMwQHdQAAAABJRU5ErkJggg==)}.jstree-default.jstree-rtl .jstree-last{background:0 0}.jstree-default-small .jstree-node{min-height:18px;line-height:18px;margin-left:18px;min-width:18px;background-position:-295px -7px;background-repeat:repeat-y}.jstree-default-small .jstree-anchor{line-height:18px;height:18px}.jstree-default-small .jstree-icon,.jstree-default-small .jstree-icon:empty{width:18px;height:18px;line-height:18px}.jstree-default-small .jstree-wholerow{height:18px}.jstree-default-small .jstree-icon,.jstree-default-small .jstree-node{background-image:url(32px.png)}.jstree-default-small .jstree-last{background:0 0}.jstree-default-small .jstree-open>.jstree-ocl{background-position:-135px -7px}.jstree-default-small .jstree-closed>.jstree-ocl{background-position:-103px -7px}.jstree-default-small .jstree-leaf>.jstree-ocl{background-position:-71px -7px}.jstree-default-small .jstree-themeicon{background-position:-263px -7px}.jstree-default-small>.jstree-no-dots .jstree-leaf>.jstree-ocl,.jstree-default-small>.jstree-no-dots .jstree-node{background:0 0}.jstree-default-small>.jstree-no-dots .jstree-open>.jstree-ocl{background-position:-39px -7px}.jstree-default-small>.jstree-no-dots .jstree-closed>.jstree-ocl{background-position:-7px -7px}.jstree-default-small .jstree-disabled,.jstree-default-small .jstree-disabled.jstree-hovered{background:0 0}.jstree-default-small .jstree-disabled.jstree-clicked{background:#efefef}.jstree-default-small .jstree-checkbox{background-position:-167px -7px}.jstree-default-small .jstree-checkbox:hover{background-position:-167px -39px}.jstree-default-small .jstree-checked>.jstree-checkbox,.jstree-default-small.jstree-checkbox-selection .jstree-clicked>.jstree-checkbox{background-position:-231px -7px}.jstree-default-small .jstree-checked>.jstree-checkbox:hover,.jstree-default-small.jstree-checkbox-selection .jstree-clicked>.jstree-checkbox:hover{background-position:-231px -39px}.jstree-default-small .jstree-anchor>.jstree-undetermined{background-position:-199px -7px}.jstree-default-small .jstree-anchor>.jstree-undetermined:hover{background-position:-199px -39px}.jstree-default-small .jstree-checkbox-disabled{opacity:.8;filter:url("data:image/svg+xml;utf8,#jstree-grayscale");filter:gray;-webkit-filter:grayscale(100%)}.jstree-default-small>.jstree-striped{background-size:auto 36px}.jstree-default-small.jstree-rtl .jstree-node{margin-right:18px;background-position:100% 1px;background-repeat:repeat-y}.jstree-default-small.jstree-rtl .jstree-open>.jstree-ocl{background-position:-135px -39px}.jstree-default-small.jstree-rtl .jstree-closed>.jstree-ocl{background-position:-103px -39px}.jstree-default-small.jstree-rtl .jstree-leaf>.jstree-ocl{background-position:-71px -39px}.jstree-default-small.jstree-rtl>.jstree-no-dots .jstree-leaf>.jstree-ocl,.jstree-default-small.jstree-rtl>.jstree-no-dots .jstree-node{background:0 0}.jstree-default-small.jstree-rtl>.jstree-no-dots .jstree-open>.jstree-ocl{background-position:-39px -39px}.jstree-default-small.jstree-rtl>.jstree-no-dots .jstree-closed>.jstree-ocl{background-position:-7px -39px}.jstree-default-small .jstree-themeicon-custom{background-color:transparent;background-image:none;background-position:0 0}.jstree-default-small>.jstree-container-ul .jstree-loading>.jstree-ocl{background:url(throbber.gif) center center no-repeat}.jstree-default-small .jstree-file{background:url(32px.png) -103px -71px no-repeat}.jstree-default-small .jstree-folder{background:url(32px.png) -263px -7px no-repeat}.jstree-default-small>.jstree-container-ul>.jstree-node{margin-left:0;margin-right:0}#jstree-dnd.jstree-default-small{line-height:18px;padding:0 4px}#jstree-dnd.jstree-default-small .jstree-er,#jstree-dnd.jstree-default-small .jstree-ok{background-image:url(32px.png);background-repeat:no-repeat;background-color:transparent}#jstree-dnd.jstree-default-small i{background:0 0;width:18px;height:18px;line-height:18px}#jstree-dnd.jstree-default-small .jstree-ok{background-position:-7px -71px}#jstree-dnd.jstree-default-small .jstree-er{background-position:-39px -71px}.jstree-default-small.jstree-rtl .jstree-node{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABIAAAACAQMAAABv1h6PAAAABlBMVEUAAAAdHRvEkCwcAAAAAXRSTlMAQObYZgAAAAxJREFUCNdjAAMHBgAAiABBI4gz9AAAAABJRU5ErkJggg==)}.jstree-default-small.jstree-rtl .jstree-last{background:0 0}.jstree-default-large .jstree-node{min-height:32px;line-height:32px;margin-left:32px;min-width:32px;background-position:-288px 0;background-repeat:repeat-y}.jstree-default-large .jstree-anchor{line-height:32px;height:32px}.jstree-default-large .jstree-icon,.jstree-default-large .jstree-icon:empty{width:32px;height:32px;line-height:32px}.jstree-default-large .jstree-wholerow{height:32px}.jstree-default-large .jstree-icon,.jstree-default-large .jstree-node{background-image:url(32px.png)}.jstree-default-large .jstree-last{background:0 0}.jstree-default-large .jstree-open>.jstree-ocl{background-position:-128px 0}.jstree-default-large .jstree-closed>.jstree-ocl{background-position:-96px 0}.jstree-default-large .jstree-leaf>.jstree-ocl{background-position:-64px 0}.jstree-default-large .jstree-themeicon{background-position:-256px 0}.jstree-default-large>.jstree-no-dots .jstree-leaf>.jstree-ocl,.jstree-default-large>.jstree-no-dots .jstree-node{background:0 0}.jstree-default-large>.jstree-no-dots .jstree-open>.jstree-ocl{background-position:-32px 0}.jstree-default-large>.jstree-no-dots .jstree-closed>.jstree-ocl{background-position:0 0}.jstree-default-large .jstree-disabled,.jstree-default-large .jstree-disabled.jstree-hovered{background:0 0}.jstree-default-large .jstree-disabled.jstree-clicked{background:#efefef}.jstree-default-large .jstree-checkbox{background-position:-160px 0}.jstree-default-large .jstree-checkbox:hover{background-position:-160px -32px}.jstree-default-large .jstree-checked>.jstree-checkbox,.jstree-default-large.jstree-checkbox-selection .jstree-clicked>.jstree-checkbox{background-position:-224px 0}.jstree-default-large .jstree-checked>.jstree-checkbox:hover,.jstree-default-large.jstree-checkbox-selection .jstree-clicked>.jstree-checkbox:hover{background-position:-224px -32px}.jstree-default-large .jstree-anchor>.jstree-undetermined{background-position:-192px 0}.jstree-default-large .jstree-anchor>.jstree-undetermined:hover{background-position:-192px -32px}.jstree-default-large .jstree-checkbox-disabled{opacity:.8;filter:url("data:image/svg+xml;utf8,#jstree-grayscale");filter:gray;-webkit-filter:grayscale(100%)}.jstree-default-large>.jstree-striped{background-size:auto 64px}.jstree-default-large.jstree-rtl .jstree-node{margin-right:32px;background-position:100% 1px;background-repeat:repeat-y}.jstree-default-large.jstree-rtl .jstree-open>.jstree-ocl{background-position:-128px -32px}.jstree-default-large.jstree-rtl .jstree-closed>.jstree-ocl{background-position:-96px -32px}.jstree-default-large.jstree-rtl .jstree-leaf>.jstree-ocl{background-position:-64px -32px}.jstree-default-large.jstree-rtl>.jstree-no-dots .jstree-leaf>.jstree-ocl,.jstree-default-large.jstree-rtl>.jstree-no-dots .jstree-node{background:0 0}.jstree-default-large.jstree-rtl>.jstree-no-dots .jstree-open>.jstree-ocl{background-position:-32px -32px}.jstree-default-large.jstree-rtl>.jstree-no-dots .jstree-closed>.jstree-ocl{background-position:0 -32px}.jstree-default-large .jstree-themeicon-custom{background-color:transparent;background-image:none;background-position:0 0}.jstree-default-large>.jstree-container-ul .jstree-loading>.jstree-ocl{background:url(throbber.gif) center center no-repeat}.jstree-default-large .jstree-file{background:url(32px.png) -96px -64px no-repeat}.jstree-default-large .jstree-folder{background:url(32px.png) -256px 0 no-repeat}.jstree-default-large>.jstree-container-ul>.jstree-node{margin-left:0;margin-right:0}#jstree-dnd.jstree-default-large{line-height:32px;padding:0 4px}#jstree-dnd.jstree-default-large .jstree-er,#jstree-dnd.jstree-default-large .jstree-ok{background-image:url(32px.png);background-repeat:no-repeat;background-color:transparent}#jstree-dnd.jstree-default-large i{background:0 0;width:32px;height:32px;line-height:32px}#jstree-dnd.jstree-default-large .jstree-ok{background-position:0 -64px}#jstree-dnd.jstree-default-large .jstree-er{background-position:-32px -64px}.jstree-default-large.jstree-rtl .jstree-node{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAACAQMAAAAD0EyKAAAABlBMVEUAAAAdHRvEkCwcAAAAAXRSTlMAQObYZgAAAAxJREFUCNdjgIIGBgABCgCBvVLXcAAAAABJRU5ErkJggg==)}.jstree-default-large.jstree-rtl .jstree-last{background:0 0}@media (max-width:768px){#jstree-dnd.jstree-dnd-responsive{line-height:40px;font-weight:700;font-size:1.1em;text-shadow:1px 1px #fff}#jstree-dnd.jstree-dnd-responsive>i{background:0 0;width:40px;height:40px}#jstree-dnd.jstree-dnd-responsive>.jstree-ok{background-image:url(40px.png);background-position:0 -200px;background-size:120px 240px}#jstree-dnd.jstree-dnd-responsive>.jstree-er{background-image:url(40px.png);background-position:-40px -200px;background-size:120px 240px}#jstree-marker.jstree-dnd-responsive{border-left-width:10px;border-top-width:10px;border-bottom-width:10px;margin-top:-10px}.jstree-default-responsive .jstree-leaf>.jstree-ocl,.jstree-default-responsive .jstree-node{background:0 0}.jstree-default-responsive .jstree-node{min-height:40px;line-height:40px;margin-left:40px;min-width:40px;white-space:nowrap}.jstree-default-responsive .jstree-anchor{line-height:40px;height:40px;font-weight:700;font-size:1.1em;text-shadow:1px 1px #fff}.jstree-default-responsive .jstree-icon,.jstree-default-responsive .jstree-icon:empty{width:40px;height:40px;line-height:40px}.jstree-default-responsive.jstree-rtl .jstree-node{margin-left:0;margin-right:40px}.jstree-default-responsive.jstree-rtl .jstree-container-ul>.jstree-node{margin-right:0}.jstree-default-responsive .jstree-checkbox,.jstree-default-responsive .jstree-ocl,.jstree-default-responsive .jstree-themeicon{background-size:120px 240px}.jstree-default-responsive .jstree-leaf>.jstree-ocl{background:0 0}.jstree-default-responsive .jstree-open>.jstree-ocl{background-position:0 0!important}.jstree-default-responsive .jstree-closed>.jstree-ocl{background-position:0 -40px!important}.jstree-default-responsive.jstree-rtl .jstree-closed>.jstree-ocl{background-position:-40px 0!important}.jstree-default-responsive .jstree-themeicon{background-position:-40px -40px}.jstree-default-responsive .jstree-checkbox,.jstree-default-responsive .jstree-checkbox:hover{background-position:-40px -80px}.jstree-default-responsive .jstree-checked>.jstree-checkbox,.jstree-default-responsive .jstree-checked>.jstree-checkbox:hover,.jstree-default-responsive.jstree-checkbox-selection .jstree-clicked>.jstree-checkbox,.jstree-default-responsive.jstree-checkbox-selection .jstree-clicked>.jstree-checkbox:hover{background-position:0 -80px}.jstree-default-responsive .jstree-anchor>.jstree-undetermined,.jstree-default-responsive .jstree-anchor>.jstree-undetermined:hover{background-position:0 -120px}.jstree-default-responsive>.jstree-striped{background:0 0}.jstree-default-responsive .jstree-wholerow{border-top:1px solid rgba(255,255,255,.7);border-bottom:1px solid rgba(64,64,64,.2);background:#ebebeb;height:40px}.jstree-default-responsive .jstree-wholerow-hovered{background:#e7f4f9}.jstree-default-responsive .jstree-wholerow-clicked{background:#beebff}.jstree-default-responsive .jstree-children .jstree-last>.jstree-wholerow{box-shadow:inset 0 -6px 3px -5px #666}.jstree-default-responsive .jstree-children .jstree-open>.jstree-wholerow{box-shadow:inset 0 6px 3px -5px #666;border-top:0}.jstree-default-responsive .jstree-children .jstree-open+.jstree-open{box-shadow:none}.jstree-default-responsive .jstree-checkbox,.jstree-default-responsive .jstree-icon,.jstree-default-responsive .jstree-node,.jstree-default-responsive .jstree-node>.jstree-ocl,.jstree-default-responsive .jstree-themeicon{background-image:url(40px.png);background-size:120px 240px}.jstree-default-responsive .jstree-node{background-position:-80px 0;background-repeat:repeat-y}.jstree-default-responsive .jstree-last{background:0 0}.jstree-default-responsive .jstree-leaf>.jstree-ocl{background-position:-40px -120px}.jstree-default-responsive .jstree-last>.jstree-ocl{background-position:-40px -160px}.jstree-default-responsive .jstree-themeicon-custom{background-color:transparent;background-image:none;background-position:0 0}.jstree-default-responsive .jstree-file{background:url(40px.png) 0 -160px no-repeat;background-size:120px 240px}.jstree-default-responsive .jstree-folder{background:url(40px.png) -40px -40px no-repeat;background-size:120px 240px}.jstree-default-responsive>.jstree-container-ul>.jstree-node{margin-left:0;margin-right:0}} \ No newline at end of file diff --git a/public/app/8db9c8c5.vendor.css b/public/app/8db9c8c5.vendor.css deleted file mode 100644 index 9cec491..0000000 --- a/public/app/8db9c8c5.vendor.css +++ /dev/null @@ -1,68 +0,0 @@ -@charset "UTF-8";.label,sub,sup{vertical-align:baseline}.collapsing,.nav .nav-divider,svg:not(:root){overflow:hidden}body,figure{margin:0}.navbar-fixed-bottom .navbar-collapse,.navbar-fixed-top .navbar-collapse,.pre-scrollable{max-height:340px}.fa,.glyphicon,.icon-emoticon{-moz-osx-font-smoothing:grayscale}.bootstrap-select.btn-group .dropdown-menu .notify,.form-control-feedback,.steps-indicator li.current,.steps-indicator li.default,.steps-indicator li.editing,.ui-grid-cell.ui-grid-disable-selection.ui-grid-row-header-cell,a.btn.disabled,a.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.disabled,fieldset[disabled] a.btn,fieldset[disabled] a.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button{pointer-events:none}.colorpicker-visible,.colorpicker-visible .dropdown-menu{display:block!important}colorpicker-saturation{display:block;width:100px;height:100px;background-image:url(data:image/png;base64,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);background-size:contain;cursor:crosshair;float:left}colorpicker-saturation i{display:block;height:7px;width:7px;border:1px solid #000;border-radius:5px;position:absolute;top:0;left:0;margin:-4px 0 0 -4px}colorpicker-saturation i::after{content:'';display:block;height:7px;width:7px;border:1px solid #fff;border-radius:5px}colorpicker-alpha,colorpicker-hue{width:15px;height:100px;float:left;cursor:row-resize;margin-left:4px;margin-bottom:4px}colorpicker-alpha i,colorpicker-hue i{display:block;height:2px;background:#000;border-top:1px solid #fff;position:absolute;top:0;left:0;width:100%;margin-top:-1px}.colorpicker,colorpicker-alpha{display:none}colorpicker-hue{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAAEAAABkCAMAAABw8qpSAAABLFBMVEUA/z8AuP//JAAA/33/3AAA/1ABAv8A/7r/AH7/jgD2AP8A//j/AEHmAP/XAP/HAP+4AP//ALyoAP+aAP+JAP97AP9rAP9cAP9MAP8+AP8tAP8fAP8PAP8ATv//AG7/cAD/vgD/APoAmv//ADH/AKwB/wMA5//4Eg4AL///AOr/UQD/nwAA/27/7AAA/+kAe/8Ayf8A/5sA/zEA/6z/ABEAEP8A/17/MgAA/9n/ACL/gAD/AJ0AXP8Aqv//AMoA/yHqFBb/zAD/AGD/ANsA9//1/wDk/wDV/wDF/wC3/wD/AI2m/wD/FACY/wCI/wB5/wBp/wD/YgBb/wBK/wA8/wAs/wAd/wAN/wAAPv8A/xH/AFAAi///rQAA/8r/+gAA1///QwAAH/8Abf8A/43c/JNGAAAAiUlEQVR4AQXBg2EDAAAAsMy2bds2ttp2+/8PTby79mDLsKJPq/oFPdk24dWXAxsGjRg1ZtykKdNmzJozb8GiJct63WjYl7fiWdOZkk0vOpyr2fVtyKl7FX2uXGjpcuxWDy69KdiRk5WRlpIUFxMVERLw78+vH1Unun1YV3ZkwKM1CYfq7nQK22sD03ITV2Aqp0IAAAAASUVORK5CYII=);background-size:contain}.colorpicker-color,colorpicker-alpha{background-image:url(data:image/png;base64,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);background-size:10px 100%}.colorpicker{top:0;left:0;z-index:9999}.colorpicker colorpicker-alpha,.colorpicker colorpicker-hue,.colorpicker colorpicker-saturation{position:relative}.colorpicker input{width:100px;font-size:11px;color:#000;background-color:#fff}.colorpicker.alpha{min-width:140px}.colorpicker.alpha colorpicker-alpha{display:block}.colorpicker.dropdown{position:absolute}.colorpicker.colorpicker-fixed-position{position:fixed}.colorpicker .dropdown-menu::after,.colorpicker .dropdown-menu::before{content:'';display:inline-block;position:absolute}.colorpicker .dropdown-menu::after{clear:both;border:6px solid transparent;top:-5px;left:7px}.colorpicker .dropdown-menu::before{border:7px solid transparent;top:-6px;left:6px}.colorpicker .dropdown-menu{position:static;top:0;left:0;min-width:129px;padding:4px;margin-top:0}.colorpicker-position-top .dropdown-menu::after{border-top:6px solid #fff;border-bottom:0;top:auto;bottom:-5px}.colorpicker-position-top .dropdown-menu::before{border-top:7px solid rgba(0,0,0,.2);border-bottom:0;top:auto;bottom:-6px}.colorpicker-position-right .dropdown-menu::after{border-right:6px solid #fff;border-left:0;top:11px;left:-5px}.colorpicker-position-right .dropdown-menu::before{border-right:7px solid rgba(0,0,0,.2);border-left:0;top:10px;left:-6px}.colorpicker-position-bottom .dropdown-menu::after{border-bottom:6px solid #fff;border-top:0}.colorpicker-position-bottom .dropdown-menu::before{border-bottom:7px solid rgba(0,0,0,.2);border-top:0}.colorpicker-position-left .dropdown-menu::after{border-left:6px solid #fff;border-right:0;top:11px;left:auto;right:-5px}.colorpicker-position-left .dropdown-menu::before{border-left:7px solid rgba(0,0,0,.2);border-right:0;top:10px;left:auto;right:-6px}colorpicker-preview{display:block;height:10px;margin:5px 0 3px;clear:both;background-position:0 100%}/*! - * Bootstrap v3.3.6 (http://getbootstrap.com) - * Copyright 2011-2015 Twitter, Inc. - * Licensed under MIT (https://github.com/twbs/bootstrap/blob/master/LICENSE) - *//*! normalize.css v3.0.3 | MIT License | github.com/necolas/normalize.css */html{font-family:sans-serif;-webkit-text-size-adjust:100%;-ms-text-size-adjust:100%}article,aside,details,figcaption,figure,footer,header,hgroup,main,menu,nav,section,summary{display:block}audio,canvas,progress,video{display:inline-block;vertical-align:baseline}audio:not([controls]){display:none;height:0}[hidden],template{display:none}a{background-color:transparent}a:active,a:hover{outline:0}b,optgroup,strong{font-weight:700}dfn{font-style:italic}h1{margin:.67em 0}mark{color:#000;background:#ff0}sub,sup{position:relative;font-size:75%;line-height:0}sup{top:-.5em}sub{bottom:-.25em}img{border:0;vertical-align:middle}hr{height:0;-webkit-box-sizing:content-box;-moz-box-sizing:content-box;box-sizing:content-box}code,kbd,pre,samp{font-size:1em}button,input,optgroup,select,textarea{margin:0;font:inherit;color:inherit}button{overflow:visible}button,select{text-transform:none}button,html input[type=button],input[type=reset],input[type=submit]{-webkit-appearance:button;cursor:pointer}button[disabled],html input[disabled]{cursor:default}button::-moz-focus-inner,input::-moz-focus-inner{padding:0;border:0}input[type=checkbox],input[type=radio]{-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box;padding:0}input[type=number]::-webkit-inner-spin-button,input[type=number]::-webkit-outer-spin-button{height:auto}input[type=search]::-webkit-search-cancel-button,input[type=search]::-webkit-search-decoration{-webkit-appearance:none}textarea{overflow:auto}table{border-spacing:0;border-collapse:collapse}td,th{padding:0}/*! Source: https://github.com/h5bp/html5-boilerplate/blob/master/src/css/main.css */@media print{blockquote,img,pre,tr{page-break-inside:avoid}*,:after,:before{color:#000!important;text-shadow:none!important;background:0 0!important;-webkit-box-shadow:none!important;box-shadow:none!important}a,a:visited{text-decoration:underline}a[href]:after{content:" (" attr(href) ")"}abbr[title]:after{content:" (" attr(title) ")"}a[href^="#"]:after,a[href^="javascript:"]:after{content:""}blockquote,pre{border:1px solid #999}thead{display:table-header-group}img{max-width:100%!important}h2,h3,p{orphans:3;widows:3}h2,h3{page-break-after:avoid}.navbar{display:none}.btn>.caret,.dropup>.btn>.caret{border-top-color:#000!important}.label{border:1px solid #000}.table{border-collapse:collapse!important}.table td,.table th{background-color:#fff!important}.table-bordered td,.table-bordered th{border:1px solid #ddd!important}}.btn,.btn-danger.active,.btn-danger:active,.btn-default.active,.btn-default:active,.btn-info.active,.btn-info:active,.btn-primary.active,.btn-primary:active,.btn-warning.active,.btn-warning:active,.btn.active,.btn:active,.dropdown-menu>.disabled>a:focus,.dropdown-menu>.disabled>a:hover,.form-control,.navbar-toggle,.open>.dropdown-toggle.btn-danger,.open>.dropdown-toggle.btn-default,.open>.dropdown-toggle.btn-info,.open>.dropdown-toggle.btn-primary,.open>.dropdown-toggle.btn-warning{background-image:none}.img-thumbnail,body{background-color:#fff}@font-face{font-family:'Glyphicons Halflings';src:url(../assets/fonts/glyphicons-halflings-regular.eot);src:url(../assets/fonts/glyphicons-halflings-regular.eot?#iefix) format('embedded-opentype'),url(../assets/fonts/glyphicons-halflings-regular.woff2) format('woff2'),url(../assets/fonts/glyphicons-halflings-regular.woff) format('woff'),url(../assets/fonts/glyphicons-halflings-regular.ttf) format('truetype'),url(../assets/fonts/glyphicons-halflings-regular.svg#glyphicons_halflingsregular) format('svg')}.glyphicon{position:relative;top:1px;display:inline-block;font-family:'Glyphicons Halflings';font-style:normal;font-weight:400;line-height:1;-webkit-font-smoothing:antialiased}.glyphicon-asterisk:before{content:"\002a"}.glyphicon-plus:before{content:"\002b"}.glyphicon-eur:before,.glyphicon-euro:before{content:"\20ac"}.glyphicon-minus:before{content:"\2212"}.glyphicon-cloud:before{content:"\2601"}.glyphicon-envelope:before{content:"\2709"}.glyphicon-pencil:before{content:"\270f"}.glyphicon-glass:before{content:"\e001"}.glyphicon-music:before{content:"\e002"}.glyphicon-search:before{content:"\e003"}.glyphicon-heart:before{content:"\e005"}.glyphicon-star:before{content:"\e006"}.glyphicon-star-empty:before{content:"\e007"}.glyphicon-user:before{content:"\e008"}.glyphicon-film:before{content:"\e009"}.glyphicon-th-large:before{content:"\e010"}.glyphicon-th:before{content:"\e011"}.glyphicon-th-list:before{content:"\e012"}.glyphicon-ok:before{content:"\e013"}.glyphicon-remove:before{content:"\e014"}.glyphicon-zoom-in:before{content:"\e015"}.glyphicon-zoom-out:before{content:"\e016"}.glyphicon-off:before{content:"\e017"}.glyphicon-signal:before{content:"\e018"}.glyphicon-cog:before{content:"\e019"}.glyphicon-trash:before{content:"\e020"}.glyphicon-home:before{content:"\e021"}.glyphicon-file:before{content:"\e022"}.glyphicon-time:before{content:"\e023"}.glyphicon-road:before{content:"\e024"}.glyphicon-download-alt:before{content:"\e025"}.glyphicon-download:before{content:"\e026"}.glyphicon-upload:before{content:"\e027"}.glyphicon-inbox:before{content:"\e028"}.glyphicon-play-circle:before{content:"\e029"}.glyphicon-repeat:before{content:"\e030"}.glyphicon-refresh:before{content:"\e031"}.glyphicon-list-alt:before{content:"\e032"}.glyphicon-lock:before{content:"\e033"}.glyphicon-flag:before{content:"\e034"}.glyphicon-headphones:before{content:"\e035"}.glyphicon-volume-off:before{content:"\e036"}.glyphicon-volume-down:before{content:"\e037"}.glyphicon-volume-up:before{content:"\e038"}.glyphicon-qrcode:before{content:"\e039"}.glyphicon-barcode:before{content:"\e040"}.glyphicon-tag:before{content:"\e041"}.glyphicon-tags:before{content:"\e042"}.glyphicon-book:before{content:"\e043"}.glyphicon-bookmark:before{content:"\e044"}.glyphicon-print:before{content:"\e045"}.glyphicon-camera:before{content:"\e046"}.glyphicon-font:before{content:"\e047"}.glyphicon-bold:before{content:"\e048"}.glyphicon-italic:before{content:"\e049"}.glyphicon-text-height:before{content:"\e050"}.glyphicon-text-width:before{content:"\e051"}.glyphicon-align-left:before{content:"\e052"}.glyphicon-align-center:before{content:"\e053"}.glyphicon-align-right:before{content:"\e054"}.glyphicon-align-justify:before{content:"\e055"}.glyphicon-list:before{content:"\e056"}.glyphicon-indent-left:before{content:"\e057"}.glyphicon-indent-right:before{content:"\e058"}.glyphicon-facetime-video:before{content:"\e059"}.glyphicon-picture:before{content:"\e060"}.glyphicon-map-marker:before{content:"\e062"}.glyphicon-adjust:before{content:"\e063"}.glyphicon-tint:before{content:"\e064"}.glyphicon-edit:before{content:"\e065"}.glyphicon-share:before{content:"\e066"}.glyphicon-check:before{content:"\e067"}.glyphicon-move:before{content:"\e068"}.glyphicon-step-backward:before{content:"\e069"}.glyphicon-fast-backward:before{content:"\e070"}.glyphicon-backward:before{content:"\e071"}.glyphicon-play:before{content:"\e072"}.glyphicon-pause:before{content:"\e073"}.glyphicon-stop:before{content:"\e074"}.glyphicon-forward:before{content:"\e075"}.glyphicon-fast-forward:before{content:"\e076"}.glyphicon-step-forward:before{content:"\e077"}.glyphicon-eject:before{content:"\e078"}.glyphicon-chevron-left:before{content:"\e079"}.glyphicon-chevron-right:before{content:"\e080"}.glyphicon-plus-sign:before{content:"\e081"}.glyphicon-minus-sign:before{content:"\e082"}.glyphicon-remove-sign:before{content:"\e083"}.glyphicon-ok-sign:before{content:"\e084"}.glyphicon-question-sign:before{content:"\e085"}.glyphicon-info-sign:before{content:"\e086"}.glyphicon-screenshot:before{content:"\e087"}.glyphicon-remove-circle:before{content:"\e088"}.glyphicon-ok-circle:before{content:"\e089"}.glyphicon-ban-circle:before{content:"\e090"}.glyphicon-arrow-left:before{content:"\e091"}.glyphicon-arrow-right:before{content:"\e092"}.glyphicon-arrow-up:before{content:"\e093"}.glyphicon-arrow-down:before{content:"\e094"}.glyphicon-share-alt:before{content:"\e095"}.glyphicon-resize-full:before{content:"\e096"}.glyphicon-resize-small:before{content:"\e097"}.glyphicon-exclamation-sign:before{content:"\e101"}.glyphicon-gift:before{content:"\e102"}.glyphicon-leaf:before{content:"\e103"}.glyphicon-fire:before{content:"\e104"}.glyphicon-eye-open:before{content:"\e105"}.glyphicon-eye-close:before{content:"\e106"}.glyphicon-warning-sign:before{content:"\e107"}.glyphicon-plane:before{content:"\e108"}.glyphicon-calendar:before{content:"\e109"}.glyphicon-random:before{content:"\e110"}.glyphicon-comment:before{content:"\e111"}.glyphicon-magnet:before{content:"\e112"}.glyphicon-chevron-up:before{content:"\e113"}.glyphicon-chevron-down:before{content:"\e114"}.glyphicon-retweet:before{content:"\e115"}.glyphicon-shopping-cart:before{content:"\e116"}.glyphicon-folder-close:before{content:"\e117"}.glyphicon-folder-open:before{content:"\e118"}.glyphicon-resize-vertical:before{content:"\e119"}.glyphicon-resize-horizontal:before{content:"\e120"}.glyphicon-hdd:before{content:"\e121"}.glyphicon-bullhorn:before{content:"\e122"}.glyphicon-bell:before{content:"\e123"}.glyphicon-certificate:before{content:"\e124"}.glyphicon-thumbs-up:before{content:"\e125"}.glyphicon-thumbs-down:before{content:"\e126"}.glyphicon-hand-right:before{content:"\e127"}.glyphicon-hand-left:before{content:"\e128"}.glyphicon-hand-up:before{content:"\e129"}.glyphicon-hand-down:before{content:"\e130"}.glyphicon-circle-arrow-right:before{content:"\e131"}.glyphicon-circle-arrow-left:before{content:"\e132"}.glyphicon-circle-arrow-up:before{content:"\e133"}.glyphicon-circle-arrow-down:before{content:"\e134"}.glyphicon-globe:before{content:"\e135"}.glyphicon-wrench:before{content:"\e136"}.glyphicon-tasks:before{content:"\e137"}.glyphicon-filter:before{content:"\e138"}.glyphicon-briefcase:before{content:"\e139"}.glyphicon-fullscreen:before{content:"\e140"}.glyphicon-dashboard:before{content:"\e141"}.glyphicon-paperclip:before{content:"\e142"}.glyphicon-heart-empty:before{content:"\e143"}.glyphicon-link:before{content:"\e144"}.glyphicon-phone:before{content:"\e145"}.glyphicon-pushpin:before{content:"\e146"}.glyphicon-usd:before{content:"\e148"}.glyphicon-gbp:before{content:"\e149"}.glyphicon-sort:before{content:"\e150"}.glyphicon-sort-by-alphabet:before{content:"\e151"}.glyphicon-sort-by-alphabet-alt:before{content:"\e152"}.glyphicon-sort-by-order:before{content:"\e153"}.glyphicon-sort-by-order-alt:before{content:"\e154"}.glyphicon-sort-by-attributes:before{content:"\e155"}.glyphicon-sort-by-attributes-alt:before{content:"\e156"}.glyphicon-unchecked:before{content:"\e157"}.glyphicon-expand:before{content:"\e158"}.glyphicon-collapse-down:before{content:"\e159"}.glyphicon-collapse-up:before{content:"\e160"}.glyphicon-log-in:before{content:"\e161"}.glyphicon-flash:before{content:"\e162"}.glyphicon-log-out:before{content:"\e163"}.glyphicon-new-window:before{content:"\e164"}.glyphicon-record:before{content:"\e165"}.glyphicon-save:before{content:"\e166"}.glyphicon-open:before{content:"\e167"}.glyphicon-saved:before{content:"\e168"}.glyphicon-import:before{content:"\e169"}.glyphicon-export:before{content:"\e170"}.glyphicon-send:before{content:"\e171"}.glyphicon-floppy-disk:before{content:"\e172"}.glyphicon-floppy-saved:before{content:"\e173"}.glyphicon-floppy-remove:before{content:"\e174"}.glyphicon-floppy-save:before{content:"\e175"}.glyphicon-floppy-open:before{content:"\e176"}.glyphicon-credit-card:before{content:"\e177"}.glyphicon-transfer:before{content:"\e178"}.glyphicon-cutlery:before{content:"\e179"}.glyphicon-header:before{content:"\e180"}.glyphicon-compressed:before{content:"\e181"}.glyphicon-earphone:before{content:"\e182"}.glyphicon-phone-alt:before{content:"\e183"}.glyphicon-tower:before{content:"\e184"}.glyphicon-stats:before{content:"\e185"}.glyphicon-sd-video:before{content:"\e186"}.glyphicon-hd-video:before{content:"\e187"}.glyphicon-subtitles:before{content:"\e188"}.glyphicon-sound-stereo:before{content:"\e189"}.glyphicon-sound-dolby:before{content:"\e190"}.glyphicon-sound-5-1:before{content:"\e191"}.glyphicon-sound-6-1:before{content:"\e192"}.glyphicon-sound-7-1:before{content:"\e193"}.glyphicon-copyright-mark:before{content:"\e194"}.glyphicon-registration-mark:before{content:"\e195"}.glyphicon-cloud-download:before{content:"\e197"}.glyphicon-cloud-upload:before{content:"\e198"}.glyphicon-tree-conifer:before{content:"\e199"}.glyphicon-tree-deciduous:before{content:"\e200"}.glyphicon-cd:before{content:"\e201"}.glyphicon-save-file:before{content:"\e202"}.glyphicon-open-file:before{content:"\e203"}.glyphicon-level-up:before{content:"\e204"}.glyphicon-copy:before{content:"\e205"}.glyphicon-paste:before{content:"\e206"}.glyphicon-alert:before{content:"\e209"}.glyphicon-equalizer:before{content:"\e210"}.glyphicon-king:before{content:"\e211"}.glyphicon-queen:before{content:"\e212"}.glyphicon-pawn:before{content:"\e213"}.glyphicon-bishop:before{content:"\e214"}.glyphicon-knight:before{content:"\e215"}.glyphicon-baby-formula:before{content:"\e216"}.glyphicon-tent:before{content:"\26fa"}.glyphicon-blackboard:before{content:"\e218"}.glyphicon-bed:before{content:"\e219"}.glyphicon-apple:before{content:"\f8ff"}.glyphicon-erase:before{content:"\e221"}.glyphicon-hourglass:before{content:"\231b"}.glyphicon-lamp:before{content:"\e223"}.glyphicon-duplicate:before{content:"\e224"}.glyphicon-piggy-bank:before{content:"\e225"}.glyphicon-scissors:before{content:"\e226"}.glyphicon-bitcoin:before,.glyphicon-btc:before,.glyphicon-xbt:before{content:"\e227"}.glyphicon-jpy:before,.glyphicon-yen:before{content:"\00a5"}.glyphicon-rub:before,.glyphicon-ruble:before{content:"\20bd"}.glyphicon-scale:before{content:"\e230"}.glyphicon-ice-lolly:before{content:"\e231"}.glyphicon-ice-lolly-tasted:before{content:"\e232"}.glyphicon-education:before{content:"\e233"}.glyphicon-option-horizontal:before{content:"\e234"}.glyphicon-option-vertical:before{content:"\e235"}.glyphicon-menu-hamburger:before{content:"\e236"}.glyphicon-modal-window:before{content:"\e237"}.glyphicon-oil:before{content:"\e238"}.glyphicon-grain:before{content:"\e239"}.glyphicon-sunglasses:before{content:"\e240"}.glyphicon-text-size:before{content:"\e241"}.glyphicon-text-color:before{content:"\e242"}.glyphicon-text-background:before{content:"\e243"}.glyphicon-object-align-top:before{content:"\e244"}.glyphicon-object-align-bottom:before{content:"\e245"}.glyphicon-object-align-horizontal:before{content:"\e246"}.glyphicon-object-align-left:before{content:"\e247"}.glyphicon-object-align-vertical:before{content:"\e248"}.glyphicon-object-align-right:before{content:"\e249"}.glyphicon-triangle-right:before{content:"\e250"}.glyphicon-triangle-left:before{content:"\e251"}.glyphicon-triangle-bottom:before{content:"\e252"}.glyphicon-triangle-top:before{content:"\e253"}.glyphicon-console:before{content:"\e254"}.glyphicon-superscript:before{content:"\e255"}.glyphicon-subscript:before{content:"\e256"}.glyphicon-menu-left:before{content:"\e257"}.glyphicon-menu-right:before{content:"\e258"}.glyphicon-menu-down:before{content:"\e259"}.glyphicon-menu-up:before{content:"\e260"}*,:after,:before{-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box}html{font-size:10px;-webkit-tap-highlight-color:transparent}body{font-family:"Helvetica Neue",Helvetica,Arial,sans-serif;font-size:14px;line-height:1.42857143;color:#333}button,input,select,textarea{font-family:inherit;font-size:inherit;line-height:inherit}a{color:#337ab7;text-decoration:none}a:focus,a:hover{color:#23527c;text-decoration:underline}a:focus{outline:dotted thin;outline:-webkit-focus-ring-color auto 5px;outline-offset:-2px}.carousel-inner>.item>a>img,.carousel-inner>.item>img,.img-responsive,.thumbnail a>img,.thumbnail>img{display:block;max-width:100%;height:auto}.img-rounded{border-radius:6px}.img-thumbnail{display:inline-block;max-width:100%;height:auto;padding:4px;line-height:1.42857143;border:1px solid #ddd;border-radius:4px;-webkit-transition:all .2s ease-in-out;-o-transition:all .2s ease-in-out;transition:all .2s ease-in-out}.form-control,.ui-grid-pager-control input{-o-transition:border-color ease-in-out .15s,box-shadow ease-in-out .15s}.img-circle{border-radius:50%}hr{margin-top:20px;margin-bottom:20px;border:0;border-top:1px solid #eee}[role=button]{cursor:pointer}.h1,.h2,.h3,.h4,.h5,.h6,h1,h2,h3,h4,h5,h6{font-family:inherit;font-weight:500;line-height:1.1;color:inherit}.h1 .small,.h1 small,.h2 .small,.h2 small,.h3 .small,.h3 small,.h4 .small,.h4 small,.h5 .small,.h5 small,.h6 .small,.h6 small,h1 .small,h1 small,h2 .small,h2 small,h3 .small,h3 small,h4 .small,h4 small,h5 .small,h5 small,h6 .small,h6 small{font-weight:400;line-height:1;color:#777}.h1,.h2,.h3,h1,h2,h3{margin-top:20px;margin-bottom:10px}.h1 .small,.h1 small,.h2 .small,.h2 small,.h3 .small,.h3 small,h1 .small,h1 small,h2 .small,h2 small,h3 .small,h3 small{font-size:65%}.h4,.h5,.h6,h4,h5,h6{margin-top:10px;margin-bottom:10px}.h4 .small,.h4 small,.h5 .small,.h5 small,.h6 .small,.h6 small,h4 .small,h4 small,h5 .small,h5 small,h6 .small,h6 small{font-size:75%}.h1,h1{font-size:36px}.h2,h2{font-size:30px}.h3,h3{font-size:24px}.h4,h4{font-size:18px}.h5,h5{font-size:14px}.h6,h6{font-size:12px}p{margin:0 0 10px}.lead{margin-bottom:20px;font-size:16px;font-weight:300;line-height:1.4}dt,kbd kbd,label{font-weight:700}@media (min-width:768px){.lead{font-size:21px}}.small,small{font-size:85%}.mark,mark{padding:.2em;background-color:#fcf8e3}.list-inline,.list-unstyled{padding-left:0;list-style:none}.text-left{text-align:left}.text-right{text-align:right}.btn,.text-center{text-align:center}.text-justify{text-align:justify}.text-nowrap{white-space:nowrap}.text-lowercase{text-transform:lowercase}.text-uppercase{text-transform:uppercase}.text-capitalize{text-transform:capitalize}.text-muted{color:#777}.text-primary{color:#337ab7}a.text-primary:focus,a.text-primary:hover{color:#286090}.text-success{color:#3c763d}a.text-success:focus,a.text-success:hover{color:#2b542c}.text-info{color:#31708f}a.text-info:focus,a.text-info:hover{color:#245269}.text-warning{color:#8a6d3b}a.text-warning:focus,a.text-warning:hover{color:#66512c}.text-danger{color:#a94442}a.text-danger:focus,a.text-danger:hover{color:#843534}.bg-primary{color:#fff;background-color:#337ab7}a.bg-primary:focus,a.bg-primary:hover{background-color:#286090}.bg-success{background-color:#dff0d8}a.bg-success:focus,a.bg-success:hover{background-color:#c1e2b3}.bg-info{background-color:#d9edf7}a.bg-info:focus,a.bg-info:hover{background-color:#afd9ee}.bg-warning{background-color:#fcf8e3}a.bg-warning:focus,a.bg-warning:hover{background-color:#f7ecb5}.bg-danger{background-color:#f2dede}a.bg-danger:focus,a.bg-danger:hover{background-color:#e4b9b9}pre code,table{background-color:transparent}.page-header{padding-bottom:9px;margin:40px 0 20px;border-bottom:1px solid #eee}dl,ol,ul{margin-top:0}blockquote ol:last-child,blockquote p:last-child,blockquote ul:last-child,ol ol,ol ul,ul ol,ul ul{margin-bottom:0}ol,ul{margin-bottom:10px}.list-inline{margin-left:-5px}.list-inline>li{display:inline-block;padding-right:5px;padding-left:5px}dl{margin-bottom:20px}dd,dt{line-height:1.42857143}dd{margin-left:0}@media (min-width:768px){.dl-horizontal dt{float:left;width:160px;overflow:hidden;clear:left;text-align:right;text-overflow:ellipsis;white-space:nowrap}.dl-horizontal dd{margin-left:180px}.container{width:750px}}#ui-grid-twbs #ui-grid-twbs .btn-group-vertical>.btn-group:after,#ui-grid-twbs #ui-grid-twbs .btn-toolbar:after,#ui-grid-twbs #ui-grid-twbs .form-horizontal .form-group:after,.btn-group-vertical>.btn-group:after,.btn-toolbar:after,.clearfix:after,.container-fluid:after,.container:after,.dl-horizontal dd:after,.dropdown-menu>li>a,.form-horizontal .form-group:after,.modal-footer:after,.modal-header:after,.nav:after,.navbar-collapse:after,.navbar-header:after,.navbar:after,.pager:after,.panel-body:after,.row:after,.ui-grid-clearfix:after,.ui-grid-footer-canvas:after,.ui-grid-header-canvas:after{clear:both}abbr[data-original-title],abbr[title]{cursor:help;border-bottom:1px dotted #777}.initialism{font-size:90%;text-transform:uppercase}blockquote{padding:10px 20px;margin:0 0 20px;font-size:17.5px;border-left:5px solid #eee}.container,.container-fluid{margin-right:auto;margin-left:auto}.table,address{margin-bottom:20px}blockquote .small,blockquote footer,blockquote small{display:block;font-size:80%;line-height:1.42857143;color:#777}blockquote .small:before,blockquote footer:before,blockquote small:before{content:'\2014 \00A0'}.blockquote-reverse,blockquote.pull-right{padding-right:15px;padding-left:0;text-align:right;border-right:5px solid #eee;border-left:0}code,kbd{padding:2px 4px;font-size:90%}caption,th{text-align:left}.blockquote-reverse .small:before,.blockquote-reverse footer:before,.blockquote-reverse small:before,blockquote.pull-right .small:before,blockquote.pull-right footer:before,blockquote.pull-right small:before{content:''}.blockquote-reverse .small:after,.blockquote-reverse footer:after,.blockquote-reverse small:after,blockquote.pull-right .small:after,blockquote.pull-right footer:after,blockquote.pull-right small:after{content:'\00A0 \2014'}address{font-style:normal;line-height:1.42857143}code,kbd,pre,samp{font-family:Menlo,Monaco,Consolas,"Courier New",monospace}code{color:#c7254e;background-color:#f9f2f4;border-radius:4px}kbd{color:#fff;background-color:#333;border-radius:3px;-webkit-box-shadow:inset 0 -1px 0 rgba(0,0,0,.25);box-shadow:inset 0 -1px 0 rgba(0,0,0,.25)}kbd kbd{padding:0;font-size:100%;-webkit-box-shadow:none;box-shadow:none}pre code{padding:0;font-size:inherit;color:inherit;white-space:pre-wrap;border-radius:0}.container,.container-fluid{padding-right:15px;padding-left:15px}.pre-scrollable{overflow-y:scroll}@media (min-width:992px){.container{width:970px}}@media (min-width:1200px){.container{width:1170px}}.row{margin-right:-15px;margin-left:-15px}.col-lg-1,.col-lg-10,.col-lg-11,.col-lg-12,.col-lg-2,.col-lg-3,.col-lg-4,.col-lg-5,.col-lg-6,.col-lg-7,.col-lg-8,.col-lg-9,.col-md-1,.col-md-10,.col-md-11,.col-md-12,.col-md-2,.col-md-3,.col-md-4,.col-md-5,.col-md-6,.col-md-7,.col-md-8,.col-md-9,.col-sm-1,.col-sm-10,.col-sm-11,.col-sm-12,.col-sm-2,.col-sm-3,.col-sm-4,.col-sm-5,.col-sm-6,.col-sm-7,.col-sm-8,.col-sm-9,.col-xs-1,.col-xs-10,.col-xs-11,.col-xs-12,.col-xs-2,.col-xs-3,.col-xs-4,.col-xs-5,.col-xs-6,.col-xs-7,.col-xs-8,.col-xs-9{position:relative;min-height:1px;padding-right:15px;padding-left:15px}.col-xs-1,.col-xs-10,.col-xs-11,.col-xs-12,.col-xs-2,.col-xs-3,.col-xs-4,.col-xs-5,.col-xs-6,.col-xs-7,.col-xs-8,.col-xs-9{float:left}.col-xs-12{width:100%}.col-xs-11{width:91.66666667%}.col-xs-10{width:83.33333333%}.col-xs-9{width:75%}.col-xs-8{width:66.66666667%}.col-xs-7{width:58.33333333%}.col-xs-6{width:50%}.col-xs-5{width:41.66666667%}.col-xs-4{width:33.33333333%}.col-xs-3{width:25%}.col-xs-2{width:16.66666667%}.col-xs-1{width:8.33333333%}.col-xs-pull-12{right:100%}.col-xs-pull-11{right:91.66666667%}.col-xs-pull-10{right:83.33333333%}.col-xs-pull-9{right:75%}.col-xs-pull-8{right:66.66666667%}.col-xs-pull-7{right:58.33333333%}.col-xs-pull-6{right:50%}.col-xs-pull-5{right:41.66666667%}.col-xs-pull-4{right:33.33333333%}.col-xs-pull-3{right:25%}.col-xs-pull-2{right:16.66666667%}.col-xs-pull-1{right:8.33333333%}.col-xs-pull-0{right:auto}.col-xs-push-12{left:100%}.col-xs-push-11{left:91.66666667%}.col-xs-push-10{left:83.33333333%}.col-xs-push-9{left:75%}.col-xs-push-8{left:66.66666667%}.col-xs-push-7{left:58.33333333%}.col-xs-push-6{left:50%}.col-xs-push-5{left:41.66666667%}.col-xs-push-4{left:33.33333333%}.col-xs-push-3{left:25%}.col-xs-push-2{left:16.66666667%}.col-xs-push-1{left:8.33333333%}.col-xs-push-0{left:auto}.col-xs-offset-12{margin-left:100%}.col-xs-offset-11{margin-left:91.66666667%}.col-xs-offset-10{margin-left:83.33333333%}.col-xs-offset-9{margin-left:75%}.col-xs-offset-8{margin-left:66.66666667%}.col-xs-offset-7{margin-left:58.33333333%}.col-xs-offset-6{margin-left:50%}.col-xs-offset-5{margin-left:41.66666667%}.col-xs-offset-4{margin-left:33.33333333%}.col-xs-offset-3{margin-left:25%}.col-xs-offset-2{margin-left:16.66666667%}.col-xs-offset-1{margin-left:8.33333333%}.col-xs-offset-0{margin-left:0}@media (min-width:768px){.col-sm-1,.col-sm-10,.col-sm-11,.col-sm-12,.col-sm-2,.col-sm-3,.col-sm-4,.col-sm-5,.col-sm-6,.col-sm-7,.col-sm-8,.col-sm-9{float:left}.col-sm-12{width:100%}.col-sm-11{width:91.66666667%}.col-sm-10{width:83.33333333%}.col-sm-9{width:75%}.col-sm-8{width:66.66666667%}.col-sm-7{width:58.33333333%}.col-sm-6{width:50%}.col-sm-5{width:41.66666667%}.col-sm-4{width:33.33333333%}.col-sm-3{width:25%}.col-sm-2{width:16.66666667%}.col-sm-1{width:8.33333333%}.col-sm-pull-12{right:100%}.col-sm-pull-11{right:91.66666667%}.col-sm-pull-10{right:83.33333333%}.col-sm-pull-9{right:75%}.col-sm-pull-8{right:66.66666667%}.col-sm-pull-7{right:58.33333333%}.col-sm-pull-6{right:50%}.col-sm-pull-5{right:41.66666667%}.col-sm-pull-4{right:33.33333333%}.col-sm-pull-3{right:25%}.col-sm-pull-2{right:16.66666667%}.col-sm-pull-1{right:8.33333333%}.col-sm-pull-0{right:auto}.col-sm-push-12{left:100%}.col-sm-push-11{left:91.66666667%}.col-sm-push-10{left:83.33333333%}.col-sm-push-9{left:75%}.col-sm-push-8{left:66.66666667%}.col-sm-push-7{left:58.33333333%}.col-sm-push-6{left:50%}.col-sm-push-5{left:41.66666667%}.col-sm-push-4{left:33.33333333%}.col-sm-push-3{left:25%}.col-sm-push-2{left:16.66666667%}.col-sm-push-1{left:8.33333333%}.col-sm-push-0{left:auto}.col-sm-offset-12{margin-left:100%}.col-sm-offset-11{margin-left:91.66666667%}.col-sm-offset-10{margin-left:83.33333333%}.col-sm-offset-9{margin-left:75%}.col-sm-offset-8{margin-left:66.66666667%}.col-sm-offset-7{margin-left:58.33333333%}.col-sm-offset-6{margin-left:50%}.col-sm-offset-5{margin-left:41.66666667%}.col-sm-offset-4{margin-left:33.33333333%}.col-sm-offset-3{margin-left:25%}.col-sm-offset-2{margin-left:16.66666667%}.col-sm-offset-1{margin-left:8.33333333%}.col-sm-offset-0{margin-left:0}}@media (min-width:992px){.col-md-1,.col-md-10,.col-md-11,.col-md-12,.col-md-2,.col-md-3,.col-md-4,.col-md-5,.col-md-6,.col-md-7,.col-md-8,.col-md-9{float:left}.col-md-12{width:100%}.col-md-11{width:91.66666667%}.col-md-10{width:83.33333333%}.col-md-9{width:75%}.col-md-8{width:66.66666667%}.col-md-7{width:58.33333333%}.col-md-6{width:50%}.col-md-5{width:41.66666667%}.col-md-4{width:33.33333333%}.col-md-3{width:25%}.col-md-2{width:16.66666667%}.col-md-1{width:8.33333333%}.col-md-pull-12{right:100%}.col-md-pull-11{right:91.66666667%}.col-md-pull-10{right:83.33333333%}.col-md-pull-9{right:75%}.col-md-pull-8{right:66.66666667%}.col-md-pull-7{right:58.33333333%}.col-md-pull-6{right:50%}.col-md-pull-5{right:41.66666667%}.col-md-pull-4{right:33.33333333%}.col-md-pull-3{right:25%}.col-md-pull-2{right:16.66666667%}.col-md-pull-1{right:8.33333333%}.col-md-pull-0{right:auto}.col-md-push-12{left:100%}.col-md-push-11{left:91.66666667%}.col-md-push-10{left:83.33333333%}.col-md-push-9{left:75%}.col-md-push-8{left:66.66666667%}.col-md-push-7{left:58.33333333%}.col-md-push-6{left:50%}.col-md-push-5{left:41.66666667%}.col-md-push-4{left:33.33333333%}.col-md-push-3{left:25%}.col-md-push-2{left:16.66666667%}.col-md-push-1{left:8.33333333%}.col-md-push-0{left:auto}.col-md-offset-12{margin-left:100%}.col-md-offset-11{margin-left:91.66666667%}.col-md-offset-10{margin-left:83.33333333%}.col-md-offset-9{margin-left:75%}.col-md-offset-8{margin-left:66.66666667%}.col-md-offset-7{margin-left:58.33333333%}.col-md-offset-6{margin-left:50%}.col-md-offset-5{margin-left:41.66666667%}.col-md-offset-4{margin-left:33.33333333%}.col-md-offset-3{margin-left:25%}.col-md-offset-2{margin-left:16.66666667%}.col-md-offset-1{margin-left:8.33333333%}.col-md-offset-0{margin-left:0}}@media (min-width:1200px){.col-lg-1,.col-lg-10,.col-lg-11,.col-lg-12,.col-lg-2,.col-lg-3,.col-lg-4,.col-lg-5,.col-lg-6,.col-lg-7,.col-lg-8,.col-lg-9{float:left}.col-lg-12{width:100%}.col-lg-11{width:91.66666667%}.col-lg-10{width:83.33333333%}.col-lg-9{width:75%}.col-lg-8{width:66.66666667%}.col-lg-7{width:58.33333333%}.col-lg-6{width:50%}.col-lg-5{width:41.66666667%}.col-lg-4{width:33.33333333%}.col-lg-3{width:25%}.col-lg-2{width:16.66666667%}.col-lg-1{width:8.33333333%}.col-lg-pull-12{right:100%}.col-lg-pull-11{right:91.66666667%}.col-lg-pull-10{right:83.33333333%}.col-lg-pull-9{right:75%}.col-lg-pull-8{right:66.66666667%}.col-lg-pull-7{right:58.33333333%}.col-lg-pull-6{right:50%}.col-lg-pull-5{right:41.66666667%}.col-lg-pull-4{right:33.33333333%}.col-lg-pull-3{right:25%}.col-lg-pull-2{right:16.66666667%}.col-lg-pull-1{right:8.33333333%}.col-lg-pull-0{right:auto}.col-lg-push-12{left:100%}.col-lg-push-11{left:91.66666667%}.col-lg-push-10{left:83.33333333%}.col-lg-push-9{left:75%}.col-lg-push-8{left:66.66666667%}.col-lg-push-7{left:58.33333333%}.col-lg-push-6{left:50%}.col-lg-push-5{left:41.66666667%}.col-lg-push-4{left:33.33333333%}.col-lg-push-3{left:25%}.col-lg-push-2{left:16.66666667%}.col-lg-push-1{left:8.33333333%}.col-lg-push-0{left:auto}.col-lg-offset-12{margin-left:100%}.col-lg-offset-11{margin-left:91.66666667%}.col-lg-offset-10{margin-left:83.33333333%}.col-lg-offset-9{margin-left:75%}.col-lg-offset-8{margin-left:66.66666667%}.col-lg-offset-7{margin-left:58.33333333%}.col-lg-offset-6{margin-left:50%}.col-lg-offset-5{margin-left:41.66666667%}.col-lg-offset-4{margin-left:33.33333333%}.col-lg-offset-3{margin-left:25%}.col-lg-offset-2{margin-left:16.66666667%}.col-lg-offset-1{margin-left:8.33333333%}.col-lg-offset-0{margin-left:0}}caption{padding-top:8px;padding-bottom:8px;color:#777}.table{width:100%;max-width:100%}.table>tbody>tr>td,.table>tbody>tr>th,.table>tfoot>tr>td,.table>tfoot>tr>th,.table>thead>tr>td,.table>thead>tr>th{padding:8px;line-height:1.42857143;vertical-align:top;border-top:1px solid #ddd}.table>thead>tr>th{vertical-align:bottom;border-bottom:2px solid #ddd}.table>caption+thead>tr:first-child>td,.table>caption+thead>tr:first-child>th,.table>colgroup+thead>tr:first-child>td,.table>colgroup+thead>tr:first-child>th,.table>thead:first-child>tr:first-child>td,.table>thead:first-child>tr:first-child>th{border-top:0}.table>tbody+tbody{border-top:2px solid #ddd}.table .table{background-color:#fff}.table-condensed>tbody>tr>td,.table-condensed>tbody>tr>th,.table-condensed>tfoot>tr>td,.table-condensed>tfoot>tr>th,.table-condensed>thead>tr>td,.table-condensed>thead>tr>th{padding:5px}.table-bordered,.table-bordered>tbody>tr>td,.table-bordered>tbody>tr>th,.table-bordered>tfoot>tr>td,.table-bordered>tfoot>tr>th,.table-bordered>thead>tr>td,.table-bordered>thead>tr>th{border:1px solid #ddd}.table-bordered>thead>tr>td,.table-bordered>thead>tr>th{border-bottom-width:2px}.table-striped>tbody>tr:nth-of-type(odd){background-color:#f9f9f9}.table-hover>tbody>tr:hover,.table>tbody>tr.active>td,.table>tbody>tr.active>th,.table>tbody>tr>td.active,.table>tbody>tr>th.active,.table>tfoot>tr.active>td,.table>tfoot>tr.active>th,.table>tfoot>tr>td.active,.table>tfoot>tr>th.active,.table>thead>tr.active>td,.table>thead>tr.active>th,.table>thead>tr>td.active,.table>thead>tr>th.active{background-color:#f5f5f5}table col[class*=col-]{position:static;display:table-column;float:none}table td[class*=col-],table th[class*=col-]{position:static;display:table-cell;float:none}.table-hover>tbody>tr.active:hover>td,.table-hover>tbody>tr.active:hover>th,.table-hover>tbody>tr:hover>.active,.table-hover>tbody>tr>td.active:hover,.table-hover>tbody>tr>th.active:hover{background-color:#e8e8e8}.table>tbody>tr.success>td,.table>tbody>tr.success>th,.table>tbody>tr>td.success,.table>tbody>tr>th.success,.table>tfoot>tr.success>td,.table>tfoot>tr.success>th,.table>tfoot>tr>td.success,.table>tfoot>tr>th.success,.table>thead>tr.success>td,.table>thead>tr.success>th,.table>thead>tr>td.success,.table>thead>tr>th.success{background-color:#dff0d8}.table-hover>tbody>tr.success:hover>td,.table-hover>tbody>tr.success:hover>th,.table-hover>tbody>tr:hover>.success,.table-hover>tbody>tr>td.success:hover,.table-hover>tbody>tr>th.success:hover{background-color:#d0e9c6}.table>tbody>tr.info>td,.table>tbody>tr.info>th,.table>tbody>tr>td.info,.table>tbody>tr>th.info,.table>tfoot>tr.info>td,.table>tfoot>tr.info>th,.table>tfoot>tr>td.info,.table>tfoot>tr>th.info,.table>thead>tr.info>td,.table>thead>tr.info>th,.table>thead>tr>td.info,.table>thead>tr>th.info{background-color:#d9edf7}.table-hover>tbody>tr.info:hover>td,.table-hover>tbody>tr.info:hover>th,.table-hover>tbody>tr:hover>.info,.table-hover>tbody>tr>td.info:hover,.table-hover>tbody>tr>th.info:hover{background-color:#c4e3f3}.table>tbody>tr.warning>td,.table>tbody>tr.warning>th,.table>tbody>tr>td.warning,.table>tbody>tr>th.warning,.table>tfoot>tr.warning>td,.table>tfoot>tr.warning>th,.table>tfoot>tr>td.warning,.table>tfoot>tr>th.warning,.table>thead>tr.warning>td,.table>thead>tr.warning>th,.table>thead>tr>td.warning,.table>thead>tr>th.warning{background-color:#fcf8e3}.table-hover>tbody>tr.warning:hover>td,.table-hover>tbody>tr.warning:hover>th,.table-hover>tbody>tr:hover>.warning,.table-hover>tbody>tr>td.warning:hover,.table-hover>tbody>tr>th.warning:hover{background-color:#faf2cc}.table>tbody>tr.danger>td,.table>tbody>tr.danger>th,.table>tbody>tr>td.danger,.table>tbody>tr>th.danger,.table>tfoot>tr.danger>td,.table>tfoot>tr.danger>th,.table>tfoot>tr>td.danger,.table>tfoot>tr>th.danger,.table>thead>tr.danger>td,.table>thead>tr.danger>th,.table>thead>tr>td.danger,.table>thead>tr>th.danger{background-color:#f2dede}.table-hover>tbody>tr.danger:hover>td,.table-hover>tbody>tr.danger:hover>th,.table-hover>tbody>tr:hover>.danger,.table-hover>tbody>tr>td.danger:hover,.table-hover>tbody>tr>th.danger:hover{background-color:#ebcccc}.table-responsive{min-height:.01%;overflow-x:auto}@media screen and (max-width:767px){.table-responsive{width:100%;margin-bottom:15px;overflow-y:hidden;-ms-overflow-style:-ms-autohiding-scrollbar;border:1px solid #ddd}.table-responsive>.table{margin-bottom:0}.table-responsive>.table>tbody>tr>td,.table-responsive>.table>tbody>tr>th,.table-responsive>.table>tfoot>tr>td,.table-responsive>.table>tfoot>tr>th,.table-responsive>.table>thead>tr>td,.table-responsive>.table>thead>tr>th{white-space:nowrap}.table-responsive>.table-bordered{border:0}.table-responsive>.table-bordered>tbody>tr>td:first-child,.table-responsive>.table-bordered>tbody>tr>th:first-child,.table-responsive>.table-bordered>tfoot>tr>td:first-child,.table-responsive>.table-bordered>tfoot>tr>th:first-child,.table-responsive>.table-bordered>thead>tr>td:first-child,.table-responsive>.table-bordered>thead>tr>th:first-child{border-left:0}.table-responsive>.table-bordered>tbody>tr>td:last-child,.table-responsive>.table-bordered>tbody>tr>th:last-child,.table-responsive>.table-bordered>tfoot>tr>td:last-child,.table-responsive>.table-bordered>tfoot>tr>th:last-child,.table-responsive>.table-bordered>thead>tr>td:last-child,.table-responsive>.table-bordered>thead>tr>th:last-child{border-right:0}.table-responsive>.table-bordered>tbody>tr:last-child>td,.table-responsive>.table-bordered>tbody>tr:last-child>th,.table-responsive>.table-bordered>tfoot>tr:last-child>td,.table-responsive>.table-bordered>tfoot>tr:last-child>th{border-bottom:0}}fieldset,legend{padding:0;border:0}fieldset{min-width:0;margin:0}legend{display:block;width:100%;margin-bottom:20px;font-size:21px;line-height:inherit;color:#333;border-bottom:1px solid #e5e5e5}label{display:inline-block;max-width:100%;margin-bottom:5px}input[type=search]{-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box;-webkit-appearance:none}input[type=checkbox],input[type=radio]{margin:4px 0 0;margin-top:1px\9;line-height:normal}.form-control,output{font-size:14px;line-height:1.42857143;color:#555;display:block}input[type=file]{display:block}input[type=range]{display:block;width:100%}select[multiple],select[size]{height:auto}input[type=file]:focus,input[type=checkbox]:focus,input[type=radio]:focus{outline:dotted thin;outline:-webkit-focus-ring-color auto 5px;outline-offset:-2px}output{padding-top:7px}.form-control{width:100%;height:34px;padding:6px 12px;background-color:#fff;border:1px solid #ccc;border-radius:4px;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075);box-shadow:inset 0 1px 1px rgba(0,0,0,.075);-webkit-transition:border-color ease-in-out .15s,-webkit-box-shadow ease-in-out .15s;transition:border-color ease-in-out .15s,box-shadow ease-in-out .15s}.bootstrap-switch.bootstrap-switch-focused,.form-control:focus{-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6)}.form-control:focus{border-color:#66afe9;outline:0;box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6)}.form-control::-moz-placeholder{color:#999;opacity:1}.form-control:-ms-input-placeholder{color:#999}.form-control::-webkit-input-placeholder{color:#999}.has-success .checkbox,.has-success .checkbox-inline,.has-success .control-label,.has-success .form-control-feedback,.has-success .help-block,.has-success .radio,.has-success .radio-inline,.has-success.checkbox label,.has-success.checkbox-inline label,.has-success.radio label,.has-success.radio-inline label{color:#3c763d}.form-control::-ms-expand{background-color:transparent;border:0}.form-control[disabled],.form-control[readonly],fieldset[disabled] .form-control{background-color:#eee;opacity:1}.form-control[disabled],fieldset[disabled] .form-control{cursor:not-allowed}textarea.form-control{height:auto}@media screen and (-webkit-min-device-pixel-ratio:0){input[type=date].form-control,input[type=time].form-control,input[type=datetime-local].form-control,input[type=month].form-control{line-height:34px}.input-group-sm input[type=date],.input-group-sm input[type=time],.input-group-sm input[type=datetime-local],.input-group-sm input[type=month],input[type=date].input-sm,input[type=time].input-sm,input[type=datetime-local].input-sm,input[type=month].input-sm{line-height:30px}.input-group-lg input[type=date],.input-group-lg input[type=time],.input-group-lg input[type=datetime-local],.input-group-lg input[type=month],input[type=date].input-lg,input[type=time].input-lg,input[type=datetime-local].input-lg,input[type=month].input-lg{line-height:46px}}.form-group{margin-bottom:15px}.checkbox,.radio{position:relative;display:block;margin-top:10px;margin-bottom:10px}.checkbox label,.radio label{min-height:20px;padding-left:20px;margin-bottom:0;font-weight:400;cursor:pointer}.checkbox input[type=checkbox],.checkbox-inline input[type=checkbox],.radio input[type=radio],.radio-inline input[type=radio]{position:absolute;margin-top:4px\9;margin-left:-20px}.checkbox+.checkbox,.radio+.radio{margin-top:-5px}.checkbox-inline,.radio-inline{position:relative;display:inline-block;padding-left:20px;margin-bottom:0;font-weight:400;vertical-align:middle;cursor:pointer}.checkbox-inline+.checkbox-inline,.radio-inline+.radio-inline{margin-top:0;margin-left:10px}.checkbox-inline.disabled,.checkbox.disabled label,.radio-inline.disabled,.radio.disabled label,fieldset[disabled] .checkbox label,fieldset[disabled] .checkbox-inline,fieldset[disabled] .radio label,fieldset[disabled] .radio-inline,fieldset[disabled] input[type=checkbox],fieldset[disabled] input[type=radio],input[type=checkbox].disabled,input[type=checkbox][disabled],input[type=radio].disabled,input[type=radio][disabled]{cursor:not-allowed}.form-control-static{min-height:34px;padding-top:7px;padding-bottom:7px;margin-bottom:0}.form-control-static.input-lg,.form-control-static.input-sm{padding-right:0;padding-left:0}.form-group-sm .form-control,.input-sm{padding:5px 10px;border-radius:3px;font-size:12px}.input-sm{height:30px;line-height:1.5}select.input-sm{height:30px;line-height:30px}select[multiple].input-sm,textarea.input-sm{height:auto}.form-group-sm .form-control{height:30px;line-height:1.5}.form-group-lg .form-control,.input-lg{border-radius:6px;padding:10px 16px;font-size:18px}.form-group-sm select.form-control{height:30px;line-height:30px}.form-group-sm select[multiple].form-control,.form-group-sm textarea.form-control{height:auto}.form-group-sm .form-control-static{height:30px;min-height:32px;padding:6px 10px;font-size:12px;line-height:1.5}.input-lg{height:46px;line-height:1.3333333}select.input-lg{height:46px;line-height:46px}select[multiple].input-lg,textarea.input-lg{height:auto}.form-group-lg .form-control{height:46px;line-height:1.3333333}.form-group-lg select.form-control{height:46px;line-height:46px}.form-group-lg select[multiple].form-control,.form-group-lg textarea.form-control{height:auto}.form-group-lg .form-control-static{height:46px;min-height:38px;padding:11px 16px;font-size:18px;line-height:1.3333333}.has-feedback{position:relative}.has-feedback .form-control{padding-right:42.5px}.form-control-feedback{position:absolute;top:0;right:0;z-index:2;display:block;width:34px;height:34px;line-height:34px;text-align:center}.collapsing,.dropdown,.dropup{position:relative}.form-group-lg .form-control+.form-control-feedback,.input-group-lg+.form-control-feedback,.input-lg+.form-control-feedback{width:46px;height:46px;line-height:46px}.form-group-sm .form-control+.form-control-feedback,.input-group-sm+.form-control-feedback,.input-sm+.form-control-feedback{width:30px;height:30px;line-height:30px}.has-success .form-control{border-color:#3c763d;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075);box-shadow:inset 0 1px 1px rgba(0,0,0,.075)}.has-success .form-control:focus{border-color:#2b542c;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 6px #67b168;box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 6px #67b168}.has-success .input-group-addon{color:#3c763d;background-color:#dff0d8;border-color:#3c763d}.has-warning .checkbox,.has-warning .checkbox-inline,.has-warning .control-label,.has-warning .form-control-feedback,.has-warning .help-block,.has-warning .radio,.has-warning .radio-inline,.has-warning.checkbox label,.has-warning.checkbox-inline label,.has-warning.radio label,.has-warning.radio-inline label{color:#8a6d3b}.has-warning .form-control{border-color:#8a6d3b;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075);box-shadow:inset 0 1px 1px rgba(0,0,0,.075)}.has-warning .form-control:focus{border-color:#66512c;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 6px #c0a16b;box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 6px #c0a16b}.has-warning .input-group-addon{color:#8a6d3b;background-color:#fcf8e3;border-color:#8a6d3b}.has-error .checkbox,.has-error .checkbox-inline,.has-error .control-label,.has-error .form-control-feedback,.has-error .help-block,.has-error .radio,.has-error .radio-inline,.has-error.checkbox label,.has-error.checkbox-inline label,.has-error.radio label,.has-error.radio-inline label{color:#a94442}.has-error .form-control{border-color:#a94442;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075);box-shadow:inset 0 1px 1px rgba(0,0,0,.075)}.has-error .form-control:focus{border-color:#843534;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 6px #ce8483;box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 6px #ce8483}.has-error .input-group-addon{color:#a94442;background-color:#f2dede;border-color:#a94442}.has-feedback label~.form-control-feedback{top:25px}.has-feedback label.sr-only~.form-control-feedback{top:0}.help-block{display:block;margin-top:5px;margin-bottom:10px;color:#737373}@media (min-width:768px){.form-inline .form-control-static,.form-inline .form-group{display:inline-block}.form-inline .control-label,.form-inline .form-group{margin-bottom:0;vertical-align:middle}.form-inline .form-control{display:inline-block;width:auto;vertical-align:middle}.form-inline .input-group{display:inline-table;vertical-align:middle}.form-inline .input-group .form-control,.form-inline .input-group .input-group-addon,.form-inline .input-group .input-group-btn{width:auto}.form-inline .input-group>.form-control{width:100%}.form-inline .checkbox,.form-inline .radio{display:inline-block;margin-top:0;margin-bottom:0;vertical-align:middle}.form-inline .checkbox label,.form-inline .radio label{padding-left:0}.form-inline .checkbox input[type=checkbox],.form-inline .radio input[type=radio]{position:relative;margin-left:0}.form-inline .has-feedback .form-control-feedback{top:0}.form-horizontal .control-label{padding-top:7px;margin-bottom:0;text-align:right}}.form-horizontal .checkbox,.form-horizontal .checkbox-inline,.form-horizontal .radio,.form-horizontal .radio-inline{padding-top:7px;margin-top:0;margin-bottom:0}.form-horizontal .checkbox,.form-horizontal .radio{min-height:27px}.form-horizontal .form-group{margin-right:-15px;margin-left:-15px}.form-horizontal .has-feedback .form-control-feedback{right:15px}@media (min-width:768px){.form-horizontal .form-group-lg .control-label{padding-top:11px;font-size:18px}.form-horizontal .form-group-sm .control-label{padding-top:6px;font-size:12px}}.btn{display:inline-block;padding:6px 12px;margin-bottom:0;font-size:14px;font-weight:400;line-height:1.42857143;white-space:nowrap;vertical-align:middle;-ms-touch-action:manipulation;touch-action:manipulation;cursor:pointer;-webkit-user-select:none;-moz-user-select:none;-ms-user-select:none;user-select:none;border:1px solid transparent;border-radius:4px}.btn.active.focus,.btn.active:focus,.btn.focus,.btn:active.focus,.btn:active:focus,.btn:focus{outline:dotted thin;outline:-webkit-focus-ring-color auto 5px;outline-offset:-2px}.btn.focus,.btn:focus,.btn:hover{color:#333;text-decoration:none}.btn.active,.btn:active{outline:0;-webkit-box-shadow:inset 0 3px 5px rgba(0,0,0,.125);box-shadow:inset 0 3px 5px rgba(0,0,0,.125)}.btn.disabled,.btn[disabled],fieldset[disabled] .btn{cursor:not-allowed;filter:alpha(opacity=65);-webkit-box-shadow:none;box-shadow:none;opacity:.65}.btn-default{color:#333;background-color:#fff;border-color:#ccc}.btn-default.focus,.btn-default:focus{color:#333;background-color:#e6e6e6;border-color:#8c8c8c}.btn-default.active,.btn-default:active,.btn-default:hover,.open>.dropdown-toggle.btn-default{color:#333;background-color:#e6e6e6;border-color:#adadad}.btn-default.active.focus,.btn-default.active:focus,.btn-default.active:hover,.btn-default:active.focus,.btn-default:active:focus,.btn-default:active:hover,.open>.dropdown-toggle.btn-default.focus,.open>.dropdown-toggle.btn-default:focus,.open>.dropdown-toggle.btn-default:hover{color:#333;background-color:#d4d4d4;border-color:#8c8c8c}.btn-default.disabled.focus,.btn-default.disabled:focus,.btn-default.disabled:hover,.btn-default[disabled].focus,.btn-default[disabled]:focus,.btn-default[disabled]:hover,fieldset[disabled] .btn-default.focus,fieldset[disabled] .btn-default:focus,fieldset[disabled] .btn-default:hover{background-color:#fff;border-color:#ccc}.btn-default .badge{color:#fff;background-color:#333}.btn-primary{color:#fff;background-color:#337ab7;border-color:#2e6da4}.btn-primary.focus,.btn-primary:focus{color:#fff;background-color:#286090;border-color:#122b40}.btn-primary.active,.btn-primary:active,.btn-primary:hover,.open>.dropdown-toggle.btn-primary{color:#fff;background-color:#286090;border-color:#204d74}.btn-primary.active.focus,.btn-primary.active:focus,.btn-primary.active:hover,.btn-primary:active.focus,.btn-primary:active:focus,.btn-primary:active:hover,.open>.dropdown-toggle.btn-primary.focus,.open>.dropdown-toggle.btn-primary:focus,.open>.dropdown-toggle.btn-primary:hover{color:#fff;background-color:#204d74;border-color:#122b40}.btn-primary.disabled.focus,.btn-primary.disabled:focus,.btn-primary.disabled:hover,.btn-primary[disabled].focus,.btn-primary[disabled]:focus,.btn-primary[disabled]:hover,fieldset[disabled] .btn-primary.focus,fieldset[disabled] .btn-primary:focus,fieldset[disabled] .btn-primary:hover{background-color:#337ab7;border-color:#2e6da4}.btn-primary .badge{color:#337ab7;background-color:#fff}.btn-success{color:#fff;background-color:#5cb85c;border-color:#4cae4c}.btn-success.focus,.btn-success:focus{color:#fff;background-color:#449d44;border-color:#255625}.btn-success.active,.btn-success:active,.btn-success:hover,.open>.dropdown-toggle.btn-success{color:#fff;background-color:#449d44;border-color:#398439}.btn-success.active.focus,.btn-success.active:focus,.btn-success.active:hover,.btn-success:active.focus,.btn-success:active:focus,.btn-success:active:hover,.open>.dropdown-toggle.btn-success.focus,.open>.dropdown-toggle.btn-success:focus,.open>.dropdown-toggle.btn-success:hover{color:#fff;background-color:#398439;border-color:#255625}.btn-success.active,.btn-success:active,.open>.dropdown-toggle.btn-success{background-image:none}.btn-success.disabled.focus,.btn-success.disabled:focus,.btn-success.disabled:hover,.btn-success[disabled].focus,.btn-success[disabled]:focus,.btn-success[disabled]:hover,fieldset[disabled] .btn-success.focus,fieldset[disabled] .btn-success:focus,fieldset[disabled] .btn-success:hover{background-color:#5cb85c;border-color:#4cae4c}.btn-success .badge{color:#5cb85c;background-color:#fff}.btn-info{color:#fff;background-color:#5bc0de;border-color:#46b8da}.btn-info.focus,.btn-info:focus{color:#fff;background-color:#31b0d5;border-color:#1b6d85}.btn-info.active,.btn-info:active,.btn-info:hover,.open>.dropdown-toggle.btn-info{color:#fff;background-color:#31b0d5;border-color:#269abc}.btn-info.active.focus,.btn-info.active:focus,.btn-info.active:hover,.btn-info:active.focus,.btn-info:active:focus,.btn-info:active:hover,.open>.dropdown-toggle.btn-info.focus,.open>.dropdown-toggle.btn-info:focus,.open>.dropdown-toggle.btn-info:hover{color:#fff;background-color:#269abc;border-color:#1b6d85}.btn-info.disabled.focus,.btn-info.disabled:focus,.btn-info.disabled:hover,.btn-info[disabled].focus,.btn-info[disabled]:focus,.btn-info[disabled]:hover,fieldset[disabled] .btn-info.focus,fieldset[disabled] .btn-info:focus,fieldset[disabled] .btn-info:hover{background-color:#5bc0de;border-color:#46b8da}.btn-info .badge{color:#5bc0de;background-color:#fff}.btn-warning{color:#fff;background-color:#f0ad4e;border-color:#eea236}.btn-warning.focus,.btn-warning:focus{color:#fff;background-color:#ec971f;border-color:#985f0d}.btn-warning.active,.btn-warning:active,.btn-warning:hover,.open>.dropdown-toggle.btn-warning{color:#fff;background-color:#ec971f;border-color:#d58512}.btn-warning.active.focus,.btn-warning.active:focus,.btn-warning.active:hover,.btn-warning:active.focus,.btn-warning:active:focus,.btn-warning:active:hover,.open>.dropdown-toggle.btn-warning.focus,.open>.dropdown-toggle.btn-warning:focus,.open>.dropdown-toggle.btn-warning:hover{color:#fff;background-color:#d58512;border-color:#985f0d}.btn-warning.disabled.focus,.btn-warning.disabled:focus,.btn-warning.disabled:hover,.btn-warning[disabled].focus,.btn-warning[disabled]:focus,.btn-warning[disabled]:hover,fieldset[disabled] .btn-warning.focus,fieldset[disabled] .btn-warning:focus,fieldset[disabled] .btn-warning:hover{background-color:#f0ad4e;border-color:#eea236}.btn-warning .badge{color:#f0ad4e;background-color:#fff}.btn-danger{color:#fff;background-color:#d9534f;border-color:#d43f3a}.btn-danger.focus,.btn-danger:focus{color:#fff;background-color:#c9302c;border-color:#761c19}.btn-danger.active,.btn-danger:active,.btn-danger:hover,.open>.dropdown-toggle.btn-danger{color:#fff;background-color:#c9302c;border-color:#ac2925}.btn-danger.active.focus,.btn-danger.active:focus,.btn-danger.active:hover,.btn-danger:active.focus,.btn-danger:active:focus,.btn-danger:active:hover,.open>.dropdown-toggle.btn-danger.focus,.open>.dropdown-toggle.btn-danger:focus,.open>.dropdown-toggle.btn-danger:hover{color:#fff;background-color:#ac2925;border-color:#761c19}.btn-danger.disabled.focus,.btn-danger.disabled:focus,.btn-danger.disabled:hover,.btn-danger[disabled].focus,.btn-danger[disabled]:focus,.btn-danger[disabled]:hover,fieldset[disabled] .btn-danger.focus,fieldset[disabled] .btn-danger:focus,fieldset[disabled] .btn-danger:hover{background-color:#d9534f;border-color:#d43f3a}.btn-danger .badge{color:#d9534f;background-color:#fff}.btn-link{font-weight:400;color:#337ab7;border-radius:0}.btn-link,.btn-link.active,.btn-link:active,.btn-link[disabled],fieldset[disabled] .btn-link{background-color:transparent;-webkit-box-shadow:none;box-shadow:none}.btn-link,.btn-link:active,.btn-link:focus,.btn-link:hover{border-color:transparent}.btn-link:focus,.btn-link:hover{color:#23527c;text-decoration:underline;background-color:transparent}.btn-link[disabled]:focus,.btn-link[disabled]:hover,fieldset[disabled] .btn-link:focus,fieldset[disabled] .btn-link:hover{color:#777;text-decoration:none}.btn-group-lg>.btn,.btn-lg{padding:10px 16px;font-size:18px;line-height:1.3333333;border-radius:6px}.btn-group-sm>.btn,.btn-sm{padding:5px 10px;font-size:12px;line-height:1.5;border-radius:3px}.btn-group-xs>.btn,.btn-xs{padding:1px 5px;font-size:12px;line-height:1.5;border-radius:3px}.btn-block{display:block;width:100%}.btn-block+.btn-block{margin-top:5px}input[type=button].btn-block,input[type=reset].btn-block,input[type=submit].btn-block{width:100%}.fade{opacity:0;-webkit-transition:opacity .15s linear;-o-transition:opacity .15s linear;transition:opacity .15s linear}.fade.in{opacity:1}.collapse{display:none}.collapse.in{display:block}tr.collapse.in{display:table-row}tbody.collapse.in{display:table-row-group}.collapsing{height:0;-webkit-transition-timing-function:ease;-o-transition-timing-function:ease;transition-timing-function:ease;-webkit-transition-duration:.35s;-o-transition-duration:.35s;transition-duration:.35s;-webkit-transition-property:height,visibility;-o-transition-property:height,visibility;transition-property:height,visibility}.caret{display:inline-block;width:0;height:0;margin-left:2px;vertical-align:middle;border-top:4px dashed;border-top:4px solid\9;border-right:4px solid transparent;border-left:4px solid transparent}.dropdown-toggle:focus{outline:0}.dropdown-menu{position:absolute;top:100%;left:0;z-index:1000;display:none;float:left;min-width:160px;padding:5px 0;margin:2px 0 0;font-size:14px;text-align:left;list-style:none;background-color:#fff;-webkit-background-clip:padding-box;background-clip:padding-box;border:1px solid #ccc;border:1px solid rgba(0,0,0,.15);border-radius:4px;-webkit-box-shadow:0 6px 12px rgba(0,0,0,.175);box-shadow:0 6px 12px rgba(0,0,0,.175)}.dropdown-menu-right,.dropdown-menu.pull-right{right:0;left:auto}.dropdown-header,.dropdown-menu>li>a{display:block;padding:3px 20px;line-height:1.42857143;white-space:nowrap}.btn-group>.btn-group:first-child:not(:last-child)>.btn:last-child,.btn-group>.btn-group:first-child:not(:last-child)>.dropdown-toggle,.btn-group>.btn:first-child:not(:last-child):not(.dropdown-toggle){border-top-right-radius:0;border-bottom-right-radius:0}.btn-group>.btn-group:last-child:not(:first-child)>.btn:first-child,.btn-group>.btn:last-child:not(:first-child),.btn-group>.dropdown-toggle:not(:first-child){border-top-left-radius:0;border-bottom-left-radius:0}.btn-group-vertical>.btn:not(:first-child):not(:last-child),.btn-group>.btn-group:not(:first-child):not(:last-child)>.btn,.btn-group>.btn:not(:first-child):not(:last-child):not(.dropdown-toggle){border-radius:0}.dropdown-menu .divider{height:1px;margin:9px 0;overflow:hidden;background-color:#e5e5e5}.dropdown-menu>li>a{font-weight:400;color:#333}.dropdown-menu>li>a:focus,.dropdown-menu>li>a:hover{color:#262626;text-decoration:none;background-color:#f5f5f5}.dropdown-menu>.active>a,.dropdown-menu>.active>a:focus,.dropdown-menu>.active>a:hover{color:#fff;text-decoration:none;background-color:#337ab7;outline:0}.dropdown-menu>.disabled>a,.dropdown-menu>.disabled>a:focus,.dropdown-menu>.disabled>a:hover{color:#777}.dropdown-menu>.disabled>a:focus,.dropdown-menu>.disabled>a:hover{text-decoration:none;cursor:not-allowed;background-color:transparent;filter:progid:DXImageTransform.Microsoft.gradient(enabled=false)}.open>.dropdown-menu{display:block}.open>a{outline:0}.dropdown-menu-left{right:auto;left:0}.dropdown-header{font-size:12px;color:#777}.dropdown-backdrop{position:fixed;top:0;right:0;bottom:0;left:0;z-index:990}.nav-justified>.dropdown .dropdown-menu,.nav-tabs.nav-justified>.dropdown .dropdown-menu{top:auto;left:auto}.pull-right>.dropdown-menu{right:0;left:auto}.dropup .caret,.navbar-fixed-bottom .dropdown .caret{content:"";border-top:0;border-bottom:4px dashed;border-bottom:4px solid\9}.dropup .dropdown-menu,.navbar-fixed-bottom .dropdown .dropdown-menu{top:auto;bottom:100%;margin-bottom:2px}@media (min-width:768px){.navbar-right .dropdown-menu{right:0;left:auto}.navbar-right .dropdown-menu-left{right:auto;left:0}}.btn-group,.btn-group-vertical{position:relative;display:inline-block;vertical-align:middle}.btn-group-vertical>.btn,.btn-group>.btn{position:relative;float:left}.btn-group-vertical>.btn.active,.btn-group-vertical>.btn:active,.btn-group-vertical>.btn:focus,.btn-group-vertical>.btn:hover,.btn-group>.btn.active,.btn-group>.btn:active,.btn-group>.btn:focus,.btn-group>.btn:hover{z-index:2}.btn-group .btn+.btn,.btn-group .btn+.btn-group,.btn-group .btn-group+.btn,.btn-group .btn-group+.btn-group{margin-left:-1px}.btn-toolbar{margin-left:-5px}.btn-toolbar .btn,.btn-toolbar .btn-group,.btn-toolbar .input-group{float:left}.btn-toolbar>.btn,.btn-toolbar>.btn-group,.btn-toolbar>.input-group{margin-left:5px}.btn .caret,.btn-group>.btn:first-child{margin-left:0}.btn-group>.btn-group{float:left}.btn-group .dropdown-toggle:active,.btn-group.open .dropdown-toggle{outline:0}.btn-group>.btn+.dropdown-toggle{padding-right:8px;padding-left:8px}.btn-group>.btn-lg+.dropdown-toggle{padding-right:12px;padding-left:12px}.btn-group.open .dropdown-toggle{-webkit-box-shadow:inset 0 3px 5px rgba(0,0,0,.125);box-shadow:inset 0 3px 5px rgba(0,0,0,.125)}.btn-group.open .dropdown-toggle.btn-link{-webkit-box-shadow:none;box-shadow:none}.btn-lg .caret{border-width:5px 5px 0}.dropup .btn-lg .caret{border-width:0 5px 5px}.btn-group-vertical>.btn,.btn-group-vertical>.btn-group,.btn-group-vertical>.btn-group>.btn{display:block;float:none;width:100%;max-width:100%}.btn-group-vertical>.btn-group>.btn{float:none}.btn-group-vertical>.btn+.btn,.btn-group-vertical>.btn+.btn-group,.btn-group-vertical>.btn-group+.btn,.btn-group-vertical>.btn-group+.btn-group{margin-top:-1px;margin-left:0}.btn-group-vertical>.btn:first-child:not(:last-child){border-radius:4px 4px 0 0}.btn-group-vertical>.btn:last-child:not(:first-child){border-radius:0 0 4px 4px}.btn-group-vertical>.btn-group:not(:first-child):not(:last-child)>.btn{border-radius:0}.btn-group-vertical>.btn-group:first-child:not(:last-child)>.btn:last-child,.btn-group-vertical>.btn-group:first-child:not(:last-child)>.dropdown-toggle{border-bottom-right-radius:0;border-bottom-left-radius:0}.btn-group-vertical>.btn-group:last-child:not(:first-child)>.btn:first-child{border-top-left-radius:0;border-top-right-radius:0}.btn-group-justified{display:table;width:100%;table-layout:fixed;border-collapse:separate}.btn-group-justified>.btn,.btn-group-justified>.btn-group{display:table-cell;float:none;width:1%}.btn-group-justified>.btn-group .btn{width:100%}.btn-group-justified>.btn-group .dropdown-menu{left:auto}[data-toggle=buttons]>.btn input[type=checkbox],[data-toggle=buttons]>.btn input[type=radio],[data-toggle=buttons]>.btn-group>.btn input[type=checkbox],[data-toggle=buttons]>.btn-group>.btn input[type=radio]{position:absolute;clip:rect(0,0,0,0);pointer-events:none}.input-group{position:relative;display:table;border-collapse:separate}.input-group[class*=col-]{float:none;padding-right:0;padding-left:0}.input-group .form-control{position:relative;z-index:2;float:left;width:100%;margin-bottom:0}.input-group .form-control:focus{z-index:3}.input-group-lg>.form-control,.input-group-lg>.input-group-addon,.input-group-lg>.input-group-btn>.btn{height:46px;padding:10px 16px;font-size:18px;line-height:1.3333333;border-radius:6px}select.input-group-lg>.form-control,select.input-group-lg>.input-group-addon,select.input-group-lg>.input-group-btn>.btn{height:46px;line-height:46px}select[multiple].input-group-lg>.form-control,select[multiple].input-group-lg>.input-group-addon,select[multiple].input-group-lg>.input-group-btn>.btn,textarea.input-group-lg>.form-control,textarea.input-group-lg>.input-group-addon,textarea.input-group-lg>.input-group-btn>.btn{height:auto}.input-group-sm>.form-control,.input-group-sm>.input-group-addon,.input-group-sm>.input-group-btn>.btn{height:30px;padding:5px 10px;font-size:12px;line-height:1.5;border-radius:3px}select.input-group-sm>.form-control,select.input-group-sm>.input-group-addon,select.input-group-sm>.input-group-btn>.btn{height:30px;line-height:30px}select[multiple].input-group-sm>.form-control,select[multiple].input-group-sm>.input-group-addon,select[multiple].input-group-sm>.input-group-btn>.btn,textarea.input-group-sm>.form-control,textarea.input-group-sm>.input-group-addon,textarea.input-group-sm>.input-group-btn>.btn{height:auto}.input-group .form-control,.input-group-addon,.input-group-btn{display:table-cell}.nav>li,.nav>li>a{display:block;position:relative}.input-group .form-control:not(:first-child):not(:last-child),.input-group-addon:not(:first-child):not(:last-child),.input-group-btn:not(:first-child):not(:last-child){border-radius:0}.input-group-addon,.input-group-btn{width:1%;white-space:nowrap;vertical-align:middle}.input-group-addon{padding:6px 12px;font-size:14px;font-weight:400;line-height:1;color:#555;text-align:center;background-color:#eee;border:1px solid #ccc;border-radius:4px}.input-group-addon.input-sm{padding:5px 10px;font-size:12px;border-radius:3px}.input-group-addon.input-lg{padding:10px 16px;font-size:18px;border-radius:6px}.input-group-addon input[type=checkbox],.input-group-addon input[type=radio]{margin-top:0}.input-group .form-control:first-child,.input-group-addon:first-child,.input-group-btn:first-child>.btn,.input-group-btn:first-child>.btn-group>.btn,.input-group-btn:first-child>.dropdown-toggle,.input-group-btn:last-child>.btn-group:not(:last-child)>.btn,.input-group-btn:last-child>.btn:not(:last-child):not(.dropdown-toggle){border-top-right-radius:0;border-bottom-right-radius:0}.input-group-addon:first-child{border-right:0}.input-group .form-control:last-child,.input-group-addon:last-child,.input-group-btn:first-child>.btn-group:not(:first-child)>.btn,.input-group-btn:first-child>.btn:not(:first-child),.input-group-btn:last-child>.btn,.input-group-btn:last-child>.btn-group>.btn,.input-group-btn:last-child>.dropdown-toggle{border-top-left-radius:0;border-bottom-left-radius:0}.input-group-addon:last-child{border-left:0}.input-group-btn{position:relative;font-size:0;white-space:nowrap}.input-group-btn>.btn{position:relative}.input-group-btn>.btn+.btn{margin-left:-1px}.input-group-btn>.btn:active,.input-group-btn>.btn:focus,.input-group-btn>.btn:hover{z-index:2}.input-group-btn:first-child>.btn,.input-group-btn:first-child>.btn-group{margin-right:-1px}.input-group-btn:last-child>.btn,.input-group-btn:last-child>.btn-group{z-index:2;margin-left:-1px}.nav{padding-left:0;margin-bottom:0;list-style:none}.nav>li>a{padding:10px 15px}.nav>li>a:focus,.nav>li>a:hover{text-decoration:none;background-color:#eee}.nav>li.disabled>a{color:#777}.nav>li.disabled>a:focus,.nav>li.disabled>a:hover{color:#777;text-decoration:none;cursor:not-allowed;background-color:transparent}.nav .open>a,.nav .open>a:focus,.nav .open>a:hover{background-color:#eee;border-color:#337ab7}.nav .nav-divider{height:1px;margin:9px 0;background-color:#e5e5e5}.nav>li>a>img{max-width:none}.nav-tabs{border-bottom:1px solid #ddd}.nav-tabs>li{float:left;margin-bottom:-1px}.nav-tabs>li>a{margin-right:2px;line-height:1.42857143;border:1px solid transparent;border-radius:4px 4px 0 0}.nav-tabs>li>a:hover{border-color:#eee #eee #ddd}.nav-tabs>li.active>a,.nav-tabs>li.active>a:focus,.nav-tabs>li.active>a:hover{color:#555;cursor:default;background-color:#fff;border:1px solid #ddd;border-bottom-color:transparent}.nav-tabs.nav-justified{width:100%;border-bottom:0}.nav-tabs.nav-justified>li{float:none}.nav-tabs.nav-justified>li>a{margin-bottom:5px;text-align:center;margin-right:0;border-radius:4px}.nav-tabs.nav-justified>.active>a,.nav-tabs.nav-justified>.active>a:focus,.nav-tabs.nav-justified>.active>a:hover{border:1px solid #ddd}@media (min-width:768px){.nav-tabs.nav-justified>li{display:table-cell;width:1%}.nav-tabs.nav-justified>li>a{margin-bottom:0;border-bottom:1px solid #ddd;border-radius:4px 4px 0 0}.nav-tabs.nav-justified>.active>a,.nav-tabs.nav-justified>.active>a:focus,.nav-tabs.nav-justified>.active>a:hover{border-bottom-color:#fff}}.nav-pills>li{float:left}.nav-justified>li,.nav-stacked>li{float:none}.nav-pills>li>a{border-radius:4px}.nav-pills>li+li{margin-left:2px}.nav-pills>li.active>a,.nav-pills>li.active>a:focus,.nav-pills>li.active>a:hover{color:#fff;background-color:#337ab7}.nav-stacked>li+li{margin-top:2px;margin-left:0}.nav-justified{width:100%}.nav-justified>li>a{margin-bottom:5px;text-align:center}.nav-tabs-justified{border-bottom:0}.nav-tabs-justified>li>a{margin-right:0;border-radius:4px}.nav-tabs-justified>.active>a,.nav-tabs-justified>.active>a:focus,.nav-tabs-justified>.active>a:hover{border:1px solid #ddd}@media (min-width:768px){.nav-justified>li{display:table-cell;width:1%}.nav-justified>li>a{margin-bottom:0}.nav-tabs-justified>li>a{border-bottom:1px solid #ddd;border-radius:4px 4px 0 0}.nav-tabs-justified>.active>a,.nav-tabs-justified>.active>a:focus,.nav-tabs-justified>.active>a:hover{border-bottom-color:#fff}}.tab-content>.tab-pane{display:none}.tab-content>.active{display:block}.nav-tabs .dropdown-menu{margin-top:-1px;border-top-left-radius:0;border-top-right-radius:0}.navbar{position:relative;min-height:50px;margin-bottom:20px;border:1px solid transparent}.navbar-collapse{padding-right:15px;padding-left:15px;overflow-x:visible;-webkit-overflow-scrolling:touch;border-top:1px solid transparent;-webkit-box-shadow:inset 0 1px 0 rgba(255,255,255,.1);box-shadow:inset 0 1px 0 rgba(255,255,255,.1)}.navbar-collapse.in{overflow-y:auto}@media (min-width:768px){.navbar{border-radius:4px}.navbar-header{float:left}.navbar-collapse{width:auto;border-top:0;-webkit-box-shadow:none;box-shadow:none}.navbar-collapse.collapse{display:block!important;height:auto!important;padding-bottom:0;overflow:visible!important}.navbar-collapse.in{overflow-y:visible}.navbar-fixed-bottom .navbar-collapse,.navbar-fixed-top .navbar-collapse,.navbar-static-top .navbar-collapse{padding-right:0;padding-left:0}}.embed-responsive,.modal,.modal-open,.progress{overflow:hidden}@media (max-device-width:480px) and (orientation:landscape){.navbar-fixed-bottom .navbar-collapse,.navbar-fixed-top .navbar-collapse{max-height:200px}}.container-fluid>.navbar-collapse,.container-fluid>.navbar-header,.container>.navbar-collapse,.container>.navbar-header{margin-right:-15px;margin-left:-15px}.navbar-static-top{z-index:1000;border-width:0 0 1px}.navbar-fixed-bottom,.navbar-fixed-top{position:fixed;right:0;left:0;z-index:1030}.navbar-fixed-top{top:0;border-width:0 0 1px}.navbar-fixed-bottom{bottom:0;margin-bottom:0;border-width:1px 0 0}.navbar-brand{float:left;height:50px;padding:15px;font-size:18px;line-height:20px}.navbar-brand:focus,.navbar-brand:hover{text-decoration:none}.navbar-brand>img{display:block}@media (min-width:768px){.container-fluid>.navbar-collapse,.container-fluid>.navbar-header,.container>.navbar-collapse,.container>.navbar-header{margin-right:0;margin-left:0}.navbar-fixed-bottom,.navbar-fixed-top,.navbar-static-top{border-radius:0}.navbar>.container .navbar-brand,.navbar>.container-fluid .navbar-brand{margin-left:-15px}}.navbar-toggle{position:relative;float:right;padding:9px 10px;margin-top:8px;margin-right:15px;margin-bottom:8px;background-color:transparent;border:1px solid transparent;border-radius:4px}.navbar-toggle:focus{outline:0}.navbar-toggle .icon-bar{display:block;width:22px;height:2px;border-radius:1px}.navbar-toggle .icon-bar+.icon-bar{margin-top:4px}.navbar-nav{margin:7.5px -15px}.navbar-nav>li>a{padding-top:10px;padding-bottom:10px;line-height:20px}@media (max-width:767px){.navbar-nav .open .dropdown-menu{position:static;float:none;width:auto;margin-top:0;background-color:transparent;border:0;-webkit-box-shadow:none;box-shadow:none}.navbar-nav .open .dropdown-menu .dropdown-header,.navbar-nav .open .dropdown-menu>li>a{padding:5px 15px 5px 25px}.navbar-nav .open .dropdown-menu>li>a{line-height:20px}.navbar-nav .open .dropdown-menu>li>a:focus,.navbar-nav .open .dropdown-menu>li>a:hover{background-image:none}}.progress-bar-striped,.progress-striped .progress-bar,.progress-striped .progress-bar-success{background-image:-webkit-linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent);background-image:-o-linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent)}@media (min-width:768px){.navbar-toggle{display:none}.navbar-nav{float:left;margin:0}.navbar-nav>li{float:left}.navbar-nav>li>a{padding-top:15px;padding-bottom:15px}}.navbar-form{padding:10px 15px;border-top:1px solid transparent;border-bottom:1px solid transparent;-webkit-box-shadow:inset 0 1px 0 rgba(255,255,255,.1),0 1px 0 rgba(255,255,255,.1);box-shadow:inset 0 1px 0 rgba(255,255,255,.1),0 1px 0 rgba(255,255,255,.1);margin:8px -15px}@media (min-width:768px){.navbar-form .form-control-static,.navbar-form .form-group{display:inline-block}.navbar-form .control-label,.navbar-form .form-group{margin-bottom:0;vertical-align:middle}.navbar-form .form-control{display:inline-block;width:auto;vertical-align:middle}.navbar-form .input-group{display:inline-table;vertical-align:middle}.navbar-form .input-group .form-control,.navbar-form .input-group .input-group-addon,.navbar-form .input-group .input-group-btn{width:auto}.navbar-form .input-group>.form-control{width:100%}.navbar-form .checkbox,.navbar-form .radio{display:inline-block;margin-top:0;margin-bottom:0;vertical-align:middle}.navbar-form .checkbox label,.navbar-form .radio label{padding-left:0}.navbar-form .checkbox input[type=checkbox],.navbar-form .radio input[type=radio]{position:relative;margin-left:0}.navbar-form .has-feedback .form-control-feedback{top:0}.navbar-form{width:auto;padding-top:0;padding-bottom:0;margin-right:0;margin-left:0;border:0;-webkit-box-shadow:none;box-shadow:none}}.breadcrumb>li,.pagination{display:inline-block}.btn .badge,.btn .label{top:-1px;position:relative}@media (max-width:767px){.navbar-form .form-group{margin-bottom:5px}.navbar-form .form-group:last-child{margin-bottom:0}}.navbar-nav>li>.dropdown-menu{margin-top:0;border-top-left-radius:0;border-top-right-radius:0}.navbar-fixed-bottom .navbar-nav>li>.dropdown-menu{margin-bottom:0;border-radius:4px 4px 0 0}.navbar-btn{margin-top:8px;margin-bottom:8px}.navbar-btn.btn-sm{margin-top:10px;margin-bottom:10px}.navbar-btn.btn-xs{margin-top:14px;margin-bottom:14px}.navbar-text{margin-top:15px;margin-bottom:15px}@media (min-width:768px){.navbar-text{float:left;margin-right:15px;margin-left:15px}.navbar-left{float:left!important}.navbar-right{float:right!important;margin-right:-15px}.navbar-right~.navbar-right{margin-right:0}}.navbar-default{background-color:#f8f8f8;border-color:#e7e7e7}.navbar-default .navbar-brand{color:#777}.navbar-default .navbar-brand:focus,.navbar-default .navbar-brand:hover{color:#5e5e5e;background-color:transparent}.navbar-default .navbar-nav>li>a,.navbar-default .navbar-text{color:#777}.navbar-default .navbar-nav>li>a:focus,.navbar-default .navbar-nav>li>a:hover{color:#333;background-color:transparent}.navbar-default .navbar-nav>.active>a,.navbar-default .navbar-nav>.active>a:focus,.navbar-default .navbar-nav>.active>a:hover{color:#555;background-color:#e7e7e7}.navbar-default .navbar-nav>.disabled>a,.navbar-default .navbar-nav>.disabled>a:focus,.navbar-default .navbar-nav>.disabled>a:hover{color:#ccc;background-color:transparent}.navbar-default .navbar-toggle{border-color:#ddd}.navbar-default .navbar-toggle:focus,.navbar-default .navbar-toggle:hover{background-color:#ddd}.navbar-default .navbar-toggle .icon-bar{background-color:#888}.navbar-default .navbar-collapse,.navbar-default .navbar-form{border-color:#e7e7e7}.navbar-default .navbar-nav>.open>a,.navbar-default .navbar-nav>.open>a:focus,.navbar-default .navbar-nav>.open>a:hover{color:#555;background-color:#e7e7e7}@media (max-width:767px){.navbar-default .navbar-nav .open .dropdown-menu>li>a{color:#777}.navbar-default .navbar-nav .open .dropdown-menu>li>a:focus,.navbar-default .navbar-nav .open .dropdown-menu>li>a:hover{color:#333;background-color:transparent}.navbar-default .navbar-nav .open .dropdown-menu>.active>a,.navbar-default .navbar-nav .open .dropdown-menu>.active>a:focus,.navbar-default .navbar-nav .open .dropdown-menu>.active>a:hover{color:#555;background-color:#e7e7e7}.navbar-default .navbar-nav .open .dropdown-menu>.disabled>a,.navbar-default .navbar-nav .open .dropdown-menu>.disabled>a:focus,.navbar-default .navbar-nav .open .dropdown-menu>.disabled>a:hover{color:#ccc;background-color:transparent}}.navbar-default .navbar-link{color:#777}.navbar-default .navbar-link:hover{color:#333}.navbar-default .btn-link{color:#777}.navbar-default .btn-link:focus,.navbar-default .btn-link:hover{color:#333}.navbar-default .btn-link[disabled]:focus,.navbar-default .btn-link[disabled]:hover,fieldset[disabled] .navbar-default .btn-link:focus,fieldset[disabled] .navbar-default .btn-link:hover{color:#ccc}.navbar-inverse{background-color:#222;border-color:#080808}.navbar-inverse .navbar-brand{color:#9d9d9d}.navbar-inverse .navbar-brand:focus,.navbar-inverse .navbar-brand:hover{color:#fff;background-color:transparent}.navbar-inverse .navbar-nav>li>a,.navbar-inverse .navbar-text{color:#9d9d9d}.navbar-inverse .navbar-nav>li>a:focus,.navbar-inverse .navbar-nav>li>a:hover{color:#fff;background-color:transparent}.navbar-inverse .navbar-nav>.active>a,.navbar-inverse .navbar-nav>.active>a:focus,.navbar-inverse .navbar-nav>.active>a:hover{color:#fff;background-color:#080808}.navbar-inverse .navbar-nav>.disabled>a,.navbar-inverse .navbar-nav>.disabled>a:focus,.navbar-inverse .navbar-nav>.disabled>a:hover{color:#444;background-color:transparent}.navbar-inverse .navbar-toggle{border-color:#333}.navbar-inverse .navbar-toggle:focus,.navbar-inverse .navbar-toggle:hover{background-color:#333}.navbar-inverse .navbar-toggle .icon-bar{background-color:#fff}.navbar-inverse .navbar-collapse,.navbar-inverse .navbar-form{border-color:#101010}.navbar-inverse .navbar-nav>.open>a,.navbar-inverse .navbar-nav>.open>a:focus,.navbar-inverse .navbar-nav>.open>a:hover{color:#fff;background-color:#080808}@media (max-width:767px){.navbar-inverse .navbar-nav .open .dropdown-menu>.dropdown-header{border-color:#080808}.navbar-inverse .navbar-nav .open .dropdown-menu .divider{background-color:#080808}.navbar-inverse .navbar-nav .open .dropdown-menu>li>a{color:#9d9d9d}.navbar-inverse .navbar-nav .open .dropdown-menu>li>a:focus,.navbar-inverse .navbar-nav .open .dropdown-menu>li>a:hover{color:#fff;background-color:transparent}.navbar-inverse .navbar-nav .open .dropdown-menu>.active>a,.navbar-inverse .navbar-nav .open .dropdown-menu>.active>a:focus,.navbar-inverse .navbar-nav .open .dropdown-menu>.active>a:hover{color:#fff;background-color:#080808}.navbar-inverse .navbar-nav .open .dropdown-menu>.disabled>a,.navbar-inverse .navbar-nav .open .dropdown-menu>.disabled>a:focus,.navbar-inverse .navbar-nav .open .dropdown-menu>.disabled>a:hover{color:#444;background-color:transparent}}.navbar-inverse .navbar-link{color:#9d9d9d}.navbar-inverse .navbar-link:hover{color:#fff}.navbar-inverse .btn-link{color:#9d9d9d}.navbar-inverse .btn-link:focus,.navbar-inverse .btn-link:hover{color:#fff}.navbar-inverse .btn-link[disabled]:focus,.navbar-inverse .btn-link[disabled]:hover,fieldset[disabled] .navbar-inverse .btn-link:focus,fieldset[disabled] .navbar-inverse .btn-link:hover{color:#444}.breadcrumb{padding:8px 15px;margin-bottom:20px;list-style:none;background-color:#f5f5f5;border-radius:4px}.breadcrumb>li+li:before{padding:0 5px;color:#ccc;content:"/\00a0"}.breadcrumb>.active{color:#777}.pagination{padding-left:0;margin:20px 0;border-radius:4px}.pager li,.pagination>li{display:inline}.pagination>li>a,.pagination>li>span{position:relative;float:left;padding:6px 12px;margin-left:-1px;line-height:1.42857143;color:#337ab7;text-decoration:none;background-color:#fff;border:1px solid #ddd}.pagination>li:first-child>a,.pagination>li:first-child>span{margin-left:0;border-top-left-radius:4px;border-bottom-left-radius:4px}.pagination>li:last-child>a,.pagination>li:last-child>span{border-top-right-radius:4px;border-bottom-right-radius:4px}.pagination>li>a:focus,.pagination>li>a:hover,.pagination>li>span:focus,.pagination>li>span:hover{z-index:2;color:#23527c;background-color:#eee;border-color:#ddd}.pagination>.active>a,.pagination>.active>a:focus,.pagination>.active>a:hover,.pagination>.active>span,.pagination>.active>span:focus,.pagination>.active>span:hover{z-index:3;color:#fff;cursor:default;background-color:#337ab7;border-color:#337ab7}.pagination>.disabled>a,.pagination>.disabled>a:focus,.pagination>.disabled>a:hover,.pagination>.disabled>span,.pagination>.disabled>span:focus,.pagination>.disabled>span:hover{color:#777;cursor:not-allowed;background-color:#fff;border-color:#ddd}.pagination-lg>li>a,.pagination-lg>li>span{padding:10px 16px;font-size:18px;line-height:1.3333333}.pagination-lg>li:first-child>a,.pagination-lg>li:first-child>span{border-top-left-radius:6px;border-bottom-left-radius:6px}.pagination-lg>li:last-child>a,.pagination-lg>li:last-child>span{border-top-right-radius:6px;border-bottom-right-radius:6px}.pagination-sm>li>a,.pagination-sm>li>span{padding:5px 10px;font-size:12px;line-height:1.5}.badge,.label{font-weight:700;line-height:1;white-space:nowrap;text-align:center}.pagination-sm>li:first-child>a,.pagination-sm>li:first-child>span{border-top-left-radius:3px;border-bottom-left-radius:3px}.pagination-sm>li:last-child>a,.pagination-sm>li:last-child>span{border-top-right-radius:3px;border-bottom-right-radius:3px}.pager{padding-left:0;margin:20px 0;text-align:center;list-style:none}.pager li>a,.pager li>span{display:inline-block;padding:5px 14px;background-color:#fff;border:1px solid #ddd;border-radius:15px}.pager li>a:focus,.pager li>a:hover{text-decoration:none;background-color:#eee}.pager .next>a,.pager .next>span{float:right}.pager .previous>a,.pager .previous>span{float:left}.pager .disabled>a,.pager .disabled>a:focus,.pager .disabled>a:hover,.pager .disabled>span{color:#777;cursor:not-allowed;background-color:#fff}a.badge:focus,a.badge:hover,a.label:focus,a.label:hover{color:#fff;cursor:pointer;text-decoration:none}.label{display:inline;padding:.2em .6em .3em;font-size:75%;color:#fff;border-radius:.25em}.label:empty{display:none}.label-default{background-color:#777}.label-default[href]:focus,.label-default[href]:hover{background-color:#5e5e5e}.label-primary{background-color:#337ab7}.label-primary[href]:focus,.label-primary[href]:hover{background-color:#286090}.label-success{background-color:#5cb85c}.label-success[href]:focus,.label-success[href]:hover{background-color:#449d44}.label-info{background-color:#5bc0de}.label-info[href]:focus,.label-info[href]:hover{background-color:#31b0d5}.label-warning{background-color:#f0ad4e}.label-warning[href]:focus,.label-warning[href]:hover{background-color:#ec971f}.label-danger{background-color:#d9534f}.label-danger[href]:focus,.label-danger[href]:hover{background-color:#c9302c}.badge{display:inline-block;min-width:10px;padding:3px 7px;font-size:12px;color:#fff;vertical-align:middle;background-color:#777;border-radius:10px}.badge:empty{display:none}.media-object,.thumbnail{display:block}.btn-group-xs>.btn .badge,.btn-xs .badge{top:0;padding:1px 5px}.list-group-item.active>.badge,.nav-pills>.active>a>.badge{color:#337ab7;background-color:#fff}.jumbotron,.jumbotron .h1,.jumbotron h1{color:inherit}.list-group-item>.badge{float:right}.list-group-item>.badge+.badge{margin-right:5px}.nav-pills>li>a>.badge{margin-left:3px}.jumbotron{padding-top:30px;padding-bottom:30px;margin-bottom:30px;background-color:#eee}.jumbotron p{margin-bottom:15px;font-size:21px;font-weight:200}.alert,.thumbnail{margin-bottom:20px}.alert .alert-link,.close{font-weight:700}.jumbotron>hr{border-top-color:#d5d5d5}.container .jumbotron,.container-fluid .jumbotron{padding-right:15px;padding-left:15px;border-radius:6px}.jumbotron .container{max-width:100%}@media screen and (min-width:768px){.jumbotron{padding-top:48px;padding-bottom:48px}.container .jumbotron,.container-fluid .jumbotron{padding-right:60px;padding-left:60px}.jumbotron .h1,.jumbotron h1{font-size:63px}}.thumbnail{padding:4px;line-height:1.42857143;background-color:#fff;border:1px solid #ddd;border-radius:4px;-webkit-transition:border .2s ease-in-out;-o-transition:border .2s ease-in-out;transition:border .2s ease-in-out}.thumbnail a>img,.thumbnail>img{margin-right:auto;margin-left:auto}a.thumbnail.active,a.thumbnail:focus,a.thumbnail:hover{border-color:#337ab7}.thumbnail .caption{padding:9px;color:#333}.alert{padding:15px;border:1px solid transparent;border-radius:4px}.alert h4{margin-top:0;color:inherit}.alert>p,.alert>ul{margin-bottom:0}.alert>p+p{margin-top:5px}.alert-dismissable,.alert-dismissible{padding-right:35px}.alert-dismissable .close,.alert-dismissible .close{position:relative;top:-2px;right:-21px;color:inherit}.modal,.modal-backdrop{top:0;right:0;bottom:0;left:0}.alert-success{color:#3c763d;background-color:#dff0d8;border-color:#d6e9c6}.alert-success hr{border-top-color:#c9e2b3}.alert-success .alert-link{color:#2b542c}.alert-info{color:#31708f;background-color:#d9edf7;border-color:#bce8f1}.alert-info hr{border-top-color:#a6e1ec}.alert-info .alert-link{color:#245269}.alert-warning{color:#8a6d3b;background-color:#fcf8e3;border-color:#faebcc}.alert-warning hr{border-top-color:#f7e1b5}.alert-warning .alert-link{color:#66512c}.alert-danger{color:#a94442;background-color:#f2dede;border-color:#ebccd1}.alert-danger hr{border-top-color:#e4b9c0}.alert-danger .alert-link{color:#843534}@-webkit-keyframes progress-bar-stripes{from{background-position:40px 0}to{background-position:0 0}}@-o-keyframes progress-bar-stripes{from{background-position:40px 0}to{background-position:0 0}}@keyframes progress-bar-stripes{from{background-position:40px 0}to{background-position:0 0}}.progress{height:20px;margin-bottom:20px;background-color:#f5f5f5;border-radius:4px;-webkit-box-shadow:inset 0 1px 2px rgba(0,0,0,.1);box-shadow:inset 0 1px 2px rgba(0,0,0,.1)}.progress-bar{float:left;width:0;height:100%;font-size:12px;line-height:20px;color:#fff;text-align:center;background-color:#337ab7;-webkit-box-shadow:inset 0 -1px 0 rgba(0,0,0,.15);box-shadow:inset 0 -1px 0 rgba(0,0,0,.15);-webkit-transition:width .6s ease;-o-transition:width .6s ease;transition:width .6s ease}.progress-bar-striped,.progress-striped .progress-bar{background-image:linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent);-webkit-background-size:40px 40px;background-size:40px 40px}.progress-bar.active,.progress.active .progress-bar{-webkit-animation:progress-bar-stripes 2s linear infinite;-o-animation:progress-bar-stripes 2s linear infinite;animation:progress-bar-stripes 2s linear infinite}.progress-bar-success{background-color:#5cb85c}.progress-striped .progress-bar-success{background-image:linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent)}.progress-striped .progress-bar-info,.progress-striped .progress-bar-warning{background-image:-webkit-linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent);background-image:-o-linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent)}.progress-bar-info{background-color:#5bc0de}.progress-striped .progress-bar-info{background-image:linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent)}.progress-bar-warning{background-color:#f0ad4e}.progress-striped .progress-bar-warning{background-image:linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent)}.progress-bar-danger{background-color:#d9534f}.progress-striped .progress-bar-danger{background-image:-webkit-linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent);background-image:-o-linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent);background-image:linear-gradient(45deg,rgba(255,255,255,.15) 25%,transparent 25%,transparent 50%,rgba(255,255,255,.15) 50%,rgba(255,255,255,.15) 75%,transparent 75%,transparent)}.media{margin-top:15px}.media:first-child{margin-top:0}.media,.media-body{overflow:hidden;zoom:1}.media-body{width:10000px}.media-object.img-thumbnail{max-width:none}.media-right,.media>.pull-right{padding-left:10px}.media-left,.media>.pull-left{padding-right:10px}.media-body,.media-left,.media-right{display:table-cell;vertical-align:top}.bootstrap-switch,.media-middle{vertical-align:middle}.media-bottom{vertical-align:bottom}.media-heading{margin-top:0;margin-bottom:5px}.media-list{padding-left:0;list-style:none}.list-group{padding-left:0;margin-bottom:20px}.list-group-item{position:relative;display:block;padding:10px 15px;margin-bottom:-1px;background-color:#fff;border:1px solid #ddd}.list-group-item:first-child{border-top-left-radius:4px;border-top-right-radius:4px}.list-group-item:last-child{margin-bottom:0;border-bottom-right-radius:4px;border-bottom-left-radius:4px}a.list-group-item,button.list-group-item{color:#555}a.list-group-item .list-group-item-heading,button.list-group-item .list-group-item-heading{color:#333}a.list-group-item:focus,a.list-group-item:hover,button.list-group-item:focus,button.list-group-item:hover{color:#555;text-decoration:none;background-color:#f5f5f5}button.list-group-item{width:100%;text-align:left}.list-group-item.disabled,.list-group-item.disabled:focus,.list-group-item.disabled:hover{color:#777;cursor:not-allowed;background-color:#eee}.list-group-item.disabled .list-group-item-heading,.list-group-item.disabled:focus .list-group-item-heading,.list-group-item.disabled:hover .list-group-item-heading{color:inherit}.list-group-item.disabled .list-group-item-text,.list-group-item.disabled:focus .list-group-item-text,.list-group-item.disabled:hover .list-group-item-text{color:#777}.list-group-item.active,.list-group-item.active:focus,.list-group-item.active:hover{z-index:2;color:#fff;background-color:#337ab7;border-color:#337ab7}.list-group-item.active .list-group-item-heading,.list-group-item.active .list-group-item-heading>.small,.list-group-item.active .list-group-item-heading>small,.list-group-item.active:focus .list-group-item-heading,.list-group-item.active:focus .list-group-item-heading>.small,.list-group-item.active:focus .list-group-item-heading>small,.list-group-item.active:hover .list-group-item-heading,.list-group-item.active:hover .list-group-item-heading>.small,.list-group-item.active:hover .list-group-item-heading>small{color:inherit}.list-group-item.active .list-group-item-text,.list-group-item.active:focus .list-group-item-text,.list-group-item.active:hover .list-group-item-text{color:#c7ddef}.list-group-item-success{color:#3c763d;background-color:#dff0d8}a.list-group-item-success,button.list-group-item-success{color:#3c763d}a.list-group-item-success .list-group-item-heading,button.list-group-item-success .list-group-item-heading{color:inherit}a.list-group-item-success:focus,a.list-group-item-success:hover,button.list-group-item-success:focus,button.list-group-item-success:hover{color:#3c763d;background-color:#d0e9c6}a.list-group-item-success.active,a.list-group-item-success.active:focus,a.list-group-item-success.active:hover,button.list-group-item-success.active,button.list-group-item-success.active:focus,button.list-group-item-success.active:hover{color:#fff;background-color:#3c763d;border-color:#3c763d}.list-group-item-info{color:#31708f;background-color:#d9edf7}a.list-group-item-info,button.list-group-item-info{color:#31708f}a.list-group-item-info .list-group-item-heading,button.list-group-item-info .list-group-item-heading{color:inherit}a.list-group-item-info:focus,a.list-group-item-info:hover,button.list-group-item-info:focus,button.list-group-item-info:hover{color:#31708f;background-color:#c4e3f3}a.list-group-item-info.active,a.list-group-item-info.active:focus,a.list-group-item-info.active:hover,button.list-group-item-info.active,button.list-group-item-info.active:focus,button.list-group-item-info.active:hover{color:#fff;background-color:#31708f;border-color:#31708f}.list-group-item-warning{color:#8a6d3b;background-color:#fcf8e3}a.list-group-item-warning,button.list-group-item-warning{color:#8a6d3b}a.list-group-item-warning .list-group-item-heading,button.list-group-item-warning .list-group-item-heading{color:inherit}a.list-group-item-warning:focus,a.list-group-item-warning:hover,button.list-group-item-warning:focus,button.list-group-item-warning:hover{color:#8a6d3b;background-color:#faf2cc}a.list-group-item-warning.active,a.list-group-item-warning.active:focus,a.list-group-item-warning.active:hover,button.list-group-item-warning.active,button.list-group-item-warning.active:focus,button.list-group-item-warning.active:hover{color:#fff;background-color:#8a6d3b;border-color:#8a6d3b}.list-group-item-danger{color:#a94442;background-color:#f2dede}a.list-group-item-danger,button.list-group-item-danger{color:#a94442}a.list-group-item-danger .list-group-item-heading,button.list-group-item-danger .list-group-item-heading{color:inherit}a.list-group-item-danger:focus,a.list-group-item-danger:hover,button.list-group-item-danger:focus,button.list-group-item-danger:hover{color:#a94442;background-color:#ebcccc}a.list-group-item-danger.active,a.list-group-item-danger.active:focus,a.list-group-item-danger.active:hover,button.list-group-item-danger.active,button.list-group-item-danger.active:focus,button.list-group-item-danger.active:hover{color:#fff;background-color:#a94442;border-color:#a94442}.panel-heading>.dropdown .dropdown-toggle,.panel-title,.panel-title>.small,.panel-title>.small>a,.panel-title>a,.panel-title>small,.panel-title>small>a{color:inherit}.list-group-item-heading{margin-top:0;margin-bottom:5px}.list-group-item-text{margin-bottom:0;line-height:1.3}.panel{margin-bottom:20px;background-color:#fff;border:1px solid transparent;border-radius:4px;-webkit-box-shadow:0 1px 1px rgba(0,0,0,.05);box-shadow:0 1px 1px rgba(0,0,0,.05)}.panel-title,.panel>.list-group,.panel>.panel-collapse>.list-group,.panel>.panel-collapse>.table,.panel>.table,.panel>.table-responsive>.table{margin-bottom:0}.panel-body{padding:15px}.panel-heading{padding:10px 15px;border-bottom:1px solid transparent;border-top-left-radius:3px;border-top-right-radius:3px}.panel-title{margin-top:0;font-size:16px}.panel-footer{padding:10px 15px;background-color:#f5f5f5;border-top:1px solid #ddd;border-bottom-right-radius:3px;border-bottom-left-radius:3px}.panel>.list-group .list-group-item,.panel>.panel-collapse>.list-group .list-group-item{border-width:1px 0;border-radius:0}.panel-group .panel-heading,.panel>.table-bordered>tbody>tr:first-child>td,.panel>.table-bordered>tbody>tr:first-child>th,.panel>.table-bordered>tbody>tr:last-child>td,.panel>.table-bordered>tbody>tr:last-child>th,.panel>.table-bordered>tfoot>tr:last-child>td,.panel>.table-bordered>tfoot>tr:last-child>th,.panel>.table-bordered>thead>tr:first-child>td,.panel>.table-bordered>thead>tr:first-child>th,.panel>.table-responsive>.table-bordered>tbody>tr:first-child>td,.panel>.table-responsive>.table-bordered>tbody>tr:first-child>th,.panel>.table-responsive>.table-bordered>tbody>tr:last-child>td,.panel>.table-responsive>.table-bordered>tbody>tr:last-child>th,.panel>.table-responsive>.table-bordered>tfoot>tr:last-child>td,.panel>.table-responsive>.table-bordered>tfoot>tr:last-child>th,.panel>.table-responsive>.table-bordered>thead>tr:first-child>td,.panel>.table-responsive>.table-bordered>thead>tr:first-child>th{border-bottom:0}.panel>.list-group:first-child .list-group-item:first-child,.panel>.panel-collapse>.list-group:first-child .list-group-item:first-child{border-top:0;border-top-left-radius:3px;border-top-right-radius:3px}.panel>.list-group:last-child .list-group-item:last-child,.panel>.panel-collapse>.list-group:last-child .list-group-item:last-child{border-bottom:0;border-bottom-right-radius:3px;border-bottom-left-radius:3px}.panel>.panel-heading+.panel-collapse>.list-group .list-group-item:first-child{border-top-left-radius:0;border-top-right-radius:0}.list-group+.panel-footer,.panel-heading+.list-group .list-group-item:first-child{border-top-width:0}.panel>.panel-collapse>.table caption,.panel>.table caption,.panel>.table-responsive>.table caption{padding-right:15px;padding-left:15px}.panel>.table-responsive:first-child>.table:first-child,.panel>.table-responsive:first-child>.table:first-child>tbody:first-child>tr:first-child,.panel>.table-responsive:first-child>.table:first-child>thead:first-child>tr:first-child,.panel>.table:first-child,.panel>.table:first-child>tbody:first-child>tr:first-child,.panel>.table:first-child>thead:first-child>tr:first-child{border-top-left-radius:3px;border-top-right-radius:3px}.panel>.table-responsive:first-child>.table:first-child>tbody:first-child>tr:first-child td:first-child,.panel>.table-responsive:first-child>.table:first-child>tbody:first-child>tr:first-child th:first-child,.panel>.table-responsive:first-child>.table:first-child>thead:first-child>tr:first-child td:first-child,.panel>.table-responsive:first-child>.table:first-child>thead:first-child>tr:first-child th:first-child,.panel>.table:first-child>tbody:first-child>tr:first-child td:first-child,.panel>.table:first-child>tbody:first-child>tr:first-child th:first-child,.panel>.table:first-child>thead:first-child>tr:first-child td:first-child,.panel>.table:first-child>thead:first-child>tr:first-child th:first-child{border-top-left-radius:3px}.panel>.table-responsive:first-child>.table:first-child>tbody:first-child>tr:first-child td:last-child,.panel>.table-responsive:first-child>.table:first-child>tbody:first-child>tr:first-child th:last-child,.panel>.table-responsive:first-child>.table:first-child>thead:first-child>tr:first-child td:last-child,.panel>.table-responsive:first-child>.table:first-child>thead:first-child>tr:first-child th:last-child,.panel>.table:first-child>tbody:first-child>tr:first-child td:last-child,.panel>.table:first-child>tbody:first-child>tr:first-child th:last-child,.panel>.table:first-child>thead:first-child>tr:first-child td:last-child,.panel>.table:first-child>thead:first-child>tr:first-child th:last-child{border-top-right-radius:3px}.panel>.table-responsive:last-child>.table:last-child,.panel>.table-responsive:last-child>.table:last-child>tbody:last-child>tr:last-child,.panel>.table-responsive:last-child>.table:last-child>tfoot:last-child>tr:last-child,.panel>.table:last-child,.panel>.table:last-child>tbody:last-child>tr:last-child,.panel>.table:last-child>tfoot:last-child>tr:last-child{border-bottom-right-radius:3px;border-bottom-left-radius:3px}.panel>.table-responsive:last-child>.table:last-child>tbody:last-child>tr:last-child td:first-child,.panel>.table-responsive:last-child>.table:last-child>tbody:last-child>tr:last-child th:first-child,.panel>.table-responsive:last-child>.table:last-child>tfoot:last-child>tr:last-child td:first-child,.panel>.table-responsive:last-child>.table:last-child>tfoot:last-child>tr:last-child th:first-child,.panel>.table:last-child>tbody:last-child>tr:last-child td:first-child,.panel>.table:last-child>tbody:last-child>tr:last-child th:first-child,.panel>.table:last-child>tfoot:last-child>tr:last-child td:first-child,.panel>.table:last-child>tfoot:last-child>tr:last-child th:first-child{border-bottom-left-radius:3px}.panel>.table-responsive:last-child>.table:last-child>tbody:last-child>tr:last-child td:last-child,.panel>.table-responsive:last-child>.table:last-child>tbody:last-child>tr:last-child th:last-child,.panel>.table-responsive:last-child>.table:last-child>tfoot:last-child>tr:last-child td:last-child,.panel>.table-responsive:last-child>.table:last-child>tfoot:last-child>tr:last-child th:last-child,.panel>.table:last-child>tbody:last-child>tr:last-child td:last-child,.panel>.table:last-child>tbody:last-child>tr:last-child th:last-child,.panel>.table:last-child>tfoot:last-child>tr:last-child td:last-child,.panel>.table:last-child>tfoot:last-child>tr:last-child th:last-child{border-bottom-right-radius:3px}.panel>.panel-body+.table,.panel>.panel-body+.table-responsive,.panel>.table+.panel-body,.panel>.table-responsive+.panel-body{border-top:1px solid #ddd}.panel>.table>tbody:first-child>tr:first-child td,.panel>.table>tbody:first-child>tr:first-child th{border-top:0}.panel>.table-bordered,.panel>.table-responsive>.table-bordered{border:0}.panel>.table-bordered>tbody>tr>td:first-child,.panel>.table-bordered>tbody>tr>th:first-child,.panel>.table-bordered>tfoot>tr>td:first-child,.panel>.table-bordered>tfoot>tr>th:first-child,.panel>.table-bordered>thead>tr>td:first-child,.panel>.table-bordered>thead>tr>th:first-child,.panel>.table-responsive>.table-bordered>tbody>tr>td:first-child,.panel>.table-responsive>.table-bordered>tbody>tr>th:first-child,.panel>.table-responsive>.table-bordered>tfoot>tr>td:first-child,.panel>.table-responsive>.table-bordered>tfoot>tr>th:first-child,.panel>.table-responsive>.table-bordered>thead>tr>td:first-child,.panel>.table-responsive>.table-bordered>thead>tr>th:first-child{border-left:0}.panel>.table-bordered>tbody>tr>td:last-child,.panel>.table-bordered>tbody>tr>th:last-child,.panel>.table-bordered>tfoot>tr>td:last-child,.panel>.table-bordered>tfoot>tr>th:last-child,.panel>.table-bordered>thead>tr>td:last-child,.panel>.table-bordered>thead>tr>th:last-child,.panel>.table-responsive>.table-bordered>tbody>tr>td:last-child,.panel>.table-responsive>.table-bordered>tbody>tr>th:last-child,.panel>.table-responsive>.table-bordered>tfoot>tr>td:last-child,.panel>.table-responsive>.table-bordered>tfoot>tr>th:last-child,.panel>.table-responsive>.table-bordered>thead>tr>td:last-child,.panel>.table-responsive>.table-bordered>thead>tr>th:last-child{border-right:0}.panel>.table-responsive{margin-bottom:0;border:0}.panel-group{margin-bottom:20px}.panel-group .panel{margin-bottom:0;border-radius:4px}.panel-group .panel+.panel{margin-top:5px}.panel-group .panel-heading+.panel-collapse>.list-group,.panel-group .panel-heading+.panel-collapse>.panel-body{border-top:1px solid #ddd}.panel-group .panel-footer{border-top:0}.panel-group .panel-footer+.panel-collapse .panel-body{border-bottom:1px solid #ddd}.panel-default{border-color:#ddd}.panel-default>.panel-heading{color:#333;background-color:#f5f5f5;border-color:#ddd}.panel-default>.panel-heading+.panel-collapse>.panel-body{border-top-color:#ddd}.panel-default>.panel-heading .badge{color:#f5f5f5;background-color:#333}.panel-default>.panel-footer+.panel-collapse>.panel-body{border-bottom-color:#ddd}.panel-primary{border-color:#337ab7}.panel-primary>.panel-heading{color:#fff;background-color:#337ab7;border-color:#337ab7}.panel-primary>.panel-heading+.panel-collapse>.panel-body{border-top-color:#337ab7}.panel-primary>.panel-heading .badge{color:#337ab7;background-color:#fff}.panel-primary>.panel-footer+.panel-collapse>.panel-body{border-bottom-color:#337ab7}.panel-success{border-color:#d6e9c6}.panel-success>.panel-heading{color:#3c763d;background-color:#dff0d8;border-color:#d6e9c6}.panel-success>.panel-heading+.panel-collapse>.panel-body{border-top-color:#d6e9c6}.panel-success>.panel-heading .badge{color:#dff0d8;background-color:#3c763d}.panel-success>.panel-footer+.panel-collapse>.panel-body{border-bottom-color:#d6e9c6}.panel-info{border-color:#bce8f1}.panel-info>.panel-heading{color:#31708f;background-color:#d9edf7;border-color:#bce8f1}.panel-info>.panel-heading+.panel-collapse>.panel-body{border-top-color:#bce8f1}.panel-info>.panel-heading .badge{color:#d9edf7;background-color:#31708f}.panel-info>.panel-footer+.panel-collapse>.panel-body{border-bottom-color:#bce8f1}.panel-warning{border-color:#faebcc}.panel-warning>.panel-heading{color:#8a6d3b;background-color:#fcf8e3;border-color:#faebcc}.panel-warning>.panel-heading+.panel-collapse>.panel-body{border-top-color:#faebcc}.panel-warning>.panel-heading .badge{color:#fcf8e3;background-color:#8a6d3b}.panel-warning>.panel-footer+.panel-collapse>.panel-body{border-bottom-color:#faebcc}.panel-danger{border-color:#ebccd1}.panel-danger>.panel-heading{color:#a94442;background-color:#f2dede;border-color:#ebccd1}.panel-danger>.panel-heading+.panel-collapse>.panel-body{border-top-color:#ebccd1}.panel-danger>.panel-heading .badge{color:#f2dede;background-color:#a94442}.panel-danger>.panel-footer+.panel-collapse>.panel-body{border-bottom-color:#ebccd1}.embed-responsive{position:relative;display:block;height:0;padding:0}.embed-responsive .embed-responsive-item,.embed-responsive embed,.embed-responsive iframe,.embed-responsive object,.embed-responsive video{position:absolute;top:0;bottom:0;left:0;width:100%;height:100%;border:0}.embed-responsive-16by9{padding-bottom:56.25%}.embed-responsive-4by3{padding-bottom:75%}.well{min-height:20px;padding:19px;margin-bottom:20px;background-color:#f5f5f5;border:1px solid #e3e3e3;border-radius:4px;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.05);box-shadow:inset 0 1px 1px rgba(0,0,0,.05)}.well blockquote{border-color:#ddd;border-color:rgba(0,0,0,.15)}.well-lg{padding:24px;border-radius:6px}.well-sm{padding:9px;border-radius:3px}.close{float:right;font-size:21px;line-height:1;color:#000;text-shadow:0 1px 0 #fff;filter:alpha(opacity=20);opacity:.2}.modal-title,.tooltip{line-height:1.42857143}.popover,.tooltip{font-family:"Helvetica Neue",Helvetica,Arial,sans-serif;font-style:normal;text-shadow:none;text-transform:none;letter-spacing:normal;word-break:normal;word-spacing:normal;word-wrap:normal;line-break:auto;text-decoration:none}.close:focus,.close:hover{color:#000;text-decoration:none;cursor:pointer;filter:alpha(opacity=50);opacity:.5}button.close{-webkit-appearance:none;padding:0;cursor:pointer;background:0 0;border:0}.modal{position:fixed;z-index:1050;display:none;-webkit-overflow-scrolling:touch;outline:0}.modal.fade .modal-dialog{-webkit-transition:-webkit-transform .3s ease-out;-o-transition:-o-transform .3s ease-out;transition:transform .3s ease-out;-webkit-transform:translate(0,-25%);-ms-transform:translate(0,-25%);-o-transform:translate(0,-25%);transform:translate(0,-25%)}.modal.in .modal-dialog{-webkit-transform:translate(0,0);-ms-transform:translate(0,0);-o-transform:translate(0,0);transform:translate(0,0)}.modal-open .modal{overflow-x:hidden;overflow-y:auto}.modal-dialog{position:relative;width:auto;margin:10px}.modal-content{position:relative;background-color:#fff;-webkit-background-clip:padding-box;background-clip:padding-box;border:1px solid #999;border:1px solid rgba(0,0,0,.2);border-radius:6px;outline:0;-webkit-box-shadow:0 3px 9px rgba(0,0,0,.5);box-shadow:0 3px 9px rgba(0,0,0,.5)}.modal-backdrop{position:fixed;z-index:1040;background-color:#000}.modal-backdrop.fade{filter:alpha(opacity=0);opacity:0}.modal-backdrop.in{filter:alpha(opacity=50);opacity:.5}.modal-header{padding:15px;border-bottom:1px solid #e5e5e5}.modal-header .close{margin-top:-2px}.modal-title{margin:0}.modal-body{position:relative;padding:15px}.modal-footer{padding:15px;text-align:right;border-top:1px solid #e5e5e5}.modal-footer .btn+.btn{margin-bottom:0;margin-left:5px}.modal-footer .btn-group .btn+.btn{margin-left:-1px}.modal-footer .btn-block+.btn-block{margin-left:0}.modal-scrollbar-measure{position:absolute;top:-9999px;width:50px;height:50px;overflow:scroll}@media (min-width:768px){.modal-dialog{width:600px;margin:30px auto}.modal-content{-webkit-box-shadow:0 5px 15px rgba(0,0,0,.5);box-shadow:0 5px 15px rgba(0,0,0,.5)}.modal-sm{width:300px}}.tooltip.top-left .tooltip-arrow,.tooltip.top-right .tooltip-arrow{bottom:0;margin-bottom:-5px;border-width:5px 5px 0;border-top-color:#000}@media (min-width:992px){.modal-lg{width:900px}}.tooltip{position:absolute;z-index:1070;display:block;font-size:12px;font-weight:400;text-align:left;text-align:start;white-space:normal;filter:alpha(opacity=0);opacity:0}.tooltip.in{filter:alpha(opacity=90);opacity:.9}.tooltip.top{padding:5px 0;margin-top:-3px}.tooltip.right{padding:0 5px;margin-left:3px}.tooltip.bottom{padding:5px 0;margin-top:3px}.tooltip.left{padding:0 5px;margin-left:-3px}.tooltip-inner{max-width:200px;padding:3px 8px;color:#fff;text-align:center;background-color:#000;border-radius:4px}.tooltip-arrow{position:absolute;width:0;height:0;border-color:transparent;border-style:solid}.carousel,.carousel-inner{position:relative}.tooltip.top .tooltip-arrow{bottom:0;left:50%;margin-left:-5px;border-width:5px 5px 0;border-top-color:#000}.tooltip.top-left .tooltip-arrow{right:5px}.tooltip.top-right .tooltip-arrow{left:5px}.popover.left>.arrow:after,.popover.right>.arrow:after{bottom:-10px;content:" "}.tooltip.right .tooltip-arrow{top:50%;left:0;margin-top:-5px;border-width:5px 5px 5px 0;border-right-color:#000}.tooltip.left .tooltip-arrow{top:50%;right:0;margin-top:-5px;border-width:5px 0 5px 5px;border-left-color:#000}.tooltip.bottom .tooltip-arrow,.tooltip.bottom-left .tooltip-arrow,.tooltip.bottom-right .tooltip-arrow{top:0;border-width:0 5px 5px;border-bottom-color:#000}.tooltip.bottom .tooltip-arrow{left:50%;margin-left:-5px}.tooltip.bottom-left .tooltip-arrow{right:5px;margin-top:-5px}.tooltip.bottom-right .tooltip-arrow{left:5px;margin-top:-5px}.carousel-caption,.carousel-control{color:#fff;text-align:center;text-shadow:0 1px 2px rgba(0,0,0,.6)}.json-formatter-row .string,.toast-message,pre{word-wrap:break-word}.popover.right{margin-left:10px}.popover.left{margin-left:-10px}.popover.right>.arrow{top:50%;left:-11px;margin-top:-11px;border-right-color:#999;border-right-color:rgba(0,0,0,.25);border-left-width:0}.popover.right>.arrow:after{left:1px;border-right-color:#fff;border-left-width:0}.popover.left>.arrow{top:50%;right:-11px;margin-top:-11px;border-right-width:0;border-left-color:#999;border-left-color:rgba(0,0,0,.25)}.popover.left>.arrow:after{right:1px;border-right-width:0;border-left-color:#fff}.carousel-inner{width:100%;overflow:hidden}.carousel-inner>.item{position:relative;display:none;-webkit-transition:.6s ease-in-out left;-o-transition:.6s ease-in-out left;transition:.6s ease-in-out left}.carousel-inner>.item>a>img,.carousel-inner>.item>img{line-height:1}@media all and (transform-3d),(-webkit-transform-3d){.carousel-inner>.item{-webkit-transition:-webkit-transform .6s ease-in-out;-o-transition:-o-transform .6s ease-in-out;transition:transform .6s ease-in-out;-webkit-backface-visibility:hidden;backface-visibility:hidden;-webkit-perspective:1000px;perspective:1000px}.carousel-inner>.item.active.right,.carousel-inner>.item.next{left:0;-webkit-transform:translate3d(100%,0,0);transform:translate3d(100%,0,0)}.carousel-inner>.item.active.left,.carousel-inner>.item.prev{left:0;-webkit-transform:translate3d(-100%,0,0);transform:translate3d(-100%,0,0)}.carousel-inner>.item.active,.carousel-inner>.item.next.left,.carousel-inner>.item.prev.right{left:0;-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}.bootstrap-switch,.ui-grid-pager-control input{-webkit-transition:border-color ease-in-out .15s,box-shadow ease-in-out .15s}.carousel-inner>.active,.carousel-inner>.next,.carousel-inner>.prev{display:block}.carousel-inner>.active{left:0}.carousel-inner>.next,.carousel-inner>.prev{position:absolute;top:0;width:100%}.carousel-inner>.next{left:100%}.carousel-inner>.prev{left:-100%}.carousel-inner>.next.left,.carousel-inner>.prev.right{left:0}.carousel-inner>.active.left{left:-100%}.carousel-inner>.active.right{left:100%}.carousel-control{position:absolute;top:0;bottom:0;left:0;width:15%;font-size:20px;background-color:rgba(0,0,0,0);filter:alpha(opacity=50);opacity:.5}.carousel-control.left{background-image:-webkit-linear-gradient(left,rgba(0,0,0,.5) 0,rgba(0,0,0,.0001) 100%);background-image:-o-linear-gradient(left,rgba(0,0,0,.5) 0,rgba(0,0,0,.0001) 100%);background-image:-webkit-gradient(linear,left top,right top,from(rgba(0,0,0,.5)),to(rgba(0,0,0,.0001)));background-image:linear-gradient(to right,rgba(0,0,0,.5) 0,rgba(0,0,0,.0001) 100%);filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#80000000', endColorstr='#00000000', GradientType=1);background-repeat:repeat-x}.carousel-control.right{right:0;left:auto;background-image:-webkit-linear-gradient(left,rgba(0,0,0,.0001) 0,rgba(0,0,0,.5) 100%);background-image:-o-linear-gradient(left,rgba(0,0,0,.0001) 0,rgba(0,0,0,.5) 100%);background-image:-webkit-gradient(linear,left top,right top,from(rgba(0,0,0,.0001)),to(rgba(0,0,0,.5)));background-image:linear-gradient(to right,rgba(0,0,0,.0001) 0,rgba(0,0,0,.5) 100%);filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#00000000', endColorstr='#80000000', GradientType=1);background-repeat:repeat-x}.carousel-control:focus,.carousel-control:hover{color:#fff;text-decoration:none;filter:alpha(opacity=90);outline:0;opacity:.9}.carousel-control .glyphicon-chevron-left,.carousel-control .glyphicon-chevron-right,.carousel-control .icon-next,.carousel-control .icon-prev{position:absolute;top:50%;z-index:5;display:inline-block;margin-top:-10px}.carousel-control .glyphicon-chevron-left,.carousel-control .icon-prev{left:50%;margin-left:-10px}.carousel-control .glyphicon-chevron-right,.carousel-control .icon-next{right:50%;margin-right:-10px}.carousel-control .icon-next,.carousel-control .icon-prev{width:20px;height:20px;font-family:serif;line-height:1}.carousel-control .icon-prev:before{content:'\2039'}.carousel-control .icon-next:before{content:'\203a'}.carousel-indicators{position:absolute;bottom:10px;left:50%;z-index:15;width:60%;padding-left:0;margin-left:-30%;text-align:center;list-style:none}.carousel-indicators li{display:inline-block;width:10px;height:10px;margin:1px;text-indent:-999px;cursor:pointer;background-color:#000\9;background-color:rgba(0,0,0,0);border:1px solid #fff;border-radius:10px}.carousel-indicators .active{width:12px;height:12px;margin:0;background-color:#fff}.carousel-caption{position:absolute;right:15%;bottom:20px;left:15%;z-index:10;padding-top:20px;padding-bottom:20px}.carousel-caption .btn,.text-hide{text-shadow:none}@media screen and (min-width:768px){.carousel-control .glyphicon-chevron-left,.carousel-control .glyphicon-chevron-right,.carousel-control .icon-next,.carousel-control .icon-prev{width:30px;height:30px;margin-top:-10px;font-size:30px}.carousel-control .glyphicon-chevron-left,.carousel-control .icon-prev{margin-left:-10px}.carousel-control .glyphicon-chevron-right,.carousel-control .icon-next{margin-right:-10px}.carousel-caption{right:20%;left:20%;padding-bottom:30px}.carousel-indicators{bottom:20px}}.btn-group-vertical>.btn-group:after,.btn-group-vertical>.btn-group:before,.btn-toolbar:after,.btn-toolbar:before,.clearfix:after,.clearfix:before,.container-fluid:after,.container-fluid:before,.container:after,.container:before,.dl-horizontal dd:after,.dl-horizontal dd:before,.form-horizontal .form-group:after,.form-horizontal .form-group:before,.modal-footer:after,.modal-footer:before,.modal-header:after,.modal-header:before,.nav:after,.nav:before,.navbar-collapse:after,.navbar-collapse:before,.navbar-header:after,.navbar-header:before,.navbar:after,.navbar:before,.pager:after,.pager:before,.panel-body:after,.panel-body:before,.row:after,.row:before{display:table;content:" "}.center-block{display:block;margin-right:auto;margin-left:auto}.pull-right{float:right!important}.pull-left{float:left!important}.hide{display:none!important}.show{display:block!important}.hidden,.visible-lg,.visible-lg-block,.visible-lg-inline,.visible-lg-inline-block,.visible-md,.visible-md-block,.visible-md-inline,.visible-md-inline-block,.visible-sm,.visible-sm-block,.visible-sm-inline,.visible-sm-inline-block,.visible-xs,.visible-xs-block,.visible-xs-inline,.visible-xs-inline-block{display:none!important}.invisible{visibility:hidden}.text-hide{font:0/0 a;color:transparent;background-color:transparent;border:0}.affix{position:fixed}@-ms-viewport{width:device-width}@media (max-width:767px){.visible-xs{display:block!important}table.visible-xs{display:table!important}tr.visible-xs{display:table-row!important}td.visible-xs,th.visible-xs{display:table-cell!important}.visible-xs-block{display:block!important}.visible-xs-inline{display:inline!important}.visible-xs-inline-block{display:inline-block!important}}@media (min-width:768px) and (max-width:991px){.visible-sm{display:block!important}table.visible-sm{display:table!important}tr.visible-sm{display:table-row!important}td.visible-sm,th.visible-sm{display:table-cell!important}.visible-sm-block{display:block!important}.visible-sm-inline{display:inline!important}.visible-sm-inline-block{display:inline-block!important}}@media (min-width:992px) and (max-width:1199px){.visible-md{display:block!important}table.visible-md{display:table!important}tr.visible-md{display:table-row!important}td.visible-md,th.visible-md{display:table-cell!important}.visible-md-block{display:block!important}.visible-md-inline{display:inline!important}.visible-md-inline-block{display:inline-block!important}}@media (min-width:1200px){.visible-lg{display:block!important}table.visible-lg{display:table!important}tr.visible-lg{display:table-row!important}td.visible-lg,th.visible-lg{display:table-cell!important}.visible-lg-block{display:block!important}.visible-lg-inline{display:inline!important}.visible-lg-inline-block{display:inline-block!important}.hidden-lg{display:none!important}}@media (max-width:767px){.hidden-xs{display:none!important}}@media (min-width:768px) and (max-width:991px){.hidden-sm{display:none!important}}@media (min-width:992px) and (max-width:1199px){.hidden-md{display:none!important}}.visible-print{display:none!important}@media print{.visible-print{display:block!important}table.visible-print{display:table!important}tr.visible-print{display:table-row!important}td.visible-print,th.visible-print{display:table-cell!important}}.visible-print-block{display:none!important}@media print{.visible-print-block{display:block!important}}.visible-print-inline{display:none!important}@media print{.visible-print-inline{display:inline!important}}.visible-print-inline-block{display:none!important}@media print{.visible-print-inline-block{display:inline-block!important}.hidden-print{display:none!important}}.bootstrap-switch{display:inline-block;direction:ltr;cursor:pointer;border-radius:4px;border:1px solid #ccc;position:relative;text-align:left;overflow:hidden;line-height:8px;z-index:0;-webkit-user-select:none;-moz-user-select:none;-ms-user-select:none;user-select:none;transition:border-color ease-in-out .15s,box-shadow ease-in-out .15s}.bootstrap-switch .bootstrap-switch-container{display:inline-block;top:0;border-radius:4px;-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}.bootstrap-switch .bootstrap-switch-handle-off,.bootstrap-switch .bootstrap-switch-handle-on,.bootstrap-switch .bootstrap-switch-label{-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box;cursor:pointer;display:inline-block!important;height:100%;padding:6px 12px;font-size:14px;line-height:20px}.bootstrap-switch .bootstrap-switch-handle-off,.bootstrap-switch .bootstrap-switch-handle-on{text-align:center;z-index:1}.bootstrap-switch .bootstrap-switch-handle-off.bootstrap-switch-primary,.bootstrap-switch .bootstrap-switch-handle-on.bootstrap-switch-primary{color:#fff;background:#428bca}.bootstrap-switch .bootstrap-switch-handle-off.bootstrap-switch-info,.bootstrap-switch .bootstrap-switch-handle-on.bootstrap-switch-info{color:#fff;background:#5bc0de}.bootstrap-switch .bootstrap-switch-handle-off.bootstrap-switch-success,.bootstrap-switch .bootstrap-switch-handle-on.bootstrap-switch-success{color:#fff;background:#5cb85c}.bootstrap-switch .bootstrap-switch-handle-off.bootstrap-switch-warning,.bootstrap-switch .bootstrap-switch-handle-on.bootstrap-switch-warning{background:#f0ad4e;color:#fff}.bootstrap-switch .bootstrap-switch-handle-off.bootstrap-switch-danger,.bootstrap-switch .bootstrap-switch-handle-on.bootstrap-switch-danger{color:#fff;background:#d9534f}.bootstrap-switch .bootstrap-switch-handle-off.bootstrap-switch-default,.bootstrap-switch .bootstrap-switch-handle-on.bootstrap-switch-default{color:#000;background:#eee}.bootstrap-switch .bootstrap-switch-label{text-align:center;margin-top:-1px;margin-bottom:-1px;z-index:100;color:#333;background:#fff}.bootstrap-switch .bootstrap-switch-handle-on{border-bottom-left-radius:3px;border-top-left-radius:3px}.bootstrap-switch .bootstrap-switch-handle-off{border-bottom-right-radius:3px;border-top-right-radius:3px}.bootstrap-switch input[type=radio],.bootstrap-switch input[type=checkbox]{position:absolute!important;top:0;left:0;opacity:0;filter:alpha(opacity=0);z-index:-1}.bootstrap-switch input[type=radio].form-control,.bootstrap-switch input[type=checkbox].form-control{height:auto}.bootstrap-switch.bootstrap-switch-mini .bootstrap-switch-handle-off,.bootstrap-switch.bootstrap-switch-mini .bootstrap-switch-handle-on,.bootstrap-switch.bootstrap-switch-mini .bootstrap-switch-label{padding:1px 5px;font-size:12px;line-height:1.5}.bootstrap-switch.bootstrap-switch-small .bootstrap-switch-handle-off,.bootstrap-switch.bootstrap-switch-small .bootstrap-switch-handle-on,.bootstrap-switch.bootstrap-switch-small .bootstrap-switch-label{padding:5px 10px;font-size:12px;line-height:1.5}.bootstrap-switch.bootstrap-switch-large .bootstrap-switch-handle-off,.bootstrap-switch.bootstrap-switch-large .bootstrap-switch-handle-on,.bootstrap-switch.bootstrap-switch-large .bootstrap-switch-label{padding:6px 16px;font-size:18px;line-height:1.33}.bootstrap-switch.bootstrap-switch-disabled,.bootstrap-switch.bootstrap-switch-indeterminate,.bootstrap-switch.bootstrap-switch-readonly{cursor:default!important}.bootstrap-switch.bootstrap-switch-disabled .bootstrap-switch-handle-off,.bootstrap-switch.bootstrap-switch-disabled .bootstrap-switch-handle-on,.bootstrap-switch.bootstrap-switch-disabled .bootstrap-switch-label,.bootstrap-switch.bootstrap-switch-indeterminate .bootstrap-switch-handle-off,.bootstrap-switch.bootstrap-switch-indeterminate .bootstrap-switch-handle-on,.bootstrap-switch.bootstrap-switch-indeterminate .bootstrap-switch-label,.bootstrap-switch.bootstrap-switch-readonly .bootstrap-switch-handle-off,.bootstrap-switch.bootstrap-switch-readonly .bootstrap-switch-handle-on,.bootstrap-switch.bootstrap-switch-readonly .bootstrap-switch-label{opacity:.5;filter:alpha(opacity=50);cursor:default!important}.bootstrap-switch.bootstrap-switch-animate .bootstrap-switch-container{-webkit-transition:margin-left .5s;transition:margin-left .5s}.bootstrap-switch.bootstrap-switch-inverse .bootstrap-switch-handle-on{border-radius:0 3px 3px 0}.bootstrap-switch.bootstrap-switch-inverse .bootstrap-switch-handle-off{border-radius:3px 0 0 3px}.bootstrap-switch.bootstrap-switch-focused{border-color:#66afe9;outline:0;box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6)}.bootstrap-switch.bootstrap-switch-inverse.bootstrap-switch-off .bootstrap-switch-label,.bootstrap-switch.bootstrap-switch-on .bootstrap-switch-label{border-bottom-right-radius:3px;border-top-right-radius:3px}.bootstrap-switch.bootstrap-switch-inverse.bootstrap-switch-on .bootstrap-switch-label,.bootstrap-switch.bootstrap-switch-off .bootstrap-switch-label{border-bottom-left-radius:3px;border-top-left-radius:3px}.bar-legend,.chart-legend,.doughnut-legend,.line-legend,.pie-legend,.polararea-legend,.radar-legend{list-style-type:none;margin-top:5px;text-align:center;-webkit-padding-start:0;-moz-padding-start:0;padding-left:0}.bar-legend li,.chart-legend li,.doughnut-legend li,.line-legend li,.pie-legend li,.polararea-legend li,.radar-legend li{display:inline-block;white-space:nowrap;position:relative;margin-bottom:4px;border-radius:5px;padding:2px 8px 2px 28px;font-size:smaller;cursor:default}.bar-legend li span,.chart-legend li span,.doughnut-legend li span,.line-legend li span,.pie-legend li span,.polararea-legend li span,.radar-legend li span{display:block;position:absolute;left:0;top:0;width:20px;height:20px;border-radius:5px}.toast-title{font-weight:700}.toast-message a,.toast-message label{color:#fff}.toast-message a:hover{color:#ccc;text-decoration:none}.toast-close-button{position:relative;right:-.3em;top:-.3em;float:right;font-size:20px;font-weight:700;color:#fff;-webkit-text-shadow:0 1px 0 #fff;text-shadow:0 1px 0 #fff;opacity:.8}.toast-top-center,.toast-top-full-width{top:0;right:0;width:100%}.toast-close-button:focus,.toast-close-button:hover{color:#000;text-decoration:none;cursor:pointer;opacity:.4}button.toast-close-button{padding:0;cursor:pointer;background:0 0;border:0;-webkit-appearance:none}.toast-bottom-center{bottom:0;right:0;width:100%}.toast-bottom-full-width{bottom:0;right:0;width:100%}.toast-top-left{top:12px;left:12px}.toast-top-right{top:12px;right:12px}.toast-bottom-right{right:12px;bottom:12px}.toast-bottom-left{bottom:12px;left:12px}#toast-container{position:fixed;z-index:999999}#toast-container *{-moz-box-sizing:border-box;-webkit-box-sizing:border-box;box-sizing:border-box}#toast-container>div{position:relative;overflow:hidden;margin:0 0 6px;padding:15px 15px 15px 50px;width:300px;-moz-border-radius:3px;-webkit-border-radius:3px;border-radius:3px;background-position:15px center;background-repeat:no-repeat;-moz-box-shadow:0 0 12px #999;-webkit-box-shadow:0 0 12px #999;box-shadow:0 0 12px #999;color:#fff;opacity:.8}#toast-container>:hover{-moz-box-shadow:0 0 12px #000;-webkit-box-shadow:0 0 12px #000;box-shadow:0 0 12px #000;opacity:1;cursor:pointer}#toast-container>.toast-info{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABgAAAAYCAYAAADgdz34AAAAAXNSR0IArs4c6QAAAARnQU1BAACxjwv8YQUAAAAJcEhZcwAADsMAAA7DAcdvqGQAAAGwSURBVEhLtZa9SgNBEMc9sUxxRcoUKSzSWIhXpFMhhYWFhaBg4yPYiWCXZxBLERsLRS3EQkEfwCKdjWJAwSKCgoKCcudv4O5YLrt7EzgXhiU3/4+b2ckmwVjJSpKkQ6wAi4gwhT+z3wRBcEz0yjSseUTrcRyfsHsXmD0AmbHOC9Ii8VImnuXBPglHpQ5wwSVM7sNnTG7Za4JwDdCjxyAiH3nyA2mtaTJufiDZ5dCaqlItILh1NHatfN5skvjx9Z38m69CgzuXmZgVrPIGE763Jx9qKsRozWYw6xOHdER+nn2KkO+Bb+UV5CBN6WC6QtBgbRVozrahAbmm6HtUsgtPC19tFdxXZYBOfkbmFJ1VaHA1VAHjd0pp70oTZzvR+EVrx2Ygfdsq6eu55BHYR8hlcki+n+kERUFG8BrA0BwjeAv2M8WLQBtcy+SD6fNsmnB3AlBLrgTtVW1c2QN4bVWLATaIS60J2Du5y1TiJgjSBvFVZgTmwCU+dAZFoPxGEEs8nyHC9Bwe2GvEJv2WXZb0vjdyFT4Cxk3e/kIqlOGoVLwwPevpYHT+00T+hWwXDf4AJAOUqWcDhbwAAAAASUVORK5CYII=)!important}#toast-container>.toast-error{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABgAAAAYCAYAAADgdz34AAAAAXNSR0IArs4c6QAAAARnQU1BAACxjwv8YQUAAAAJcEhZcwAADsMAAA7DAcdvqGQAAAHOSURBVEhLrZa/SgNBEMZzh0WKCClSCKaIYOED+AAKeQQLG8HWztLCImBrYadgIdY+gIKNYkBFSwu7CAoqCgkkoGBI/E28PdbLZmeDLgzZzcx83/zZ2SSXC1j9fr+I1Hq93g2yxH4iwM1vkoBWAdxCmpzTxfkN2RcyZNaHFIkSo10+8kgxkXIURV5HGxTmFuc75B2RfQkpxHG8aAgaAFa0tAHqYFfQ7Iwe2yhODk8+J4C7yAoRTWI3w/4klGRgR4lO7Rpn9+gvMyWp+uxFh8+H+ARlgN1nJuJuQAYvNkEnwGFck18Er4q3egEc/oO+mhLdKgRyhdNFiacC0rlOCbhNVz4H9FnAYgDBvU3QIioZlJFLJtsoHYRDfiZoUyIxqCtRpVlANq0EU4dApjrtgezPFad5S19Wgjkc0hNVnuF4HjVA6C7QrSIbylB+oZe3aHgBsqlNqKYH48jXyJKMuAbiyVJ8KzaB3eRc0pg9VwQ4niFryI68qiOi3AbjwdsfnAtk0bCjTLJKr6mrD9g8iq/S/B81hguOMlQTnVyG40wAcjnmgsCNESDrjme7wfftP4P7SP4N3CJZdvzoNyGq2c/HWOXJGsvVg+RA/k2MC/wN6I2YA2Pt8GkAAAAASUVORK5CYII=)!important}#toast-container>.toast-success{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABgAAAAYCAYAAADgdz34AAAAAXNSR0IArs4c6QAAAARnQU1BAACxjwv8YQUAAAAJcEhZcwAADsMAAA7DAcdvqGQAAADsSURBVEhLY2AYBfQMgf///3P8+/evAIgvA/FsIF+BavYDDWMBGroaSMMBiE8VC7AZDrIFaMFnii3AZTjUgsUUWUDA8OdAH6iQbQEhw4HyGsPEcKBXBIC4ARhex4G4BsjmweU1soIFaGg/WtoFZRIZdEvIMhxkCCjXIVsATV6gFGACs4Rsw0EGgIIH3QJYJgHSARQZDrWAB+jawzgs+Q2UO49D7jnRSRGoEFRILcdmEMWGI0cm0JJ2QpYA1RDvcmzJEWhABhD/pqrL0S0CWuABKgnRki9lLseS7g2AlqwHWQSKH4oKLrILpRGhEQCw2LiRUIa4lwAAAABJRU5ErkJggg==)!important}#toast-container>.toast-warning{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABgAAAAYCAYAAADgdz34AAAAAXNSR0IArs4c6QAAAARnQU1BAACxjwv8YQUAAAAJcEhZcwAADsMAAA7DAcdvqGQAAAGYSURBVEhL5ZSvTsNQFMbXZGICMYGYmJhAQIJAICYQPAACiSDB8AiICQQJT4CqQEwgJvYASAQCiZiYmJhAIBATCARJy+9rTsldd8sKu1M0+dLb057v6/lbq/2rK0mS/TRNj9cWNAKPYIJII7gIxCcQ51cvqID+GIEX8ASG4B1bK5gIZFeQfoJdEXOfgX4QAQg7kH2A65yQ87lyxb27sggkAzAuFhbbg1K2kgCkB1bVwyIR9m2L7PRPIhDUIXgGtyKw575yz3lTNs6X4JXnjV+LKM/m3MydnTbtOKIjtz6VhCBq4vSm3ncdrD2lk0VgUXSVKjVDJXJzijW1RQdsU7F77He8u68koNZTz8Oz5yGa6J3H3lZ0xYgXBK2QymlWWA+RWnYhskLBv2vmE+hBMCtbA7KX5drWyRT/2JsqZ2IvfB9Y4bWDNMFbJRFmC9E74SoS0CqulwjkC0+5bpcV1CZ8NMej4pjy0U+doDQsGyo1hzVJttIjhQ7GnBtRFN1UarUlH8F3xict+HY07rEzoUGPlWcjRFRr4/gChZgc3ZL2d8oAAAAASUVORK5CYII=)!important}#toast-container.toast-bottom-center>div,#toast-container.toast-top-center>div{width:300px;margin:auto}#toast-container.toast-bottom-full-width>div,#toast-container.toast-top-full-width>div{width:96%;margin:auto}.toast{background-color:#030303}.toast-success{background-color:#51a351}.toast-error{background-color:#bd362f}.toast-info{background-color:#2f96b4}.toast-warning{background-color:#f89406}.toast-progress{position:absolute;left:0;bottom:0;height:4px;background-color:#000;opacity:.4}.toast{opacity:1!important}.toast.ng-enter{opacity:0!important;transition:opacity .3s linear}.toast.ng-enter.ng-enter-active{opacity:1!important}.toast.ng-leave{opacity:1;transition:opacity .3s linear}.toast.ng-leave.ng-leave-active{opacity:0!important}@media all and (max-width:240px){#toast-container>div{padding:8px 8px 8px 50px;width:11em}#toast-container .toast-close-button{right:-.2em;top:-.2em}}@media all and (min-width:241px) and (max-width:480px){#toast-container>div{padding:8px 8px 8px 50px;width:18em}#toast-container .toast-close-button{right:-.2em;top:-.2em}}@media all and (min-width:481px) and (max-width:768px){#toast-container>div{padding:15px 15px 15px 50px;width:25em}}.ui-notification{position:fixed;z-index:9999;width:300px;cursor:pointer;-webkit-transition:all ease .5s;-o-transition:all ease .5s;transition:all ease .5s;color:#fff;background:#337ab7;box-shadow:5px 5px 10px rgba(0,0,0,.3)}.ui-notification.killed{-webkit-transition:opacity ease 1s;-o-transition:opacity ease 1s;transition:opacity ease 1s;opacity:0}.ui-notification>h3{font-size:14px;font-weight:700;display:block;margin:10px 10px 0;padding:0 0 5px;text-align:left;border-bottom:1px solid rgba(255,255,255,.3)}.editable-buttons,.editable-wrap,.editable-wrap .editable-input{display:inline-block}.ui-notification a{color:#fff}.ui-notification a:hover{text-decoration:underline}.ui-notification>.message{margin:10px}.editable-bstime .well-small,.editable-wrap .editable-controls,.editable-wrap .editable-controls>input,.editable-wrap .editable-controls>select,.editable-wrap .editable-controls>textarea,.editable-wrap .editable-error,.form-group .bootstrap-select.btn-group,.form-horizontal .bootstrap-select.btn-group,.form-inline .bootstrap-select.btn-group{margin-bottom:0}.ui-notification.warning{color:#fff;background:#f0ad4e}.ui-notification.error{color:#fff;background:#d9534f}.ui-notification.success{color:#fff;background:#5cb85c}.ui-notification.info{color:#fff;background:#5bc0de}.ui-notification:hover{opacity:.7}.steps-indicator li a:before,.steps-indicator:before{background-color:#e6e6e6;content:''}.steps-indicator{right:0;bottom:0;left:0;margin:0;padding:20px 0 0;height:30px;list-style:none}.steps-indicator:before{position:absolute;height:1px}.steps-indicator.steps-2:before{left:calc(100% / 2 / 2);right:calc(100% / 2 / 2)}.steps-indicator.steps-3:before{left:calc(100% / 3 / 2);right:calc(100% / 3 / 2)}.steps-indicator.steps-4:before{left:calc(100% / 4 / 2);right:calc(100% / 4 / 2)}.steps-indicator.steps-5:before{left:calc(100% / 5 / 2);right:calc(100% / 5 / 2)}.steps-indicator.steps-6:before{left:calc(100% / 6 / 2);right:calc(100% / 6 / 2)}.steps-indicator.steps-7:before{left:calc(100% / 7 / 2);right:calc(100% / 7 / 2)}.steps-indicator.steps-8:before{left:calc(100% / 8 / 2);right:calc(100% / 8 / 2)}.steps-indicator.steps-9:before{left:calc(100% / 9 / 2);right:calc(100% / 9 / 2)}.steps-indicator.steps-10:before{left:calc(100% / 10 / 2);right:calc(100% / 10 / 2)}.steps-indicator *{-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box}.steps-indicator li{position:relative;float:left;margin:0;padding:10px 0 0;text-align:center;line-height:15px}.steps-indicator li a{color:grey;text-decoration:none;text-transform:uppercase;font-weight:700;transition:.25s;cursor:pointer}.bootstrap-select.btn-group .dropdown-menu li.disabled a,.bootstrap-select.btn-group.disabled,.bootstrap-select.btn-group>.disabled{cursor:not-allowed}.steps-indicator li a:before{position:absolute;top:-7px;left:calc(50% - 7px);width:14px;height:14px;border-radius:100%;transition:.25s}.steps-indicator li a:hover{color:#4d4d4d}.steps-indicator.steps-2 li{width:calc(100% / 2)}.steps-indicator.steps-3 li{width:calc(100% / 3)}.steps-indicator.steps-4 li{width:calc(100% / 4)}.steps-indicator.steps-5 li{width:calc(100% / 5)}.steps-indicator.steps-6 li{width:calc(100% / 6)}.steps-indicator.steps-7 li{width:calc(100% / 7)}.steps-indicator.steps-8 li{width:calc(100% / 8)}.steps-indicator.steps-9 li{width:calc(100% / 9)}.steps-indicator.steps-10 li{width:calc(100% / 10)}.steps-indicator.steps-11 li{width:calc(100% / 11)}.steps-indicator li.default a:hover{color:grey}.steps-indicator li.current a:before{background-color:grey}.steps-indicator li.done a:before{background-color:#393}.steps-indicator li.editing a:before{background-color:red}.editable-wrap{white-space:nowrap;margin:0}.editable-buttons{vertical-align:top}.editable-buttons button{margin-left:5px}.editable-input.editable-has-buttons{width:auto}.editable-bstime .editable-input input[type=text]{width:46px}.editable-bstime .well-small{padding:10px}.editable-range output{display:inline-block;min-width:30px;vertical-align:top;text-align:center}.editable-color input[type=color]{width:50px}.editable-checkbox label span,.editable-checklist label span,.editable-radiolist label span{margin-left:7px;margin-right:10px}.editable-hide{display:none!important}.editable-click,a.editable-click{text-decoration:none;color:#428bca;border-bottom:dashed 1px #428bca}.editable-click:hover,a.editable-click:hover{text-decoration:none;color:#2a6496;border-bottom-color:#2a6496}.editable-empty,.editable-empty:focus,.editable-empty:hover,a.editable-empty,a.editable-empty:focus,a.editable-empty:hover{font-style:italic;color:#D14;text-decoration:none}.bootstrap-select.btn-group .dropdown-menu li.active small,.fa-inverse{color:#fff}/*! -Animate.css - http://daneden.me/animate -Licensed under the MIT license - http://opensource.org/licenses/MIT - -Copyright (c) 2015 Daniel Eden -*/.animated{-webkit-animation-duration:1s;animation-duration:1s;-webkit-animation-fill-mode:both;animation-fill-mode:both}.animated.infinite{-webkit-animation-iteration-count:infinite;animation-iteration-count:infinite}.animated.hinge{-webkit-animation-duration:2s;animation-duration:2s}.animated.bounceIn,.animated.bounceOut,.animated.flipOutX,.animated.flipOutY{-webkit-animation-duration:.75s;animation-duration:.75s}@-webkit-keyframes bounce{100%,20%,53%,80%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1);-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}40%,43%{-webkit-animation-timing-function:cubic-bezier(.755,.050,.855,.060);animation-timing-function:cubic-bezier(.755,.050,.855,.060);-webkit-transform:translate3d(0,-30px,0);transform:translate3d(0,-30px,0)}70%{-webkit-animation-timing-function:cubic-bezier(.755,.050,.855,.060);animation-timing-function:cubic-bezier(.755,.050,.855,.060);-webkit-transform:translate3d(0,-15px,0);transform:translate3d(0,-15px,0)}90%{-webkit-transform:translate3d(0,-4px,0);transform:translate3d(0,-4px,0)}}@keyframes bounce{100%,20%,53%,80%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1);-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}40%,43%{-webkit-animation-timing-function:cubic-bezier(.755,.050,.855,.060);animation-timing-function:cubic-bezier(.755,.050,.855,.060);-webkit-transform:translate3d(0,-30px,0);transform:translate3d(0,-30px,0)}70%{-webkit-animation-timing-function:cubic-bezier(.755,.050,.855,.060);animation-timing-function:cubic-bezier(.755,.050,.855,.060);-webkit-transform:translate3d(0,-15px,0);transform:translate3d(0,-15px,0)}90%{-webkit-transform:translate3d(0,-4px,0);transform:translate3d(0,-4px,0)}}.bounce{-webkit-animation-name:bounce;animation-name:bounce;-webkit-transform-origin:center bottom;transform-origin:center bottom}@-webkit-keyframes flash{100%,50%,from{opacity:1}25%,75%{opacity:0}}@keyframes flash{100%,50%,from{opacity:1}25%,75%{opacity:0}}.flash{-webkit-animation-name:flash;animation-name:flash}@-webkit-keyframes pulse{100%,from{-webkit-transform:scale3d(1,1,1);transform:scale3d(1,1,1)}50%{-webkit-transform:scale3d(1.05,1.05,1.05);transform:scale3d(1.05,1.05,1.05)}}@keyframes pulse{100%,from{-webkit-transform:scale3d(1,1,1);transform:scale3d(1,1,1)}50%{-webkit-transform:scale3d(1.05,1.05,1.05);transform:scale3d(1.05,1.05,1.05)}}.pulse{-webkit-animation-name:pulse;animation-name:pulse}@-webkit-keyframes rubberBand{100%,from{-webkit-transform:scale3d(1,1,1);transform:scale3d(1,1,1)}30%{-webkit-transform:scale3d(1.25,.75,1);transform:scale3d(1.25,.75,1)}40%{-webkit-transform:scale3d(.75,1.25,1);transform:scale3d(.75,1.25,1)}50%{-webkit-transform:scale3d(1.15,.85,1);transform:scale3d(1.15,.85,1)}65%{-webkit-transform:scale3d(.95,1.05,1);transform:scale3d(.95,1.05,1)}75%{-webkit-transform:scale3d(1.05,.95,1);transform:scale3d(1.05,.95,1)}}@keyframes rubberBand{100%,from{-webkit-transform:scale3d(1,1,1);transform:scale3d(1,1,1)}30%{-webkit-transform:scale3d(1.25,.75,1);transform:scale3d(1.25,.75,1)}40%{-webkit-transform:scale3d(.75,1.25,1);transform:scale3d(.75,1.25,1)}50%{-webkit-transform:scale3d(1.15,.85,1);transform:scale3d(1.15,.85,1)}65%{-webkit-transform:scale3d(.95,1.05,1);transform:scale3d(.95,1.05,1)}75%{-webkit-transform:scale3d(1.05,.95,1);transform:scale3d(1.05,.95,1)}}.rubberBand{-webkit-animation-name:rubberBand;animation-name:rubberBand}@-webkit-keyframes shake{100%,from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}10%,30%,50%,70%,90%{-webkit-transform:translate3d(-10px,0,0);transform:translate3d(-10px,0,0)}20%,40%,60%,80%{-webkit-transform:translate3d(10px,0,0);transform:translate3d(10px,0,0)}}@keyframes shake{100%,from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}10%,30%,50%,70%,90%{-webkit-transform:translate3d(-10px,0,0);transform:translate3d(-10px,0,0)}20%,40%,60%,80%{-webkit-transform:translate3d(10px,0,0);transform:translate3d(10px,0,0)}}.shake{-webkit-animation-name:shake;animation-name:shake}@-webkit-keyframes swing{20%{-webkit-transform:rotate3d(0,0,1,15deg);transform:rotate3d(0,0,1,15deg)}40%{-webkit-transform:rotate3d(0,0,1,-10deg);transform:rotate3d(0,0,1,-10deg)}60%{-webkit-transform:rotate3d(0,0,1,5deg);transform:rotate3d(0,0,1,5deg)}80%{-webkit-transform:rotate3d(0,0,1,-5deg);transform:rotate3d(0,0,1,-5deg)}100%{-webkit-transform:rotate3d(0,0,1,0deg);transform:rotate3d(0,0,1,0deg)}}@keyframes swing{20%{-webkit-transform:rotate3d(0,0,1,15deg);transform:rotate3d(0,0,1,15deg)}40%{-webkit-transform:rotate3d(0,0,1,-10deg);transform:rotate3d(0,0,1,-10deg)}60%{-webkit-transform:rotate3d(0,0,1,5deg);transform:rotate3d(0,0,1,5deg)}80%{-webkit-transform:rotate3d(0,0,1,-5deg);transform:rotate3d(0,0,1,-5deg)}100%{-webkit-transform:rotate3d(0,0,1,0deg);transform:rotate3d(0,0,1,0deg)}}.swing{-webkit-transform-origin:top center;transform-origin:top center;-webkit-animation-name:swing;animation-name:swing}@-webkit-keyframes tada{100%,from{-webkit-transform:scale3d(1,1,1);transform:scale3d(1,1,1)}10%,20%{-webkit-transform:scale3d(.9,.9,.9) rotate3d(0,0,1,-3deg);transform:scale3d(.9,.9,.9) rotate3d(0,0,1,-3deg)}30%,50%,70%,90%{-webkit-transform:scale3d(1.1,1.1,1.1) rotate3d(0,0,1,3deg);transform:scale3d(1.1,1.1,1.1) rotate3d(0,0,1,3deg)}40%,60%,80%{-webkit-transform:scale3d(1.1,1.1,1.1) rotate3d(0,0,1,-3deg);transform:scale3d(1.1,1.1,1.1) rotate3d(0,0,1,-3deg)}}@keyframes tada{100%,from{-webkit-transform:scale3d(1,1,1);transform:scale3d(1,1,1)}10%,20%{-webkit-transform:scale3d(.9,.9,.9) rotate3d(0,0,1,-3deg);transform:scale3d(.9,.9,.9) rotate3d(0,0,1,-3deg)}30%,50%,70%,90%{-webkit-transform:scale3d(1.1,1.1,1.1) rotate3d(0,0,1,3deg);transform:scale3d(1.1,1.1,1.1) rotate3d(0,0,1,3deg)}40%,60%,80%{-webkit-transform:scale3d(1.1,1.1,1.1) rotate3d(0,0,1,-3deg);transform:scale3d(1.1,1.1,1.1) rotate3d(0,0,1,-3deg)}}.tada{-webkit-animation-name:tada;animation-name:tada}@-webkit-keyframes wobble{100%,from{-webkit-transform:none;transform:none}15%{-webkit-transform:translate3d(-25%,0,0) rotate3d(0,0,1,-5deg);transform:translate3d(-25%,0,0) rotate3d(0,0,1,-5deg)}30%{-webkit-transform:translate3d(20%,0,0) rotate3d(0,0,1,3deg);transform:translate3d(20%,0,0) rotate3d(0,0,1,3deg)}45%{-webkit-transform:translate3d(-15%,0,0) rotate3d(0,0,1,-3deg);transform:translate3d(-15%,0,0) rotate3d(0,0,1,-3deg)}60%{-webkit-transform:translate3d(10%,0,0) rotate3d(0,0,1,2deg);transform:translate3d(10%,0,0) rotate3d(0,0,1,2deg)}75%{-webkit-transform:translate3d(-5%,0,0) rotate3d(0,0,1,-1deg);transform:translate3d(-5%,0,0) rotate3d(0,0,1,-1deg)}}@keyframes wobble{100%,from{-webkit-transform:none;transform:none}15%{-webkit-transform:translate3d(-25%,0,0) rotate3d(0,0,1,-5deg);transform:translate3d(-25%,0,0) rotate3d(0,0,1,-5deg)}30%{-webkit-transform:translate3d(20%,0,0) rotate3d(0,0,1,3deg);transform:translate3d(20%,0,0) rotate3d(0,0,1,3deg)}45%{-webkit-transform:translate3d(-15%,0,0) rotate3d(0,0,1,-3deg);transform:translate3d(-15%,0,0) rotate3d(0,0,1,-3deg)}60%{-webkit-transform:translate3d(10%,0,0) rotate3d(0,0,1,2deg);transform:translate3d(10%,0,0) rotate3d(0,0,1,2deg)}75%{-webkit-transform:translate3d(-5%,0,0) rotate3d(0,0,1,-1deg);transform:translate3d(-5%,0,0) rotate3d(0,0,1,-1deg)}}.wobble{-webkit-animation-name:wobble;animation-name:wobble}@-webkit-keyframes jello{100%,11.1%,from{-webkit-transform:none;transform:none}22.2%{-webkit-transform:skewX(-12.5deg) skewY(-12.5deg);transform:skewX(-12.5deg) skewY(-12.5deg)}33.3%{-webkit-transform:skewX(6.25deg) skewY(6.25deg);transform:skewX(6.25deg) skewY(6.25deg)}44.4%{-webkit-transform:skewX(-3.125deg) skewY(-3.125deg);transform:skewX(-3.125deg) skewY(-3.125deg)}55.5%{-webkit-transform:skewX(1.5625deg) skewY(1.5625deg);transform:skewX(1.5625deg) skewY(1.5625deg)}66.6%{-webkit-transform:skewX(-.78125deg) skewY(-.78125deg);transform:skewX(-.78125deg) skewY(-.78125deg)}77.7%{-webkit-transform:skewX(.390625deg) skewY(.390625deg);transform:skewX(.390625deg) skewY(.390625deg)}88.8%{-webkit-transform:skewX(-.1953125deg) skewY(-.1953125deg);transform:skewX(-.1953125deg) skewY(-.1953125deg)}}@keyframes jello{100%,11.1%,from{-webkit-transform:none;transform:none}22.2%{-webkit-transform:skewX(-12.5deg) skewY(-12.5deg);transform:skewX(-12.5deg) skewY(-12.5deg)}33.3%{-webkit-transform:skewX(6.25deg) skewY(6.25deg);transform:skewX(6.25deg) skewY(6.25deg)}44.4%{-webkit-transform:skewX(-3.125deg) skewY(-3.125deg);transform:skewX(-3.125deg) skewY(-3.125deg)}55.5%{-webkit-transform:skewX(1.5625deg) skewY(1.5625deg);transform:skewX(1.5625deg) skewY(1.5625deg)}66.6%{-webkit-transform:skewX(-.78125deg) skewY(-.78125deg);transform:skewX(-.78125deg) skewY(-.78125deg)}77.7%{-webkit-transform:skewX(.390625deg) skewY(.390625deg);transform:skewX(.390625deg) skewY(.390625deg)}88.8%{-webkit-transform:skewX(-.1953125deg) skewY(-.1953125deg);transform:skewX(-.1953125deg) skewY(-.1953125deg)}}.jello{-webkit-animation-name:jello;animation-name:jello;-webkit-transform-origin:center;transform-origin:center}@-webkit-keyframes bounceIn{100%,20%,40%,60%,80%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}0%{opacity:0;-webkit-transform:scale3d(.3,.3,.3);transform:scale3d(.3,.3,.3)}20%{-webkit-transform:scale3d(1.1,1.1,1.1);transform:scale3d(1.1,1.1,1.1)}40%{-webkit-transform:scale3d(.9,.9,.9);transform:scale3d(.9,.9,.9)}60%{opacity:1;-webkit-transform:scale3d(1.03,1.03,1.03);transform:scale3d(1.03,1.03,1.03)}80%{-webkit-transform:scale3d(.97,.97,.97);transform:scale3d(.97,.97,.97)}100%{opacity:1;-webkit-transform:scale3d(1,1,1);transform:scale3d(1,1,1)}}@keyframes bounceIn{100%,20%,40%,60%,80%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}0%{opacity:0;-webkit-transform:scale3d(.3,.3,.3);transform:scale3d(.3,.3,.3)}20%{-webkit-transform:scale3d(1.1,1.1,1.1);transform:scale3d(1.1,1.1,1.1)}40%{-webkit-transform:scale3d(.9,.9,.9);transform:scale3d(.9,.9,.9)}60%{opacity:1;-webkit-transform:scale3d(1.03,1.03,1.03);transform:scale3d(1.03,1.03,1.03)}80%{-webkit-transform:scale3d(.97,.97,.97);transform:scale3d(.97,.97,.97)}100%{opacity:1;-webkit-transform:scale3d(1,1,1);transform:scale3d(1,1,1)}}.bounceIn{-webkit-animation-name:bounceIn;animation-name:bounceIn}@-webkit-keyframes bounceInDown{100%,60%,75%,90%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}0%{opacity:0;-webkit-transform:translate3d(0,-3000px,0);transform:translate3d(0,-3000px,0)}60%{opacity:1;-webkit-transform:translate3d(0,25px,0);transform:translate3d(0,25px,0)}75%{-webkit-transform:translate3d(0,-10px,0);transform:translate3d(0,-10px,0)}90%{-webkit-transform:translate3d(0,5px,0);transform:translate3d(0,5px,0)}100%{-webkit-transform:none;transform:none}}@keyframes bounceInDown{100%,60%,75%,90%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}0%{opacity:0;-webkit-transform:translate3d(0,-3000px,0);transform:translate3d(0,-3000px,0)}60%{opacity:1;-webkit-transform:translate3d(0,25px,0);transform:translate3d(0,25px,0)}75%{-webkit-transform:translate3d(0,-10px,0);transform:translate3d(0,-10px,0)}90%{-webkit-transform:translate3d(0,5px,0);transform:translate3d(0,5px,0)}100%{-webkit-transform:none;transform:none}}.bounceInDown{-webkit-animation-name:bounceInDown;animation-name:bounceInDown}@-webkit-keyframes bounceInLeft{100%,60%,75%,90%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}0%{opacity:0;-webkit-transform:translate3d(-3000px,0,0);transform:translate3d(-3000px,0,0)}60%{opacity:1;-webkit-transform:translate3d(25px,0,0);transform:translate3d(25px,0,0)}75%{-webkit-transform:translate3d(-10px,0,0);transform:translate3d(-10px,0,0)}90%{-webkit-transform:translate3d(5px,0,0);transform:translate3d(5px,0,0)}100%{-webkit-transform:none;transform:none}}@keyframes bounceInLeft{100%,60%,75%,90%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}0%{opacity:0;-webkit-transform:translate3d(-3000px,0,0);transform:translate3d(-3000px,0,0)}60%{opacity:1;-webkit-transform:translate3d(25px,0,0);transform:translate3d(25px,0,0)}75%{-webkit-transform:translate3d(-10px,0,0);transform:translate3d(-10px,0,0)}90%{-webkit-transform:translate3d(5px,0,0);transform:translate3d(5px,0,0)}100%{-webkit-transform:none;transform:none}}.bounceInLeft{-webkit-animation-name:bounceInLeft;animation-name:bounceInLeft}@-webkit-keyframes bounceInRight{100%,60%,75%,90%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}from{opacity:0;-webkit-transform:translate3d(3000px,0,0);transform:translate3d(3000px,0,0)}60%{opacity:1;-webkit-transform:translate3d(-25px,0,0);transform:translate3d(-25px,0,0)}75%{-webkit-transform:translate3d(10px,0,0);transform:translate3d(10px,0,0)}90%{-webkit-transform:translate3d(-5px,0,0);transform:translate3d(-5px,0,0)}100%{-webkit-transform:none;transform:none}}@keyframes bounceInRight{100%,60%,75%,90%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}from{opacity:0;-webkit-transform:translate3d(3000px,0,0);transform:translate3d(3000px,0,0)}60%{opacity:1;-webkit-transform:translate3d(-25px,0,0);transform:translate3d(-25px,0,0)}75%{-webkit-transform:translate3d(10px,0,0);transform:translate3d(10px,0,0)}90%{-webkit-transform:translate3d(-5px,0,0);transform:translate3d(-5px,0,0)}100%{-webkit-transform:none;transform:none}}.bounceInRight{-webkit-animation-name:bounceInRight;animation-name:bounceInRight}@-webkit-keyframes bounceInUp{100%,60%,75%,90%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}from{opacity:0;-webkit-transform:translate3d(0,3000px,0);transform:translate3d(0,3000px,0)}60%{opacity:1;-webkit-transform:translate3d(0,-20px,0);transform:translate3d(0,-20px,0)}75%{-webkit-transform:translate3d(0,10px,0);transform:translate3d(0,10px,0)}90%{-webkit-transform:translate3d(0,-5px,0);transform:translate3d(0,-5px,0)}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}@keyframes bounceInUp{100%,60%,75%,90%,from{-webkit-animation-timing-function:cubic-bezier(.215,.61,.355,1);animation-timing-function:cubic-bezier(.215,.61,.355,1)}from{opacity:0;-webkit-transform:translate3d(0,3000px,0);transform:translate3d(0,3000px,0)}60%{opacity:1;-webkit-transform:translate3d(0,-20px,0);transform:translate3d(0,-20px,0)}75%{-webkit-transform:translate3d(0,10px,0);transform:translate3d(0,10px,0)}90%{-webkit-transform:translate3d(0,-5px,0);transform:translate3d(0,-5px,0)}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}.bounceInUp{-webkit-animation-name:bounceInUp;animation-name:bounceInUp}@-webkit-keyframes bounceOut{20%{-webkit-transform:scale3d(.9,.9,.9);transform:scale3d(.9,.9,.9)}50%,55%{opacity:1;-webkit-transform:scale3d(1.1,1.1,1.1);transform:scale3d(1.1,1.1,1.1)}100%{opacity:0;-webkit-transform:scale3d(.3,.3,.3);transform:scale3d(.3,.3,.3)}}@keyframes bounceOut{20%{-webkit-transform:scale3d(.9,.9,.9);transform:scale3d(.9,.9,.9)}50%,55%{opacity:1;-webkit-transform:scale3d(1.1,1.1,1.1);transform:scale3d(1.1,1.1,1.1)}100%{opacity:0;-webkit-transform:scale3d(.3,.3,.3);transform:scale3d(.3,.3,.3)}}.bounceOut{-webkit-animation-name:bounceOut;animation-name:bounceOut}@-webkit-keyframes bounceOutDown{20%{-webkit-transform:translate3d(0,10px,0);transform:translate3d(0,10px,0)}40%,45%{opacity:1;-webkit-transform:translate3d(0,-20px,0);transform:translate3d(0,-20px,0)}100%{opacity:0;-webkit-transform:translate3d(0,2000px,0);transform:translate3d(0,2000px,0)}}@keyframes bounceOutDown{20%{-webkit-transform:translate3d(0,10px,0);transform:translate3d(0,10px,0)}40%,45%{opacity:1;-webkit-transform:translate3d(0,-20px,0);transform:translate3d(0,-20px,0)}100%{opacity:0;-webkit-transform:translate3d(0,2000px,0);transform:translate3d(0,2000px,0)}}.bounceOutDown{-webkit-animation-name:bounceOutDown;animation-name:bounceOutDown}@-webkit-keyframes bounceOutLeft{20%{opacity:1;-webkit-transform:translate3d(20px,0,0);transform:translate3d(20px,0,0)}100%{opacity:0;-webkit-transform:translate3d(-2000px,0,0);transform:translate3d(-2000px,0,0)}}@keyframes bounceOutLeft{20%{opacity:1;-webkit-transform:translate3d(20px,0,0);transform:translate3d(20px,0,0)}100%{opacity:0;-webkit-transform:translate3d(-2000px,0,0);transform:translate3d(-2000px,0,0)}}.bounceOutLeft{-webkit-animation-name:bounceOutLeft;animation-name:bounceOutLeft}@-webkit-keyframes bounceOutRight{20%{opacity:1;-webkit-transform:translate3d(-20px,0,0);transform:translate3d(-20px,0,0)}100%{opacity:0;-webkit-transform:translate3d(2000px,0,0);transform:translate3d(2000px,0,0)}}@keyframes bounceOutRight{20%{opacity:1;-webkit-transform:translate3d(-20px,0,0);transform:translate3d(-20px,0,0)}100%{opacity:0;-webkit-transform:translate3d(2000px,0,0);transform:translate3d(2000px,0,0)}}.bounceOutRight{-webkit-animation-name:bounceOutRight;animation-name:bounceOutRight}@-webkit-keyframes bounceOutUp{20%{-webkit-transform:translate3d(0,-10px,0);transform:translate3d(0,-10px,0)}40%,45%{opacity:1;-webkit-transform:translate3d(0,20px,0);transform:translate3d(0,20px,0)}100%{opacity:0;-webkit-transform:translate3d(0,-2000px,0);transform:translate3d(0,-2000px,0)}}@keyframes bounceOutUp{20%{-webkit-transform:translate3d(0,-10px,0);transform:translate3d(0,-10px,0)}40%,45%{opacity:1;-webkit-transform:translate3d(0,20px,0);transform:translate3d(0,20px,0)}100%{opacity:0;-webkit-transform:translate3d(0,-2000px,0);transform:translate3d(0,-2000px,0)}}.bounceOutUp{-webkit-animation-name:bounceOutUp;animation-name:bounceOutUp}@-webkit-keyframes fadeIn{from{opacity:0}100%{opacity:1}}@keyframes fadeIn{from{opacity:0}100%{opacity:1}}.fadeIn{-webkit-animation-name:fadeIn;animation-name:fadeIn}@-webkit-keyframes fadeInDown{from{opacity:0;-webkit-transform:translate3d(0,-100%,0);transform:translate3d(0,-100%,0)}100%{opacity:1;-webkit-transform:none;transform:none}}@keyframes fadeInDown{from{opacity:0;-webkit-transform:translate3d(0,-100%,0);transform:translate3d(0,-100%,0)}100%{opacity:1;-webkit-transform:none;transform:none}}.fadeInDown{-webkit-animation-name:fadeInDown;animation-name:fadeInDown}@-webkit-keyframes fadeInDownBig{from{opacity:0;-webkit-transform:translate3d(0,-2000px,0);transform:translate3d(0,-2000px,0)}100%{opacity:1;-webkit-transform:none;transform:none}}@keyframes fadeInDownBig{from{opacity:0;-webkit-transform:translate3d(0,-2000px,0);transform:translate3d(0,-2000px,0)}100%{opacity:1;-webkit-transform:none;transform:none}}.fadeInDownBig{-webkit-animation-name:fadeInDownBig;animation-name:fadeInDownBig}@-webkit-keyframes fadeInLeft{from{opacity:0;-webkit-transform:translate3d(-100%,0,0);transform:translate3d(-100%,0,0)}100%{opacity:1;-webkit-transform:none;transform:none}}@keyframes fadeInLeft{from{opacity:0;-webkit-transform:translate3d(-100%,0,0);transform:translate3d(-100%,0,0)}100%{opacity:1;-webkit-transform:none;transform:none}}.fadeInLeft{-webkit-animation-name:fadeInLeft;animation-name:fadeInLeft}@-webkit-keyframes fadeInLeftBig{from{opacity:0;-webkit-transform:translate3d(-2000px,0,0);transform:translate3d(-2000px,0,0)}100%{opacity:1;-webkit-transform:none;transform:none}}@keyframes fadeInLeftBig{from{opacity:0;-webkit-transform:translate3d(-2000px,0,0);transform:translate3d(-2000px,0,0)}100%{opacity:1;-webkit-transform:none;transform:none}}.fadeInLeftBig{-webkit-animation-name:fadeInLeftBig;animation-name:fadeInLeftBig}@-webkit-keyframes fadeInRight{from{opacity:0;-webkit-transform:translate3d(100%,0,0);transform:translate3d(100%,0,0)}100%{opacity:1;-webkit-transform:none;transform:none}}@keyframes fadeInRight{from{opacity:0;-webkit-transform:translate3d(100%,0,0);transform:translate3d(100%,0,0)}100%{opacity:1;-webkit-transform:none;transform:none}}.fadeInRight{-webkit-animation-name:fadeInRight;animation-name:fadeInRight}@-webkit-keyframes fadeInRightBig{from{opacity:0;-webkit-transform:translate3d(2000px,0,0);transform:translate3d(2000px,0,0)}100%{opacity:1;-webkit-transform:none;transform:none}}@keyframes fadeInRightBig{from{opacity:0;-webkit-transform:translate3d(2000px,0,0);transform:translate3d(2000px,0,0)}100%{opacity:1;-webkit-transform:none;transform:none}}.fadeInRightBig{-webkit-animation-name:fadeInRightBig;animation-name:fadeInRightBig}@-webkit-keyframes fadeInUp{from{opacity:0;-webkit-transform:translate3d(0,100%,0);transform:translate3d(0,100%,0)}100%{opacity:1;-webkit-transform:none;transform:none}}@keyframes fadeInUp{from{opacity:0;-webkit-transform:translate3d(0,100%,0);transform:translate3d(0,100%,0)}100%{opacity:1;-webkit-transform:none;transform:none}}.fadeInUp{-webkit-animation-name:fadeInUp;animation-name:fadeInUp}@-webkit-keyframes fadeInUpBig{from{opacity:0;-webkit-transform:translate3d(0,2000px,0);transform:translate3d(0,2000px,0)}100%{opacity:1;-webkit-transform:none;transform:none}}@keyframes fadeInUpBig{from{opacity:0;-webkit-transform:translate3d(0,2000px,0);transform:translate3d(0,2000px,0)}100%{opacity:1;-webkit-transform:none;transform:none}}.fadeInUpBig{-webkit-animation-name:fadeInUpBig;animation-name:fadeInUpBig}@-webkit-keyframes fadeOut{from{opacity:1}100%{opacity:0}}@keyframes fadeOut{from{opacity:1}100%{opacity:0}}.fadeOut{-webkit-animation-name:fadeOut;animation-name:fadeOut}@-webkit-keyframes fadeOutDown{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(0,100%,0);transform:translate3d(0,100%,0)}}@keyframes fadeOutDown{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(0,100%,0);transform:translate3d(0,100%,0)}}.fadeOutDown{-webkit-animation-name:fadeOutDown;animation-name:fadeOutDown}@-webkit-keyframes fadeOutDownBig{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(0,2000px,0);transform:translate3d(0,2000px,0)}}@keyframes fadeOutDownBig{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(0,2000px,0);transform:translate3d(0,2000px,0)}}.fadeOutDownBig{-webkit-animation-name:fadeOutDownBig;animation-name:fadeOutDownBig}@-webkit-keyframes fadeOutLeft{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(-100%,0,0);transform:translate3d(-100%,0,0)}}@keyframes fadeOutLeft{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(-100%,0,0);transform:translate3d(-100%,0,0)}}.fadeOutLeft{-webkit-animation-name:fadeOutLeft;animation-name:fadeOutLeft}@-webkit-keyframes fadeOutLeftBig{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(-2000px,0,0);transform:translate3d(-2000px,0,0)}}@keyframes fadeOutLeftBig{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(-2000px,0,0);transform:translate3d(-2000px,0,0)}}.fadeOutLeftBig{-webkit-animation-name:fadeOutLeftBig;animation-name:fadeOutLeftBig}@-webkit-keyframes fadeOutRight{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(100%,0,0);transform:translate3d(100%,0,0)}}@keyframes fadeOutRight{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(100%,0,0);transform:translate3d(100%,0,0)}}.fadeOutRight{-webkit-animation-name:fadeOutRight;animation-name:fadeOutRight}@-webkit-keyframes fadeOutRightBig{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(2000px,0,0);transform:translate3d(2000px,0,0)}}@keyframes fadeOutRightBig{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(2000px,0,0);transform:translate3d(2000px,0,0)}}.fadeOutRightBig{-webkit-animation-name:fadeOutRightBig;animation-name:fadeOutRightBig}@-webkit-keyframes fadeOutUp{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(0,-100%,0);transform:translate3d(0,-100%,0)}}@keyframes fadeOutUp{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(0,-100%,0);transform:translate3d(0,-100%,0)}}.fadeOutUp{-webkit-animation-name:fadeOutUp;animation-name:fadeOutUp}@-webkit-keyframes fadeOutUpBig{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(0,-2000px,0);transform:translate3d(0,-2000px,0)}}@keyframes fadeOutUpBig{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(0,-2000px,0);transform:translate3d(0,-2000px,0)}}.fadeOutUpBig{-webkit-animation-name:fadeOutUpBig;animation-name:fadeOutUpBig}@-webkit-keyframes flip{from{-webkit-transform:perspective(400px) rotate3d(0,1,0,-360deg);transform:perspective(400px) rotate3d(0,1,0,-360deg);-webkit-animation-timing-function:ease-out;animation-timing-function:ease-out}40%{-webkit-transform:perspective(400px) translate3d(0,0,150px) rotate3d(0,1,0,-190deg);transform:perspective(400px) translate3d(0,0,150px) rotate3d(0,1,0,-190deg);-webkit-animation-timing-function:ease-out;animation-timing-function:ease-out}50%{-webkit-transform:perspective(400px) translate3d(0,0,150px) rotate3d(0,1,0,-170deg);transform:perspective(400px) translate3d(0,0,150px) rotate3d(0,1,0,-170deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}80%{-webkit-transform:perspective(400px) scale3d(.95,.95,.95);transform:perspective(400px) scale3d(.95,.95,.95);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}100%{-webkit-transform:perspective(400px);transform:perspective(400px);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}}@keyframes flip{from{-webkit-transform:perspective(400px) rotate3d(0,1,0,-360deg);transform:perspective(400px) rotate3d(0,1,0,-360deg);-webkit-animation-timing-function:ease-out;animation-timing-function:ease-out}40%{-webkit-transform:perspective(400px) translate3d(0,0,150px) rotate3d(0,1,0,-190deg);transform:perspective(400px) translate3d(0,0,150px) rotate3d(0,1,0,-190deg);-webkit-animation-timing-function:ease-out;animation-timing-function:ease-out}50%{-webkit-transform:perspective(400px) translate3d(0,0,150px) rotate3d(0,1,0,-170deg);transform:perspective(400px) translate3d(0,0,150px) rotate3d(0,1,0,-170deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}80%{-webkit-transform:perspective(400px) scale3d(.95,.95,.95);transform:perspective(400px) scale3d(.95,.95,.95);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}100%{-webkit-transform:perspective(400px);transform:perspective(400px);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}}.animated.flip{-webkit-backface-visibility:visible;backface-visibility:visible;-webkit-animation-name:flip;animation-name:flip}@-webkit-keyframes flipInX{from{-webkit-transform:perspective(400px) rotate3d(1,0,0,90deg);transform:perspective(400px) rotate3d(1,0,0,90deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in;opacity:0}40%{-webkit-transform:perspective(400px) rotate3d(1,0,0,-20deg);transform:perspective(400px) rotate3d(1,0,0,-20deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}60%{-webkit-transform:perspective(400px) rotate3d(1,0,0,10deg);transform:perspective(400px) rotate3d(1,0,0,10deg);opacity:1}80%{-webkit-transform:perspective(400px) rotate3d(1,0,0,-5deg);transform:perspective(400px) rotate3d(1,0,0,-5deg)}100%{-webkit-transform:perspective(400px);transform:perspective(400px)}}@keyframes flipInX{from{-webkit-transform:perspective(400px) rotate3d(1,0,0,90deg);transform:perspective(400px) rotate3d(1,0,0,90deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in;opacity:0}40%{-webkit-transform:perspective(400px) rotate3d(1,0,0,-20deg);transform:perspective(400px) rotate3d(1,0,0,-20deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}60%{-webkit-transform:perspective(400px) rotate3d(1,0,0,10deg);transform:perspective(400px) rotate3d(1,0,0,10deg);opacity:1}80%{-webkit-transform:perspective(400px) rotate3d(1,0,0,-5deg);transform:perspective(400px) rotate3d(1,0,0,-5deg)}100%{-webkit-transform:perspective(400px);transform:perspective(400px)}}.flipInX{-webkit-backface-visibility:visible!important;backface-visibility:visible!important;-webkit-animation-name:flipInX;animation-name:flipInX}.flipInY,.flipOutX{-webkit-backface-visibility:visible!important}@-webkit-keyframes flipInY{from{-webkit-transform:perspective(400px) rotate3d(0,1,0,90deg);transform:perspective(400px) rotate3d(0,1,0,90deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in;opacity:0}40%{-webkit-transform:perspective(400px) rotate3d(0,1,0,-20deg);transform:perspective(400px) rotate3d(0,1,0,-20deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}60%{-webkit-transform:perspective(400px) rotate3d(0,1,0,10deg);transform:perspective(400px) rotate3d(0,1,0,10deg);opacity:1}80%{-webkit-transform:perspective(400px) rotate3d(0,1,0,-5deg);transform:perspective(400px) rotate3d(0,1,0,-5deg)}100%{-webkit-transform:perspective(400px);transform:perspective(400px)}}@keyframes flipInY{from{-webkit-transform:perspective(400px) rotate3d(0,1,0,90deg);transform:perspective(400px) rotate3d(0,1,0,90deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in;opacity:0}40%{-webkit-transform:perspective(400px) rotate3d(0,1,0,-20deg);transform:perspective(400px) rotate3d(0,1,0,-20deg);-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}60%{-webkit-transform:perspective(400px) rotate3d(0,1,0,10deg);transform:perspective(400px) rotate3d(0,1,0,10deg);opacity:1}80%{-webkit-transform:perspective(400px) rotate3d(0,1,0,-5deg);transform:perspective(400px) rotate3d(0,1,0,-5deg)}100%{-webkit-transform:perspective(400px);transform:perspective(400px)}}.flipInY{backface-visibility:visible!important;-webkit-animation-name:flipInY;animation-name:flipInY}@-webkit-keyframes flipOutX{from{-webkit-transform:perspective(400px);transform:perspective(400px)}30%{-webkit-transform:perspective(400px) rotate3d(1,0,0,-20deg);transform:perspective(400px) rotate3d(1,0,0,-20deg);opacity:1}100%{-webkit-transform:perspective(400px) rotate3d(1,0,0,90deg);transform:perspective(400px) rotate3d(1,0,0,90deg);opacity:0}}@keyframes flipOutX{from{-webkit-transform:perspective(400px);transform:perspective(400px)}30%{-webkit-transform:perspective(400px) rotate3d(1,0,0,-20deg);transform:perspective(400px) rotate3d(1,0,0,-20deg);opacity:1}100%{-webkit-transform:perspective(400px) rotate3d(1,0,0,90deg);transform:perspective(400px) rotate3d(1,0,0,90deg);opacity:0}}.flipOutX{-webkit-animation-name:flipOutX;animation-name:flipOutX;backface-visibility:visible!important}@-webkit-keyframes flipOutY{from{-webkit-transform:perspective(400px);transform:perspective(400px)}30%{-webkit-transform:perspective(400px) rotate3d(0,1,0,-15deg);transform:perspective(400px) rotate3d(0,1,0,-15deg);opacity:1}100%{-webkit-transform:perspective(400px) rotate3d(0,1,0,90deg);transform:perspective(400px) rotate3d(0,1,0,90deg);opacity:0}}@keyframes flipOutY{from{-webkit-transform:perspective(400px);transform:perspective(400px)}30%{-webkit-transform:perspective(400px) rotate3d(0,1,0,-15deg);transform:perspective(400px) rotate3d(0,1,0,-15deg);opacity:1}100%{-webkit-transform:perspective(400px) rotate3d(0,1,0,90deg);transform:perspective(400px) rotate3d(0,1,0,90deg);opacity:0}}.flipOutY{-webkit-backface-visibility:visible!important;backface-visibility:visible!important;-webkit-animation-name:flipOutY;animation-name:flipOutY}@-webkit-keyframes lightSpeedIn{from{-webkit-transform:translate3d(100%,0,0) skewX(-30deg);transform:translate3d(100%,0,0) skewX(-30deg);opacity:0}60%{-webkit-transform:skewX(20deg);transform:skewX(20deg);opacity:1}80%{-webkit-transform:skewX(-5deg);transform:skewX(-5deg);opacity:1}100%{-webkit-transform:none;transform:none;opacity:1}}@keyframes lightSpeedIn{from{-webkit-transform:translate3d(100%,0,0) skewX(-30deg);transform:translate3d(100%,0,0) skewX(-30deg);opacity:0}60%{-webkit-transform:skewX(20deg);transform:skewX(20deg);opacity:1}80%{-webkit-transform:skewX(-5deg);transform:skewX(-5deg);opacity:1}100%{-webkit-transform:none;transform:none;opacity:1}}.lightSpeedIn{-webkit-animation-name:lightSpeedIn;animation-name:lightSpeedIn;-webkit-animation-timing-function:ease-out;animation-timing-function:ease-out}@-webkit-keyframes lightSpeedOut{from{opacity:1}100%{-webkit-transform:translate3d(100%,0,0) skewX(30deg);transform:translate3d(100%,0,0) skewX(30deg);opacity:0}}@keyframes lightSpeedOut{from{opacity:1}100%{-webkit-transform:translate3d(100%,0,0) skewX(30deg);transform:translate3d(100%,0,0) skewX(30deg);opacity:0}}.lightSpeedOut{-webkit-animation-name:lightSpeedOut;animation-name:lightSpeedOut;-webkit-animation-timing-function:ease-in;animation-timing-function:ease-in}@-webkit-keyframes rotateIn{from{-webkit-transform-origin:center;transform-origin:center;-webkit-transform:rotate3d(0,0,1,-200deg);transform:rotate3d(0,0,1,-200deg);opacity:0}100%{-webkit-transform-origin:center;transform-origin:center;-webkit-transform:none;transform:none;opacity:1}}@keyframes rotateIn{from{-webkit-transform-origin:center;transform-origin:center;-webkit-transform:rotate3d(0,0,1,-200deg);transform:rotate3d(0,0,1,-200deg);opacity:0}100%{-webkit-transform-origin:center;transform-origin:center;-webkit-transform:none;transform:none;opacity:1}}.rotateIn{-webkit-animation-name:rotateIn;animation-name:rotateIn}@-webkit-keyframes rotateInDownLeft{from{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:rotate3d(0,0,1,-45deg);transform:rotate3d(0,0,1,-45deg);opacity:0}100%{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:none;transform:none;opacity:1}}@keyframes rotateInDownLeft{from{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:rotate3d(0,0,1,-45deg);transform:rotate3d(0,0,1,-45deg);opacity:0}100%{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:none;transform:none;opacity:1}}.rotateInDownLeft{-webkit-animation-name:rotateInDownLeft;animation-name:rotateInDownLeft}@-webkit-keyframes rotateInDownRight{from{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:rotate3d(0,0,1,45deg);transform:rotate3d(0,0,1,45deg);opacity:0}100%{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:none;transform:none;opacity:1}}@keyframes rotateInDownRight{from{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:rotate3d(0,0,1,45deg);transform:rotate3d(0,0,1,45deg);opacity:0}100%{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:none;transform:none;opacity:1}}.rotateInDownRight{-webkit-animation-name:rotateInDownRight;animation-name:rotateInDownRight}@-webkit-keyframes rotateInUpLeft{from{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:rotate3d(0,0,1,45deg);transform:rotate3d(0,0,1,45deg);opacity:0}100%{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:none;transform:none;opacity:1}}@keyframes rotateInUpLeft{from{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:rotate3d(0,0,1,45deg);transform:rotate3d(0,0,1,45deg);opacity:0}100%{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:none;transform:none;opacity:1}}.rotateInUpLeft{-webkit-animation-name:rotateInUpLeft;animation-name:rotateInUpLeft}@-webkit-keyframes rotateInUpRight{from{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:rotate3d(0,0,1,-90deg);transform:rotate3d(0,0,1,-90deg);opacity:0}100%{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:none;transform:none;opacity:1}}@keyframes rotateInUpRight{from{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:rotate3d(0,0,1,-90deg);transform:rotate3d(0,0,1,-90deg);opacity:0}100%{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:none;transform:none;opacity:1}}.rotateInUpRight{-webkit-animation-name:rotateInUpRight;animation-name:rotateInUpRight}@-webkit-keyframes rotateOut{from{-webkit-transform-origin:center;transform-origin:center;opacity:1}100%{-webkit-transform-origin:center;transform-origin:center;-webkit-transform:rotate3d(0,0,1,200deg);transform:rotate3d(0,0,1,200deg);opacity:0}}@keyframes rotateOut{from{-webkit-transform-origin:center;transform-origin:center;opacity:1}100%{-webkit-transform-origin:center;transform-origin:center;-webkit-transform:rotate3d(0,0,1,200deg);transform:rotate3d(0,0,1,200deg);opacity:0}}.rotateOut{-webkit-animation-name:rotateOut;animation-name:rotateOut}@-webkit-keyframes rotateOutDownLeft{from{-webkit-transform-origin:left bottom;transform-origin:left bottom;opacity:1}100%{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:rotate3d(0,0,1,45deg);transform:rotate3d(0,0,1,45deg);opacity:0}}@keyframes rotateOutDownLeft{from{-webkit-transform-origin:left bottom;transform-origin:left bottom;opacity:1}100%{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:rotate3d(0,0,1,45deg);transform:rotate3d(0,0,1,45deg);opacity:0}}.rotateOutDownLeft{-webkit-animation-name:rotateOutDownLeft;animation-name:rotateOutDownLeft}@-webkit-keyframes rotateOutDownRight{from{-webkit-transform-origin:right bottom;transform-origin:right bottom;opacity:1}100%{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:rotate3d(0,0,1,-45deg);transform:rotate3d(0,0,1,-45deg);opacity:0}}@keyframes rotateOutDownRight{from{-webkit-transform-origin:right bottom;transform-origin:right bottom;opacity:1}100%{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:rotate3d(0,0,1,-45deg);transform:rotate3d(0,0,1,-45deg);opacity:0}}.rotateOutDownRight{-webkit-animation-name:rotateOutDownRight;animation-name:rotateOutDownRight}@-webkit-keyframes rotateOutUpLeft{from{-webkit-transform-origin:left bottom;transform-origin:left bottom;opacity:1}100%{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:rotate3d(0,0,1,-45deg);transform:rotate3d(0,0,1,-45deg);opacity:0}}@keyframes rotateOutUpLeft{from{-webkit-transform-origin:left bottom;transform-origin:left bottom;opacity:1}100%{-webkit-transform-origin:left bottom;transform-origin:left bottom;-webkit-transform:rotate3d(0,0,1,-45deg);transform:rotate3d(0,0,1,-45deg);opacity:0}}.rotateOutUpLeft{-webkit-animation-name:rotateOutUpLeft;animation-name:rotateOutUpLeft}@-webkit-keyframes rotateOutUpRight{from{-webkit-transform-origin:right bottom;transform-origin:right bottom;opacity:1}100%{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:rotate3d(0,0,1,90deg);transform:rotate3d(0,0,1,90deg);opacity:0}}@keyframes rotateOutUpRight{from{-webkit-transform-origin:right bottom;transform-origin:right bottom;opacity:1}100%{-webkit-transform-origin:right bottom;transform-origin:right bottom;-webkit-transform:rotate3d(0,0,1,90deg);transform:rotate3d(0,0,1,90deg);opacity:0}}.rotateOutUpRight{-webkit-animation-name:rotateOutUpRight;animation-name:rotateOutUpRight}@-webkit-keyframes hinge{0%{-webkit-transform-origin:top left;transform-origin:top left;-webkit-animation-timing-function:ease-in-out;animation-timing-function:ease-in-out}20%,60%{-webkit-transform:rotate3d(0,0,1,80deg);transform:rotate3d(0,0,1,80deg);-webkit-transform-origin:top left;transform-origin:top left;-webkit-animation-timing-function:ease-in-out;animation-timing-function:ease-in-out}40%,80%{-webkit-transform:rotate3d(0,0,1,60deg);transform:rotate3d(0,0,1,60deg);-webkit-transform-origin:top left;transform-origin:top left;-webkit-animation-timing-function:ease-in-out;animation-timing-function:ease-in-out;opacity:1}100%{-webkit-transform:translate3d(0,700px,0);transform:translate3d(0,700px,0);opacity:0}}@keyframes hinge{0%{-webkit-transform-origin:top left;transform-origin:top left;-webkit-animation-timing-function:ease-in-out;animation-timing-function:ease-in-out}20%,60%{-webkit-transform:rotate3d(0,0,1,80deg);transform:rotate3d(0,0,1,80deg);-webkit-transform-origin:top left;transform-origin:top left;-webkit-animation-timing-function:ease-in-out;animation-timing-function:ease-in-out}40%,80%{-webkit-transform:rotate3d(0,0,1,60deg);transform:rotate3d(0,0,1,60deg);-webkit-transform-origin:top left;transform-origin:top left;-webkit-animation-timing-function:ease-in-out;animation-timing-function:ease-in-out;opacity:1}100%{-webkit-transform:translate3d(0,700px,0);transform:translate3d(0,700px,0);opacity:0}}.hinge{-webkit-animation-name:hinge;animation-name:hinge}@-webkit-keyframes rollIn{from{opacity:0;-webkit-transform:translate3d(-100%,0,0) rotate3d(0,0,1,-120deg);transform:translate3d(-100%,0,0) rotate3d(0,0,1,-120deg)}100%{opacity:1;-webkit-transform:none;transform:none}}@keyframes rollIn{from{opacity:0;-webkit-transform:translate3d(-100%,0,0) rotate3d(0,0,1,-120deg);transform:translate3d(-100%,0,0) rotate3d(0,0,1,-120deg)}100%{opacity:1;-webkit-transform:none;transform:none}}.rollIn{-webkit-animation-name:rollIn;animation-name:rollIn}@-webkit-keyframes rollOut{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(100%,0,0) rotate3d(0,0,1,120deg);transform:translate3d(100%,0,0) rotate3d(0,0,1,120deg)}}@keyframes rollOut{from{opacity:1}100%{opacity:0;-webkit-transform:translate3d(100%,0,0) rotate3d(0,0,1,120deg);transform:translate3d(100%,0,0) rotate3d(0,0,1,120deg)}}.rollOut{-webkit-animation-name:rollOut;animation-name:rollOut}@-webkit-keyframes zoomIn{from{opacity:0;-webkit-transform:scale3d(.3,.3,.3);transform:scale3d(.3,.3,.3)}50%{opacity:1}}@keyframes zoomIn{from{opacity:0;-webkit-transform:scale3d(.3,.3,.3);transform:scale3d(.3,.3,.3)}50%{opacity:1}}.zoomIn{-webkit-animation-name:zoomIn;animation-name:zoomIn}@-webkit-keyframes zoomInDown{from{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(0,-1000px,0);transform:scale3d(.1,.1,.1) translate3d(0,-1000px,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}60%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(0,60px,0);transform:scale3d(.475,.475,.475) translate3d(0,60px,0);-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}@keyframes zoomInDown{from{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(0,-1000px,0);transform:scale3d(.1,.1,.1) translate3d(0,-1000px,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}60%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(0,60px,0);transform:scale3d(.475,.475,.475) translate3d(0,60px,0);-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}.zoomInDown{-webkit-animation-name:zoomInDown;animation-name:zoomInDown}@-webkit-keyframes zoomInLeft{from{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(-1000px,0,0);transform:scale3d(.1,.1,.1) translate3d(-1000px,0,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}60%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(10px,0,0);transform:scale3d(.475,.475,.475) translate3d(10px,0,0);-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}@keyframes zoomInLeft{from{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(-1000px,0,0);transform:scale3d(.1,.1,.1) translate3d(-1000px,0,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}60%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(10px,0,0);transform:scale3d(.475,.475,.475) translate3d(10px,0,0);-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}.zoomInLeft{-webkit-animation-name:zoomInLeft;animation-name:zoomInLeft}@-webkit-keyframes zoomInRight{from{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(1000px,0,0);transform:scale3d(.1,.1,.1) translate3d(1000px,0,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}60%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(-10px,0,0);transform:scale3d(.475,.475,.475) translate3d(-10px,0,0);-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}@keyframes zoomInRight{from{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(1000px,0,0);transform:scale3d(.1,.1,.1) translate3d(1000px,0,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}60%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(-10px,0,0);transform:scale3d(.475,.475,.475) translate3d(-10px,0,0);-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}.zoomInRight{-webkit-animation-name:zoomInRight;animation-name:zoomInRight}@-webkit-keyframes zoomInUp{from{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(0,1000px,0);transform:scale3d(.1,.1,.1) translate3d(0,1000px,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}60%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(0,-60px,0);transform:scale3d(.475,.475,.475) translate3d(0,-60px,0);-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}@keyframes zoomInUp{from{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(0,1000px,0);transform:scale3d(.1,.1,.1) translate3d(0,1000px,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}60%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(0,-60px,0);transform:scale3d(.475,.475,.475) translate3d(0,-60px,0);-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}.zoomInUp{-webkit-animation-name:zoomInUp;animation-name:zoomInUp}@-webkit-keyframes zoomOut{from{opacity:1}50%{opacity:0;-webkit-transform:scale3d(.3,.3,.3);transform:scale3d(.3,.3,.3)}100%{opacity:0}}@keyframes zoomOut{from{opacity:1}50%{opacity:0;-webkit-transform:scale3d(.3,.3,.3);transform:scale3d(.3,.3,.3)}100%{opacity:0}}.zoomOut{-webkit-animation-name:zoomOut;animation-name:zoomOut}@-webkit-keyframes zoomOutDown{40%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(0,-60px,0);transform:scale3d(.475,.475,.475) translate3d(0,-60px,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}100%{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(0,2000px,0);transform:scale3d(.1,.1,.1) translate3d(0,2000px,0);-webkit-transform-origin:center bottom;transform-origin:center bottom;-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}@keyframes zoomOutDown{40%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(0,-60px,0);transform:scale3d(.475,.475,.475) translate3d(0,-60px,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}100%{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(0,2000px,0);transform:scale3d(.1,.1,.1) translate3d(0,2000px,0);-webkit-transform-origin:center bottom;transform-origin:center bottom;-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}.zoomOutDown{-webkit-animation-name:zoomOutDown;animation-name:zoomOutDown}@-webkit-keyframes zoomOutLeft{40%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(42px,0,0);transform:scale3d(.475,.475,.475) translate3d(42px,0,0)}100%{opacity:0;-webkit-transform:scale(.1) translate3d(-2000px,0,0);transform:scale(.1) translate3d(-2000px,0,0);-webkit-transform-origin:left center;transform-origin:left center}}@keyframes zoomOutLeft{40%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(42px,0,0);transform:scale3d(.475,.475,.475) translate3d(42px,0,0)}100%{opacity:0;-webkit-transform:scale(.1) translate3d(-2000px,0,0);transform:scale(.1) translate3d(-2000px,0,0);-webkit-transform-origin:left center;transform-origin:left center}}.zoomOutLeft{-webkit-animation-name:zoomOutLeft;animation-name:zoomOutLeft}@-webkit-keyframes zoomOutRight{40%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(-42px,0,0);transform:scale3d(.475,.475,.475) translate3d(-42px,0,0)}100%{opacity:0;-webkit-transform:scale(.1) translate3d(2000px,0,0);transform:scale(.1) translate3d(2000px,0,0);-webkit-transform-origin:right center;transform-origin:right center}}@keyframes zoomOutRight{40%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(-42px,0,0);transform:scale3d(.475,.475,.475) translate3d(-42px,0,0)}100%{opacity:0;-webkit-transform:scale(.1) translate3d(2000px,0,0);transform:scale(.1) translate3d(2000px,0,0);-webkit-transform-origin:right center;transform-origin:right center}}.zoomOutRight{-webkit-animation-name:zoomOutRight;animation-name:zoomOutRight}@-webkit-keyframes zoomOutUp{40%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(0,60px,0);transform:scale3d(.475,.475,.475) translate3d(0,60px,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}100%{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(0,-2000px,0);transform:scale3d(.1,.1,.1) translate3d(0,-2000px,0);-webkit-transform-origin:center bottom;transform-origin:center bottom;-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}@keyframes zoomOutUp{40%{opacity:1;-webkit-transform:scale3d(.475,.475,.475) translate3d(0,60px,0);transform:scale3d(.475,.475,.475) translate3d(0,60px,0);-webkit-animation-timing-function:cubic-bezier(.55,.055,.675,.19);animation-timing-function:cubic-bezier(.55,.055,.675,.19)}100%{opacity:0;-webkit-transform:scale3d(.1,.1,.1) translate3d(0,-2000px,0);transform:scale3d(.1,.1,.1) translate3d(0,-2000px,0);-webkit-transform-origin:center bottom;transform-origin:center bottom;-webkit-animation-timing-function:cubic-bezier(.175,.885,.32,1);animation-timing-function:cubic-bezier(.175,.885,.32,1)}}.zoomOutUp{-webkit-animation-name:zoomOutUp;animation-name:zoomOutUp}@-webkit-keyframes slideInDown{from{-webkit-transform:translate3d(0,-100%,0);transform:translate3d(0,-100%,0);visibility:visible}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}@keyframes slideInDown{from{-webkit-transform:translate3d(0,-100%,0);transform:translate3d(0,-100%,0);visibility:visible}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}.slideInDown{-webkit-animation-name:slideInDown;animation-name:slideInDown}@-webkit-keyframes slideInLeft{from{-webkit-transform:translate3d(-100%,0,0);transform:translate3d(-100%,0,0);visibility:visible}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}@keyframes slideInLeft{from{-webkit-transform:translate3d(-100%,0,0);transform:translate3d(-100%,0,0);visibility:visible}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}.slideInLeft{-webkit-animation-name:slideInLeft;animation-name:slideInLeft}@-webkit-keyframes slideInRight{from{-webkit-transform:translate3d(100%,0,0);transform:translate3d(100%,0,0);visibility:visible}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}@keyframes slideInRight{from{-webkit-transform:translate3d(100%,0,0);transform:translate3d(100%,0,0);visibility:visible}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}.slideInRight{-webkit-animation-name:slideInRight;animation-name:slideInRight}@-webkit-keyframes slideInUp{from{-webkit-transform:translate3d(0,100%,0);transform:translate3d(0,100%,0);visibility:visible}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}@keyframes slideInUp{from{-webkit-transform:translate3d(0,100%,0);transform:translate3d(0,100%,0);visibility:visible}100%{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}}.slideInUp{-webkit-animation-name:slideInUp;animation-name:slideInUp}@-webkit-keyframes slideOutDown{from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}100%{visibility:hidden;-webkit-transform:translate3d(0,100%,0);transform:translate3d(0,100%,0)}}@keyframes slideOutDown{from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}100%{visibility:hidden;-webkit-transform:translate3d(0,100%,0);transform:translate3d(0,100%,0)}}.slideOutDown{-webkit-animation-name:slideOutDown;animation-name:slideOutDown}@-webkit-keyframes slideOutLeft{from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}100%{visibility:hidden;-webkit-transform:translate3d(-100%,0,0);transform:translate3d(-100%,0,0)}}@keyframes slideOutLeft{from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}100%{visibility:hidden;-webkit-transform:translate3d(-100%,0,0);transform:translate3d(-100%,0,0)}}.slideOutLeft{-webkit-animation-name:slideOutLeft;animation-name:slideOutLeft}@-webkit-keyframes slideOutRight{from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}100%{visibility:hidden;-webkit-transform:translate3d(100%,0,0);transform:translate3d(100%,0,0)}}@keyframes slideOutRight{from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}100%{visibility:hidden;-webkit-transform:translate3d(100%,0,0);transform:translate3d(100%,0,0)}}.slideOutRight{-webkit-animation-name:slideOutRight;animation-name:slideOutRight}@-webkit-keyframes slideOutUp{from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}100%{visibility:hidden;-webkit-transform:translate3d(0,-100%,0);transform:translate3d(0,-100%,0)}}@keyframes slideOutUp{from{-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}100%{visibility:hidden;-webkit-transform:translate3d(0,-100%,0);transform:translate3d(0,-100%,0)}}.slideOutUp{-webkit-animation-name:slideOutUp;animation-name:slideOutUp}/*! - * Bootstrap-select v1.7.7 (http://silviomoreto.github.io/bootstrap-select) - * - * Copyright 2013-2015 bootstrap-select - * Licensed under MIT (https://github.com/silviomoreto/bootstrap-select/blob/master/LICENSE) - */.bootstrap-select{width:220px\9}.bootstrap-select>.dropdown-toggle{width:100%;padding-right:25px}.error .bootstrap-select .dropdown-toggle,.has-error .bootstrap-select .dropdown-toggle{border-color:#b94a48}.bootstrap-select.fit-width{width:auto!important}.bootstrap-select:not([class*=col-]):not([class*=form-control]):not(.input-group-btn){width:220px}.bootstrap-select.btn-group[class*=col-] .dropdown-toggle,.bootstrap-select.form-control:not([class*=col-]),.form-inline .bootstrap-select.btn-group .form-control{width:100%}.bootstrap-select .dropdown-toggle:focus{outline:#333 dotted thin!important;outline:-webkit-focus-ring-color auto 5px!important;outline-offset:-2px}.bootstrap-select.form-control{margin-bottom:0;padding:0;border:none}.bootstrap-select.form-control.input-group-btn{z-index:auto}.bootstrap-select.btn-group:not(.input-group-btn),.bootstrap-select.btn-group[class*=col-]{float:none;display:inline-block;margin-left:0}.bootstrap-select.btn-group.dropdown-menu-right,.bootstrap-select.btn-group[class*=col-].dropdown-menu-right,.row .bootstrap-select.btn-group[class*=col-].dropdown-menu-right{float:right}.form-group-lg .bootstrap-select.btn-group.form-control,.form-group-sm .bootstrap-select.btn-group.form-control{padding:0}.bootstrap-select.btn-group.disabled:focus,.bootstrap-select.btn-group>.disabled:focus{outline:0!important}.bootstrap-select.btn-group.bs-container{position:absolute}.bootstrap-select.btn-group.bs-container .dropdown-menu{z-index:1060}.bootstrap-select.btn-group .dropdown-toggle .filter-option{display:inline-block;overflow:hidden;width:100%;text-align:left}.bootstrap-select.btn-group .dropdown-toggle .caret{position:absolute;top:50%;right:12px;margin-top:-2px;vertical-align:middle}.bootstrap-select.btn-group .dropdown-menu{min-width:100%;-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box}.bootstrap-select.btn-group .dropdown-menu.inner{position:static;float:none;border:0;padding:0;margin:0;border-radius:0;-webkit-box-shadow:none;box-shadow:none}.bootstrap-select.btn-group .dropdown-menu li{position:relative}.bootstrap-select.btn-group .dropdown-menu li a{cursor:pointer;-webkit-user-select:none;-moz-user-select:none;-ms-user-select:none;user-select:none}.bootstrap-select.btn-group .dropdown-menu li a.opt{position:relative;padding-left:2.25em}.bootstrap-select.btn-group .dropdown-menu li a span.check-mark{display:none}.bootstrap-select.btn-group .dropdown-menu li a span.text{display:inline-block}.bootstrap-select.btn-group .dropdown-menu li small{padding-left:.5em}.bootstrap-select.btn-group .dropdown-menu .notify{position:absolute;bottom:5px;width:96%;margin:0 2%;min-height:26px;padding:3px 5px;background:#f5f5f5;border:1px solid #e3e3e3;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.05);box-shadow:inset 0 1px 1px rgba(0,0,0,.05);opacity:.9;-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box}.bootstrap-select.btn-group .no-results{padding:3px;background:#f5f5f5;margin:0 5px;white-space:nowrap}.bootstrap-select.btn-group.fit-width .dropdown-toggle .filter-option{position:static}.bootstrap-select.btn-group.fit-width .dropdown-toggle .caret{position:static;top:auto;margin-top:-1px}.bootstrap-select.btn-group.show-tick .dropdown-menu li.selected a span.check-mark{position:absolute;display:inline-block;right:15px;margin-top:5px}.bootstrap-select.btn-group.show-tick .dropdown-menu li a span.text{margin-right:34px}.bootstrap-select.show-menu-arrow.open>.dropdown-toggle{z-index:1061}.bootstrap-select.show-menu-arrow .dropdown-toggle:before{content:'';border-left:7px solid transparent;border-right:7px solid transparent;border-bottom:7px solid rgba(204,204,204,.2);position:absolute;bottom:-4px;left:9px;display:none}.bootstrap-select.show-menu-arrow .dropdown-toggle:after{content:'';border-left:6px solid transparent;border-right:6px solid transparent;border-bottom:6px solid #fff;position:absolute;bottom:-4px;left:10px;display:none}.bootstrap-select.show-menu-arrow.dropup .dropdown-toggle:before{bottom:auto;top:-3px;border-top:7px solid rgba(204,204,204,.2);border-bottom:0}.bootstrap-select.show-menu-arrow.dropup .dropdown-toggle:after{bottom:auto;top:-3px;border-top:6px solid #fff;border-bottom:0}.bootstrap-select.show-menu-arrow.pull-right .dropdown-toggle:before{right:12px;left:auto}.bootstrap-select.show-menu-arrow.pull-right .dropdown-toggle:after{right:13px;left:auto}.bootstrap-select.show-menu-arrow.open>.dropdown-toggle:after,.bootstrap-select.show-menu-arrow.open>.dropdown-toggle:before{display:block}.bs-actionsbox,.bs-donebutton,.bs-searchbox{padding:4px 8px}.bs-actionsbox{width:100%;-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box}.bs-donebutton,.mCSB_container_wrapper>.mCSB_container{-webkit-box-sizing:border-box;-moz-box-sizing:border-box}.bs-actionsbox .btn-group button{width:50%}.bs-donebutton{float:left;width:100%;box-sizing:border-box}.bs-donebutton .btn-group button{width:100%}.bs-searchbox+.bs-actionsbox{padding:0 8px 4px}.bs-searchbox .form-control{margin-bottom:0;width:100%;float:none}select.bs-select-hidden,select.selectpicker{display:none!important}select.mobile-device{position:absolute!important;top:0;left:0;display:block!important;width:100%;height:100%!important;opacity:0}@-moz-keyframes spinner-loader{0%{-moz-transform:rotate(0);transform:rotate(0)}100%{-moz-transform:rotate(360deg);transform:rotate(360deg)}}@-webkit-keyframes spinner-loader{0%{-webkit-transform:rotate(0);transform:rotate(0)}100%{-webkit-transform:rotate(360deg);transform:rotate(360deg)}}@keyframes spinner-loader{0%{-moz-transform:rotate(0);-ms-transform:rotate(0);-webkit-transform:rotate(0);transform:rotate(0)}100%{-moz-transform:rotate(360deg);-ms-transform:rotate(360deg);-webkit-transform:rotate(360deg);transform:rotate(360deg)}}.spinner-loader:not(:required){-moz-animation:spinner-loader 1.5s infinite linear;-webkit-animation:spinner-loader 1.5s infinite linear;animation:spinner-loader 1.5s infinite linear;-moz-border-radius:.5em;-webkit-border-radius:.5em;border-radius:.5em;-moz-box-shadow:rgba(0,0,51,.3) 1.5em 0 0 0,rgba(0,0,51,.3) 1.1em 1.1em 0 0,rgba(0,0,51,.3) 0 1.5em 0 0,rgba(0,0,51,.3) -1.1em 1.1em 0 0,rgba(0,0,51,.3) -1.5em 0 0 0,rgba(0,0,51,.3) -1.1em -1.1em 0 0,rgba(0,0,51,.3) 0 -1.5em 0 0,rgba(0,0,51,.3) 1.1em -1.1em 0 0;-webkit-box-shadow:rgba(0,0,51,.3) 1.5em 0 0 0,rgba(0,0,51,.3) 1.1em 1.1em 0 0,rgba(0,0,51,.3) 0 1.5em 0 0,rgba(0,0,51,.3) -1.1em 1.1em 0 0,rgba(0,0,51,.3) -1.5em 0 0 0,rgba(0,0,51,.3) -1.1em -1.1em 0 0,rgba(0,0,51,.3) 0 -1.5em 0 0,rgba(0,0,51,.3) 1.1em -1.1em 0 0;box-shadow:rgba(0,0,51,.3) 1.5em 0 0 0,rgba(0,0,51,.3) 1.1em 1.1em 0 0,rgba(0,0,51,.3) 0 1.5em 0 0,rgba(0,0,51,.3) -1.1em 1.1em 0 0,rgba(0,0,51,.3) -1.5em 0 0 0,rgba(0,0,51,.3) -1.1em -1.1em 0 0,rgba(0,0,51,.3) 0 -1.5em 0 0,rgba(0,0,51,.3) 1.1em -1.1em 0 0;display:inline-block;font-size:10px;width:1em;height:1em;margin:1.5em;overflow:hidden;text-indent:100%}.mCustomScrollbar{-ms-touch-action:pinch-zoom;touch-action:pinch-zoom}.mCustomScrollbar.mCS_no_scrollbar,.mCustomScrollbar.mCS_touch_action{-ms-touch-action:auto;touch-action:auto}.mCustomScrollBox{position:relative;overflow:hidden;height:100%;max-width:100%;outline:0;direction:ltr}.mCSB_container{overflow:hidden;width:auto;height:auto}.mCSB_inside>.mCSB_container{margin-right:30px}.mCSB_container.mCS_no_scrollbar_y.mCS_y_hidden{margin-right:0}.mCS-dir-rtl>.mCSB_inside>.mCSB_container{margin-right:0;margin-left:30px}.mCS-dir-rtl>.mCSB_inside>.mCSB_container.mCS_no_scrollbar_y.mCS_y_hidden{margin-left:0}.mCSB_scrollTools{position:absolute;width:16px;height:auto;left:auto;top:0;right:0;bottom:0;opacity:.75;filter:"alpha(opacity=75)";-ms-filter:"alpha(opacity=75)"}.mCSB_outside+.mCSB_scrollTools{right:-26px}.mCS-dir-rtl>.mCSB_inside>.mCSB_scrollTools,.mCS-dir-rtl>.mCSB_outside+.mCSB_scrollTools{right:auto;left:0}.mCS-dir-rtl>.mCSB_outside+.mCSB_scrollTools{left:-26px}.mCSB_scrollTools .mCSB_draggerContainer{position:absolute;top:0;left:0;bottom:0;right:0;height:auto}.mCSB_scrollTools a+.mCSB_draggerContainer{margin:20px 0}.mCSB_scrollTools .mCSB_draggerRail{width:2px;height:100%;margin:0 auto;-webkit-border-radius:16px;-moz-border-radius:16px;border-radius:16px}.mCSB_scrollTools .mCSB_dragger{cursor:pointer;width:100%;height:30px;z-index:1}.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{position:relative;width:4px;height:100%;margin:0 auto;-webkit-border-radius:16px;-moz-border-radius:16px;border-radius:16px;text-align:center}.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded .mCSB_dragger_bar,.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_dragger .mCSB_dragger_bar{width:12px}.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail{width:8px}.mCSB_scrollTools .mCSB_buttonDown,.mCSB_scrollTools .mCSB_buttonUp{display:block;position:absolute;height:20px;width:100%;overflow:hidden;margin:0 auto;cursor:pointer}.mCSB_scrollTools .mCSB_buttonDown{bottom:0}.mCSB_horizontal.mCSB_inside>.mCSB_container{margin-right:0;margin-bottom:30px}.mCSB_horizontal.mCSB_outside>.mCSB_container{min-height:100%}.mCSB_horizontal>.mCSB_container.mCS_no_scrollbar_x.mCS_x_hidden{margin-bottom:0}.mCSB_scrollTools.mCSB_scrollTools_horizontal{width:auto;height:16px;top:auto;right:0;bottom:0;left:0}.mCustomScrollBox+.mCSB_scrollTools+.mCSB_scrollTools.mCSB_scrollTools_horizontal,.mCustomScrollBox+.mCSB_scrollTools.mCSB_scrollTools_horizontal{bottom:-26px}.mCSB_scrollTools.mCSB_scrollTools_horizontal a+.mCSB_draggerContainer{margin:0 20px}.mCSB_scrollTools.mCSB_scrollTools_horizontal .mCSB_draggerRail{width:100%;height:2px;margin:7px 0}.mCSB_scrollTools.mCSB_scrollTools_horizontal .mCSB_dragger{width:30px;height:100%;left:0}.mCSB_scrollTools.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar{width:100%;height:4px;margin:6px auto}.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded .mCSB_dragger_bar,.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_dragger .mCSB_dragger_bar{height:12px;margin:2px auto}.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail{height:8px;margin:4px 0}.mCSB_scrollTools.mCSB_scrollTools_horizontal .mCSB_buttonLeft,.mCSB_scrollTools.mCSB_scrollTools_horizontal .mCSB_buttonRight{display:block;position:absolute;width:20px;height:100%;overflow:hidden;margin:0 auto;cursor:pointer}.fa,.fa-stack{display:inline-block}.mCSB_scrollTools.mCSB_scrollTools_horizontal .mCSB_buttonLeft{left:0}.mCSB_scrollTools.mCSB_scrollTools_horizontal .mCSB_buttonRight{right:0}.mCSB_container_wrapper{position:absolute;height:auto;width:auto;overflow:hidden;top:0;left:0;right:0;bottom:0;margin-right:30px;margin-bottom:30px}.mCSB_container_wrapper>.mCSB_container{padding-right:30px;padding-bottom:30px;box-sizing:border-box}.mCSB_vertical_horizontal>.mCSB_scrollTools.mCSB_scrollTools_vertical{bottom:20px}.mCSB_vertical_horizontal>.mCSB_scrollTools.mCSB_scrollTools_horizontal{right:20px}.mCSB_container_wrapper.mCS_no_scrollbar_x.mCS_x_hidden+.mCSB_scrollTools.mCSB_scrollTools_vertical{bottom:0}.mCS-dir-rtl>.mCustomScrollBox.mCSB_vertical_horizontal.mCSB_inside>.mCSB_scrollTools.mCSB_scrollTools_horizontal,.mCSB_container_wrapper.mCS_no_scrollbar_y.mCS_y_hidden+.mCSB_scrollTools~.mCSB_scrollTools.mCSB_scrollTools_horizontal{right:0}.mCS-dir-rtl>.mCustomScrollBox.mCSB_vertical_horizontal.mCSB_inside>.mCSB_scrollTools.mCSB_scrollTools_horizontal{left:20px}.mCS-dir-rtl>.mCustomScrollBox.mCSB_vertical_horizontal.mCSB_inside>.mCSB_container_wrapper.mCS_no_scrollbar_y.mCS_y_hidden+.mCSB_scrollTools~.mCSB_scrollTools.mCSB_scrollTools_horizontal{left:0}.mCS-dir-rtl>.mCSB_inside>.mCSB_container_wrapper{margin-right:0;margin-left:30px}.mCSB_container_wrapper.mCS_no_scrollbar_y.mCS_y_hidden>.mCSB_container{padding-right:0}.mCSB_container_wrapper.mCS_no_scrollbar_x.mCS_x_hidden>.mCSB_container{padding-bottom:0}.mCustomScrollBox.mCSB_vertical_horizontal.mCSB_inside>.mCSB_container_wrapper.mCS_no_scrollbar_y.mCS_y_hidden{margin-right:0;margin-left:0}.mCustomScrollBox.mCSB_vertical_horizontal.mCSB_inside>.mCSB_container_wrapper.mCS_no_scrollbar_x.mCS_x_hidden{margin-bottom:0}.mCSB_scrollTools,.mCSB_scrollTools .mCSB_buttonDown,.mCSB_scrollTools .mCSB_buttonLeft,.mCSB_scrollTools .mCSB_buttonRight,.mCSB_scrollTools .mCSB_buttonUp,.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{-webkit-transition:opacity .2s ease-in-out,background-color .2s ease-in-out;-moz-transition:opacity .2s ease-in-out,background-color .2s ease-in-out;-o-transition:opacity .2s ease-in-out,background-color .2s ease-in-out;transition:opacity .2s ease-in-out,background-color .2s ease-in-out}.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_draggerRail,.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_dragger_bar,.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_draggerRail,.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_dragger_bar{-webkit-transition:width .2s ease-out .2s,height .2s ease-out .2s,margin-left .2s ease-out .2s,margin-right .2s ease-out .2s,margin-top .2s ease-out .2s,margin-bottom .2s ease-out .2s,opacity .2s ease-in-out,background-color .2s ease-in-out;-moz-transition:width .2s ease-out .2s,height .2s ease-out .2s,margin-left .2s ease-out .2s,margin-right .2s ease-out .2s,margin-top .2s ease-out .2s,margin-bottom .2s ease-out .2s,opacity .2s ease-in-out,background-color .2s ease-in-out;-o-transition:width .2s ease-out .2s,height .2s ease-out .2s,margin-left .2s ease-out .2s,margin-right .2s ease-out .2s,margin-top .2s ease-out .2s,margin-bottom .2s ease-out .2s,opacity .2s ease-in-out,background-color .2s ease-in-out;transition:width .2s ease-out .2s,height .2s ease-out .2s,margin-left .2s ease-out .2s,margin-right .2s ease-out .2s,margin-top .2s ease-out .2s,margin-bottom .2s ease-out .2s,opacity .2s ease-in-out,background-color .2s ease-in-out}.ne-pdf,.ne-pdf-view button,.ne-video{-moz-transition:all .5s linear;-ms-transition:all .5s linear}.ne-image,.ne-pdf,.ne-pdf-view button,.ne-video{-kthtml-transition:all .5s linear}.mCS-autoHide>.mCustomScrollBox>.mCSB_scrollTools,.mCS-autoHide>.mCustomScrollBox~.mCSB_scrollTools{opacity:0;filter:"alpha(opacity=0)";-ms-filter:"alpha(opacity=0)"}.mCS-autoHide:hover>.mCustomScrollBox>.mCSB_scrollTools,.mCS-autoHide:hover>.mCustomScrollBox~.mCSB_scrollTools,.mCustomScrollBox:hover>.mCSB_scrollTools,.mCustomScrollBox:hover~.mCSB_scrollTools,.mCustomScrollbar>.mCustomScrollBox>.mCSB_scrollTools.mCSB_scrollTools_onDrag,.mCustomScrollbar>.mCustomScrollBox~.mCSB_scrollTools.mCSB_scrollTools_onDrag{opacity:1;filter:"alpha(opacity=100)";-ms-filter:"alpha(opacity=100)"}.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.4);filter:"alpha(opacity=40)";-ms-filter:"alpha(opacity=40)"}.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.75);filter:"alpha(opacity=75)";-ms-filter:"alpha(opacity=75)"}.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.85);filter:"alpha(opacity=85)";-ms-filter:"alpha(opacity=85)"}.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.9);filter:"alpha(opacity=90)";-ms-filter:"alpha(opacity=90)"}.mCSB_scrollTools .mCSB_buttonDown,.mCSB_scrollTools .mCSB_buttonLeft,.mCSB_scrollTools .mCSB_buttonRight,.mCSB_scrollTools .mCSB_buttonUp{background-image:url(../../assets/images/mCSB_buttons.png);background-repeat:no-repeat;opacity:.4;filter:"alpha(opacity=40)";-ms-filter:"alpha(opacity=40)"}.mCSB_scrollTools .mCSB_buttonUp{background-position:0 0}.mCSB_scrollTools .mCSB_buttonDown{background-position:0 -20px}.mCSB_scrollTools .mCSB_buttonLeft{background-position:0 -40px}.mCSB_scrollTools .mCSB_buttonRight{background-position:0 -56px}.mCSB_scrollTools .mCSB_buttonDown:hover,.mCSB_scrollTools .mCSB_buttonLeft:hover,.mCSB_scrollTools .mCSB_buttonRight:hover,.mCSB_scrollTools .mCSB_buttonUp:hover{opacity:.75;filter:"alpha(opacity=75)";-ms-filter:"alpha(opacity=75)"}.mCSB_scrollTools .mCSB_buttonDown:active,.mCSB_scrollTools .mCSB_buttonLeft:active,.mCSB_scrollTools .mCSB_buttonRight:active,.mCSB_scrollTools .mCSB_buttonUp:active{opacity:.9;filter:"alpha(opacity=90)";-ms-filter:"alpha(opacity=90)"}.mCS-dark.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.15)}.mCS-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.75)}.mCS-dark.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:rgba(0,0,0,.85)}.mCS-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:rgba(0,0,0,.9)}.mCS-dark.mCSB_scrollTools .mCSB_buttonUp{background-position:-80px 0}.mCS-dark.mCSB_scrollTools .mCSB_buttonDown{background-position:-80px -20px}.mCS-dark.mCSB_scrollTools .mCSB_buttonLeft{background-position:-80px -40px}.mCS-dark.mCSB_scrollTools .mCSB_buttonRight{background-position:-80px -56px}.mCS-dark-2.mCSB_scrollTools .mCSB_draggerRail,.mCS-light-2.mCSB_scrollTools .mCSB_draggerRail{width:4px;background-color:#fff;background-color:rgba(255,255,255,.1);-webkit-border-radius:1px;-moz-border-radius:1px;border-radius:1px}.mCS-dark-2.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-light-2.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{width:4px;background-color:#fff;background-color:rgba(255,255,255,.75);-webkit-border-radius:1px;-moz-border-radius:1px;border-radius:1px}.mCS-dark-2.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-dark-2.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-light-2.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-light-2.mCSB_scrollTools_horizontal .mCSB_draggerRail{width:100%;height:4px;margin:6px auto}.mCS-light-2.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.85)}.mCS-light-2.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-light-2.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.9)}.mCS-light-2.mCSB_scrollTools .mCSB_buttonUp{background-position:-32px 0}.mCS-light-2.mCSB_scrollTools .mCSB_buttonDown{background-position:-32px -20px}.mCS-light-2.mCSB_scrollTools .mCSB_buttonLeft{background-position:-40px -40px}.mCS-light-2.mCSB_scrollTools .mCSB_buttonRight{background-position:-40px -56px}.mCS-dark-2.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.1);-webkit-border-radius:1px;-moz-border-radius:1px;border-radius:1px}.mCS-dark-2.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.75);-webkit-border-radius:1px;-moz-border-radius:1px;border-radius:1px}.mCS-dark-2.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.85)}.mCS-dark-2.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-dark-2.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.9)}.mCS-dark-2.mCSB_scrollTools .mCSB_buttonUp{background-position:-112px 0}.mCS-dark-2.mCSB_scrollTools .mCSB_buttonDown{background-position:-112px -20px}.mCS-dark-2.mCSB_scrollTools .mCSB_buttonLeft{background-position:-120px -40px}.mCS-dark-2.mCSB_scrollTools .mCSB_buttonRight{background-position:-120px -56px}.mCS-dark-thick.mCSB_scrollTools .mCSB_draggerRail,.mCS-light-thick.mCSB_scrollTools .mCSB_draggerRail{width:4px;background-color:#fff;background-color:rgba(255,255,255,.1);-webkit-border-radius:2px;-moz-border-radius:2px;border-radius:2px}.mCS-dark-thick.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-light-thick.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{width:6px;background-color:#fff;background-color:rgba(255,255,255,.75);-webkit-border-radius:2px;-moz-border-radius:2px;border-radius:2px}.mCS-dark-thick.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-light-thick.mCSB_scrollTools_horizontal .mCSB_draggerRail{width:100%;height:4px;margin:6px 0}.mCS-dark-thick.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-light-thick.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar{width:100%;height:6px;margin:5px auto}.mCS-light-thick.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.85)}.mCS-light-thick.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-light-thick.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.9)}.mCS-light-thick.mCSB_scrollTools .mCSB_buttonUp{background-position:-16px 0}.mCS-light-thick.mCSB_scrollTools .mCSB_buttonDown{background-position:-16px -20px}.mCS-light-thick.mCSB_scrollTools .mCSB_buttonLeft{background-position:-20px -40px}.mCS-light-thick.mCSB_scrollTools .mCSB_buttonRight{background-position:-20px -56px}.mCS-dark-thick.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.1);-webkit-border-radius:2px;-moz-border-radius:2px;border-radius:2px}.mCS-dark-thick.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.75);-webkit-border-radius:2px;-moz-border-radius:2px;border-radius:2px}.mCS-dark-thick.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.85)}.mCS-dark-thick.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-dark-thick.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.9)}.mCS-dark-thick.mCSB_scrollTools .mCSB_buttonUp{background-position:-96px 0}.mCS-dark-thick.mCSB_scrollTools .mCSB_buttonDown{background-position:-96px -20px}.mCS-dark-thick.mCSB_scrollTools .mCSB_buttonLeft{background-position:-100px -40px}.mCS-dark-thick.mCSB_scrollTools .mCSB_buttonRight{background-position:-100px -56px}.mCS-light-thin.mCSB_scrollTools .mCSB_draggerRail{background-color:#fff;background-color:rgba(255,255,255,.1)}.mCS-dark-thin.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-light-thin.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{width:2px}.mCS-dark-thin.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-light-thin.mCSB_scrollTools_horizontal .mCSB_draggerRail{width:100%}.mCS-dark-thin.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-light-thin.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar{width:100%;height:2px;margin:7px auto}.mCS-dark-thin.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.15)}.mCS-dark-thin.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.75)}.mCS-dark-thin.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.85)}.mCS-dark-thin.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-dark-thin.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.9)}.mCS-dark-thin.mCSB_scrollTools .mCSB_buttonUp{background-position:-80px 0}.mCS-dark-thin.mCSB_scrollTools .mCSB_buttonDown{background-position:-80px -20px}.mCS-dark-thin.mCSB_scrollTools .mCSB_buttonLeft{background-position:-80px -40px}.mCS-dark-thin.mCSB_scrollTools .mCSB_buttonRight{background-position:-80px -56px}.mCS-rounded.mCSB_scrollTools .mCSB_draggerRail{background-color:#fff;background-color:rgba(255,255,255,.15)}.mCS-rounded-dark.mCSB_scrollTools .mCSB_dragger,.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_dragger,.mCS-rounded-dots.mCSB_scrollTools .mCSB_dragger,.mCS-rounded.mCSB_scrollTools .mCSB_dragger{height:14px}.mCS-rounded-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-rounded-dots.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-rounded.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{width:14px;margin:0 1px}.mCS-rounded-dark.mCSB_scrollTools_horizontal .mCSB_dragger,.mCS-rounded-dots-dark.mCSB_scrollTools_horizontal .mCSB_dragger,.mCS-rounded-dots.mCSB_scrollTools_horizontal .mCSB_dragger,.mCS-rounded.mCSB_scrollTools_horizontal .mCSB_dragger{width:14px}.mCS-rounded-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-rounded-dots-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-rounded-dots.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-rounded.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar{height:14px;margin:1px 0}.mCS-rounded-dark.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded .mCSB_dragger_bar,.mCS-rounded-dark.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_dragger .mCSB_dragger_bar,.mCS-rounded.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded .mCSB_dragger_bar,.mCS-rounded.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_dragger .mCSB_dragger_bar{width:16px;height:16px;margin:-1px 0}.mCS-rounded-dark.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCS-rounded-dark.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail,.mCS-rounded.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCS-rounded.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail{width:4px}.mCS-rounded-dark.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded .mCSB_dragger_bar,.mCS-rounded-dark.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_dragger .mCSB_dragger_bar,.mCS-rounded.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded .mCSB_dragger_bar,.mCS-rounded.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_dragger .mCSB_dragger_bar{height:16px;width:16px;margin:0 -1px}.mCS-rounded-dark.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCS-rounded-dark.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail,.mCS-rounded.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCS-rounded.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail{height:4px;margin:6px 0}.mCS-rounded.mCSB_scrollTools .mCSB_buttonUp{background-position:0 -72px}.mCS-rounded.mCSB_scrollTools .mCSB_buttonDown{background-position:0 -92px}.mCS-rounded.mCSB_scrollTools .mCSB_buttonLeft{background-position:0 -112px}.mCS-rounded.mCSB_scrollTools .mCSB_buttonRight{background-position:0 -128px}.mCS-rounded-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.75)}.mCS-rounded-dark.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.15)}.mCS-rounded-dark.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar,.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.85)}.mCS-rounded-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-rounded-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar,.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.9)}.mCS-rounded-dark.mCSB_scrollTools .mCSB_buttonUp{background-position:-80px -72px}.mCS-rounded-dark.mCSB_scrollTools .mCSB_buttonDown{background-position:-80px -92px}.mCS-rounded-dark.mCSB_scrollTools .mCSB_buttonLeft{background-position:-80px -112px}.mCS-rounded-dark.mCSB_scrollTools .mCSB_buttonRight{background-position:-80px -128px}.mCS-rounded-dots-dark.mCSB_scrollTools_vertical .mCSB_draggerRail,.mCS-rounded-dots.mCSB_scrollTools_vertical .mCSB_draggerRail{width:4px}.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-rounded-dots-dark.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-rounded-dots.mCSB_scrollTools .mCSB_draggerRail,.mCS-rounded-dots.mCSB_scrollTools_horizontal .mCSB_draggerRail{background-color:transparent;background-position:center}.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-rounded-dots.mCSB_scrollTools .mCSB_draggerRail{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAAgAAAAICAYAAADED76LAAAANElEQVQYV2NkIAAYiVbw//9/Y6DiM1ANJoyMjGdBbLgJQAX/kU0DKgDLkaQAvxW4HEvQFwCRcxIJK1XznAAAAABJRU5ErkJggg==);background-repeat:repeat-y;opacity:.3;filter:"alpha(opacity=30)";-ms-filter:"alpha(opacity=30)"}.mCS-rounded-dots-dark.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-rounded-dots.mCSB_scrollTools_horizontal .mCSB_draggerRail{height:4px;margin:6px 0;background-repeat:repeat-x}.mCS-rounded-dots.mCSB_scrollTools .mCSB_buttonUp{background-position:-16px -72px}.mCS-rounded-dots.mCSB_scrollTools .mCSB_buttonDown{background-position:-16px -92px}.mCS-rounded-dots.mCSB_scrollTools .mCSB_buttonLeft{background-position:-20px -112px}.mCS-rounded-dots.mCSB_scrollTools .mCSB_buttonRight{background-position:-20px -128px}.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_draggerRail{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAAgAAAAICAYAAADED76LAAAALElEQVQYV2NkIAAYSVFgDFR8BqrBBEifBbGRTfiPZhpYjiQFBK3A6l6CvgAAE9kGCd1mvgEAAAAASUVORK5CYII=)}.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_buttonUp{background-position:-96px -72px}.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_buttonDown{background-position:-96px -92px}.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_buttonLeft{background-position:-100px -112px}.mCS-rounded-dots-dark.mCSB_scrollTools .mCSB_buttonRight{background-position:-100px -128px}.mCS-3d-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-thick.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-repeat:repeat-y;background-image:-moz-linear-gradient(left,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%);background-image:-webkit-gradient(linear,left top,right top,color-stop(0,rgba(255,255,255,.5)),color-stop(100%,rgba(255,255,255,0)));background-image:-webkit-linear-gradient(left,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%);background-image:-o-linear-gradient(left,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%);background-image:-ms-linear-gradient(left,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%);background-image:linear-gradient(to right,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%)}.mCS-3d-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-thick-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-thick.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-3d.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar{background-repeat:repeat-x;background-image:-moz-linear-gradient(top,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%);background-image:-webkit-gradient(linear,left top,left bottom,color-stop(0,rgba(255,255,255,.5)),color-stop(100%,rgba(255,255,255,0)));background-image:-webkit-linear-gradient(top,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%);background-image:-o-linear-gradient(top,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%);background-image:-ms-linear-gradient(top,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%);background-image:linear-gradient(to bottom,rgba(255,255,255,.5) 0,rgba(255,255,255,0) 100%)}.mCS-3d-dark.mCSB_scrollTools_vertical .mCSB_dragger,.mCS-3d.mCSB_scrollTools_vertical .mCSB_dragger{height:70px}.mCS-3d-dark.mCSB_scrollTools_horizontal .mCSB_dragger,.mCS-3d.mCSB_scrollTools_horizontal .mCSB_dragger{width:70px}.mCS-3d-dark.mCSB_scrollTools,.mCS-3d.mCSB_scrollTools{opacity:1;filter:"alpha(opacity=30)";-ms-filter:"alpha(opacity=30)"}.mCS-3d-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-3d.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d.mCSB_scrollTools .mCSB_draggerRail{-webkit-border-radius:16px;-moz-border-radius:16px;border-radius:16px}.mCS-3d-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-3d.mCSB_scrollTools .mCSB_draggerRail{width:8px;background-color:#000;background-color:rgba(0,0,0,.2);box-shadow:inset 1px 0 1px rgba(0,0,0,.5),inset -1px 0 1px rgba(255,255,255,.2)}.mCS-3d-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-3d-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar,.mCS-3d-dark.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar,.mCS-3d.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-3d.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar,.mCS-3d.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#555}.mCS-3d-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{width:8px}.mCS-3d-dark.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-3d.mCSB_scrollTools_horizontal .mCSB_draggerRail{width:100%;height:8px;margin:4px 0;box-shadow:inset 0 1px 1px rgba(0,0,0,.5),inset 0 -1px 1px rgba(255,255,255,.2)}.mCS-3d-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-3d.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar{width:100%;height:8px;margin:4px auto}.mCS-3d.mCSB_scrollTools .mCSB_buttonUp{background-position:-32px -72px}.mCS-3d.mCSB_scrollTools .mCSB_buttonDown{background-position:-32px -92px}.mCS-3d.mCSB_scrollTools .mCSB_buttonLeft{background-position:-40px -112px}.mCS-3d.mCSB_scrollTools .mCSB_buttonRight{background-position:-40px -128px}.mCS-3d-dark.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.1);box-shadow:inset 1px 0 1px rgba(0,0,0,.1)}.mCS-3d-dark.mCSB_scrollTools_horizontal .mCSB_draggerRail{box-shadow:inset 0 1px 1px rgba(0,0,0,.1)}.mCS-3d-dark.mCSB_scrollTools .mCSB_buttonUp{background-position:-112px -72px}.mCS-3d-dark.mCSB_scrollTools .mCSB_buttonDown{background-position:-112px -92px}.mCS-3d-dark.mCSB_scrollTools .mCSB_buttonLeft{background-position:-120px -112px}.mCS-3d-dark.mCSB_scrollTools .mCSB_buttonRight{background-position:-120px -128px}.mCS-3d-thick-dark.mCSB_scrollTools,.mCS-3d-thick.mCSB_scrollTools{opacity:1;filter:"alpha(opacity=30)";-ms-filter:"alpha(opacity=30)"}.mCS-3d-thick-dark.mCSB_scrollTools,.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_draggerContainer,.mCS-3d-thick.mCSB_scrollTools,.mCS-3d-thick.mCSB_scrollTools .mCSB_draggerContainer{-webkit-border-radius:7px;-moz-border-radius:7px;border-radius:7px}.mCSB_inside+.mCS-3d-thick-dark.mCSB_scrollTools_vertical,.mCSB_inside+.mCS-3d-thick.mCSB_scrollTools_vertical{right:1px}.mCS-3d-thick-dark.mCSB_scrollTools_vertical,.mCS-3d-thick.mCSB_scrollTools_vertical{box-shadow:inset 1px 0 1px rgba(0,0,0,.1),inset 0 0 14px rgba(0,0,0,.5)}.mCS-3d-thick-dark.mCSB_scrollTools_horizontal,.mCS-3d-thick.mCSB_scrollTools_horizontal{bottom:1px;box-shadow:inset 0 1px 1px rgba(0,0,0,.1),inset 0 0 14px rgba(0,0,0,.5)}.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-thick.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{-webkit-border-radius:5px;-moz-border-radius:5px;border-radius:5px;box-shadow:inset 1px 0 0 rgba(255,255,255,.4);width:12px;margin:2px;position:absolute;height:auto;top:0;bottom:0;left:0;right:0}.mCS-3d-thick-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-thick.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar{box-shadow:inset 0 1px 0 rgba(255,255,255,.4);height:12px;width:auto}.mCS-3d-thick.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-thick.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-3d-thick.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar,.mCS-3d-thick.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#555}.mCS-3d-thick.mCSB_scrollTools .mCSB_draggerContainer{background-color:#000;background-color:rgba(0,0,0,.05);box-shadow:inset 1px 1px 16px rgba(0,0,0,.1)}.mCS-3d-thick.mCSB_scrollTools .mCSB_draggerRail{background-color:transparent}.mCS-3d-thick.mCSB_scrollTools .mCSB_buttonUp{background-position:-32px -72px}.mCS-3d-thick.mCSB_scrollTools .mCSB_buttonDown{background-position:-32px -92px}.mCS-3d-thick.mCSB_scrollTools .mCSB_buttonLeft{background-position:-40px -112px}.mCS-3d-thick.mCSB_scrollTools .mCSB_buttonRight{background-position:-40px -128px}.mCS-3d-thick-dark.mCSB_scrollTools{box-shadow:inset 0 0 14px rgba(0,0,0,.2)}.mCS-3d-thick-dark.mCSB_scrollTools_horizontal{box-shadow:inset 0 1px 1px rgba(0,0,0,.1),inset 0 0 14px rgba(0,0,0,.2)}.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{box-shadow:inset 1px 0 0 rgba(255,255,255,.4),inset -1px 0 0 rgba(0,0,0,.2)}.mCS-3d-thick-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar{box-shadow:inset 0 1px 0 rgba(255,255,255,.4),inset 0 -1px 0 rgba(0,0,0,.2)}.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar,.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#777}.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_draggerContainer{background-color:#fff;background-color:rgba(0,0,0,.05);box-shadow:inset 1px 1px 16px rgba(0,0,0,.1)}.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-minimal-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-minimal.mCSB_scrollTools .mCSB_draggerRail{background-color:transparent}.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_buttonUp{background-position:-112px -72px}.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_buttonDown{background-position:-112px -92px}.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_buttonLeft{background-position:-120px -112px}.mCS-3d-thick-dark.mCSB_scrollTools .mCSB_buttonRight{background-position:-120px -128px}.mCSB_outside+.mCS-minimal-dark.mCSB_scrollTools_vertical,.mCSB_outside+.mCS-minimal.mCSB_scrollTools_vertical{right:0;margin:12px 0}.mCustomScrollBox.mCS-minimal+.mCSB_scrollTools+.mCSB_scrollTools.mCSB_scrollTools_horizontal,.mCustomScrollBox.mCS-minimal+.mCSB_scrollTools.mCSB_scrollTools_horizontal,.mCustomScrollBox.mCS-minimal-dark+.mCSB_scrollTools+.mCSB_scrollTools.mCSB_scrollTools_horizontal,.mCustomScrollBox.mCS-minimal-dark+.mCSB_scrollTools.mCSB_scrollTools_horizontal{bottom:0;margin:0 12px}.mCS-dir-rtl>.mCSB_outside+.mCS-minimal-dark.mCSB_scrollTools_vertical,.mCS-dir-rtl>.mCSB_outside+.mCS-minimal.mCSB_scrollTools_vertical{left:0;right:auto}.mCS-minimal-dark.mCSB_scrollTools_vertical .mCSB_dragger,.mCS-minimal.mCSB_scrollTools_vertical .mCSB_dragger{height:50px}.mCS-minimal-dark.mCSB_scrollTools_horizontal .mCSB_dragger,.mCS-minimal.mCSB_scrollTools_horizontal .mCSB_dragger{width:50px}.mCS-minimal.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.2);filter:"alpha(opacity=20)";-ms-filter:"alpha(opacity=20)"}.mCS-minimal.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-minimal.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.5);filter:"alpha(opacity=50)";-ms-filter:"alpha(opacity=50)"}.mCS-minimal-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.2);filter:"alpha(opacity=20)";-ms-filter:"alpha(opacity=20)"}.mCS-minimal-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-minimal-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.5);filter:"alpha(opacity=50)";-ms-filter:"alpha(opacity=50)"}.mCS-dark-3.mCSB_scrollTools .mCSB_draggerRail,.mCS-light-3.mCSB_scrollTools .mCSB_draggerRail{width:6px;background-color:#000;background-color:rgba(0,0,0,.2)}.mCS-dark-3.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-light-3.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{width:6px}.mCS-dark-3.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-dark-3.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-light-3.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-light-3.mCSB_scrollTools_horizontal .mCSB_draggerRail{width:100%;height:6px;margin:5px 0}.mCS-dark-3.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCS-dark-3.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail,.mCS-light-3.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCS-light-3.mCSB_scrollTools_vertical.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail{width:12px}.mCS-dark-3.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCS-dark-3.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail,.mCS-light-3.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_dragger.mCSB_dragger_onDrag_expanded+.mCSB_draggerRail,.mCS-light-3.mCSB_scrollTools_horizontal.mCSB_scrollTools_onDrag_expand .mCSB_draggerContainer:hover .mCSB_draggerRail{height:12px;margin:2px 0}.mCS-light-3.mCSB_scrollTools .mCSB_buttonUp{background-position:-32px -72px}.mCS-light-3.mCSB_scrollTools .mCSB_buttonDown{background-position:-32px -92px}.mCS-light-3.mCSB_scrollTools .mCSB_buttonLeft{background-position:-40px -112px}.mCS-light-3.mCSB_scrollTools .mCSB_buttonRight{background-position:-40px -128px}.mCS-dark-3.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.75)}.mCS-dark-3.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.85)}.mCS-dark-3.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-dark-3.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.9)}.mCS-dark-3.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.1)}.mCS-dark-3.mCSB_scrollTools .mCSB_buttonUp{background-position:-112px -72px}.mCS-dark-3.mCSB_scrollTools .mCSB_buttonDown{background-position:-112px -92px}.mCS-dark-3.mCSB_scrollTools .mCSB_buttonLeft{background-position:-120px -112px}.mCS-dark-3.mCSB_scrollTools .mCSB_buttonRight{background-position:-120px -128px}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-inset-2.mCSB_scrollTools .mCSB_draggerRail,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-inset-3.mCSB_scrollTools .mCSB_draggerRail,.mCS-inset-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-inset.mCSB_scrollTools .mCSB_draggerRail{width:12px;background-color:#000;background-color:rgba(0,0,0,.2)}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-2.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-3.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-inset.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{width:6px;margin:3px 5px;position:absolute;height:auto;top:0;bottom:0;left:0;right:0}.mCS-inset-2-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-2.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-3-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-3.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-dark.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar,.mCS-inset.mCSB_scrollTools_horizontal .mCSB_dragger .mCSB_dragger_bar{height:6px;margin:5px 3px;position:absolute;width:auto;top:0;bottom:0;left:0;right:0}.mCS-inset-2-dark.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-inset-2.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-inset-3-dark.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-inset-3.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-inset-dark.mCSB_scrollTools_horizontal .mCSB_draggerRail,.mCS-inset.mCSB_scrollTools_horizontal .mCSB_draggerRail{width:100%;height:12px;margin:2px 0}.fa.fa-pull-left,.fa.pull-left{margin-right:.3em}.mCS-inset-2.mCSB_scrollTools .mCSB_buttonUp,.mCS-inset-3.mCSB_scrollTools .mCSB_buttonUp,.mCS-inset.mCSB_scrollTools .mCSB_buttonUp{background-position:-32px -72px}.mCS-inset-2.mCSB_scrollTools .mCSB_buttonDown,.mCS-inset-3.mCSB_scrollTools .mCSB_buttonDown,.mCS-inset.mCSB_scrollTools .mCSB_buttonDown{background-position:-32px -92px}.mCS-inset-2.mCSB_scrollTools .mCSB_buttonLeft,.mCS-inset-3.mCSB_scrollTools .mCSB_buttonLeft,.mCS-inset.mCSB_scrollTools .mCSB_buttonLeft{background-position:-40px -112px}.mCS-inset-2.mCSB_scrollTools .mCSB_buttonRight,.mCS-inset-3.mCSB_scrollTools .mCSB_buttonRight,.mCS-inset.mCSB_scrollTools .mCSB_buttonRight{background-position:-40px -128px}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar,.mCS-inset-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.75)}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar,.mCS-inset-dark.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.85)}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-inset-2-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar,.mCS-inset-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-inset-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.9)}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-inset-dark.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.1)}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_buttonUp,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_buttonUp,.mCS-inset-dark.mCSB_scrollTools .mCSB_buttonUp{background-position:-112px -72px}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_buttonDown,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_buttonDown,.mCS-inset-dark.mCSB_scrollTools .mCSB_buttonDown{background-position:-112px -92px}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_buttonLeft,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_buttonLeft,.mCS-inset-dark.mCSB_scrollTools .mCSB_buttonLeft{background-position:-120px -112px}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_buttonRight,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_buttonRight,.mCS-inset-dark.mCSB_scrollTools .mCSB_buttonRight{background-position:-120px -128px}.ne-image-wrapper,.ne-video-player{background-image:url(../assets/images/loader.svg);background-repeat:no-repeat;background-position:center}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_draggerRail,.mCS-inset-2.mCSB_scrollTools .mCSB_draggerRail{background-color:transparent;border-width:1px;border-style:solid;border-color:#fff;border-color:rgba(255,255,255,.2);-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box}*,.ui-grid-cell,.ui-grid-header,.ui-grid-header-cell{box-sizing:border-box}.mCS-inset-2-dark.mCSB_scrollTools .mCSB_draggerRail{border-color:#000;border-color:rgba(0,0,0,.2)}.mCS-inset-3.mCSB_scrollTools .mCSB_draggerRail{background-color:#fff;background-color:rgba(255,255,255,.6)}.mCS-inset-3-dark.mCSB_scrollTools .mCSB_draggerRail{background-color:#000;background-color:rgba(0,0,0,.6)}.mCS-inset-3.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.75)}.mCS-inset-3.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.85)}.mCS-inset-3.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-inset-3.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#000;background-color:rgba(0,0,0,.9)}.mCS-inset-3-dark.mCSB_scrollTools .mCSB_dragger .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.75)}.mCS-inset-3-dark.mCSB_scrollTools .mCSB_dragger:hover .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.85)}.mCS-inset-3-dark.mCSB_scrollTools .mCSB_dragger.mCSB_dragger_onDrag .mCSB_dragger_bar,.mCS-inset-3-dark.mCSB_scrollTools .mCSB_dragger:active .mCSB_dragger_bar{background-color:#fff;background-color:rgba(255,255,255,.9)}@font-face{font-family:simple-line-icons;src:url(../assets/fonts/Simple-Line-Icons.eot?v=2.2.2);src:url(../assets/fonts/Simple-Line-Icons.eot?v=2.2.2#iefix) format('embedded-opentype'),url(../assets/fonts/Simple-Line-Icons.ttf?v=2.2.2) format('truetype'),url(../assets/fonts/Simple-Line-Icons.woff2?v=2.2.2) format('woff2'),url(../assets/fonts/Simple-Line-Icons.woff?v=2.2.2) format('woff'),url(../assets/fonts/Simple-Line-Icons.svg?v=2.2.2#simple-line-icons) format('svg');font-weight:400;font-style:normal}.icon-action-redo,.icon-action-undo,.icon-anchor,.icon-arrow-down,.icon-arrow-down-circle,.icon-arrow-left,.icon-arrow-left-circle,.icon-arrow-right,.icon-arrow-right-circle,.icon-arrow-up,.icon-arrow-up-circle,.icon-badge,.icon-bag,.icon-ban,.icon-basket,.icon-basket-loaded,.icon-bell,.icon-book-open,.icon-briefcase,.icon-bubble,.icon-bubbles,.icon-bulb,.icon-calculator,.icon-calendar,.icon-call-end,.icon-call-in,.icon-call-out,.icon-camera,.icon-camrecorder,.icon-chart,.icon-check,.icon-chemistry,.icon-clock,.icon-close,.icon-cloud-download,.icon-cloud-upload,.icon-compass,.icon-control-end,.icon-control-forward,.icon-control-pause,.icon-control-play,.icon-control-rewind,.icon-control-start,.icon-credit-card,.icon-crop,.icon-cup,.icon-cursor,.icon-cursor-move,.icon-diamond,.icon-direction,.icon-directions,.icon-disc,.icon-dislike,.icon-doc,.icon-docs,.icon-drawer,.icon-drop,.icon-earphones,.icon-earphones-alt,.icon-emotsmile,.icon-energy,.icon-envelope,.icon-envelope-letter,.icon-envelope-open,.icon-equalizer,.icon-eye,.icon-eyeglass,.icon-feed,.icon-film,.icon-fire,.icon-flag,.icon-folder,.icon-folder-alt,.icon-frame,.icon-game-controller,.icon-ghost,.icon-globe,.icon-globe-alt,.icon-graduation,.icon-graph,.icon-grid,.icon-handbag,.icon-heart,.icon-home,.icon-hourglass,.icon-info,.icon-key,.icon-layers,.icon-like,.icon-link,.icon-list,.icon-location-pin,.icon-lock,.icon-lock-open,.icon-login,.icon-logout,.icon-loop,.icon-magic-wand,.icon-magnet,.icon-magnifier,.icon-magnifier-add,.icon-magnifier-remove,.icon-map,.icon-menu,.icon-microphone,.icon-mouse,.icon-music-tone,.icon-music-tone-alt,.icon-mustache,.icon-note,.icon-notebook,.icon-options,.icon-options-vertical,.icon-paper-clip,.icon-paper-plane,.icon-paypal,.icon-pencil,.icon-people,.icon-phone,.icon-picture,.icon-pie-chart,.icon-pin,.icon-plane,.icon-playlist,.icon-plus,.icon-power,.icon-present,.icon-printer,.icon-puzzle,.icon-question,.icon-refresh,.icon-reload,.icon-rocket,.icon-screen-desktop,.icon-screen-smartphone,.icon-screen-tablet,.icon-settings,.icon-share,.icon-share-alt,.icon-shield,.icon-shuffle,.icon-size-actual,.icon-size-fullscreen,.icon-social-behance,.icon-social-dribbble,.icon-social-dropbox,.icon-social-facebook,.icon-social-foursqare,.icon-social-github,.icon-social-gplus,.icon-social-instagram,.icon-social-linkedin,.icon-social-pinterest,.icon-social-reddit,.icon-social-skype,.icon-social-soundcloud,.icon-social-spotify,.icon-social-stumbleupon,.icon-social-tumblr,.icon-social-twitter,.icon-social-youtube,.icon-speech,.icon-speedometer,.icon-star,.icon-support,.icon-symbol-female,.icon-symbol-male,.icon-tag,.icon-target,.icon-trash,.icon-trophy,.icon-umbrella,.icon-user,.icon-user-female,.icon-user-follow,.icon-user-following,.icon-user-unfollow,.icon-vector,.icon-volume-1,.icon-volume-2,.icon-volume-off,.icon-wallet,.icon-wrench{font-family:simple-line-icons;speak:none;font-style:normal;font-weight:400;font-variant:normal;text-transform:none;line-height:1;-webkit-font-smoothing:antialiased;-moz-osx-font-smoothing:grayscale}.icon-user:before{content:"\e005"}.icon-people:before{content:"\e001"}.icon-user-female:before{content:"\e000"}.icon-user-follow:before{content:"\e002"}.icon-user-following:before{content:"\e003"}.icon-user-unfollow:before{content:"\e004"}.icon-login:before{content:"\e066"}.icon-logout:before{content:"\e065"}.icon-emotsmile:before{content:"\e021"}.icon-phone:before{content:"\e600"}.icon-call-end:before{content:"\e048"}.icon-call-in:before{content:"\e047"}.icon-call-out:before{content:"\e046"}.icon-map:before{content:"\e033"}.icon-location-pin:before{content:"\e096"}.icon-direction:before{content:"\e042"}.icon-directions:before{content:"\e041"}.icon-compass:before{content:"\e045"}.icon-layers:before{content:"\e034"}.icon-menu:before{content:"\e601"}.icon-list:before{content:"\e067"}.icon-options-vertical:before{content:"\e602"}.icon-options:before{content:"\e603"}.icon-arrow-down:before{content:"\e604"}.icon-arrow-left:before{content:"\e605"}.icon-arrow-right:before{content:"\e606"}.icon-arrow-up:before{content:"\e607"}.icon-arrow-up-circle:before{content:"\e078"}.icon-arrow-left-circle:before{content:"\e07a"}.icon-arrow-right-circle:before{content:"\e079"}.icon-arrow-down-circle:before{content:"\e07b"}.icon-check:before{content:"\e080"}.icon-clock:before{content:"\e081"}.icon-plus:before{content:"\e095"}.icon-close:before{content:"\e082"}.icon-trophy:before{content:"\e006"}.icon-screen-smartphone:before{content:"\e010"}.icon-screen-desktop:before{content:"\e011"}.icon-plane:before{content:"\e012"}.icon-notebook:before{content:"\e013"}.icon-mustache:before{content:"\e014"}.icon-mouse:before{content:"\e015"}.icon-magnet:before{content:"\e016"}.icon-energy:before{content:"\e020"}.icon-disc:before{content:"\e022"}.icon-cursor:before{content:"\e06e"}.icon-cursor-move:before{content:"\e023"}.icon-crop:before{content:"\e024"}.icon-chemistry:before{content:"\e026"}.icon-speedometer:before{content:"\e007"}.icon-shield:before{content:"\e00e"}.icon-screen-tablet:before{content:"\e00f"}.icon-magic-wand:before{content:"\e017"}.icon-hourglass:before{content:"\e018"}.icon-graduation:before{content:"\e019"}.icon-ghost:before{content:"\e01a"}.icon-game-controller:before{content:"\e01b"}.icon-fire:before{content:"\e01c"}.icon-eyeglass:before{content:"\e01d"}.icon-envelope-open:before{content:"\e01e"}.icon-envelope-letter:before{content:"\e01f"}.icon-bell:before{content:"\e027"}.icon-badge:before{content:"\e028"}.icon-anchor:before{content:"\e029"}.icon-wallet:before{content:"\e02a"}.icon-vector:before{content:"\e02b"}.icon-speech:before{content:"\e02c"}.icon-puzzle:before{content:"\e02d"}.icon-printer:before{content:"\e02e"}.icon-present:before{content:"\e02f"}.icon-playlist:before{content:"\e030"}.icon-pin:before{content:"\e031"}.icon-picture:before{content:"\e032"}.icon-handbag:before{content:"\e035"}.icon-globe-alt:before{content:"\e036"}.icon-globe:before{content:"\e037"}.icon-folder-alt:before{content:"\e039"}.icon-folder:before{content:"\e089"}.icon-film:before{content:"\e03a"}.icon-feed:before{content:"\e03b"}.icon-drop:before{content:"\e03e"}.icon-drawer:before{content:"\e03f"}.icon-docs:before{content:"\e040"}.icon-doc:before{content:"\e085"}.icon-diamond:before{content:"\e043"}.icon-cup:before{content:"\e044"}.icon-calculator:before{content:"\e049"}.icon-bubbles:before{content:"\e04a"}.icon-briefcase:before{content:"\e04b"}.icon-book-open:before{content:"\e04c"}.icon-basket-loaded:before{content:"\e04d"}.icon-basket:before{content:"\e04e"}.icon-bag:before{content:"\e04f"}.icon-action-undo:before{content:"\e050"}.icon-action-redo:before{content:"\e051"}.icon-wrench:before{content:"\e052"}.icon-umbrella:before{content:"\e053"}.icon-trash:before{content:"\e054"}.icon-tag:before{content:"\e055"}.icon-support:before{content:"\e056"}.icon-frame:before{content:"\e038"}.icon-size-fullscreen:before{content:"\e057"}.icon-size-actual:before{content:"\e058"}.icon-shuffle:before{content:"\e059"}.icon-share-alt:before{content:"\e05a"}.icon-share:before{content:"\e05b"}.icon-rocket:before{content:"\e05c"}.icon-question:before{content:"\e05d"}.icon-pie-chart:before{content:"\e05e"}.icon-pencil:before{content:"\e05f"}.icon-note:before{content:"\e060"}.icon-loop:before{content:"\e064"}.icon-home:before{content:"\e069"}.icon-grid:before{content:"\e06a"}.icon-graph:before{content:"\e06b"}.icon-microphone:before{content:"\e063"}.icon-music-tone-alt:before{content:"\e061"}.icon-music-tone:before{content:"\e062"}.icon-earphones-alt:before{content:"\e03c"}.icon-earphones:before{content:"\e03d"}.icon-equalizer:before{content:"\e06c"}.icon-like:before{content:"\e068"}.icon-dislike:before{content:"\e06d"}.icon-control-start:before{content:"\e06f"}.icon-control-rewind:before{content:"\e070"}.icon-control-play:before{content:"\e071"}.icon-control-pause:before{content:"\e072"}.icon-control-forward:before{content:"\e073"}.icon-control-end:before{content:"\e074"}.icon-volume-1:before{content:"\e09f"}.icon-volume-2:before{content:"\e0a0"}.icon-volume-off:before{content:"\e0a1"}.icon-calendar:before{content:"\e075"}.icon-bulb:before{content:"\e076"}.icon-chart:before{content:"\e077"}.icon-ban:before{content:"\e07c"}.icon-bubble:before{content:"\e07d"}.icon-camrecorder:before{content:"\e07e"}.icon-camera:before{content:"\e07f"}.icon-cloud-download:before{content:"\e083"}.icon-cloud-upload:before{content:"\e084"}.icon-envelope:before{content:"\e086"}.icon-eye:before{content:"\e087"}.icon-flag:before{content:"\e088"}.icon-heart:before{content:"\e08a"}.icon-info:before{content:"\e08b"}.icon-key:before{content:"\e08c"}.icon-link:before{content:"\e08d"}.icon-lock:before{content:"\e08e"}.icon-lock-open:before{content:"\e08f"}.icon-magnifier:before{content:"\e090"}.icon-magnifier-add:before{content:"\e091"}.icon-magnifier-remove:before{content:"\e092"}.icon-paper-clip:before{content:"\e093"}.icon-paper-plane:before{content:"\e094"}.icon-power:before{content:"\e097"}.icon-refresh:before{content:"\e098"}.icon-reload:before{content:"\e099"}.icon-settings:before{content:"\e09a"}.icon-star:before{content:"\e09b"}.icon-symbol-female:before{content:"\e09c"}.icon-symbol-male:before{content:"\e09d"}.icon-target:before{content:"\e09e"}.icon-credit-card:before{content:"\e025"}.icon-paypal:before{content:"\e608"}.icon-social-tumblr:before{content:"\e00a"}.icon-social-twitter:before{content:"\e009"}.icon-social-facebook:before{content:"\e00b"}.icon-social-instagram:before{content:"\e609"}.icon-social-linkedin:before{content:"\e60a"}.icon-social-pinterest:before{content:"\e60b"}.icon-social-github:before{content:"\e60c"}.icon-social-gplus:before{content:"\e60d"}.icon-social-reddit:before{content:"\e60e"}.icon-social-skype:before{content:"\e60f"}.icon-social-dribbble:before{content:"\e00d"}.icon-social-behance:before{content:"\e610"}.icon-social-foursqare:before{content:"\e611"}.icon-social-soundcloud:before{content:"\e612"}.icon-social-spotify:before{content:"\e613"}.icon-social-stumbleupon:before{content:"\e614"}.icon-social-youtube:before{content:"\e008"}.icon-social-dropbox:before{content:"\e00c"}/*! - * Font Awesome 4.6.1 by @davegandy - http://fontawesome.io - @fontawesome - * License - http://fontawesome.io/license (Font: SIL OFL 1.1, CSS: MIT License) - */@font-face{font-family:FontAwesome;src:url(../assets/fonts/fontawesome-webfont.eot?v=4.6.1);src:url(../assets/fonts/fontawesome-webfont.eot?#iefix&v=4.6.1) format('embedded-opentype'),url(../assets/fonts/fontawesome-webfont.woff2?v=4.6.1) format('woff2'),url(../assets/fonts/fontawesome-webfont.woff?v=4.6.1) format('woff'),url(../assets/fonts/fontawesome-webfont.ttf?v=4.6.1) format('truetype'),url(../assets/fonts/fontawesome-webfont.svg?v=4.6.1#fontawesomeregular) format('svg');font-weight:400;font-style:normal}.fa{font:normal normal normal 14px/1 FontAwesome;font-size:inherit;text-rendering:auto;-webkit-font-smoothing:antialiased}.fa-lg{font-size:1.33333333em;line-height:.75em;vertical-align:-15%}.fa-2x{font-size:2em}.fa-3x{font-size:3em}.fa-4x{font-size:4em}.fa-5x{font-size:5em}.fa-fw{width:1.28571429em;text-align:center}.fa-ul{padding-left:0;margin-left:2.14285714em;list-style-type:none}.fa.fa-pull-right,.fa.pull-right{margin-left:.3em}.fa-ul>li{position:relative}.fa-li{position:absolute;left:-2.14285714em;width:2.14285714em;top:.14285714em;text-align:center}.fa-li.fa-lg{left:-1.85714286em}.fa-border{padding:.2em .25em .15em;border:.08em solid #eee;border-radius:.1em}.fa-pull-left{float:left}.fa-pull-right{float:right}.fa-spin{-webkit-animation:fa-spin 2s infinite linear;animation:fa-spin 2s infinite linear}.fa-pulse{-webkit-animation:fa-spin 1s infinite steps(8);animation:fa-spin 1s infinite steps(8)}@-webkit-keyframes fa-spin{0%{-webkit-transform:rotate(0);transform:rotate(0)}100%{-webkit-transform:rotate(359deg);transform:rotate(359deg)}}@keyframes fa-spin{0%{-webkit-transform:rotate(0);transform:rotate(0)}100%{-webkit-transform:rotate(359deg);transform:rotate(359deg)}}.fa-rotate-90{-ms-filter:"progid:DXImageTransform.Microsoft.BasicImage(rotation=1)";-webkit-transform:rotate(90deg);-ms-transform:rotate(90deg);transform:rotate(90deg)}.fa-rotate-180{-ms-filter:"progid:DXImageTransform.Microsoft.BasicImage(rotation=2)";-webkit-transform:rotate(180deg);-ms-transform:rotate(180deg);transform:rotate(180deg)}.fa-rotate-270{-ms-filter:"progid:DXImageTransform.Microsoft.BasicImage(rotation=3)";-webkit-transform:rotate(270deg);-ms-transform:rotate(270deg);transform:rotate(270deg)}.fa-flip-horizontal{-ms-filter:"progid:DXImageTransform.Microsoft.BasicImage(rotation=0, mirror=1)";-webkit-transform:scale(-1,1);-ms-transform:scale(-1,1);transform:scale(-1,1)}.fa-flip-vertical{-ms-filter:"progid:DXImageTransform.Microsoft.BasicImage(rotation=2, mirror=1)";-webkit-transform:scale(1,-1);-ms-transform:scale(1,-1);transform:scale(1,-1)}:root .fa-flip-horizontal,:root .fa-flip-vertical,:root .fa-rotate-180,:root .fa-rotate-270,:root .fa-rotate-90{filter:none}.fa-stack{position:relative;width:2em;height:2em;line-height:2em;vertical-align:middle}.fa-stack-1x,.fa-stack-2x{position:absolute;left:0;width:100%;text-align:center}.fa-stack-1x{line-height:inherit}.fa-stack-2x{font-size:2em}.fa-glass:before{content:"\f000"}.fa-music:before{content:"\f001"}.fa-search:before{content:"\f002"}.fa-envelope-o:before{content:"\f003"}.fa-heart:before{content:"\f004"}.fa-star:before{content:"\f005"}.fa-star-o:before{content:"\f006"}.fa-user:before{content:"\f007"}.fa-film:before{content:"\f008"}.fa-th-large:before{content:"\f009"}.fa-th:before{content:"\f00a"}.fa-th-list:before{content:"\f00b"}.fa-check:before{content:"\f00c"}.fa-close:before,.fa-remove:before,.fa-times:before{content:"\f00d"}.fa-search-plus:before{content:"\f00e"}.fa-search-minus:before{content:"\f010"}.fa-power-off:before{content:"\f011"}.fa-signal:before{content:"\f012"}.fa-cog:before,.fa-gear:before{content:"\f013"}.fa-trash-o:before{content:"\f014"}.fa-home:before{content:"\f015"}.fa-file-o:before{content:"\f016"}.fa-clock-o:before{content:"\f017"}.fa-road:before{content:"\f018"}.fa-download:before{content:"\f019"}.fa-arrow-circle-o-down:before{content:"\f01a"}.fa-arrow-circle-o-up:before{content:"\f01b"}.fa-inbox:before{content:"\f01c"}.fa-play-circle-o:before{content:"\f01d"}.fa-repeat:before,.fa-rotate-right:before{content:"\f01e"}.fa-refresh:before{content:"\f021"}.fa-list-alt:before{content:"\f022"}.fa-lock:before{content:"\f023"}.fa-flag:before{content:"\f024"}.fa-headphones:before{content:"\f025"}.fa-volume-off:before{content:"\f026"}.fa-volume-down:before{content:"\f027"}.fa-volume-up:before{content:"\f028"}.fa-qrcode:before{content:"\f029"}.fa-barcode:before{content:"\f02a"}.fa-tag:before{content:"\f02b"}.fa-tags:before{content:"\f02c"}.fa-book:before{content:"\f02d"}.fa-bookmark:before{content:"\f02e"}.fa-print:before{content:"\f02f"}.fa-camera:before{content:"\f030"}.fa-font:before{content:"\f031"}.fa-bold:before{content:"\f032"}.fa-italic:before{content:"\f033"}.fa-text-height:before{content:"\f034"}.fa-text-width:before{content:"\f035"}.fa-align-left:before{content:"\f036"}.fa-align-center:before{content:"\f037"}.fa-align-right:before{content:"\f038"}.fa-align-justify:before{content:"\f039"}.fa-list:before{content:"\f03a"}.fa-dedent:before,.fa-outdent:before{content:"\f03b"}.fa-indent:before{content:"\f03c"}.fa-video-camera:before{content:"\f03d"}.fa-image:before,.fa-photo:before,.fa-picture-o:before{content:"\f03e"}.fa-pencil:before{content:"\f040"}.fa-map-marker:before{content:"\f041"}.fa-adjust:before{content:"\f042"}.fa-tint:before{content:"\f043"}.fa-edit:before,.fa-pencil-square-o:before{content:"\f044"}.fa-share-square-o:before{content:"\f045"}.fa-check-square-o:before{content:"\f046"}.fa-arrows:before{content:"\f047"}.fa-step-backward:before{content:"\f048"}.fa-fast-backward:before{content:"\f049"}.fa-backward:before{content:"\f04a"}.fa-play:before{content:"\f04b"}.fa-pause:before{content:"\f04c"}.fa-stop:before{content:"\f04d"}.fa-forward:before{content:"\f04e"}.fa-fast-forward:before{content:"\f050"}.fa-step-forward:before{content:"\f051"}.fa-eject:before{content:"\f052"}.fa-chevron-left:before{content:"\f053"}.fa-chevron-right:before{content:"\f054"}.fa-plus-circle:before{content:"\f055"}.fa-minus-circle:before{content:"\f056"}.fa-times-circle:before{content:"\f057"}.fa-check-circle:before{content:"\f058"}.fa-question-circle:before{content:"\f059"}.fa-info-circle:before{content:"\f05a"}.fa-crosshairs:before{content:"\f05b"}.fa-times-circle-o:before{content:"\f05c"}.fa-check-circle-o:before{content:"\f05d"}.fa-ban:before{content:"\f05e"}.fa-arrow-left:before{content:"\f060"}.fa-arrow-right:before{content:"\f061"}.fa-arrow-up:before{content:"\f062"}.fa-arrow-down:before{content:"\f063"}.fa-mail-forward:before,.fa-share:before{content:"\f064"}.fa-expand:before{content:"\f065"}.fa-compress:before{content:"\f066"}.fa-plus:before{content:"\f067"}.fa-minus:before{content:"\f068"}.fa-asterisk:before{content:"\f069"}.fa-exclamation-circle:before{content:"\f06a"}.fa-gift:before{content:"\f06b"}.fa-leaf:before{content:"\f06c"}.fa-fire:before{content:"\f06d"}.fa-eye:before{content:"\f06e"}.fa-eye-slash:before{content:"\f070"}.fa-exclamation-triangle:before,.fa-warning:before{content:"\f071"}.fa-plane:before{content:"\f072"}.fa-calendar:before{content:"\f073"}.fa-random:before{content:"\f074"}.fa-comment:before{content:"\f075"}.fa-magnet:before{content:"\f076"}.fa-chevron-up:before{content:"\f077"}.fa-chevron-down:before{content:"\f078"}.fa-retweet:before{content:"\f079"}.fa-shopping-cart:before{content:"\f07a"}.fa-folder:before{content:"\f07b"}.fa-folder-open:before{content:"\f07c"}.fa-arrows-v:before{content:"\f07d"}.fa-arrows-h:before{content:"\f07e"}.fa-bar-chart-o:before,.fa-bar-chart:before{content:"\f080"}.fa-twitter-square:before{content:"\f081"}.fa-facebook-square:before{content:"\f082"}.fa-camera-retro:before{content:"\f083"}.fa-key:before{content:"\f084"}.fa-cogs:before,.fa-gears:before{content:"\f085"}.fa-comments:before{content:"\f086"}.fa-thumbs-o-up:before{content:"\f087"}.fa-thumbs-o-down:before{content:"\f088"}.fa-star-half:before{content:"\f089"}.fa-heart-o:before{content:"\f08a"}.fa-sign-out:before{content:"\f08b"}.fa-linkedin-square:before{content:"\f08c"}.fa-thumb-tack:before{content:"\f08d"}.fa-external-link:before{content:"\f08e"}.fa-sign-in:before{content:"\f090"}.fa-trophy:before{content:"\f091"}.fa-github-square:before{content:"\f092"}.fa-upload:before{content:"\f093"}.fa-lemon-o:before{content:"\f094"}.fa-phone:before{content:"\f095"}.fa-square-o:before{content:"\f096"}.fa-bookmark-o:before{content:"\f097"}.fa-phone-square:before{content:"\f098"}.fa-twitter:before{content:"\f099"}.fa-facebook-f:before,.fa-facebook:before{content:"\f09a"}.fa-github:before{content:"\f09b"}.fa-unlock:before{content:"\f09c"}.fa-credit-card:before{content:"\f09d"}.fa-feed:before,.fa-rss:before{content:"\f09e"}.fa-hdd-o:before{content:"\f0a0"}.fa-bullhorn:before{content:"\f0a1"}.fa-bell:before{content:"\f0f3"}.fa-certificate:before{content:"\f0a3"}.fa-hand-o-right:before{content:"\f0a4"}.fa-hand-o-left:before{content:"\f0a5"}.fa-hand-o-up:before{content:"\f0a6"}.fa-hand-o-down:before{content:"\f0a7"}.fa-arrow-circle-left:before{content:"\f0a8"}.fa-arrow-circle-right:before{content:"\f0a9"}.fa-arrow-circle-up:before{content:"\f0aa"}.fa-arrow-circle-down:before{content:"\f0ab"}.fa-globe:before{content:"\f0ac"}.fa-wrench:before{content:"\f0ad"}.fa-tasks:before{content:"\f0ae"}.fa-filter:before{content:"\f0b0"}.fa-briefcase:before{content:"\f0b1"}.fa-arrows-alt:before{content:"\f0b2"}.fa-group:before,.fa-users:before{content:"\f0c0"}.fa-chain:before,.fa-link:before{content:"\f0c1"}.fa-cloud:before{content:"\f0c2"}.fa-flask:before{content:"\f0c3"}.fa-cut:before,.fa-scissors:before{content:"\f0c4"}.fa-copy:before,.fa-files-o:before{content:"\f0c5"}.fa-paperclip:before{content:"\f0c6"}.fa-floppy-o:before,.fa-save:before{content:"\f0c7"}.fa-square:before{content:"\f0c8"}.fa-bars:before,.fa-navicon:before,.fa-reorder:before{content:"\f0c9"}.fa-list-ul:before{content:"\f0ca"}.fa-list-ol:before{content:"\f0cb"}.fa-strikethrough:before{content:"\f0cc"}.fa-underline:before{content:"\f0cd"}.fa-table:before{content:"\f0ce"}.fa-magic:before{content:"\f0d0"}.fa-truck:before{content:"\f0d1"}.fa-pinterest:before{content:"\f0d2"}.fa-pinterest-square:before{content:"\f0d3"}.fa-google-plus-square:before{content:"\f0d4"}.fa-google-plus:before{content:"\f0d5"}.fa-money:before{content:"\f0d6"}.fa-caret-down:before{content:"\f0d7"}.fa-caret-up:before{content:"\f0d8"}.fa-caret-left:before{content:"\f0d9"}.fa-caret-right:before{content:"\f0da"}.fa-columns:before{content:"\f0db"}.fa-sort:before,.fa-unsorted:before{content:"\f0dc"}.fa-sort-desc:before,.fa-sort-down:before{content:"\f0dd"}.fa-sort-asc:before,.fa-sort-up:before{content:"\f0de"}.fa-envelope:before{content:"\f0e0"}.fa-linkedin:before{content:"\f0e1"}.fa-rotate-left:before,.fa-undo:before{content:"\f0e2"}.fa-gavel:before,.fa-legal:before{content:"\f0e3"}.fa-dashboard:before,.fa-tachometer:before{content:"\f0e4"}.fa-comment-o:before{content:"\f0e5"}.fa-comments-o:before{content:"\f0e6"}.fa-bolt:before,.fa-flash:before{content:"\f0e7"}.fa-sitemap:before{content:"\f0e8"}.fa-umbrella:before{content:"\f0e9"}.fa-clipboard:before,.fa-paste:before{content:"\f0ea"}.fa-lightbulb-o:before{content:"\f0eb"}.fa-exchange:before{content:"\f0ec"}.fa-cloud-download:before{content:"\f0ed"}.fa-cloud-upload:before{content:"\f0ee"}.fa-user-md:before{content:"\f0f0"}.fa-stethoscope:before{content:"\f0f1"}.fa-suitcase:before{content:"\f0f2"}.fa-bell-o:before{content:"\f0a2"}.fa-coffee:before{content:"\f0f4"}.fa-cutlery:before{content:"\f0f5"}.fa-file-text-o:before{content:"\f0f6"}.fa-building-o:before{content:"\f0f7"}.fa-hospital-o:before{content:"\f0f8"}.fa-ambulance:before{content:"\f0f9"}.fa-medkit:before{content:"\f0fa"}.fa-fighter-jet:before{content:"\f0fb"}.fa-beer:before{content:"\f0fc"}.fa-h-square:before{content:"\f0fd"}.fa-plus-square:before{content:"\f0fe"}.fa-angle-double-left:before{content:"\f100"}.fa-angle-double-right:before{content:"\f101"}.fa-angle-double-up:before{content:"\f102"}.fa-angle-double-down:before{content:"\f103"}.fa-angle-left:before{content:"\f104"}.fa-angle-right:before{content:"\f105"}.fa-angle-up:before{content:"\f106"}.fa-angle-down:before{content:"\f107"}.fa-desktop:before{content:"\f108"}.fa-laptop:before{content:"\f109"}.fa-tablet:before{content:"\f10a"}.fa-mobile-phone:before,.fa-mobile:before{content:"\f10b"}.fa-circle-o:before{content:"\f10c"}.fa-quote-left:before{content:"\f10d"}.fa-quote-right:before{content:"\f10e"}.fa-spinner:before{content:"\f110"}.fa-circle:before{content:"\f111"}.fa-mail-reply:before,.fa-reply:before{content:"\f112"}.fa-github-alt:before{content:"\f113"}.fa-folder-o:before{content:"\f114"}.fa-folder-open-o:before{content:"\f115"}.fa-smile-o:before{content:"\f118"}.fa-frown-o:before{content:"\f119"}.fa-meh-o:before{content:"\f11a"}.fa-gamepad:before{content:"\f11b"}.fa-keyboard-o:before{content:"\f11c"}.fa-flag-o:before{content:"\f11d"}.fa-flag-checkered:before{content:"\f11e"}.fa-terminal:before{content:"\f120"}.fa-code:before{content:"\f121"}.fa-mail-reply-all:before,.fa-reply-all:before{content:"\f122"}.fa-star-half-empty:before,.fa-star-half-full:before,.fa-star-half-o:before{content:"\f123"}.fa-location-arrow:before{content:"\f124"}.fa-crop:before{content:"\f125"}.fa-code-fork:before{content:"\f126"}.fa-chain-broken:before,.fa-unlink:before{content:"\f127"}.fa-question:before{content:"\f128"}.fa-info:before{content:"\f129"}.fa-exclamation:before{content:"\f12a"}.fa-superscript:before{content:"\f12b"}.fa-subscript:before{content:"\f12c"}.fa-eraser:before{content:"\f12d"}.fa-puzzle-piece:before{content:"\f12e"}.fa-microphone:before{content:"\f130"}.fa-microphone-slash:before{content:"\f131"}.fa-shield:before{content:"\f132"}.fa-calendar-o:before{content:"\f133"}.fa-fire-extinguisher:before{content:"\f134"}.fa-rocket:before{content:"\f135"}.fa-maxcdn:before{content:"\f136"}.fa-chevron-circle-left:before{content:"\f137"}.fa-chevron-circle-right:before{content:"\f138"}.fa-chevron-circle-up:before{content:"\f139"}.fa-chevron-circle-down:before{content:"\f13a"}.fa-html5:before{content:"\f13b"}.fa-css3:before{content:"\f13c"}.fa-anchor:before{content:"\f13d"}.fa-unlock-alt:before{content:"\f13e"}.fa-bullseye:before{content:"\f140"}.fa-ellipsis-h:before{content:"\f141"}.fa-ellipsis-v:before{content:"\f142"}.fa-rss-square:before{content:"\f143"}.fa-play-circle:before{content:"\f144"}.fa-ticket:before{content:"\f145"}.fa-minus-square:before{content:"\f146"}.fa-minus-square-o:before{content:"\f147"}.fa-level-up:before{content:"\f148"}.fa-level-down:before{content:"\f149"}.fa-check-square:before{content:"\f14a"}.fa-pencil-square:before{content:"\f14b"}.fa-external-link-square:before{content:"\f14c"}.fa-share-square:before{content:"\f14d"}.fa-compass:before{content:"\f14e"}.fa-caret-square-o-down:before,.fa-toggle-down:before{content:"\f150"}.fa-caret-square-o-up:before,.fa-toggle-up:before{content:"\f151"}.fa-caret-square-o-right:before,.fa-toggle-right:before{content:"\f152"}.fa-eur:before,.fa-euro:before{content:"\f153"}.fa-gbp:before{content:"\f154"}.fa-dollar:before,.fa-usd:before{content:"\f155"}.fa-inr:before,.fa-rupee:before{content:"\f156"}.fa-cny:before,.fa-jpy:before,.fa-rmb:before,.fa-yen:before{content:"\f157"}.fa-rouble:before,.fa-rub:before,.fa-ruble:before{content:"\f158"}.fa-krw:before,.fa-won:before{content:"\f159"}.fa-bitcoin:before,.fa-btc:before{content:"\f15a"}.fa-file:before{content:"\f15b"}.fa-file-text:before{content:"\f15c"}.fa-sort-alpha-asc:before{content:"\f15d"}.fa-sort-alpha-desc:before{content:"\f15e"}.fa-sort-amount-asc:before{content:"\f160"}.fa-sort-amount-desc:before{content:"\f161"}.fa-sort-numeric-asc:before{content:"\f162"}.fa-sort-numeric-desc:before{content:"\f163"}.fa-thumbs-up:before{content:"\f164"}.fa-thumbs-down:before{content:"\f165"}.fa-youtube-square:before{content:"\f166"}.fa-youtube:before{content:"\f167"}.fa-xing:before{content:"\f168"}.fa-xing-square:before{content:"\f169"}.fa-youtube-play:before{content:"\f16a"}.fa-dropbox:before{content:"\f16b"}.fa-stack-overflow:before{content:"\f16c"}.fa-instagram:before{content:"\f16d"}.fa-flickr:before{content:"\f16e"}.fa-adn:before{content:"\f170"}.fa-bitbucket:before{content:"\f171"}.fa-bitbucket-square:before{content:"\f172"}.fa-tumblr:before{content:"\f173"}.fa-tumblr-square:before{content:"\f174"}.fa-long-arrow-down:before{content:"\f175"}.fa-long-arrow-up:before{content:"\f176"}.fa-long-arrow-left:before{content:"\f177"}.fa-long-arrow-right:before{content:"\f178"}.fa-apple:before{content:"\f179"}.fa-windows:before{content:"\f17a"}.fa-android:before{content:"\f17b"}.fa-linux:before{content:"\f17c"}.fa-dribbble:before{content:"\f17d"}.fa-skype:before{content:"\f17e"}.fa-foursquare:before{content:"\f180"}.fa-trello:before{content:"\f181"}.fa-female:before{content:"\f182"}.fa-male:before{content:"\f183"}.fa-gittip:before,.fa-gratipay:before{content:"\f184"}.fa-sun-o:before{content:"\f185"}.fa-moon-o:before{content:"\f186"}.fa-archive:before{content:"\f187"}.fa-bug:before{content:"\f188"}.fa-vk:before{content:"\f189"}.fa-weibo:before{content:"\f18a"}.fa-renren:before{content:"\f18b"}.fa-pagelines:before{content:"\f18c"}.fa-stack-exchange:before{content:"\f18d"}.fa-arrow-circle-o-right:before{content:"\f18e"}.fa-arrow-circle-o-left:before{content:"\f190"}.fa-caret-square-o-left:before,.fa-toggle-left:before{content:"\f191"}.fa-dot-circle-o:before{content:"\f192"}.fa-wheelchair:before{content:"\f193"}.fa-vimeo-square:before{content:"\f194"}.fa-try:before,.fa-turkish-lira:before{content:"\f195"}.fa-plus-square-o:before{content:"\f196"}.fa-space-shuttle:before{content:"\f197"}.fa-slack:before{content:"\f198"}.fa-envelope-square:before{content:"\f199"}.fa-wordpress:before{content:"\f19a"}.fa-openid:before{content:"\f19b"}.fa-bank:before,.fa-institution:before,.fa-university:before{content:"\f19c"}.fa-graduation-cap:before,.fa-mortar-board:before{content:"\f19d"}.fa-yahoo:before{content:"\f19e"}.fa-google:before{content:"\f1a0"}.fa-reddit:before{content:"\f1a1"}.fa-reddit-square:before{content:"\f1a2"}.fa-stumbleupon-circle:before{content:"\f1a3"}.fa-stumbleupon:before{content:"\f1a4"}.fa-delicious:before{content:"\f1a5"}.fa-digg:before{content:"\f1a6"}.fa-pied-piper:before{content:"\f1a7"}.fa-pied-piper-alt:before{content:"\f1a8"}.fa-drupal:before{content:"\f1a9"}.fa-joomla:before{content:"\f1aa"}.fa-language:before{content:"\f1ab"}.fa-fax:before{content:"\f1ac"}.fa-building:before{content:"\f1ad"}.fa-child:before{content:"\f1ae"}.fa-paw:before{content:"\f1b0"}.fa-spoon:before{content:"\f1b1"}.fa-cube:before{content:"\f1b2"}.fa-cubes:before{content:"\f1b3"}.fa-behance:before{content:"\f1b4"}.fa-behance-square:before{content:"\f1b5"}.fa-steam:before{content:"\f1b6"}.fa-steam-square:before{content:"\f1b7"}.fa-recycle:before{content:"\f1b8"}.fa-automobile:before,.fa-car:before{content:"\f1b9"}.fa-cab:before,.fa-taxi:before{content:"\f1ba"}.fa-tree:before{content:"\f1bb"}.fa-spotify:before{content:"\f1bc"}.fa-deviantart:before{content:"\f1bd"}.fa-soundcloud:before{content:"\f1be"}.fa-database:before{content:"\f1c0"}.fa-file-pdf-o:before{content:"\f1c1"}.fa-file-word-o:before{content:"\f1c2"}.fa-file-excel-o:before{content:"\f1c3"}.fa-file-powerpoint-o:before{content:"\f1c4"}.fa-file-image-o:before,.fa-file-photo-o:before,.fa-file-picture-o:before{content:"\f1c5"}.fa-file-archive-o:before,.fa-file-zip-o:before{content:"\f1c6"}.fa-file-audio-o:before,.fa-file-sound-o:before{content:"\f1c7"}.fa-file-movie-o:before,.fa-file-video-o:before{content:"\f1c8"}.fa-file-code-o:before{content:"\f1c9"}.fa-vine:before{content:"\f1ca"}.fa-codepen:before{content:"\f1cb"}.fa-jsfiddle:before{content:"\f1cc"}.fa-life-bouy:before,.fa-life-buoy:before,.fa-life-ring:before,.fa-life-saver:before,.fa-support:before{content:"\f1cd"}.fa-circle-o-notch:before{content:"\f1ce"}.fa-ra:before,.fa-rebel:before{content:"\f1d0"}.fa-empire:before,.fa-ge:before{content:"\f1d1"}.fa-git-square:before{content:"\f1d2"}.fa-git:before{content:"\f1d3"}.fa-hacker-news:before,.fa-y-combinator-square:before,.fa-yc-square:before{content:"\f1d4"}.fa-tencent-weibo:before{content:"\f1d5"}.fa-qq:before{content:"\f1d6"}.fa-wechat:before,.fa-weixin:before{content:"\f1d7"}.fa-paper-plane:before,.fa-send:before{content:"\f1d8"}.fa-paper-plane-o:before,.fa-send-o:before{content:"\f1d9"}.fa-history:before{content:"\f1da"}.fa-circle-thin:before{content:"\f1db"}.fa-header:before{content:"\f1dc"}.fa-paragraph:before{content:"\f1dd"}.fa-sliders:before{content:"\f1de"}.fa-share-alt:before{content:"\f1e0"}.fa-share-alt-square:before{content:"\f1e1"}.fa-bomb:before{content:"\f1e2"}.fa-futbol-o:before,.fa-soccer-ball-o:before{content:"\f1e3"}.fa-tty:before{content:"\f1e4"}.fa-binoculars:before{content:"\f1e5"}.fa-plug:before{content:"\f1e6"}.fa-slideshare:before{content:"\f1e7"}.fa-twitch:before{content:"\f1e8"}.fa-yelp:before{content:"\f1e9"}.fa-newspaper-o:before{content:"\f1ea"}.fa-wifi:before{content:"\f1eb"}.fa-calculator:before{content:"\f1ec"}.fa-paypal:before{content:"\f1ed"}.fa-google-wallet:before{content:"\f1ee"}.fa-cc-visa:before{content:"\f1f0"}.fa-cc-mastercard:before{content:"\f1f1"}.fa-cc-discover:before{content:"\f1f2"}.fa-cc-amex:before{content:"\f1f3"}.fa-cc-paypal:before{content:"\f1f4"}.fa-cc-stripe:before{content:"\f1f5"}.fa-bell-slash:before{content:"\f1f6"}.fa-bell-slash-o:before{content:"\f1f7"}.fa-trash:before{content:"\f1f8"}.fa-copyright:before{content:"\f1f9"}.fa-at:before{content:"\f1fa"}.fa-eyedropper:before{content:"\f1fb"}.fa-paint-brush:before{content:"\f1fc"}.fa-birthday-cake:before{content:"\f1fd"}.fa-area-chart:before{content:"\f1fe"}.fa-pie-chart:before{content:"\f200"}.fa-line-chart:before{content:"\f201"}.fa-lastfm:before{content:"\f202"}.fa-lastfm-square:before{content:"\f203"}.fa-toggle-off:before{content:"\f204"}.fa-toggle-on:before{content:"\f205"}.fa-bicycle:before{content:"\f206"}.fa-bus:before{content:"\f207"}.fa-ioxhost:before{content:"\f208"}.fa-angellist:before{content:"\f209"}.fa-cc:before{content:"\f20a"}.fa-ils:before,.fa-shekel:before,.fa-sheqel:before{content:"\f20b"}.fa-meanpath:before{content:"\f20c"}.fa-buysellads:before{content:"\f20d"}.fa-connectdevelop:before{content:"\f20e"}.fa-dashcube:before{content:"\f210"}.fa-forumbee:before{content:"\f211"}.fa-leanpub:before{content:"\f212"}.fa-sellsy:before{content:"\f213"}.fa-shirtsinbulk:before{content:"\f214"}.fa-simplybuilt:before{content:"\f215"}.fa-skyatlas:before{content:"\f216"}.fa-cart-plus:before{content:"\f217"}.fa-cart-arrow-down:before{content:"\f218"}.fa-diamond:before{content:"\f219"}.fa-ship:before{content:"\f21a"}.fa-user-secret:before{content:"\f21b"}.fa-motorcycle:before{content:"\f21c"}.fa-street-view:before{content:"\f21d"}.fa-heartbeat:before{content:"\f21e"}.fa-venus:before{content:"\f221"}.fa-mars:before{content:"\f222"}.fa-mercury:before{content:"\f223"}.fa-intersex:before,.fa-transgender:before{content:"\f224"}.fa-transgender-alt:before{content:"\f225"}.fa-venus-double:before{content:"\f226"}.fa-mars-double:before{content:"\f227"}.fa-venus-mars:before{content:"\f228"}.fa-mars-stroke:before{content:"\f229"}.fa-mars-stroke-v:before{content:"\f22a"}.fa-mars-stroke-h:before{content:"\f22b"}.fa-neuter:before{content:"\f22c"}.fa-genderless:before{content:"\f22d"}.fa-facebook-official:before{content:"\f230"}.fa-pinterest-p:before{content:"\f231"}.fa-whatsapp:before{content:"\f232"}.fa-server:before{content:"\f233"}.fa-user-plus:before{content:"\f234"}.fa-user-times:before{content:"\f235"}.fa-bed:before,.fa-hotel:before{content:"\f236"}.fa-viacoin:before{content:"\f237"}.fa-train:before{content:"\f238"}.fa-subway:before{content:"\f239"}.fa-medium:before{content:"\f23a"}.fa-y-combinator:before,.fa-yc:before{content:"\f23b"}.fa-optin-monster:before{content:"\f23c"}.fa-opencart:before{content:"\f23d"}.fa-expeditedssl:before{content:"\f23e"}.fa-battery-4:before,.fa-battery-full:before{content:"\f240"}.fa-battery-3:before,.fa-battery-three-quarters:before{content:"\f241"}.fa-battery-2:before,.fa-battery-half:before{content:"\f242"}.fa-battery-1:before,.fa-battery-quarter:before{content:"\f243"}.fa-battery-0:before,.fa-battery-empty:before{content:"\f244"}.fa-mouse-pointer:before{content:"\f245"}.fa-i-cursor:before{content:"\f246"}.fa-object-group:before{content:"\f247"}.fa-object-ungroup:before{content:"\f248"}.fa-sticky-note:before{content:"\f249"}.fa-sticky-note-o:before{content:"\f24a"}.fa-cc-jcb:before{content:"\f24b"}.fa-cc-diners-club:before{content:"\f24c"}.fa-clone:before{content:"\f24d"}.fa-balance-scale:before{content:"\f24e"}.fa-hourglass-o:before{content:"\f250"}.fa-hourglass-1:before,.fa-hourglass-start:before{content:"\f251"}.fa-hourglass-2:before,.fa-hourglass-half:before{content:"\f252"}.fa-hourglass-3:before,.fa-hourglass-end:before{content:"\f253"}.fa-hourglass:before{content:"\f254"}.fa-hand-grab-o:before,.fa-hand-rock-o:before{content:"\f255"}.fa-hand-paper-o:before,.fa-hand-stop-o:before{content:"\f256"}.fa-hand-scissors-o:before{content:"\f257"}.fa-hand-lizard-o:before{content:"\f258"}.fa-hand-spock-o:before{content:"\f259"}.fa-hand-pointer-o:before{content:"\f25a"}.fa-hand-peace-o:before{content:"\f25b"}.fa-trademark:before{content:"\f25c"}.fa-registered:before{content:"\f25d"}.fa-creative-commons:before{content:"\f25e"}.fa-gg:before{content:"\f260"}.fa-gg-circle:before{content:"\f261"}.fa-tripadvisor:before{content:"\f262"}.fa-odnoklassniki:before{content:"\f263"}.fa-odnoklassniki-square:before{content:"\f264"}.fa-get-pocket:before{content:"\f265"}.fa-wikipedia-w:before{content:"\f266"}.fa-safari:before{content:"\f267"}.fa-chrome:before{content:"\f268"}.fa-firefox:before{content:"\f269"}.fa-opera:before{content:"\f26a"}.fa-internet-explorer:before{content:"\f26b"}.fa-television:before,.fa-tv:before{content:"\f26c"}.fa-contao:before{content:"\f26d"}.fa-500px:before{content:"\f26e"}.fa-amazon:before{content:"\f270"}.fa-calendar-plus-o:before{content:"\f271"}.fa-calendar-minus-o:before{content:"\f272"}.fa-calendar-times-o:before{content:"\f273"}.fa-calendar-check-o:before{content:"\f274"}.fa-industry:before{content:"\f275"}.fa-map-pin:before{content:"\f276"}.fa-map-signs:before{content:"\f277"}.fa-map-o:before{content:"\f278"}.fa-map:before{content:"\f279"}.fa-commenting:before{content:"\f27a"}.fa-commenting-o:before{content:"\f27b"}.fa-houzz:before{content:"\f27c"}.fa-vimeo:before{content:"\f27d"}.fa-black-tie:before{content:"\f27e"}.fa-fonticons:before{content:"\f280"}.fa-reddit-alien:before{content:"\f281"}.fa-edge:before{content:"\f282"}.fa-credit-card-alt:before{content:"\f283"}.fa-codiepie:before{content:"\f284"}.fa-modx:before{content:"\f285"}.fa-fort-awesome:before{content:"\f286"}.fa-usb:before{content:"\f287"}.fa-product-hunt:before{content:"\f288"}.fa-mixcloud:before{content:"\f289"}.fa-scribd:before{content:"\f28a"}.fa-pause-circle:before{content:"\f28b"}.fa-pause-circle-o:before{content:"\f28c"}.fa-stop-circle:before{content:"\f28d"}.fa-stop-circle-o:before{content:"\f28e"}.fa-shopping-bag:before{content:"\f290"}.fa-shopping-basket:before{content:"\f291"}.fa-hashtag:before{content:"\f292"}.fa-bluetooth:before{content:"\f293"}.fa-bluetooth-b:before{content:"\f294"}.fa-percent:before{content:"\f295"}.fa-gitlab:before{content:"\f296"}.fa-wpbeginner:before{content:"\f297"}.fa-wpforms:before{content:"\f298"}.fa-envira:before{content:"\f299"}.fa-universal-access:before{content:"\f29a"}.fa-wheelchair-alt:before{content:"\f29b"}.fa-question-circle-o:before{content:"\f29c"}.fa-blind:before{content:"\f29d"}.fa-audio-description:before{content:"\f29e"}.fa-volume-control-phone:before{content:"\f2a0"}.fa-braille:before{content:"\f2a1"}.fa-assistive-listening-systems:before{content:"\f2a2"}.fa-american-sign-language-interpreting:before,.fa-asl-interpreting:before{content:"\f2a3"}.fa-deaf:before,.fa-deafness:before,.fa-hard-of-hearing:before{content:"\f2a4"}.fa-glide:before{content:"\f2a5"}.fa-glide-g:before{content:"\f2a6"}.fa-sign-language:before,.fa-signing:before{content:"\f2a7"}.fa-low-vision:before{content:"\f2a8"}.fa-viadeo:before{content:"\f2a9"}.fa-viadeo-square:before{content:"\f2aa"}.fa-snapchat:before{content:"\f2ab"}.fa-snapchat-ghost:before{content:"\f2ac"}.fa-snapchat-square:before{content:"\f2ad"}.sr-only{position:absolute;width:1px;height:1px;padding:0;margin:-1px;overflow:hidden;clip:rect(0,0,0,0);border:0}.sr-only-focusable:active,.sr-only-focusable:focus{position:static;width:auto;height:auto;margin:0;overflow:visible;clip:auto}.ta-hidden-input{width:1px;height:1px;border:none;margin:0;padding:0;position:absolute;top:-10000px;left:-10000px;opacity:0;overflow:hidden}.ta-root.focussed>.ta-scroll-window.form-control{border-color:#66afe9;outline:0;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6);-moz-box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6);box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6)}.ta-editor.ta-html,.ta-scroll-window.form-control{min-height:300px;height:auto;overflow:auto;font-family:inherit;font-size:100%}.ta-scroll-window.form-control{position:relative;padding:0}.ta-scroll-window>.ta-bind{height:auto;min-height:300px;padding:6px 12px}.ta-editor:focus{user-select:text}.ta-resizer-handle-overlay{z-index:100;position:absolute;display:none}.ta-resizer-handle-overlay>.ta-resizer-handle-info{position:absolute;bottom:16px;right:16px;border:1px solid #000;background-color:#FFF;padding:0 4px;opacity:.7}.ta-resizer-handle-overlay>.ta-resizer-handle-background{position:absolute;bottom:5px;right:5px;left:5px;top:5px;border:1px solid #000;background-color:rgba(0,0,0,.2)}.ta-resizer-handle-overlay>.ta-resizer-handle-corner{width:10px;height:10px;position:absolute}.ta-resizer-handle-overlay>.ta-resizer-handle-corner-tl{top:0;left:0;border-left:1px solid #000;border-top:1px solid #000}.ta-resizer-handle-overlay>.ta-resizer-handle-corner-tr{top:0;right:0;border-right:1px solid #000;border-top:1px solid #000}.ta-resizer-handle-overlay>.ta-resizer-handle-corner-bl{bottom:0;left:0;border-left:1px solid #000;border-bottom:1px solid #000}.ta-resizer-handle-overlay>.ta-resizer-handle-corner-br{bottom:0;right:0;border:1px solid #000;cursor:se-resize;background-color:#fff}.popover{position:absolute;top:0;left:0;z-index:1060;display:none;max-width:276px;padding:1px;font-size:14px;font-weight:400;line-height:1.42857143;text-align:left;white-space:normal;background-color:#fff;-webkit-background-clip:padding-box;background-clip:padding-box;border:1px solid #ccc;border:1px solid rgba(0,0,0,.2);border-radius:6px;-webkit-box-shadow:0 5px 10px rgba(0,0,0,.2);box-shadow:0 5px 10px rgba(0,0,0,.2)}.popover.top{margin-top:-10px}.popover.bottom{margin-top:10px}.popover-title{padding:8px 14px;margin:0;font-size:14px;background-color:#f7f7f7;border-bottom:1px solid #ebebeb;border-radius:5px 5px 0 0}.popover-content{padding:9px 14px}.popover>.arrow,.popover>.arrow:after{position:absolute;display:block;width:0;height:0;border-color:transparent;border-style:solid}.popover>.arrow{border-width:11px}.popover>.arrow:after{content:"";border-width:10px}.popover.top>.arrow{bottom:-11px;left:50%;margin-left:-11px;border-top-color:#999;border-top-color:rgba(0,0,0,.25);border-bottom-width:0}.popover.top>.arrow:after{bottom:1px;margin-left:-10px;content:" ";border-top-color:#fff;border-bottom-width:0}.popover.bottom>.arrow{top:-11px;left:50%;margin-left:-11px;border-top-width:0;border-bottom-color:#999;border-bottom-color:rgba(0,0,0,.25)}.popover.bottom>.arrow:after{top:1px;margin-left:-10px;content:" ";border-top-width:0;border-bottom-color:#fff}@font-face{font-family:emoticons;src:url(../assets/fonts/emoticons.eot?5zh0vu);src:url(../assets/fonts/emoticons.eot?#iefix5zh0vu) format('embedded-opentype'),url(../assets/fonts/emoticons.woff?5zh0vu) format('woff'),url(../assets/fonts/emoticons.ttf?5zh0vu) format('truetype'),url(../assets/fonts/emoticons.svg?5zh0vu#icomoon) format('svg');font-weight:400;font-style:normal}.ne-code{display:none;font-size:14px;font-family:Consolas,Menlo,Monaco,monospace;padding:1.5em}pre{position:relative;margin-bottom:24px;overflow:hidden}code.has-numbering{display:block;padding:12px 30px;overflow-y:auto;font-weight:300;font-family:Consolas,Menlo,Monaco,monospace;font-size:14px;line-height:20px;margin-left:36px}.pre-numbering{position:absolute;top:0;left:0;width:35px;padding:1.1em 5px 12px 0;border-right:1px solid #e8e8e8;border-radius:3px 0 0 3px;background-color:#EEE;text-align:right;font-family:Consolas,Menlo,Monaco,monospace;font-size:14px;color:#AAA;line-height:20px;margin-top:-4px}.pre-numbering li{list-style-type:none}.ne-pdf iframe{width:420px;height:530px;display:block}.ne-pdf-icon{width:200px;height:150px;float:left;border-right:1px solid #eee}.ne-audio audio,.ne-video video{width:100%}.ne-pdf-icon i{margin:29px 62px;color:#999;font-size:84px}.ne-pdf-view button{font-family:inherit;font-size:14px;background-color:transparent;border:1px solid #ccc;padding:6px 15px;border-radius:2px;color:#333;cursor:pointer;box-shadow:0 1px 1px 0 rgba(0,0,0,.26);outline:0;border-bottom:0;float:right;margin:80px 10px 0;-webkit-transition:all .5s linear;-o-transition:all .5s linear;transition:all .5s linear}.ne-pdf-view button:hover{box-shadow:0 2px 2px 0 rgba(0,0,0,.26)}.ne-pdf-view button i{margin-right:6px}.ne-pdf-view button a{color:#333}.ne-pdf-viewer iframe{margin:0 auto}.ne-pdf-viewer{background-color:#3498DB;position:relative}.ne-pdf-viewer i{position:absolute;right:10px;top:8px;color:#fff;font-size:25px;cursor:pointer}.ne-audio{background-color:#1D1D1D;border-radius:2px;padding-top:6px}.ne-pdf,.ne-video{padding:5px;border:1px solid #e4e4e4;border-radius:2px;margin:20px 0;box-shadow:0 1px 1px 0 rgba(0,0,0,.26);border-bottom:0;overflow:auto;-webkit-transition:all .5s linear;-o-transition:all .5s linear;transition:all .5s linear}.ne-image:hover,.ne-video:hover{box-shadow:0 2px 2px 0 rgba(0,0,0,.26)}.ne-pdf-preview,.ne-video-preview{overflow:auto}.ne-video-preview img{display:block;width:200px;height:150px;border-radius:2px;background-color:#3498DB}.ne-video-thumb{width:200px;float:left;cursor:pointer;color:rgba(255,255,255,.6)}.ne-video-thumb:hover{color:#fff}.ne-video-thumb i{position:absolute;font-size:42px;margin-top:-95px;margin-left:80px;cursor:pointer}.ne-pdf-detail,.ne-video-detail{width:calc(100% - 200px);float:left;padding-left:15px}.emoticons-image,.emoticons-image-wrapper,.ne-image,.ne-video-player iframe{width:100%}.ne-pdf-title,.ne-video-title{font-weight:bolder;padding:5px 0;white-space:nowrap;overflow:hidden;text-overflow:ellipsis}.ne-video-desc{font-size:16px;color:#666;height:90px}.ne-stats{padding-top:7px;overflow:auto}.ne-video-stats span{padding:0 15px;float:right}.ne-video-stats span i{margin-right:10px}.ne-video-player{background-color:#3498DB}.ne-image{border-radius:2px;border:1px solid #e4e4e4;min-height:250px;max-height:250px;overflow:hidden;cursor:pointer;padding-bottom:5px;-webkit-transition:all .5s linear;-o-transition:all .5s linear;-moz-transition:all .5s linear;-ms-transition:all .5s linear;transition:all .5s linear;box-shadow:0 1px 1px 0 rgba(0,0,0,.26);border-bottom:5px solid #fff;margin:10px 0}.ne-image-long{max-height:800px}.ne-image img,.ne-image-long img{width:100%;display:block;min-height:250px}.ne-image-wrapper{margin:5px 5px 0;background-color:#3498DB;height:100%;overflow:hidden}.emoticons-image{padding:5px;border-radius:2px;border:1px solid #F2F2F2;display:block;margin:20px 0}.emoticon,.icon-emoticon{display:inline-block;vertical-align:middle}.icon-emoticon{font-family:emoticons;speak:none;font-style:normal;font-weight:400;font-variant:normal;text-transform:none;line-height:1;-webkit-font-smoothing:antialiased}.emoticon{text-indent:-9999px;height:22px;width:22px}@media all and (-webkit-min-device-pixel-ratio :1),all and (min--moz-device-pixel-ratio :1),all and (-o-min-device-pixel-ratio :1),all and (min-device-pixel-ratio :1),all and (min-resolution :96dpi){.emoticon{background:url(../assets/images/emojis.png) no-repeat}}@media all and (-webkit-min-device-pixel-ratio :1.5),all and (-o-min-device-pixel-ratio :3/2),all and (min-device-pixel-ratio :1.5),all and (min-resolution :144dpi){.emoticon{background:url(../assets/images/emojis@2x.png) no-repeat;background-size:27776px 22px}}@-moz-document url-prefix(){.emoticon{background:url(../assets/images/emojis.png) no-repeat}}.emoticon-bowtie{background-position:-5px 0}.emoticon-smile{background-position:-37px 0}.emoticon-laughing{background-position:-69px 0}.emoticon-blush{background-position:-101px 0}.emoticon-smiley{background-position:-133px 0}.emoticon-relaxed{background-position:-165px 0}.emoticon-smirk{background-position:-197px 0}.emoticon-heart_eyes{background-position:-229px 0}.emoticon-kissing_heart{background-position:-261px 0}.emoticon-kissing_closed_eyes{background-position:-293px 0}.emoticon-flushed{background-position:-325px 0}.emoticon-relieved{background-position:-357px 0}.emoticon-satisfied{background-position:-389px 0}.emoticon-grin{background-position:-421px 0}.emoticon-wink{background-position:-453px 0}.emoticon-stuck_out_tongue_winking_eye,.emoticon-winky_face{background-position:-485px 0}.emoticon-stuck_out_tongue_closed_eyes{background-position:-517px 0}.emoticon-grinning{background-position:-549px 0}.emoticon-kissing{background-position:-581px 0}.emoticon-kissing_smiling_eyes{background-position:-613px 0}.emoticon-stuck_out_tongue{background-position:-645px 0}.emoticon-sleeping{background-position:-677px 0}.emoticon-worried{background-position:-709px 0}.emoticon-frowning{background-position:-741px 0}.emoticon-anguished{background-position:-773px 0}.emoticon-open_mouth,.emoticon-wow{background-position:-805px 0}.emoticon-grimacing{background-position:-837px 0}.emoticon-confused{background-position:-869px 0}.emoticon-hushed{background-position:-901px 0}.emoticon-expressionless{background-position:-933px 0}.emoticon-unamused{background-position:-965px 0}.emoticon-sweat_smile{background-position:-997px 0}.emoticon-sweat{background-position:-1029px 0}.emoticon-disappointed_relieved{background-position:-1061px 0}.emoticon-weary{background-position:-1093px 0}.emoticon-pensive{background-position:-1125px 0}.emoticon-disappointed{background-position:-1157px 0}.emoticon-confounded{background-position:-1189px 0}.emoticon-fearful{background-position:-1221px 0}.emoticon-cold_sweat{background-position:-1253px 0}.emoticon-persevere{background-position:-1285px 0}.emoticon-cry{background-position:-1317px 0}.emoticon-sob{background-position:-1349px 0}.emoticon-joy{background-position:-1381px 0}.emoticon-astonished{background-position:-1413px 0}.emoticon-scream{background-position:-1445px 0}.emoticon-neckbeard{background-position:-1477px 0}.emoticon-tired_face{background-position:-1509px 0}.emoticon-angry{background-position:-1541px 0}.emoticon-rage{background-position:-1573px 0}.emoticon-triumph{background-position:-1605px 0}.emoticon-sleepy{background-position:-1637px 0}.emoticon-yum{background-position:-1669px 0}.emoticon-mask{background-position:-1701px 0}.emoticon-sunglasses{background-position:-1733px 0}.emoticon-dizzy_face{background-position:-1765px 0}.emoticon-imp{background-position:-1797px 0}.emoticon-smiling_imp{background-position:-1829px 0}.emoticon-neutral_face{background-position:-1861px 0}.emoticon-no_mouth{background-position:-1893px 0}.emoticon-innocent{background-position:-1925px 0}.emoticon-alien{background-position:-1957px 0}.emoticon-yellow_heart{background-position:-1989px 0}.emoticon-blue_heart{background-position:-2021px 0}.emoticon-purple_heart{background-position:-2053px 0}.emoticon-heart{background-position:-2085px 0}.emoticon-green_heart{background-position:-2117px 0}.emoticon-broken_heart{background-position:-2149px 0}.emoticon-heartbeat{background-position:-2181px 0}.emoticon-heartpulse{background-position:-2213px 0}.emoticon-two_hearts{background-position:-2245px 0}.emoticon-revolving_hearts{background-position:-2277px 0}.emoticon-cupid{background-position:-2309px 0}.emoticon-sparkling_heart{background-position:-2341px 0}.emoticon-sparkles{background-position:-2373px 0}.emoticon-star{background-position:-2405px 0}.emoticon-star2{background-position:-2437px 0}.emoticon-dizzy{background-position:-2469px 0}.emoticon-boom{background-position:-2501px 0}.emoticon-collision{background-position:-2533px 0}.emoticon-anger{background-position:-2565px 0}.emoticon-exclamation{background-position:-2597px 0}.emoticon-question{background-position:-2629px 0}.emoticon-grey_exclamation{background-position:-2661px 0}.emoticon-grey_question{background-position:-2693px 0}.emoticon-zzz{background-position:-2725px 0}.emoticon-dash{background-position:-2757px 0}.emoticon-sweat_drops{background-position:-2789px 0}.emoticon-notes{background-position:-2821px 0}.emoticon-musical_note{background-position:-2853px 0}.emoticon-fire{background-position:-2885px 0}.emoticon-hankey{background-position:-2917px 0}.emoticon-poop{background-position:-2949px 0}.emoticon-shit{background-position:-2981px 0}.emoticon-\+1{background-position:-3013px 0}.emoticon-thumbsup{background-position:-3045px 0}.emoticon-\-1{background-position:-3077px 0}.emoticon-thumbsdown{background-position:-3109px 0}.emoticon-ok_hand{background-position:-3141px 0}.emoticon-punch{background-position:-3173px 0}.emoticon-facepunch{background-position:-3205px 0}.emoticon-fist{background-position:-3237px 0}.emoticon-v{background-position:-3269px 0}.emoticon-wave{background-position:-3301px 0}.emoticon-hand{background-position:-3333px 0}.emoticon-raised_hand{background-position:-3365px 0}.emoticon-open_hands{background-position:-3397px 0}.emoticon-point_up{background-position:-3429px 0}.emoticon-point_down{background-position:-3461px 0}.emoticon-point_left{background-position:-3493px 0}.emoticon-point_right{background-position:-3525px 0}.emoticon-raised_hands{background-position:-3557px 0}.emoticon-pray{background-position:-3589px 0}.emoticon-point_up_2{background-position:-3621px 0}.emoticon-clap{background-position:-3653px 0}.emoticon-muscle{background-position:-3685px 0}.emoticon-metal{background-position:-3717px 0}.emoticon-fu{background-position:-3749px 0}.emoticon-walking{background-position:-3781px 0}.emoticon-runner{background-position:-3813px 0}.emoticon-running{background-position:-3845px 0}.emoticon-couple{background-position:-3877px 0}.emoticon-family{background-position:-3909px 0}.emoticon-two_men_holding_hands{background-position:-3941px 0}.emoticon-two_women_holding_hands{background-position:-3973px 0}.emoticon-dancer{background-position:-4005px 0}.emoticon-dancers{background-position:-4037px 0}.emoticon-ok_woman{background-position:-4069px 0}.emoticon-no_good{background-position:-4101px 0}.emoticon-information_desk_person{background-position:-4133px 0}.emoticon-raising_hand{background-position:-4165px 0}.emoticon-bride_with_veil{background-position:-4197px 0}.emoticon-person_with_pouting_face{background-position:-4229px 0}.emoticon-person_frowning{background-position:-4261px 0}.emoticon-bow{background-position:-4293px 0}.emoticon-couplekiss{background-position:-4325px 0}.emoticon-couple_with_heart{background-position:-4357px 0}.emoticon-massage{background-position:-4389px 0}.emoticon-haircut{background-position:-4421px 0}.emoticon-nail_care{background-position:-4453px 0}.emoticon-boy{background-position:-4485px 0}.emoticon-girl{background-position:-4517px 0}.emoticon-woman{background-position:-4549px 0}.emoticon-man{background-position:-4581px 0}.emoticon-baby{background-position:-4613px 0}.emoticon-older_woman{background-position:-4645px 0}.emoticon-older_man{background-position:-4677px 0}.emoticon-person_with_blond_hair{background-position:-4709px 0}.emoticon-man_with_gua_pi_mao{background-position:-4741px 0}.emoticon-man_with_turban{background-position:-4773px 0}.emoticon-construction_worker{background-position:-4805px 0}.emoticon-cop{background-position:-4837px 0}.emoticon-angel{background-position:-4869px 0}.emoticon-princess{background-position:-4901px 0}.emoticon-emoticon_cat{background-position:-4933px 0}.emoticon-smile_cat{background-position:-4965px 0}.emoticon-heart_eyes_cat{background-position:-4997px 0}.emoticon-kissing_cat{background-position:-5029px 0}.emoticon-smirk_cat{background-position:-5061px 0}.emoticon-scream_cat{background-position:-5093px 0}.emoticon-crying_cat_face{background-position:-5125px 0}.emoticon-joy_cat{background-position:-5157px 0}.emoticon-pouting_cat{background-position:-5189px 0}.emoticon-japanese_ogre{background-position:-5221px 0}.emoticon-japanese_goblin{background-position:-5253px 0}.emoticon-see_no_evil{background-position:-5285px 0}.emoticon-hear_no_evil{background-position:-5317px 0}.emoticon-speak_no_evil{background-position:-5349px 0}.emoticon-guardsman{background-position:-5381px 0}.emoticon-skull{background-position:-5413px 0}.emoticon-feet{background-position:-5445px 0}.emoticon-lips{background-position:-5477px 0}.emoticon-kiss{background-position:-5509px 0}.emoticon-droplet{background-position:-5541px 0}.emoticon-ear{background-position:-5573px 0}.emoticon-eyes{background-position:-5605px 0}.emoticon-nose{background-position:-5637px 0}.emoticon-tongue{background-position:-5669px 0}.emoticon-love_letter{background-position:-5701px 0}.emoticon-bust_in_silhouette{background-position:-5733px 0}.emoticon-busts_in_silhouette{background-position:-5765px 0}.emoticon-speech_balloon{background-position:-5797px 0}.emoticon-thought_balloon{background-position:-5829px 0}.emoticon-feelsgood{background-position:-5861px 0}.emoticon-finnadie{background-position:-5893px 0}.emoticon-goberserk{background-position:-5925px 0}.emoticon-godmode{background-position:-5957px 0}.emoticon-hurtrealbad{background-position:-5989px 0}.emoticon-rage1{background-position:-6021px 0}.emoticon-rage2{background-position:-6053px 0}.emoticon-rage3{background-position:-6085px 0}.emoticon-rage4{background-position:-6117px 0}.emoticon-suspect{background-position:-6149px 0}.emoticon-trollface{background-position:-6181px 0}.emoticon-sunny{background-position:-6213px 0}.emoticon-umbrella{background-position:-6245px 0}.emoticon-cloud{background-position:-6277px 0}.emoticon-snowflake{background-position:-6309px 0}.emoticon-snowman{background-position:-6341px 0}.emoticon-zap{background-position:-6373px 0}.emoticon-cyclone{background-position:-6405px 0}.emoticon-foggy{background-position:-6437px 0}.emoticon-ocean{background-position:-6469px 0}.emoticon-cat{background-position:-6501px 0}.emoticon-dog{background-position:-6533px 0}.emoticon-mouse{background-position:-6565px 0}.emoticon-hamster{background-position:-6597px 0}.emoticon-rabbit{background-position:-6629px 0}.emoticon-wolf{background-position:-6661px 0}.emoticon-frog{background-position:-6693px 0}.emoticon-tiger{background-position:-6725px 0}.emoticon-koala{background-position:-6757px 0}.emoticon-bear{background-position:-6789px 0}.emoticon-pig{background-position:-6821px 0}.emoticon-pig_nose{background-position:-6853px 0}.emoticon-cow{background-position:-6885px 0}.emoticon-boar{background-position:-6917px 0}.emoticon-monkey_face{background-position:-6949px 0}.emoticon-monkey{background-position:-6981px 0}.emoticon-horse{background-position:-7013px 0}.emoticon-racehorse{background-position:-7045px 0}.emoticon-camel{background-position:-7077px 0}.emoticon-sheep{background-position:-7109px 0}.emoticon-elephant{background-position:-7141px 0}.emoticon-panda_face{background-position:-7173px 0}.emoticon-snake{background-position:-7205px 0}.emoticon-bird{background-position:-7237px 0}.emoticon-baby_chick{background-position:-7269px 0}.emoticon-hatched_chick{background-position:-7301px 0}.emoticon-hatching_chick{background-position:-7333px 0}.emoticon-chicken{background-position:-7365px 0}.emoticon-penguin{background-position:-7397px 0}.emoticon-turtle{background-position:-7429px 0}.emoticon-bug{background-position:-7461px 0}.emoticon-honeybee{background-position:-7493px 0}.emoticon-ant{background-position:-7525px 0}.emoticon-beetle{background-position:-7557px 0}.emoticon-snail{background-position:-7589px 0}.emoticon-octopus{background-position:-7621px 0}.emoticon-tropical_fish{background-position:-7653px 0}.emoticon-fish{background-position:-7685px 0}.emoticon-whale{background-position:-7717px 0}.emoticon-whale2{background-position:-7749px 0}.emoticon-dolphin{background-position:-7781px 0}.emoticon-cow2{background-position:-7813px 0}.emoticon-ram{background-position:-7845px 0}.emoticon-rat{background-position:-7877px 0}.emoticon-water_buffalo{background-position:-7909px 0}.emoticon-tiger2{background-position:-7941px 0}.emoticon-rabbit2{background-position:-7973px 0}.emoticon-dragon{background-position:-8005px 0}.emoticon-goat{background-position:-8037px 0}.emoticon-rooster{background-position:-8069px 0}.emoticon-dog2{background-position:-8101px 0}.emoticon-pig2{background-position:-8133px 0}.emoticon-mouse2{background-position:-8165px 0}.emoticon-ox{background-position:-8197px 0}.emoticon-dragon_face{background-position:-8229px 0}.emoticon-blowfish{background-position:-8261px 0}.emoticon-crocodile{background-position:-8293px 0}.emoticon-dromedary_camel{background-position:-8325px 0}.emoticon-leopard{background-position:-8357px 0}.emoticon-cat2{background-position:-8389px 0}.emoticon-poodle{background-position:-8421px 0}.emoticon-paw_prints{background-position:-8453px 0}.emoticon-bouquet{background-position:-8485px 0}.emoticon-cherry_blossom{background-position:-8517px 0}.emoticon-tulip{background-position:-8549px 0}.emoticon-four_leaf_clover{background-position:-8581px 0}.emoticon-rose{background-position:-8613px 0}.emoticon-sunflower{background-position:-8645px 0}.emoticon-hibiscus{background-position:-8677px 0}.emoticon-maple_leaf{background-position:-8709px 0}.emoticon-leaves{background-position:-8741px 0}.emoticon-fallen_leaf{background-position:-8773px 0}.emoticon-herb{background-position:-8805px 0}.emoticon-mushroom{background-position:-8837px 0}.emoticon-cactus{background-position:-8869px 0}.emoticon-palm_tree{background-position:-8901px 0}.emoticon-evergreen_tree{background-position:-8933px 0}.emoticon-deciduous_tree{background-position:-8965px 0}.emoticon-chestnut{background-position:-8997px 0}.emoticon-seedling{background-position:-9029px 0}.emoticon-blossom{background-position:-9061px 0}.emoticon-ear_of_rice{background-position:-9093px 0}.emoticon-shell{background-position:-9125px 0}.emoticon-globe_with_meridians{background-position:-9157px 0}.emoticon-sun_with_face{background-position:-9189px 0}.emoticon-full_moon_with_face{background-position:-9221px 0}.emoticon-new_moon_with_face{background-position:-9253px 0}.emoticon-new_moon{background-position:-9285px 0}.emoticon-waxing_crescent_moon{background-position:-9317px 0}.emoticon-first_quarter_moon{background-position:-9349px 0}.emoticon-waxing_gibbous_moon{background-position:-9381px 0}.emoticon-full_moon{background-position:-9413px 0}.emoticon-waning_gibbous_moon{background-position:-9445px 0}.emoticon-last_quarter_moon{background-position:-9477px 0}.emoticon-waning_crescent_moon{background-position:-9509px 0}.emoticon-last_quarter_moon_with_face{background-position:-9541px 0}.emoticon-first_quarter_moon_with_face{background-position:-9573px 0}.emoticon-moon{background-position:-9605px 0}.emoticon-earth_africa{background-position:-9637px 0}.emoticon-earth_americas{background-position:-9669px 0}.emoticon-earth_asia{background-position:-9701px 0}.emoticon-volcano{background-position:-9733px 0}.emoticon-milky_way{background-position:-9765px 0}.emoticon-partly_sunny{background-position:-9797px 0}.emoticon-octocat{background-position:-9829px 0}.emoticon-squirrel{background-position:-9861px 0}.emoticon-bamboo{background-position:-9893px 0}.emoticon-gift_heart{background-position:-9925px 0}.emoticon-dolls{background-position:-9957px 0}.emoticon-school_satchel{background-position:-9989px 0}.emoticon-mortar_board{background-position:-10021px 0}.emoticon-flags{background-position:-10053px 0}.emoticon-fireworks{background-position:-10085px 0}.emoticon-sparkler{background-position:-10117px 0}.emoticon-wind_chime{background-position:-10149px 0}.emoticon-rice_scene{background-position:-10181px 0}.emoticon-jack_o_lantern{background-position:-10213px 0}.emoticon-ghost{background-position:-10245px 0}.emoticon-santa{background-position:-10277px 0}.emoticon-christmas_tree{background-position:-10309px 0}.emoticon-gift{background-position:-10341px 0}.emoticon-bell{background-position:-10373px 0}.emoticon-no_bell{background-position:-10405px 0}.emoticon-tanabata_tree{background-position:-10437px 0}.emoticon-tada{background-position:-10469px 0}.emoticon-confetti_ball{background-position:-10501px 0}.emoticon-balloon{background-position:-10533px 0}.emoticon-crystal_ball{background-position:-10565px 0}.emoticon-cd{background-position:-10597px 0}.emoticon-dvd{background-position:-10629px 0}.emoticon-floppy_disk{background-position:-10661px 0}.emoticon-camera{background-position:-10693px 0}.emoticon-video_camera{background-position:-10725px 0}.emoticon-movie_camera{background-position:-10757px 0}.emoticon-computer{background-position:-10789px 0}.emoticon-tv{background-position:-10821px 0}.emoticon-iphone{background-position:-10853px 0}.emoticon-phone{background-position:-10885px 0}.emoticon-telephone{background-position:-10917px 0}.emoticon-telephone_receiver{background-position:-10949px 0}.emoticon-pager{background-position:-10981px 0}.emoticon-fax{background-position:-11013px 0}.emoticon-minidisc{background-position:-11045px 0}.emoticon-vhs{background-position:-11077px 0}.emoticon-sound{background-position:-11109px 0}.emoticon-speaker{background-position:-11141px 0}.emoticon-mute{background-position:-11173px 0}.emoticon-loudspeaker{background-position:-11205px 0}.emoticon-mega{background-position:-11237px 0}.emoticon-hourglass{background-position:-11269px 0}.emoticon-hourglass_flowing_sand{background-position:-11301px 0}.emoticon-alarm_clock{background-position:-11333px 0}.emoticon-watch{background-position:-11365px 0}.emoticon-radio{background-position:-11397px 0}.emoticon-satellite{background-position:-11429px 0}.emoticon-loop{background-position:-11461px 0}.emoticon-mag{background-position:-11493px 0}.emoticon-mag_right{background-position:-11525px 0}.emoticon-unlock{background-position:-11557px 0}.emoticon-lock{background-position:-11589px 0}.emoticon-lock_with_ink_pen{background-position:-11621px 0}.emoticon-closed_lock_with_key{background-position:-11653px 0}.emoticon-key{background-position:-11685px 0}.emoticon-bulb{background-position:-11717px 0}.emoticon-flashlight{background-position:-11749px 0}.emoticon-high_brightness{background-position:-11781px 0}.emoticon-low_brightness{background-position:-11813px 0}.emoticon-electric_plug{background-position:-11845px 0}.emoticon-battery{background-position:-11877px 0}.emoticon-calling{background-position:-11909px 0}.emoticon-email{background-position:-11941px 0}.emoticon-mailbox{background-position:-11973px 0}.emoticon-postbox{background-position:-12005px 0}.emoticon-bath{background-position:-12037px 0}.emoticon-bathtub{background-position:-12069px 0}.emoticon-shower{background-position:-12101px 0}.emoticon-toilet{background-position:-12133px 0}.emoticon-wrench{background-position:-12165px 0}.emoticon-nut_and_bolt{background-position:-12197px 0}.emoticon-hammer{background-position:-12229px 0}.emoticon-seat{background-position:-12261px 0}.emoticon-moneybag{background-position:-12293px 0}.emoticon-yen{background-position:-12325px 0}.emoticon-dollar{background-position:-12357px 0}.emoticon-pound{background-position:-12389px 0}.emoticon-euro{background-position:-12421px 0}.emoticon-credit_card{background-position:-12453px 0}.emoticon-money_with_wings{background-position:-12485px 0}.emoticon-e\-mail{background-position:-12517px 0}.emoticon-inbox_tray{background-position:-12549px 0}.emoticon-outbox_tray{background-position:-12581px 0}.emoticon-envelope{background-position:-12613px 0}.emoticon-incoming_envelope{background-position:-12645px 0}.emoticon-postal_horn{background-position:-12677px 0}.emoticon-mailbox_closed{background-position:-12709px 0}.emoticon-mailbox_with_mail{background-position:-12741px 0}.emoticon-mailbox_with_no_mail{background-position:-12773px 0}.emoticon-door{background-position:-12805px 0}.emoticon-smoking{background-position:-12837px 0}.emoticon-bomb{background-position:-12869px 0}.emoticon-gun{background-position:-12901px 0}.emoticon-hocho{background-position:-12933px 0}.emoticon-pill{background-position:-12965px 0}.emoticon-syringe{background-position:-12997px 0}.emoticon-page_facing_up{background-position:-13029px 0}.emoticon-page_with_curl{background-position:-13061px 0}.emoticon-bookmark_tabs{background-position:-13093px 0}.emoticon-bar_chart{background-position:-13125px 0}.emoticon-chart_with_upwards_trend{background-position:-13157px 0}.emoticon-chart_with_downwards_trend{background-position:-13189px 0}.emoticon-scroll{background-position:-13221px 0}.emoticon-clipboard{background-position:-13253px 0}.emoticon-calendar{background-position:-13285px 0}.emoticon-date{background-position:-13317px 0}.emoticon-card_index{background-position:-13349px 0}.emoticon-file_folder{background-position:-13381px 0}.emoticon-open_file_folder{background-position:-13413px 0}.emoticon-scissors{background-position:-13445px 0}.emoticon-pushpin{background-position:-13477px 0}.emoticon-paperclip{background-position:-13509px 0}.emoticon-black_nib{background-position:-13541px 0}.emoticon-pencil2{background-position:-13573px 0}.emoticon-straight_ruler{background-position:-13605px 0}.emoticon-triangular_ruler{background-position:-13637px 0}.emoticon-closed_book{background-position:-13669px 0}.emoticon-green_book{background-position:-13701px 0}.emoticon-blue_book{background-position:-13733px 0}.emoticon-orange_book{background-position:-13765px 0}.emoticon-notebook{background-position:-13797px 0}.emoticon-notebook_with_decorative_cover{background-position:-13829px 0}.emoticon-ledger{background-position:-13861px 0}.emoticon-books{background-position:-13893px 0}.emoticon-bookmark{background-position:-13925px 0}.emoticon-name_badge{background-position:-13957px 0}.emoticon-microscope{background-position:-13989px 0}.emoticon-telescope{background-position:-14021px 0}.emoticon-newspaper{background-position:-14053px 0}.emoticon-football{background-position:-14085px 0}.emoticon-basketball{background-position:-14117px 0}.emoticon-soccer{background-position:-14149px 0}.emoticon-baseball{background-position:-14181px 0}.emoticon-tennis{background-position:-14213px 0}.emoticon-8ball{background-position:-14245px 0}.emoticon-rugby_football{background-position:-14277px 0}.emoticon-bowling{background-position:-14309px 0}.emoticon-golf{background-position:-14341px 0}.emoticon-mountain_bicyclist{background-position:-14373px 0}.emoticon-bicyclist{background-position:-14405px 0}.emoticon-horse_racing{background-position:-14437px 0}.emoticon-snowboarder{background-position:-14469px 0}.emoticon-swimmer{background-position:-14501px 0}.emoticon-surfer{background-position:-14533px 0}.emoticon-ski{background-position:-14565px 0}.emoticon-spades{background-position:-14597px 0}.emoticon-hearts{background-position:-14629px 0}.emoticon-clubs{background-position:-14661px 0}.emoticon-diamonds{background-position:-14693px 0}.emoticon-gem{background-position:-14725px 0}.emoticon-ring{background-position:-14757px 0}.emoticon-trophy{background-position:-14789px 0}.emoticon-musical_score{background-position:-14821px 0}.emoticon-musical_keyboard{background-position:-14853px 0}.emoticon-violin{background-position:-14885px 0}.emoticon-space_invader{background-position:-14917px 0}.emoticon-video_game{background-position:-14949px 0}.emoticon-black_joker{background-position:-14981px 0}.emoticon-flower_playing_cards{background-position:-15013px 0}.emoticon-game_die{background-position:-15045px 0}.emoticon-dart{background-position:-15077px 0}.emoticon-mahjong{background-position:-15109px 0}.emoticon-clapper{background-position:-15141px 0}.emoticon-memo{background-position:-15173px 0}.emoticon-pencil{background-position:-15205px 0}.emoticon-book{background-position:-15237px 0}.emoticon-art{background-position:-15269px 0}.emoticon-microphone{background-position:-15301px 0}.emoticon-headphones{background-position:-15333px 0}.emoticon-trumpet{background-position:-15365px 0}.emoticon-saxophone{background-position:-15397px 0}.emoticon-guitar{background-position:-15429px 0}.emoticon-shoe{background-position:-15461px 0}.emoticon-sandal{background-position:-15493px 0}.emoticon-high_heel{background-position:-15525px 0}.emoticon-lipstick{background-position:-15557px 0}.emoticon-boot{background-position:-15589px 0}.emoticon-shirt{background-position:-15621px 0}.emoticon-tshirt{background-position:-15653px 0}.emoticon-necktie{background-position:-15685px 0}.emoticon-womans_clothes{background-position:-15717px 0}.emoticon-dress{background-position:-15749px 0}.emoticon-running_shirt_with_sash{background-position:-15781px 0}.emoticon-jeans{background-position:-15813px 0}.emoticon-kimono{background-position:-15845px 0}.emoticon-bikini{background-position:-15877px 0}.emoticon-ribbon{background-position:-15909px 0}.emoticon-tophat{background-position:-15941px 0}.emoticon-crown{background-position:-15973px 0}.emoticon-womans_hat{background-position:-16005px 0}.emoticon-mans_shoe{background-position:-16037px 0}.emoticon-closed_umbrella{background-position:-16069px 0}.emoticon-briefcase{background-position:-16101px 0}.emoticon-handbag{background-position:-16133px 0}.emoticon-pouch{background-position:-16165px 0}.emoticon-purse{background-position:-16197px 0}.emoticon-eyeglasses{background-position:-16229px 0}.emoticon-fishing_pole_and_fish{background-position:-16261px 0}.emoticon-coffee{background-position:-16293px 0}.emoticon-tea{background-position:-16325px 0}.emoticon-sake{background-position:-16357px 0}.emoticon-baby_bottle{background-position:-16389px 0}.emoticon-beer{background-position:-16421px 0}.emoticon-beers{background-position:-16453px 0}.emoticon-cocktail{background-position:-16485px 0}.emoticon-tropical_drink{background-position:-16517px 0}.emoticon-wine_glass{background-position:-16549px 0}.emoticon-fork_and_knife{background-position:-16581px 0}.emoticon-pizza{background-position:-16613px 0}.emoticon-hamburger{background-position:-16645px 0}.emoticon-fries{background-position:-16677px 0}.emoticon-poultry_leg{background-position:-16709px 0}.emoticon-meat_on_bone{background-position:-16741px 0}.emoticon-spaghetti{background-position:-16773px 0}.emoticon-curry{background-position:-16805px 0}.emoticon-fried_shrimp{background-position:-16837px 0}.emoticon-bento{background-position:-16869px 0}.emoticon-sushi{background-position:-16901px 0}.emoticon-fish_cake{background-position:-16933px 0}.emoticon-rice_ball{background-position:-16965px 0}.emoticon-rice_cracker{background-position:-16997px 0}.emoticon-rice{background-position:-17029px 0}.emoticon-ramen{background-position:-17061px 0}.emoticon-stew{background-position:-17093px 0}.emoticon-oden{background-position:-17125px 0}.emoticon-dango{background-position:-17157px 0}.emoticon-egg{background-position:-17189px 0}.emoticon-bread{background-position:-17221px 0}.emoticon-doughnut{background-position:-17253px 0}.emoticon-custard{background-position:-17285px 0}.emoticon-icecream{background-position:-17317px 0}.emoticon-ice_cream{background-position:-17349px 0}.emoticon-shaved_ice{background-position:-17381px 0}.emoticon-birthday{background-position:-17413px 0}.emoticon-cake{background-position:-17445px 0}.emoticon-cookie{background-position:-17477px 0}.emoticon-chocolate_bar{background-position:-17509px 0}.emoticon-candy{background-position:-17541px 0}.emoticon-lollipop{background-position:-17573px 0}.emoticon-honey_pot{background-position:-17605px 0}.emoticon-apple{background-position:-17637px 0}.emoticon-green_apple{background-position:-17669px 0}.emoticon-tangerine{background-position:-17701px 0}.emoticon-lemon{background-position:-17733px 0}.emoticon-cherries{background-position:-17765px 0}.emoticon-grapes{background-position:-17797px 0}.emoticon-watermelon{background-position:-17829px 0}.emoticon-strawberry{background-position:-17861px 0}.emoticon-peach{background-position:-17893px 0}.emoticon-melon{background-position:-17925px 0}.emoticon-banana{background-position:-17957px 0}.emoticon-pear{background-position:-17989px 0}.emoticon-pineapple{background-position:-18021px 0}.emoticon-sweet_potato{background-position:-18053px 0}.emoticon-eggplant{background-position:-18085px 0}.emoticon-tomato{background-position:-18117px 0}.emoticon-corn{background-position:-18149px 0}.emoticon-house{background-position:-18181px 0}.emoticon-house_with_garden{background-position:-18213px 0}.emoticon-school{background-position:-18245px 0}.emoticon-office{background-position:-18277px 0}.emoticon-post_office{background-position:-18309px 0}.emoticon-hospital{background-position:-18341px 0}.emoticon-bank{background-position:-18373px 0}.emoticon-convenience_store{background-position:-18405px 0}.emoticon-love_hotel{background-position:-18437px 0}.emoticon-hotel{background-position:-18469px 0}.emoticon-wedding{background-position:-18501px 0}.emoticon-church{background-position:-18533px 0}.emoticon-department_store{background-position:-18565px 0}.emoticon-european_post_office{background-position:-18597px 0}.emoticon-city_sunrise{background-position:-18629px 0}.emoticon-city_sunset{background-position:-18661px 0}.emoticon-japanese_castle{background-position:-18693px 0}.emoticon-european_castle{background-position:-18725px 0}.emoticon-tent{background-position:-18757px 0}.emoticon-factory{background-position:-18789px 0}.emoticon-tokyo_tower{background-position:-18821px 0}.emoticon-japan{background-position:-18853px 0}.emoticon-mount_fuji{background-position:-18885px 0}.emoticon-sunrise_over_mountains{background-position:-18917px 0}.emoticon-sunrise{background-position:-18949px 0}.emoticon-stars,.emoticon-themoreyouknow,.emoticon-tmyk{background-position:-18981px 0}.emoticon-statue_of_liberty{background-position:-19013px 0}.emoticon-bridge_at_night{background-position:-19045px 0}.emoticon-carousel_horse{background-position:-19077px 0}.emoticon-rainbow{background-position:-19109px 0}.emoticon-ferris_wheel{background-position:-19141px 0}.emoticon-fountain{background-position:-19173px 0}.emoticon-roller_coaster{background-position:-19205px 0}.emoticon-ship{background-position:-19237px 0}.emoticon-speedboat{background-position:-19269px 0}.emoticon-boat{background-position:-19301px 0}.emoticon-sailboat{background-position:-19333px 0}.emoticon-rowboat{background-position:-19365px 0}.emoticon-anchor{background-position:-19397px 0}.emoticon-rocket{background-position:-19429px 0}.emoticon-airplane{background-position:-19461px 0}.emoticon-helicopter{background-position:-19493px 0}.emoticon-steam_locomotive{background-position:-19525px 0}.emoticon-tram{background-position:-19557px 0}.emoticon-mountain_railway{background-position:-19589px 0}.emoticon-bike{background-position:-19621px 0}.emoticon-aerial_tramway{background-position:-19653px 0}.emoticon-suspension_railway{background-position:-19685px 0}.emoticon-mountain_cableway{background-position:-19717px 0}.emoticon-tractor{background-position:-19749px 0}.emoticon-blue_car{background-position:-19781px 0}.emoticon-oncoming_automobile{background-position:-19813px 0}.emoticon-car{background-position:-19845px 0}.emoticon-red_car{background-position:-19877px 0}.emoticon-taxi{background-position:-19909px 0}.emoticon-oncoming_taxi{background-position:-19941px 0}.emoticon-articulated_lorry{background-position:-19973px 0}.emoticon-bus{background-position:-20005px 0}.emoticon-oncoming_bus{background-position:-20037px 0}.emoticon-rotating_light{background-position:-20069px 0}.emoticon-police_car{background-position:-20101px 0}.emoticon-oncoming_police_car{background-position:-20133px 0}.emoticon-fire_engine{background-position:-20165px 0}.emoticon-ambulance{background-position:-20197px 0}.emoticon-minibus{background-position:-20229px 0}.emoticon-truck{background-position:-20261px 0}.emoticon-train{background-position:-20293px 0}.emoticon-station{background-position:-20325px 0}.emoticon-train2{background-position:-20357px 0}.emoticon-bullettrain_front{background-position:-20389px 0}.emoticon-bullettrain_side{background-position:-20421px 0}.emoticon-light_rail{background-position:-20453px 0}.emoticon-monorail{background-position:-20485px 0}.emoticon-railway_car{background-position:-20517px 0}.emoticon-trolleybus{background-position:-20549px 0}.emoticon-ticket{background-position:-20581px 0}.emoticon-fuelpump{background-position:-20613px 0}.emoticon-vertical_traffic_light{background-position:-20645px 0}.emoticon-traffic_light{background-position:-20677px 0}.emoticon-warning{background-position:-20709px 0}.emoticon-construction{background-position:-20741px 0}.emoticon-beginner{background-position:-20773px 0}.emoticon-atm{background-position:-20805px 0}.emoticon-slot_machine{background-position:-20837px 0}.emoticon-busstop{background-position:-20869px 0}.emoticon-barber{background-position:-20901px 0}.emoticon-hotsprings{background-position:-20933px 0}.emoticon-checkered_flag{background-position:-20965px 0}.emoticon-crossed_flags{background-position:-20997px 0}.emoticon-izakaya_lantern{background-position:-21029px 0}.emoticon-moyai{background-position:-21061px 0}.emoticon-circus_tent{background-position:-21093px 0}.emoticon-performing_arts{background-position:-21125px 0}.emoticon-round_pushpin{background-position:-21157px 0}.emoticon-triangular_flag_on_post{background-position:-21189px 0}.emoticon-jp{background-position:-21221px 0}.emoticon-kr{background-position:-21253px 0}.emoticon-cn{background-position:-21285px 0}.emoticon-us{background-position:-21317px 0}.emoticon-fr{background-position:-21349px 0}.emoticon-es{background-position:-21381px 0}.emoticon-it{background-position:-21413px 0}.emoticon-ru{background-position:-21445px 0}.emoticon-gb{background-position:-21477px 0}.emoticon-uk{background-position:-21509px 0}.emoticon-de{background-position:-21541px 0}.emoticon-one{background-position:-21573px 0}.emoticon-two{background-position:-21605px 0}.emoticon-three{background-position:-21637px 0}.emoticon-four{background-position:-21669px 0}.emoticon-five{background-position:-21701px 0}.emoticon-six{background-position:-21733px 0}.emoticon-seven{background-position:-21765px 0}.emoticon-eight{background-position:-21797px 0}.emoticon-nine{background-position:-21829px 0}.emoticon-keycap_ten{background-position:-21861px 0}.emoticon-1234{background-position:-21893px 0}.emoticon-zero{background-position:-21925px 0}.emoticon-hash{background-position:-21957px 0}.emoticon-symbols{background-position:-21989px 0}.emoticon-arrow_backward{background-position:-22021px 0}.emoticon-arrow_down{background-position:-22053px 0}.emoticon-arrow_forward{background-position:-22085px 0}.emoticon-arrow_left{background-position:-22117px 0}.emoticon-capital_abcd{background-position:-22149px 0}.emoticon-abcd{background-position:-22181px 0}.emoticon-abc{background-position:-22213px 0}.emoticon-arrow_lower_left{background-position:-22245px 0}.emoticon-arrow_lower_right{background-position:-22277px 0}.emoticon-arrow_right{background-position:-22309px 0}.emoticon-arrow_up{background-position:-22341px 0}.emoticon-arrow_upper_left{background-position:-22373px 0}.emoticon-arrow_upper_right{background-position:-22405px 0}.emoticon-arrow_double_down{background-position:-22437px 0}.emoticon-arrow_double_up{background-position:-22469px 0}.emoticon-arrow_down_small{background-position:-22501px 0}.emoticon-arrow_heading_down{background-position:-22533px 0}.emoticon-arrow_heading_up{background-position:-22565px 0}.emoticon-leftwards_arrow_with_hook{background-position:-22597px 0}.emoticon-arrow_right_hook{background-position:-22629px 0}.emoticon-left_right_arrow{background-position:-22661px 0}.emoticon-arrow_up_down{background-position:-22693px 0}.emoticon-arrow_up_small{background-position:-22725px 0}.emoticon-arrows_clockwise{background-position:-22757px 0}.emoticon-arrows_counterclockwise{background-position:-22789px 0}.emoticon-rewind{background-position:-22821px 0}.emoticon-fast_forward{background-position:-22853px 0}.emoticon-information_source{background-position:-22885px 0}.emoticon-ok{background-position:-22917px 0}.emoticon-twisted_rightwards_arrows{background-position:-22949px 0}.emoticon-repeat{background-position:-22981px 0}.emoticon-repeat_one{background-position:-23013px 0}.emoticon-new{background-position:-23045px 0}.emoticon-top{background-position:-23077px 0}.emoticon-up{background-position:-23109px 0}.emoticon-cool{background-position:-23141px 0}.emoticon-free{background-position:-23173px 0}.emoticon-ng{background-position:-23205px 0}.emoticon-cinema{background-position:-23237px 0}.emoticon-koko{background-position:-23269px 0}.emoticon-signal_strength{background-position:-23301px 0}.emoticon-u5272{background-position:-23333px 0}.emoticon-u5408{background-position:-23365px 0}.emoticon-u55b6{background-position:-23397px 0}.emoticon-u6307{background-position:-23429px 0}.emoticon-u6708{background-position:-23461px 0}.emoticon-u6709{background-position:-23493px 0}.emoticon-u6e80{background-position:-23525px 0}.emoticon-u7121{background-position:-23557px 0}.emoticon-u7533{background-position:-23589px 0}.emoticon-u7a7a{background-position:-23621px 0}.emoticon-u7981{background-position:-23653px 0}.emoticon-sa{background-position:-23685px 0}.emoticon-restroom{background-position:-23717px 0}.emoticon-mens{background-position:-23749px 0}.emoticon-womens{background-position:-23781px 0}.emoticon-baby_symbol{background-position:-23813px 0}.emoticon-no_smoking{background-position:-23845px 0}.emoticon-parking{background-position:-23877px 0}.emoticon-wheelchair{background-position:-23909px 0}.emoticon-metro{background-position:-23941px 0}.emoticon-baggage_claim{background-position:-23973px 0}.emoticon-accept{background-position:-24005px 0}.emoticon-wc{background-position:-24037px 0}.emoticon-potable_water{background-position:-24069px 0}.emoticon-put_litter_in_its_place{background-position:-24101px 0}.emoticon-secret{background-position:-24133px 0}.emoticon-congratulations{background-position:-24165px 0}.emoticon-m{background-position:-24197px 0}.emoticon-passport_control{background-position:-24229px 0}.emoticon-left_luggage{background-position:-24261px 0}.emoticon-customs{background-position:-24293px 0}.emoticon-ideograph_advantage{background-position:-24325px 0}.emoticon-cl{background-position:-24357px 0}.emoticon-sos{background-position:-24389px 0}.emoticon-id{background-position:-24421px 0}.emoticon-no_entry_sign{background-position:-24453px 0}.emoticon-underage{background-position:-24485px 0}.emoticon-no_mobile_phones{background-position:-24517px 0}.emoticon-do_not_litter{background-position:-24549px 0}.emoticon-non\-potable_water{background-position:-24581px 0}.emoticon-no_bicycles{background-position:-24613px 0}.emoticon-no_pedestrians{background-position:-24645px 0}.emoticon-children_crossing{background-position:-24677px 0}.emoticon-no_entry{background-position:-24709px 0}.emoticon-eight_spoked_asterisk{background-position:-24741px 0}.emoticon-eight_pointed_black_star{background-position:-24773px 0}.emoticon-heart_decoration{background-position:-24805px 0}.emoticon-vs{background-position:-24837px 0}.emoticon-vibration_mode{background-position:-24869px 0}.emoticon-mobile_phone_off{background-position:-24901px 0}.emoticon-chart{background-position:-24933px 0}.emoticon-currency_exchange{background-position:-24965px 0}.emoticon-aries{background-position:-24997px 0}.emoticon-taurus{background-position:-25029px 0}.emoticon-gemini{background-position:-25061px 0}.emoticon-cancer{background-position:-25093px 0}.emoticon-leo{background-position:-25125px 0}.emoticon-virgo{background-position:-25157px 0}.emoticon-libra{background-position:-25189px 0}.emoticon-scorpius{background-position:-25221px 0}.emoticon-sagittarius{background-position:-25253px 0}.emoticon-capricorn{background-position:-25285px 0}.emoticon-aquarius{background-position:-25317px 0}.emoticon-pisces{background-position:-25349px 0}.emoticon-ophiuchus{background-position:-25381px 0}.emoticon-six_pointed_star{background-position:-25413px 0}.emoticon-negative_squared_cross_mark{background-position:-25445px 0}.emoticon-a{background-position:-25477px 0}.emoticon-b{background-position:-25509px 0}.emoticon-ab{background-position:-25541px 0}.emoticon-o2{background-position:-25573px 0}.emoticon-diamond_shape_with_a_dot_inside{background-position:-25605px 0}.emoticon-recycle{background-position:-25637px 0}.emoticon-end{background-position:-25669px 0}.emoticon-on{background-position:-25701px 0}.emoticon-soon{background-position:-25733px 0}.emoticon-clock1{background-position:-25765px 0}.emoticon-clock130{background-position:-25797px 0}.emoticon-clock10{background-position:-25829px 0}.emoticon-clock1030{background-position:-25861px 0}.emoticon-clock11{background-position:-25893px 0}.emoticon-clock1130{background-position:-25925px 0}.emoticon-clock12{background-position:-25957px 0}.emoticon-clock1230{background-position:-25989px 0}.emoticon-clock2{background-position:-26021px 0}.emoticon-clock230{background-position:-26053px 0}.emoticon-clock3{background-position:-26085px 0}.emoticon-clock330{background-position:-26117px 0}.emoticon-clock4{background-position:-26149px 0}.emoticon-clock430{background-position:-26181px 0}.emoticon-clock5{background-position:-26213px 0}.emoticon-clock530{background-position:-26245px 0}.emoticon-clock6{background-position:-26277px 0}.emoticon-clock630{background-position:-26309px 0}.emoticon-clock7{background-position:-26341px 0}.emoticon-clock730{background-position:-26373px 0}.emoticon-clock8{background-position:-26405px 0}.emoticon-clock830{background-position:-26437px 0}.emoticon-clock9{background-position:-26469px 0}.emoticon-clock930{background-position:-26501px 0}.emoticon-heavy_dollar_sign{background-position:-26533px 0}.emoticon-copyright{background-position:-26565px 0}.emoticon-registered{background-position:-26597px 0}.emoticon-tm{background-position:-26629px 0}.emoticon-x{background-position:-26661px 0}.emoticon-heavy_exclamation_mark{background-position:-26693px 0}.emoticon-bangbang{background-position:-26725px 0}.emoticon-interrobang{background-position:-26757px 0}.emoticon-o{background-position:-26789px 0}.emoticon-heavy_multiplication_x{background-position:-26821px 0}.emoticon-heavy_plus_sign{background-position:-26853px 0}.emoticon-heavy_minus_sign{background-position:-26885px 0}.emoticon-heavy_division_sign{background-position:-26917px 0}.emoticon-white_flower{background-position:-26949px 0}.emoticon-100{background-position:-26981px 0}.emoticon-heavy_check_mark{background-position:-27013px 0}.emoticon-ballot_box_with_check{background-position:-27045px 0}.emoticon-radio_button{background-position:-27077px 0}.emoticon-link{background-position:-27109px 0}.emoticon-curly_loop{background-position:-27141px 0}.emoticon-wavy_dash{background-position:-27173px 0}.emoticon-part_alternation_mark{background-position:-27205px 0}.emoticon-trident{background-position:-27237px 0}.emoticon-black_large_square{background-position:-27269px 0}.emoticon-white_large_square{background-position:-27301px 0}.emoticon-white_check_mark{background-position:-27333px 0}.emoticon-black_square{background-position:-27268px 0}.emoticon-white_square{background-position:-27301px 0}.emoticon-black_square_button{background-position:-27365px 0}.emoticon-white_square_button{background-position:-27397px 0}.emoticon-black_circle{background-position:-27429px 0}.emoticon-white_circle{background-position:-27461px 0}.emoticon-red_circle{background-position:-27493px 0}.emoticon-large_blue_circle{background-position:-27525px 0}.emoticon-large_blue_diamond{background-position:-27557px 0}.emoticon-large_orange_diamond{background-position:-27589px 0}.emoticon-small_blue_diamond{background-position:-27621px 0}.emoticon-small_orange_diamond{background-position:-27653px 0}.emoticon-small_red_triangle{background-position:-27685px 0}.emoticon-small_red_triangle_down{background-position:-27717px 0}.emoticon-shipit{background-position:-27749px 0}.hljs{display:block;overflow-x:auto;padding:.5em;background:#f0f0f0;-webkit-text-size-adjust:none}.hljs,.hljs-subst,.hljs-tag .hljs-title,.nginx .hljs-title{color:#000}.apache .hljs-cbracket,.apache .hljs-tag,.asciidoc .hljs-header,.bash .hljs-variable,.coffeescript .hljs-attribute,.django .hljs-variable,.erlang_repl .hljs-function_or_atom,.haml .hljs-symbol,.hljs-addition,.hljs-constant,.hljs-flow,.hljs-name,.hljs-parent,.hljs-pragma,.hljs-preprocessor,.hljs-rule .hljs-value,.hljs-stream,.hljs-string,.hljs-tag .hljs-value,.hljs-template_tag,.hljs-title,.markdown .hljs-header,.pf .hljs-variable,.ruby .hljs-symbol,.ruby .hljs-symbol .hljs-string,.smalltalk .hljs-class,.tex .hljs-command,.tex .hljs-special,.tp .hljs-variable{color:#800}.asciidoc .hljs-blockquote,.diff .hljs-header,.hljs-annotation,.hljs-chunk,.hljs-comment,.markdown .hljs-blockquote,.smartquote{color:#888}.asciidoc .hljs-bullet,.asciidoc .hljs-link_url,.go .hljs-constant,.hljs-change,.hljs-date,.hljs-hexcolor,.hljs-literal,.hljs-number,.hljs-regexp,.lasso .hljs-variable,.makefile .hljs-variable,.markdown .hljs-bullet,.markdown .hljs-link_url,.smalltalk .hljs-char,.smalltalk .hljs-symbol{color:#080}.apache .hljs-sqbracket,.asciidoc .hljs-attribute,.asciidoc .hljs-link_label,.clojure .hljs-attribute,.coffeescript .hljs-property,.erlang_repl .hljs-reserved,.haml .hljs-bullet,.hljs-array,.hljs-attr_selector,.hljs-decorator,.hljs-deletion,.hljs-doctype,.hljs-envvar,.hljs-filter .hljs-argument,.hljs-important,.hljs-label,.hljs-localvars,.hljs-phony,.hljs-pi,.hljs-prompt,.hljs-pseudo,.hljs-shebang,.lasso .hljs-attribute,.markdown .hljs-link_label,.nginx .hljs-built_in,.ruby .hljs-string,.tex .hljs-formula,.vhdl .hljs-attribute{color:#88f}.apache .hljs-tag,.asciidoc .hljs-strong,.bash .hljs-variable,.css .hljs-tag,.hljs-built_in,.hljs-doctag,.hljs-id,.hljs-keyword,.hljs-request,.hljs-status,.hljs-title,.hljs-type,.hljs-typename,.hljs-winutils,.markdown .hljs-strong,.pf .hljs-variable,.smalltalk .hljs-class,.tex .hljs-command,.tp .hljs-data,.tp .hljs-io{font-weight:700}.asciidoc .hljs-emphasis,.markdown .hljs-emphasis,.tp .hljs-units{font-style:italic}.nginx .hljs-built_in{font-weight:400}.coffeescript .javascript,.javascript .xml,.lasso .markup,.tex .hljs-formula,.xml .css,.xml .hljs-cdata,.xml .javascript,.xml .vbscript{opacity:.5}/*! - * Stylesheet for the Date Range Picker, for use with Bootstrap 3.x - * - * Copyright 2013 Dan Grossman ( http://www.dangrossman.info ) - * Licensed under the Apache License v2.0 - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Built for http://www.improvely.com - */.daterangepicker.dropdown-menu{max-width:none;z-index:3000}.daterangepicker.opensleft .calendar,.daterangepicker.opensleft .ranges{float:left;margin:4px}.daterangepicker.openscenter .calendar,.daterangepicker.openscenter .ranges,.daterangepicker.opensright .calendar,.daterangepicker.opensright .ranges{float:right;margin:4px}.daterangepicker.single .calendar,.daterangepicker.single .ranges{float:none}.daterangepicker .ranges .range_inputs>div,.daterangepicker_start_input,.scrollFiller,.ui-grid-cell,.ui-grid-grid-footer,.ui-grid-pager-container,.ui-grid-pager-control,.ui-grid-pager-row-count-picker{float:left}.daterangepicker .ranges{width:160px;text-align:left}.daterangepicker .ranges .range_inputs>div:nth-child(2){padding-left:11px}.daterangepicker .calendar{display:none;max-width:270px}.daterangepicker.show-calendar .calendar{display:block}.daterangepicker .calendar.single .calendar-date{border:none}.daterangepicker .calendar td,.daterangepicker .calendar th{font-family:'Helvetica Neue',Helvetica,Arial,sans-serif;white-space:nowrap;text-align:center;min-width:32px}.daterangepicker .daterangepicker_end_input label,.daterangepicker .daterangepicker_start_input label{color:#333;display:block;font-size:11px;font-weight:400;height:20px;line-height:20px;margin-bottom:2px;text-shadow:#fff 1px 1px 0;text-transform:uppercase;width:74px}.daterangepicker .ranges input{font-size:11px}.daterangepicker .ranges .input-mini{border:1px solid #ccc;border-radius:4px;color:#555;display:block;font-size:11px;height:30px;line-height:30px;vertical-align:middle;margin:0 0 10px;padding:0 6px;width:74px}.daterangepicker .ranges ul{list-style:none;margin:0;padding:0}.daterangepicker .ranges li{font-size:13px;background:#f5f5f5;border:1px solid #f5f5f5;color:#08c;padding:3px 12px;margin-bottom:8px;-webkit-border-radius:5px;-moz-border-radius:5px;border-radius:5px;cursor:pointer}.daterangepicker .ranges li.active,.daterangepicker .ranges li:hover{background:#08c;border:1px solid #08c;color:#fff}.daterangepicker .calendar-date{border:1px solid #ddd;padding:4px;border-radius:4px;background:#fff}.daterangepicker .calendar-time{text-align:center;margin:8px auto 0;line-height:30px}.daterangepicker{position:absolute;background:#fff;top:100px;left:20px;padding:4px;margin-top:1px;-webkit-border-radius:4px;-moz-border-radius:4px;border-radius:4px}.daterangepicker.openscenter:after,.daterangepicker.openscenter:before{left:0;width:0;margin-left:auto;margin-right:auto;display:inline-block;content:'';position:absolute}.daterangepicker.opensleft:before{position:absolute;top:-7px;right:9px;display:inline-block;border-right:7px solid transparent;border-bottom:7px solid #ccc;border-left:7px solid transparent;border-bottom-color:rgba(0,0,0,.2);content:''}.daterangepicker.opensleft:after{position:absolute;top:-6px;right:10px;display:inline-block;border-right:6px solid transparent;border-bottom:6px solid #fff;border-left:6px solid transparent;content:''}.daterangepicker.openscenter:before{top:-7px;right:0;border-right:7px solid transparent;border-bottom:7px solid #ccc;border-left:7px solid transparent;border-bottom-color:rgba(0,0,0,.2)}.daterangepicker.openscenter:after{top:-6px;right:0;border-right:6px solid transparent;border-bottom:6px solid #fff;border-left:6px solid transparent}.daterangepicker.opensright:before{position:absolute;top:-7px;left:9px;display:inline-block;border-right:7px solid transparent;border-bottom:7px solid #ccc;border-left:7px solid transparent;border-bottom-color:rgba(0,0,0,.2);content:''}.daterangepicker.opensright:after{position:absolute;top:-6px;left:10px;display:inline-block;border-right:6px solid transparent;border-bottom:6px solid #fff;border-left:6px solid transparent;content:''}.daterangepicker table{width:100%;margin:0}.daterangepicker td,.daterangepicker th{text-align:center;width:20px;height:20px;-webkit-border-radius:4px;-moz-border-radius:4px;border-radius:4px;cursor:pointer;white-space:nowrap}.daterangepicker option.disabled,.daterangepicker td.disabled,.daterangepicker td.off{color:#999}.daterangepicker td.available:hover,.daterangepicker th.available:hover{background:#eee}.daterangepicker td.in-range{background:#ebf4f8;-webkit-border-radius:0;-moz-border-radius:0;border-radius:0}.daterangepicker td.start-date{-webkit-border-radius:4px 0 0 4px;-moz-border-radius:4px 0 0 4px;border-radius:4px 0 0 4px}.daterangepicker td.end-date{-webkit-border-radius:0 4px 4px 0;-moz-border-radius:0 4px 4px 0;border-radius:0 4px 4px 0}.daterangepicker td.start-date.end-date{-webkit-border-radius:4px;-moz-border-radius:4px;border-radius:4px}.daterangepicker td.active,.daterangepicker td.active:hover{background-color:#357ebd;border-color:#3071a9;color:#fff}.daterangepicker td.week,.daterangepicker th.week{font-size:80%;color:#ccc}.daterangepicker select.monthselect,.daterangepicker select.yearselect{font-size:12px;padding:1px;height:auto;margin:0;cursor:default}.daterangepicker select.monthselect{margin-right:2%;width:56%}.daterangepicker select.yearselect{width:40%}.daterangepicker select.ampmselect,.daterangepicker select.hourselect,.daterangepicker select.minuteselect,.daterangepicker select.secondselect{width:50px;margin-bottom:0}.daterangepicker_end_input{float:left;padding-left:11px}.daterangepicker th.month{width:auto}.ng-cloak,.x-ng-cloak,[data-ng-cloak],[ng-cloak],[ng\:cloak],[x-ng-cloak]{display:none!important}.adf-move{cursor:move;cursor:-webkit-grabbing}.edit .column{min-height:120px;border:1px dashed #ccc;padding-top:15px;-webkit-border-radius:5px;-moz-border-radius:5px;border-radius:5px}pre.edit{margin-top:15px}.column .placeholder{opacity:.4;background:#E8E8E8;border:1px dashed #505050;margin-bottom:15px;-webkit-border-radius:5px;-moz-border-radius:5px;border-radius:5px}.dashboard-container h1 a,.widget h3 a{text-decoration:none}.padding-bottom{padding-bottom:5px}.adf-flip{-moz-transform:scaleX(-1);-o-transform:scaleX(-1);-webkit-transform:scaleX(-1);transform:scaleX(-1);filter:FlipH;-ms-filter:"FlipH"}.ui-grid-top-panel,.ui-grid-top-panel-background{filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#ffffff', endColorstr='#eeeeee', GradientType=0)}.dashboard-modal.widget-fullscreen .modal-dialog{width:98%}.clock{text-align:center}.clock-time{font-size:3em}.clock-date{font-size:1em}iframe{width:100%;height:100%;min-height:400px;border:1px solid grey}/*! - * ui-grid - v3.1.1 - 2016-02-09 - * Copyright (c) 2016 ; License: MIT - */.ui-grid{border:1px solid #d4d4d4;box-sizing:content-box;-webkit-border-radius:0;-moz-border-radius:0;border-radius:0;-webkit-transform:translateZ(0);-moz-transform:translateZ(0);-o-transform:translateZ(0);-ms-transform:translateZ(0);transform:translateZ(0)}.ui-grid-vertical-bar{position:absolute;right:0;width:0}.ui-grid-scrollbar-placeholder{background-color:transparent}.ui-grid-cell:not(:last-child) .ui-grid-vertical-bar,.ui-grid-header-cell:not(:last-child) .ui-grid-vertical-bar{width:1px;background-color:#d4d4d4}.ui-grid-header-cell:last-child .ui-grid-vertical-bar{right:-1px;width:1px;background-color:#d4d4d4}.ui-grid-clearfix:after,.ui-grid-clearfix:before{content:"";display:table}.ui-grid-invisible{visibility:hidden}.ui-grid-contents-wrapper{position:relative;height:100%;width:100%}.ui-grid-sr-only{position:absolute;width:1px;height:1px;margin:-1px;padding:0;overflow:hidden;clip:rect(0,0,0,0);border:0}.ui-grid-top-panel-background{background:#f3f3f3;background:-webkit-gradient(linear,left bottom,left top,color-stop(0,#eee),color-stop(1,#fff));background:-ms-linear-gradient(bottom,#eee,#fff);background:-moz-linear-gradient(center bottom,#eee 0,#fff 100%);background:-o-linear-gradient(#fff,#eee)}.ui-grid-header{border-bottom:1px solid #d4d4d4}.ui-grid-top-panel{position:relative;overflow:hidden;font-weight:700;background:#f3f3f3;background:-webkit-gradient(linear,left bottom,left top,color-stop(0,#eee),color-stop(1,#fff));background:-ms-linear-gradient(bottom,#eee,#fff);background:-moz-linear-gradient(center bottom,#eee 0,#fff 100%);background:-o-linear-gradient(#fff,#eee);-moz-border-radius-topright:-1px;-moz-border-radius-bottomright:0;-moz-border-radius-bottomleft:0;-moz-border-radius-topleft:-1px;-moz-background-clip:padding-box;-webkit-background-clip:padding-box;background-clip:padding-box;-webkit-border-radius:-1px -1px 0 0;border-radius:-1px -1px 0 0}.ui-grid-header-viewport{overflow:hidden}.ui-grid-header-canvas:after,.ui-grid-header-canvas:before{content:"";display:table;line-height:0}.ui-grid-header-cell-wrapper{position:relative;display:table;box-sizing:border-box;height:100%}.ui-grid-header-cell-row{display:table-row;position:relative}.ui-grid-header-cell{position:relative;background-color:inherit;border-right:1px solid;border-color:#d4d4d4;display:table-cell;-webkit-user-select:none;-moz-user-select:none;-ms-user-select:none;user-select:none;width:0}.expandableRow .ui-grid-row:nth-child(odd) .ui-grid-cell,.ui-grid-row:nth-child(odd) .ui-grid-cell{background-color:#fdfdfd}.ui-grid-header-cell:last-child{border-right:0}.ui-grid-header-cell .sortable{cursor:pointer}.ui-grid-header-cell .ui-grid-sort-priority-number{margin-left:-8px}.ui-grid-header .ui-grid-vertical-bar{top:0;bottom:0}.ui-grid-column-menu-button{position:absolute;right:1px;top:0}.ui-grid-column-menu-button .ui-grid-icon-angle-down{vertical-align:sub}.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button,.ui-grid-pager-control button{vertical-align:middle;touch-action:manipulation;white-space:nowrap;-webkit-user-select:none;-moz-user-select:none}.ui-grid-column-menu-button-last-col{margin-right:25px}.ui-grid-column-menu{position:absolute}.ui-grid-column-menu .ui-grid-menu .ui-grid-menu-mid.ng-hide-add,.ui-grid-column-menu .ui-grid-menu .ui-grid-menu-mid.ng-hide-remove{-webkit-transition:all 50ms linear;-moz-transition:all 50ms linear;-o-transition:all 50ms linear;transition:all 50ms linear;display:block!important}.ui-grid-column-menu .ui-grid-menu .ui-grid-menu-mid.ng-hide-add.ng-hide-add-active,.ui-grid-column-menu .ui-grid-menu .ui-grid-menu-mid.ng-hide-remove{-webkit-transform:translateY(-100%);-moz-transform:translateY(-100%);-o-transform:translateY(-100%);-ms-transform:translateY(-100%);transform:translateY(-100%)}.ui-grid-column-menu .ui-grid-menu .ui-grid-menu-mid.ng-hide-add,.ui-grid-column-menu .ui-grid-menu .ui-grid-menu-mid.ng-hide-remove.ng-hide-remove-active{-webkit-transform:translateY(0);-moz-transform:translateY(0);-o-transform:translateY(0);-ms-transform:translateY(0);transform:translateY(0)}.ui-grid-menu-button .ui-grid-menu .ui-grid-menu-mid.ng-hide-add,.ui-grid-menu-button .ui-grid-menu .ui-grid-menu-mid.ng-hide-remove{-webkit-transition:all 50ms linear;-moz-transition:all 50ms linear;-o-transition:all 50ms linear;transition:all 50ms linear;display:block!important}.ui-grid-menu-button .ui-grid-menu .ui-grid-menu-mid.ng-hide-add.ng-hide-add-active,.ui-grid-menu-button .ui-grid-menu .ui-grid-menu-mid.ng-hide-remove{-webkit-transform:translateY(-100%);-moz-transform:translateY(-100%);-o-transform:translateY(-100%);-ms-transform:translateY(-100%);transform:translateY(-100%)}.ui-grid-menu-button .ui-grid-menu .ui-grid-menu-mid.ng-hide-add,.ui-grid-menu-button .ui-grid-menu .ui-grid-menu-mid.ng-hide-remove.ng-hide-remove-active{-webkit-transform:translateY(0);-moz-transform:translateY(0);-o-transform:translateY(0);-ms-transform:translateY(0);transform:translateY(0)}.ui-grid-filter-container{padding:4px 10px;position:relative}.ui-grid-filter-container .ui-grid-filter-button{position:absolute;top:0;bottom:0;right:0}.ui-grid-filter-container .ui-grid-filter-button [class^=ui-grid-icon]{position:absolute;top:50%;line-height:32px;margin-top:-16px;right:10px;opacity:.66}.ui-grid-filter-container .ui-grid-filter-button [class^=ui-grid-icon]:hover{opacity:1}.ui-grid-filter-container .ui-grid-filter-button-select{position:absolute;top:0;bottom:0;right:0}.ui-grid-filter-container .ui-grid-filter-button-select [class^=ui-grid-icon]{position:absolute;top:50%;line-height:32px;margin-top:-16px;right:0;opacity:.66}.ui-grid-filter-container .ui-grid-filter-button-select [class^=ui-grid-icon]:hover{opacity:1}select.ui-grid-filter-select{padding:0;margin:0;width:90%;border:1px solid #d4d4d4;-moz-border-radius-topright:0;-moz-border-radius-bottomright:0;-moz-border-radius-bottomleft:0;-moz-border-radius-topleft:0;-moz-background-clip:padding-box;-webkit-background-clip:padding-box;background-clip:padding-box;-webkit-border-radius:0;border-radius:0}select.ui-grid-filter-select:hover{border:1px solid #d4d4d4}.ui-grid-no-row-overlay,.ui-grid-render-container{-moz-border-radius-topright:0;-moz-border-radius-bottomright:0;-moz-border-radius-bottomleft:0;-moz-border-radius-topleft:0}.ui-grid-filter-cancel-button-hidden select.ui-grid-filter-select{width:100%}.ui-grid-render-container{position:inherit;-moz-background-clip:padding-box;-webkit-background-clip:padding-box;background-clip:padding-box;-webkit-border-radius:0;border-radius:0}.ui-grid-render-container:focus{outline:0}.ui-grid-viewport{min-height:20px;position:relative;overflow-y:scroll;-webkit-overflow-scrolling:touch}.ui-grid-viewport:focus{outline:0!important}.ui-grid-canvas{position:relative;padding-top:1px}.ui-grid-footer-panel-background,.ui-grid-no-row-overlay{background:#f3f3f3;filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#ffffff', endColorstr='#eeeeee', GradientType=0)}.ui-grid-row:nth-child(even) .ui-grid-cell{background-color:#f3f3f3}.ui-grid-row:last-child .ui-grid-cell{border-bottom-color:#d4d4d4;border-bottom-style:solid}.ui-grid-no-row-overlay{position:absolute;top:0;bottom:0;left:0;right:0;margin:10%;background:-webkit-gradient(linear,left bottom,left top,color-stop(0,#eee),color-stop(1,#fff));background:-ms-linear-gradient(bottom,#eee,#fff);background:-moz-linear-gradient(center bottom,#eee 0,#fff 100%);background:-o-linear-gradient(#fff,#eee);-moz-background-clip:padding-box;-webkit-background-clip:padding-box;background-clip:padding-box;border:1px solid #d4d4d4;font-size:2em;text-align:center;-webkit-border-radius:0;border-radius:0}.ui-grid-no-row-overlay>*{position:absolute;display:table;margin:auto 0;width:100%;top:0;bottom:0;left:0;right:0;opacity:.66}.ui-grid-cell{overflow:hidden;background-color:inherit;border-right:1px solid;border-color:#d4d4d4}.ui-grid-cell:last-child{border-right:0}.ui-grid-cell-contents{padding:5px;-moz-box-sizing:border-box;-webkit-box-sizing:border-box;box-sizing:border-box;white-space:nowrap;-ms-text-overflow:ellipsis;-o-text-overflow:ellipsis;text-overflow:ellipsis;overflow:hidden;height:100%}.ui-grid-cell-contents-hidden{visibility:hidden;width:0;height:0;display:none}.ui-grid-row .ui-grid-cell.ui-grid-row-header-cell{background-color:#f0f0ee;border-bottom:solid 1px #d4d4d4}.ui-grid-footer-panel-background{background:-webkit-gradient(linear,left bottom,left top,color-stop(0,#eee),color-stop(1,#fff));background:-ms-linear-gradient(bottom,#eee,#fff);background:-moz-linear-gradient(center bottom,#eee 0,#fff 100%);background:-o-linear-gradient(#fff,#eee)}.ui-grid-footer-panel{position:relative;border-bottom:1px solid #d4d4d4;border-top:1px solid #d4d4d4;overflow:hidden;font-weight:700;background:#f3f3f3;background:-webkit-gradient(linear,left bottom,left top,color-stop(0,#eee),color-stop(1,#fff));background:-ms-linear-gradient(bottom,#eee,#fff);background:-moz-linear-gradient(center bottom,#eee 0,#fff 100%);background:-o-linear-gradient(#fff,#eee);filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#ffffff', endColorstr='#eeeeee', GradientType=0);-moz-border-radius-topright:-1px;-moz-border-radius-bottomright:0;-moz-border-radius-bottomleft:0;-moz-border-radius-topleft:-1px;-moz-background-clip:padding-box;-webkit-background-clip:padding-box;background-clip:padding-box;-webkit-border-radius:-1px -1px 0 0;border-radius:-1px -1px 0 0}.ui-grid-grid-footer{width:100%}.ui-grid-footer-viewport{overflow:hidden}.ui-grid-footer-canvas{position:relative}.ui-grid-footer-canvas:after,.ui-grid-footer-canvas:before{content:"";display:table;line-height:0}.ui-grid-footer-cell-wrapper{position:relative;display:table;box-sizing:border-box;height:100%}.ui-grid-menu,.ui-grid-menu-button{position:absolute;cursor:pointer;z-index:2}.ui-grid-footer-cell-row{display:table-row}.ui-grid-footer-cell{overflow:hidden;background-color:inherit;border-right:1px solid;border-color:#d4d4d4;box-sizing:border-box;display:table-cell}.ui-grid-footer-cell:last-child{border-right:0}input[type=text].ui-grid-filter-input{padding:0;margin:0;width:100%;border:1px solid #d4d4d4;-moz-border-radius-topright:0;-moz-border-radius-bottomright:0;-moz-border-radius-bottomleft:0;-moz-border-radius-topleft:0;-moz-background-clip:padding-box;-webkit-background-clip:padding-box;background-clip:padding-box;-webkit-border-radius:0;border-radius:0}input[type=text].ui-grid-filter-input:hover{border:1px solid #d4d4d4}.ui-grid-menu-button{right:0;top:0;background:#f3f3f3;border:1px solid #d4d4d4;height:31px;font-weight:400}.ui-grid-menu-button .ui-grid-icon-container{margin-top:3px}.ui-grid-menu-button .ui-grid-menu{right:0}.ui-grid-menu-button .ui-grid-menu .ui-grid-menu-mid{overflow:scroll;border:1px solid #d4d4d4}.vAccordion--default v-pane,v-pane-content{overflow:hidden}.ui-grid-menu{padding:0 10px 20px;box-sizing:border-box}.ui-grid-menu .ui-grid-menu-inner{background:#f3f3f3;border:1px solid #d4d4d4;position:relative;white-space:nowrap;-webkit-border-radius:0;-moz-border-radius:0;border-radius:0;-webkit-box-shadow:0 10px 20px rgba(0,0,0,.2),inset 0 12px 12px -14px rgba(0,0,0,.2);-moz-box-shadow:0 10px 20px rgba(0,0,0,.2),inset 0 12px 12px -14px rgba(0,0,0,.2);box-shadow:0 10px 20px rgba(0,0,0,.2),inset 0 12px 12px -14px rgba(0,0,0,.2)}.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button{position:absolute;right:0;top:0;display:inline-block;margin-bottom:0;font-weight:400;text-align:center;cursor:pointer;background-image:none;border:1px solid transparent;-ms-user-select:none;user-select:none;padding:1px;font-size:10px;line-height:1;border-radius:2px;color:transparent;background-color:transparent}.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.active.focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.active:focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:active.focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:active:focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:focus{outline:dotted thin;outline:-webkit-focus-ring-color auto 5px;outline-offset:-2px}.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:hover{text-decoration:none}.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.active,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:active{outline:0;-webkit-box-shadow:inset 0 3px 5px rgba(0,0,0,.125);box-shadow:inset 0 3px 5px rgba(0,0,0,.125)}.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.disabled,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button[disabled],fieldset[disabled] .ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button{cursor:not-allowed;opacity:.65;filter:alpha(opacity=65);-webkit-box-shadow:none;box-shadow:none}.open>.dropdown-toggle.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button,.open>.dropdown-toggle.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.focus,.open>.dropdown-toggle.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:focus,.open>.dropdown-toggle.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:hover,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.active,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.active.focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.active:focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.active:hover,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:active,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:active.focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:active:focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:active:hover,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:hover{color:transparent;background-color:rgba(0,0,0,0);border-color:transparent}.open>.dropdown-toggle.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.active,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:active{background-image:none}.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.disabled.focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.disabled:focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.disabled:hover,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button[disabled].focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button[disabled]:focus,.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button[disabled]:hover,fieldset[disabled] .ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button.focus,fieldset[disabled] .ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:focus,fieldset[disabled] .ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button:hover{background-color:transparent;border-color:transparent}.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button .badge{color:transparent;background-color:transparent}.ui-grid-menu .ui-grid-menu-inner .ui-grid-menu-close-button>i{opacity:.75;color:#000}div.ui-grid-cell input,div.ui-grid-cell input:focus{color:inherit;outline:0}.ui-grid-menu .ui-grid-menu-inner ul{margin:0;padding:0;list-style-type:none}.ui-grid-menu .ui-grid-menu-inner ul li{padding:0}.ui-grid-menu .ui-grid-menu-inner ul li button{min-width:100%;padding:8px;text-align:left;background:0 0;border:none}.ui-grid-menu .ui-grid-menu-inner ul li button:focus,.ui-grid-menu .ui-grid-menu-inner ul li button:hover{-webkit-box-shadow:inset 0 0 14px rgba(0,0,0,.2);-moz-box-shadow:inset 0 0 14px rgba(0,0,0,.2);box-shadow:inset 0 0 14px rgba(0,0,0,.2)}.ui-grid-menu .ui-grid-menu-inner ul li button.ui-grid-menu-item-active{-webkit-box-shadow:inset 0 0 14px rgba(0,0,0,.2);-moz-box-shadow:inset 0 0 14px rgba(0,0,0,.2);box-shadow:inset 0 0 14px rgba(0,0,0,.2);background-color:#cecece}.ui-grid-menu .ui-grid-menu-inner ul li:not(:last-child)>button{border-bottom:1px solid #d4d4d4}.ui-grid-sortarrow{right:5px;position:absolute;width:20px;top:0;bottom:0;background-position:center}.ui-grid-sortarrow.down{-webkit-transform:rotate(180deg);-moz-transform:rotate(180deg);-o-transform:rotate(180deg);-ms-transform:rotate(180deg);transform:rotate(180deg)}@font-face{font-family:ui-grid;src:url(ui-grid.eot);src:url(ui-grid.eot#iefix) format('embedded-opentype'),url(../../assets/css/global/ui-grid.woff) format('woff'),url(../../assets/css/global/ui-grid.ttf) format('truetype'),url(ui-grid.svg?#ui-grid) format('svg');font-weight:400;font-style:normal}[class*=" ui-grid-icon"]:before,[class^=ui-grid-icon]:before{font-family:ui-grid;font-style:normal;font-weight:400;speak:none;display:inline-block;text-decoration:inherit;width:1em;margin-right:.2em;text-align:center;font-variant:normal;text-transform:none;line-height:1em;margin-left:.2em}.ui-grid-icon-blank::before{width:1em;content:' '}.ui-grid[dir=rtl] .ui-grid-cell,.ui-grid[dir=rtl] .ui-grid-footer-cell,.ui-grid[dir=rtl] .ui-grid-header-cell{float:right!important}.ui-grid[dir=rtl] .ui-grid-column-menu-button{position:absolute;left:1px;top:0;right:inherit}.ui-grid[dir=rtl] .ui-grid-cell:first-child,.ui-grid[dir=rtl] .ui-grid-footer-cell:first-child,.ui-grid[dir=rtl] .ui-grid-header-cell:first-child{border-right:0}.ui-grid[dir=rtl] .ui-grid-cell:last-child,.ui-grid[dir=rtl] .ui-grid-header-cell:last-child{border-right:1px solid #d4d4d4;border-left:0}.ui-grid[dir=rtl] .ui-grid-cell:first-child .ui-grid-vertical-bar,.ui-grid[dir=rtl] .ui-grid-footer-cell:first-child .ui-grid-vertical-bar,.ui-grid[dir=rtl] .ui-grid-header-cell:first-child .ui-grid-vertical-bar{width:0}.ui-grid[dir=rtl] .ui-grid-menu-button{z-index:2;position:absolute;left:0;right:auto;background:#f3f3f3;border:1px solid #d4d4d4;cursor:pointer;min-height:27px;font-weight:400}.ui-grid[dir=rtl] .ui-grid-menu-button .ui-grid-menu{left:0;right:auto}.ui-grid[dir=rtl] .ui-grid-filter-container .ui-grid-filter-button{right:initial;left:0}.ui-grid[dir=rtl] .ui-grid-filter-container .ui-grid-filter-button [class^=ui-grid-icon]{right:initial;left:10px}.ui-grid-animate-spin{-moz-animation:ui-grid-spin 2s infinite linear;-o-animation:ui-grid-spin 2s infinite linear;-webkit-animation:ui-grid-spin 2s infinite linear;animation:ui-grid-spin 2s infinite linear;display:inline-block}@-moz-keyframes ui-grid-spin{0%{-moz-transform:rotate(0);-o-transform:rotate(0);-webkit-transform:rotate(0);transform:rotate(0)}100%{-moz-transform:rotate(359deg);-o-transform:rotate(359deg);-webkit-transform:rotate(359deg);transform:rotate(359deg)}}@-webkit-keyframes ui-grid-spin{0%{-moz-transform:rotate(0);-o-transform:rotate(0);-webkit-transform:rotate(0);transform:rotate(0)}100%{-moz-transform:rotate(359deg);-o-transform:rotate(359deg);-webkit-transform:rotate(359deg);transform:rotate(359deg)}}@-o-keyframes ui-grid-spin{0%{-moz-transform:rotate(0);-o-transform:rotate(0);-webkit-transform:rotate(0);transform:rotate(0)}100%{-moz-transform:rotate(359deg);-o-transform:rotate(359deg);-webkit-transform:rotate(359deg);transform:rotate(359deg)}}@-ms-keyframes ui-grid-spin{0%{-moz-transform:rotate(0);-o-transform:rotate(0);-webkit-transform:rotate(0);transform:rotate(0)}100%{-moz-transform:rotate(359deg);-o-transform:rotate(359deg);-webkit-transform:rotate(359deg);transform:rotate(359deg)}}@keyframes ui-grid-spin{0%{-moz-transform:rotate(0);-o-transform:rotate(0);-webkit-transform:rotate(0);transform:rotate(0)}100%{-moz-transform:rotate(359deg);-o-transform:rotate(359deg);-webkit-transform:rotate(359deg);transform:rotate(359deg)}}.json-formatter-dark.json-formatter-row .toggler.open:after,.json-formatter-row .toggler.open:after{transform:rotate(90deg)}.ui-grid-cell-focus{outline:0;background-color:#b3c4c7}.ui-grid-focuser{position:absolute;left:0;top:0;z-index:-1;width:100%;height:100%}.ui-grid-focuser:focus{border-color:#66afe9;outline:0;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6);box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6)}.ui-grid-offscreen{display:block;position:absolute;left:-10000px;top:-10000px;clip:rect(0,0,0,0)}div.ui-grid-cell input{border-radius:inherit;padding:0;width:100%;height:auto;font:inherit}div.ui-grid-cell input[type=checkbox]{margin:9px 0 0 6px;width:auto}div.ui-grid-cell input.ng-invalid{border:1px solid #fc8f8f}.movingColumn,.scrollFiller,div.ui-grid-cell input.ng-valid{border:1px solid #d4d4d4}.expandableRow .ui-grid-row:nth-child(even) .ui-grid-cell{background-color:#f3f3f3}.ui-grid-expandable-buttons-cell i{pointer-events:all}.movingColumn{position:absolute;top:0;box-shadow:inset 0 0 14px rgba(0,0,0,.2)}.movingColumn .ui-grid-icon-angle-down{display:none}#ui-grid-twbs #ui-grid-twbs .btn-group-vertical>.btn-group:after,#ui-grid-twbs #ui-grid-twbs .btn-group-vertical>.btn-group:before,#ui-grid-twbs #ui-grid-twbs .btn-toolbar:after,#ui-grid-twbs #ui-grid-twbs .btn-toolbar:before,#ui-grid-twbs #ui-grid-twbs .form-horizontal .form-group:after,#ui-grid-twbs #ui-grid-twbs .form-horizontal .form-group:before{content:" ";display:table}.ui-grid-pager-panel{position:absolute;left:0;bottom:0;width:100%;padding-top:3px;padding-bottom:3px;box-sizing:content-box}.ui-grid-pager-control{margin-right:10px;margin-left:10px;min-width:135px}.ui-grid-pager-control button{height:25px;min-width:26px;display:inline-block;margin-bottom:0;font-weight:400;text-align:center;cursor:pointer;background-image:none;border:1px solid #ccc;padding:6px 12px;font-size:14px;line-height:1.42857143;border-radius:4px;-ms-user-select:none;user-select:none;color:#eee;background-color:#f3f3f3}.ui-grid-pager-control input[disabled],.ui-grid-pager-row-count-picker select[disabled],fieldset[disabled] .ui-grid-pager-control input,fieldset[disabled] .ui-grid-pager-row-count-picker select{cursor:not-allowed}.ui-grid-pager-control input,.ui-grid-pager-row-count-picker select{background-image:none;padding:5px 10px;font-size:12px;display:inline}.ui-grid-pager-control button.active.focus,.ui-grid-pager-control button.active:focus,.ui-grid-pager-control button.focus,.ui-grid-pager-control button:active.focus,.ui-grid-pager-control button:active:focus,.ui-grid-pager-control button:focus{outline:dotted thin;outline:-webkit-focus-ring-color auto 5px;outline-offset:-2px}.ui-grid-pager-control button.focus,.ui-grid-pager-control button:focus,.ui-grid-pager-control button:hover{text-decoration:none}.ui-grid-pager-control button.active,.ui-grid-pager-control button:active{outline:0;-webkit-box-shadow:inset 0 3px 5px rgba(0,0,0,.125);box-shadow:inset 0 3px 5px rgba(0,0,0,.125)}.ui-grid-pager-control button.disabled,.ui-grid-pager-control button[disabled],fieldset[disabled] .ui-grid-pager-control button{cursor:not-allowed;opacity:.65;filter:alpha(opacity=65);-webkit-box-shadow:none;box-shadow:none}a.ui-grid-pager-control button.disabled,fieldset[disabled] a.ui-grid-pager-control button{pointer-events:none}.ui-grid-pager-control button.focus,.ui-grid-pager-control button:focus{color:#eee;background-color:#dadada;border-color:#8c8c8c}.open>.dropdown-toggle.ui-grid-pager-control button,.ui-grid-pager-control button.active,.ui-grid-pager-control button:active,.ui-grid-pager-control button:hover{color:#eee;background-color:#dadada;border-color:#adadad}.open>.dropdown-toggle.ui-grid-pager-control button.focus,.open>.dropdown-toggle.ui-grid-pager-control button:focus,.open>.dropdown-toggle.ui-grid-pager-control button:hover,.ui-grid-pager-control button.active.focus,.ui-grid-pager-control button.active:focus,.ui-grid-pager-control button.active:hover,.ui-grid-pager-control button:active.focus,.ui-grid-pager-control button:active:focus,.ui-grid-pager-control button:active:hover{color:#eee;background-color:#c8c8c8;border-color:#8c8c8c}.open>.dropdown-toggle.ui-grid-pager-control button,.ui-grid-pager-control button.active,.ui-grid-pager-control button:active{background-image:none}.ui-grid-pager-control button.disabled.focus,.ui-grid-pager-control button.disabled:focus,.ui-grid-pager-control button.disabled:hover,.ui-grid-pager-control button[disabled].focus,.ui-grid-pager-control button[disabled]:focus,.ui-grid-pager-control button[disabled]:hover,fieldset[disabled] .ui-grid-pager-control button.focus,fieldset[disabled] .ui-grid-pager-control button:focus,fieldset[disabled] .ui-grid-pager-control button:hover{background-color:#f3f3f3;border-color:#ccc}.ui-grid-pager-control button .badge{color:#f3f3f3;background-color:#eee}.ui-grid-pager-control input{color:#555;background-color:#fff;border:1px solid #ccc;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075);box-shadow:inset 0 1px 1px rgba(0,0,0,.075);transition:border-color ease-in-out .15s,box-shadow ease-in-out .15s;line-height:1.5;border-radius:3px;height:26px;width:50px;vertical-align:top}.ui-grid-pager-control input:focus{border-color:#66afe9;outline:0;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6);box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6)}.ui-grid-pager-control input::-moz-placeholder{color:#999;opacity:1}.ui-grid-pager-control input:-ms-input-placeholder{color:#999}.ui-grid-pager-control input::-webkit-input-placeholder{color:#999}.ui-grid-pager-control input::-ms-expand{border:0;background-color:transparent}.ui-grid-pager-control input[disabled],.ui-grid-pager-control input[readonly],fieldset[disabled] .ui-grid-pager-control input{background-color:#eee;opacity:1}textarea.ui-grid-pager-control input{height:auto}select.ui-grid-pager-control input{height:30px;line-height:30px}select[multiple].ui-grid-pager-control input,textarea.ui-grid-pager-control input{height:auto}.ui-grid-pager-control .ui-grid-pager-max-pages-number{vertical-align:bottom}.json-formatter-row .toggler,.slider,.ui-grid-pager-control .ui-grid-pager-max-pages-number>*{vertical-align:middle}.ui-grid-pager-control .first-bar{width:10px;border-left:2px solid #4d4d4d;margin-top:-6px;height:12px;margin-left:-3px}.ui-grid-pager-control .first-bar-rtl{width:10px;border-left:2px solid #4d4d4d;margin-top:-6px;height:12px;margin-right:-7px}.ui-grid-pager-control .first-triangle{width:0;height:0;border-style:solid;border-width:5px 8.7px 5px 0;border-color:transparent #4d4d4d transparent transparent;margin-left:2px}.ui-grid-pager-control .next-triangle{margin-left:1px}.ui-grid-pager-control .prev-triangle{margin-left:0}.ui-grid-pager-control .last-triangle{width:0;height:0;border-style:solid;border-width:5px 0 5px 8.7px;border-color:transparent transparent transparent #4d4d4d;margin-left:-1px}.ui-grid-pager-control .last-bar{width:10px;border-left:2px solid #4d4d4d;margin-top:-6px;height:12px;margin-left:1px}.ui-grid-pager-control .last-bar-rtl{width:10px;border-left:2px solid #4d4d4d;margin-top:-6px;height:12px;margin-right:-11px}.ui-grid-pager-row-count-picker select{color:#555;background-color:#fff;border:1px solid #ccc;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075);box-shadow:inset 0 1px 1px rgba(0,0,0,.075);-webkit-transition:border-color ease-in-out .15s,box-shadow ease-in-out .15s;-o-transition:border-color ease-in-out .15s,box-shadow ease-in-out .15s;transition:border-color ease-in-out .15s,box-shadow ease-in-out .15s;line-height:1.5;border-radius:3px;height:26px;width:67px}.ui-grid-pager-row-count-picker select:focus{border-color:#66afe9;outline:0;-webkit-box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6);box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6)}.ui-grid-pager-row-count-picker select::-moz-placeholder{color:#999;opacity:1}.ui-grid-pager-row-count-picker select:-ms-input-placeholder{color:#999}.ui-grid-pager-row-count-picker select::-webkit-input-placeholder{color:#999}.ui-grid-pager-row-count-picker select::-ms-expand{border:0;background-color:transparent}.ui-grid-pager-row-count-picker select[disabled],.ui-grid-pager-row-count-picker select[readonly],fieldset[disabled] .ui-grid-pager-row-count-picker select{background-color:#eee;opacity:1}textarea.ui-grid-pager-row-count-picker select{height:auto}select.ui-grid-pager-row-count-picker select{height:30px;line-height:30px}select[multiple].ui-grid-pager-row-count-picker select,textarea.ui-grid-pager-row-count-picker select{height:auto}.ui-grid-pager-row-count-picker .ui-grid-pager-row-count-label{margin-top:3px}.ui-grid-pager-count-container{float:right;margin-top:4px;min-width:50px}.ui-grid-pager-count-container .ui-grid-pager-count{margin-right:10px;margin-left:10px;float:right}.ui-grid-pinned-container{position:absolute;display:inline;top:0}.ui-grid-pinned-container.ui-grid-pinned-container-left{float:left;left:0}.ui-grid-pinned-container.ui-grid-pinned-container-right{float:right;right:0}.ui-grid-pinned-container.ui-grid-pinned-container-left .ui-grid-cell:last-child,.ui-grid-pinned-container.ui-grid-pinned-container-left .ui-grid-header-cell:last-child{box-sizing:border-box;border-right:1px solid;border-width:1px;border-right-color:#aeaeae}.ui-grid-pinned-container .ui-grid-cell:not(:last-child) .ui-grid-vertical-bar,.ui-grid-pinned-container.ui-grid-pinned-container-left .ui-grid-header-cell:not(:last-child) .ui-grid-vertical-bar{width:1px}.ui-grid-pinned-container.ui-grid-pinned-container-left .ui-grid-header-cell:not(:last-child) .ui-grid-vertical-bar{background-color:#d4d4d4}.ui-grid-pinned-container.ui-grid-pinned-container-left .ui-grid-cell:not(:last-child) .ui-grid-vertical-bar{background-color:#aeaeae}.ui-grid-pinned-container.ui-grid-pinned-container-left .ui-grid-header-cell:last-child .ui-grid-vertical-bar{right:-1px;width:1px;background-color:#aeaeae}.ui-grid-pinned-container.ui-grid-pinned-container-right .ui-grid-cell:first-child,.ui-grid-pinned-container.ui-grid-pinned-container-right .ui-grid-header-cell:first-child{box-sizing:border-box;border-left:1px solid;border-width:1px;border-left-color:#aeaeae}.slider-selection,.slider-track-high,.slider-track-low{-webkit-box-sizing:border-box;-moz-box-sizing:border-box}.ui-grid-pinned-container .ui-grid-cell:not(:first-child) .ui-grid-vertical-bar,.ui-grid-pinned-container.ui-grid-pinned-container-right .ui-grid-header-cell:not(:first-child) .ui-grid-vertical-bar{width:1px}.ui-grid-pinned-container.ui-grid-pinned-container-right .ui-grid-header-cell:not(:first-child) .ui-grid-vertical-bar{background-color:#d4d4d4}.ui-grid-pinned-container.ui-grid-pinned-container-right .ui-grid-cell:not(:last-child) .ui-grid-vertical-bar{background-color:#aeaeae}.ui-grid-pinned-container.ui-grid-pinned-container-first .ui-grid-header-cell:first-child .ui-grid-vertical-bar{left:-1px;width:1px;background-color:#aeaeae}.ui-grid-column-resizer{top:0;bottom:0;width:5px;position:absolute;cursor:col-resize}.ui-grid-column-resizer.left{left:0}.ui-grid-column-resizer.right{right:0}.ui-grid-header-cell:last-child .ui-grid-column-resizer.right{border-right:1px solid #d4d4d4}.ui-grid[dir=rtl] .ui-grid-header-cell:last-child .ui-grid-column-resizer.right{border-right:0}.ui-grid[dir=rtl] .ui-grid-header-cell:last-child .ui-grid-column-resizer.left{border-left:1px solid #d4d4d4}.ui-grid.column-resizing{cursor:col-resize}.ui-grid.column-resizing .ui-grid-resize-overlay{position:absolute;top:0;height:100%;width:1px;background-color:#aeaeae}.ui-grid-row-saving .ui-grid-cell{color:#848484!important}.ui-grid-row-dirty .ui-grid-cell{color:#610b38}.ui-grid-row-error .ui-grid-cell{color:red!important}.ui-grid-row.ui-grid-row-selected>[ui-grid-row]>.ui-grid-cell{background-color:#c9dde1}.ui-grid-disable-selection{-webkit-touch-callout:none;-webkit-user-select:none;-khtml-user-select:none;-moz-user-select:none;-ms-user-select:none;user-select:none;cursor:default}rzslider,v-pane-header{-webkit-user-select:none;-moz-user-select:none;-ms-user-select:none}.ui-grid-selection-row-header-buttons{cursor:pointer;opacity:.1}.ui-grid-selection-row-header-buttons.ui-grid-all-selected,.ui-grid-selection-row-header-buttons.ui-grid-row-selected{opacity:1}.ui-grid-tree-row-header-buttons.ui-grid-tree-header{cursor:pointer;opacity:1}.fb-component input,.fb-component label,.fb-component select,.fb-component textarea,.fb-form-object-editable.fb-draggable,.fb-form-object-editable.fb-draggable input{cursor:move}.ui-grid-tree-header-row{font-weight:700!important}.ui-grid-tree-header-row .ui-grid-cell.ui-grid-disable-selection.ui-grid-row-header-cell{pointer-events:all}div.ui-grid-cell-contents.invalid{border:1px solid #fc8f8f}.ui-grid-icon-plus-squared:before{content:'\c350'}.ui-grid-icon-minus-squared:before{content:'\c351'}.ui-grid-icon-search:before{content:'\c352'}.ui-grid-icon-cancel:before{content:'\c353'}.ui-grid-icon-info-circled:before{content:'\c354'}.ui-grid-icon-lock:before{content:'\c355'}.ui-grid-icon-lock-open:before{content:'\c356'}.ui-grid-icon-pencil:before{content:'\c357'}.ui-grid-icon-down-dir:before{content:'\c358'}.ui-grid-icon-up-dir:before{content:'\c359'}.ui-grid-icon-left-dir:before{content:'\c35a'}.ui-grid-icon-right-dir:before{content:'\c35b'}.ui-grid-icon-left-open:before{content:'\c35c'}.ui-grid-icon-right-open:before{content:'\c35d'}.ui-grid-icon-angle-down:before{content:'\c35e'}.ui-grid-icon-filter:before{content:'\c35f'}.ui-grid-icon-sort-alt-up:before{content:'\c360'}.ui-grid-icon-sort-alt-down:before{content:'\c361'}.ui-grid-icon-ok:before{content:'\c362'}.ui-grid-icon-menu:before{content:'\c363'}.ui-grid-icon-indent-left:before{content:'\e800'}.ui-grid-icon-indent-right:before{content:'\e801'}.ui-grid-icon-spin5:before{content:'\ea61'}.fb-component{padding:10px;cursor:move}.fb-form-object-editable{padding:10px}.fb-form-object-editable.fb-draggable label{cursor:pointer}.fb-form-object-editable.fb-draggable select,.fb-form-object-editable.fb-draggable textarea{cursor:move}.fb-form-object-editable.empty{cursor:default;margin:6px;height:80px;border:1px dashed #aaa;background-color:#eee}.fb-draggable.dragging{background-color:#fff;position:absolute;z-index:800;-webkit-box-shadow:#666 0 0 20px;-moz-box-shadow:#666 0 0 20px;box-shadow:#666 0 0 20px}.fb-required:after{color:#b94a48;content:' *'}.fb-builder{min-height:250px}.popover .control-label{text-align:left}.popover form{width:240px}pre{display:block;padding:9.5px;margin:0 0 10px;font-size:13px;line-height:1.428571429;word-break:break-all;color:#333;background-color:#f5f5f5;border:1px solid #ccc;border-radius:4px}code,pre{font-family:Menlo,Monaco,Consolas,"Courier New",monospace}.json-formatter-dark.json-formatter-row,.json-formatter-row{font-family:monospace}.pln{color:#000}@media screen{.kwd,.tag,.typ{font-weight:700}.str{color:#080}.kwd{color:#008}.com{color:#800;font-style:italic}.typ{color:#606}.lit{color:#066}.clo,.opn,.pun{color:#660}.tag{color:#008}.atn{color:#606}.atv{color:#080}.dec,.var{color:#606}.fun{color:red}}@media print,projection{.kwd,.tag,.typ{font-weight:700}.str{color:#060}.kwd{color:#006}.com{color:#600;font-style:italic}.typ{color:#404}.lit{color:#044}.clo,.opn,.pun{color:#440}.tag{color:#006}.atn{color:#404}.atv{color:#060}}pre.prettyprint{padding:2px;border:1px solid #888}ol.linenums{margin-top:0;margin-bottom:0}li.L1,li.L3,li.L5,li.L7,li.L9{background:#eee}/*! - * jsonformatter - * - * Version: 0.4.1 - 2015-12-03T03:38:59.933Z - * License: MIT - */.json-formatter-row,.json-formatter-row a,.json-formatter-row a:hover{color:#000;text-decoration:none}.json-formatter-row .json-formatter-row{margin-left:1em}.json-formatter-row .children.empty{opacity:.5;margin-left:1em}.json-formatter-row .children.empty.object:after{content:"No properties"}.json-formatter-row .children.empty.array:after{content:"[]"}.json-formatter-row .string{color:green;white-space:pre}.json-formatter-row .number{color:#00f}.json-formatter-row .boolean{color:red}.json-formatter-row .null{color:#855a00}.json-formatter-row .undefined{color:#ca0b69}.json-formatter-row .function{color:#ff20ed}.json-formatter-row .date{background-color:rgba(0,0,0,.05)}.json-formatter-row .url{text-decoration:underline;color:#00f;cursor:pointer}.json-formatter-row .bracket{color:#00f}.json-formatter-row .key{color:#00008b;cursor:pointer}.json-formatter-row .constructor-name{cursor:pointer}.json-formatter-row .toggler{font-size:.8em;line-height:1.2em;opacity:.6;cursor:pointer}.json-formatter-row .toggler:after{display:inline-block;transition:transform .1s ease-in;content:"►"}.json-formatter-row>a>.thumbnail-text{opacity:0;transition:opacity .15s ease-in;font-style:italic}.json-formatter-row:hover>a>.thumbnail-text{opacity:.6}.json-formatter-dark.json-formatter-row,.json-formatter-dark.json-formatter-row a,.json-formatter-dark.json-formatter-row a:hover{color:#fff;text-decoration:none}.json-formatter-dark.json-formatter-row .json-formatter-row{margin-left:1em}.json-formatter-dark.json-formatter-row .children.empty{opacity:.5;margin-left:1em}.json-formatter-dark.json-formatter-row .children.empty.object:after{content:"No properties"}.json-formatter-dark.json-formatter-row .children.empty.array:after{content:"[]"}.json-formatter-dark.json-formatter-row .string{color:#31f031;white-space:pre;word-wrap:break-word}.json-formatter-dark.json-formatter-row .number{color:#66c2ff}.json-formatter-dark.json-formatter-row .boolean{color:#ec4242}.json-formatter-dark.json-formatter-row .null{color:#eec97d}.json-formatter-dark.json-formatter-row .undefined{color:#ef8fbe}.json-formatter-dark.json-formatter-row .function{color:#fd48cb}.json-formatter-dark.json-formatter-row .date{background-color:rgba(255,255,255,.05)}.json-formatter-dark.json-formatter-row .url{text-decoration:underline;color:#027bff;cursor:pointer}.json-formatter-dark.json-formatter-row .bracket{color:#9494ff}.json-formatter-dark.json-formatter-row .key{color:#23a0db;cursor:pointer}.json-formatter-dark.json-formatter-row .constructor-name{cursor:pointer}.json-formatter-dark.json-formatter-row .toggler{font-size:.8em;line-height:1.2em;vertical-align:middle;opacity:.6;cursor:pointer}.json-formatter-dark.json-formatter-row .toggler:after{display:inline-block;transition:transform .1s ease-in;content:"►"}.slider-handle.custom::before,.slider-tick.custom::before{line-height:20px;font-size:20px;content:'\2605';color:#726204}.json-formatter-dark.json-formatter-row>a>.thumbnail-text{opacity:0;transition:opacity .15s ease-in;font-style:italic}.json-formatter-dark.json-formatter-row:hover>a>.thumbnail-text{opacity:.6}/*! ======================================================= - VERSION 6.1.8 -========================================================= *//*! ========================================================= - * bootstrap-slider.js - * - * Maintainers: - * Kyle Kemp - * - Twitter: @seiyria - * - Github: seiyria - * Rohit Kalkur - * - Twitter: @Rovolutionary - * - Github: rovolution - * - * ========================================================= - * - * Licensed under the Apache License, Version 2.0 (the "License"); - * you may not use this file except in compliance with the License. - * You may obtain a copy of the License at - * - * http://www.apache.org/licenses/LICENSE-2.0 - * - * Unless required by applicable law or agreed to in writing, software - * distributed under the License is distributed on an "AS IS" BASIS, - * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. - * See the License for the specific language governing permissions and - * limitations under the License. - * ========================================================= */.slider{display:inline-block;position:relative}.slider.slider-horizontal{width:210px;height:20px}.slider.slider-horizontal .slider-track{height:10px;width:100%;margin-top:-5px;top:50%;left:0}.slider.slider-horizontal .slider-selection,.slider.slider-horizontal .slider-track-high,.slider.slider-horizontal .slider-track-low{height:100%;top:0;bottom:0}.slider.slider-horizontal .slider-handle,.slider.slider-horizontal .slider-tick{margin-left:-10px;margin-top:-5px}.slider.slider-horizontal .slider-handle.triangle,.slider.slider-horizontal .slider-tick.triangle{border-width:0 10px 10px;width:0;height:0;border-bottom-color:#0480be;margin-top:0}.slider.slider-horizontal .slider-tick-label-container{white-space:nowrap;margin-top:20px}.slider.slider-horizontal .slider-tick-label-container .slider-tick-label{padding-top:4px;display:inline-block;text-align:center}.slider .hide,.slider input{display:none}.slider.slider-vertical{height:210px;width:20px}.slider.slider-vertical .slider-track{width:10px;height:100%;margin-left:-5px;left:50%;top:0}.slider.slider-vertical .slider-selection{width:100%;left:0;top:0;bottom:0}.slider.slider-vertical .slider-track-high,.slider.slider-vertical .slider-track-low{width:100%;left:0;right:0}.slider.slider-vertical .slider-handle,.slider.slider-vertical .slider-tick{margin-left:-5px;margin-top:-10px}.slider.slider-vertical .slider-handle.triangle,.slider.slider-vertical .slider-tick.triangle{border-width:10px 0 10px 10px;width:1px;height:1px;border-left-color:#0480be;margin-left:0}.slider-handle,.slider-tick{position:absolute;width:20px;height:20px}.slider.slider-vertical .slider-tick-label-container{white-space:nowrap}.slider.slider-vertical .slider-tick-label-container .slider-tick-label{padding-left:4px}.slider.slider-disabled .slider-handle{background-image:-webkit-linear-gradient(top,#dfdfdf 0,#bebebe 100%);background-image:-o-linear-gradient(top,#dfdfdf 0,#bebebe 100%);background-image:linear-gradient(to bottom,#dfdfdf 0,#bebebe 100%);background-repeat:repeat-x;filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#ffdfdfdf', endColorstr='#ffbebebe', GradientType=0)}.slider.slider-disabled .slider-track{background-image:-webkit-linear-gradient(top,#e5e5e5 0,#e9e9e9 100%);background-image:-o-linear-gradient(top,#e5e5e5 0,#e9e9e9 100%);background-image:linear-gradient(to bottom,#e5e5e5 0,#e9e9e9 100%);background-repeat:repeat-x;filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#ffe5e5e5', endColorstr='#ffe9e9e9', GradientType=0);cursor:not-allowed}.slider .tooltip.top{margin-top:-36px}.slider .tooltip-inner{white-space:nowrap;max-width:none}.slider-track{position:absolute;cursor:pointer;background-image:-webkit-linear-gradient(top,#f5f5f5 0,#f9f9f9 100%);background-image:-o-linear-gradient(top,#f5f5f5 0,#f9f9f9 100%);background-image:linear-gradient(to bottom,#f5f5f5 0,#f9f9f9 100%);background-repeat:repeat-x;filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#fff5f5f5', endColorstr='#fff9f9f9', GradientType=0);-webkit-box-shadow:inset 0 1px 2px rgba(0,0,0,.1);box-shadow:inset 0 1px 2px rgba(0,0,0,.1);border-radius:4px}.slider-selection{position:absolute;background-image:-webkit-linear-gradient(top,#f9f9f9 0,#f5f5f5 100%);background-image:-o-linear-gradient(top,#f9f9f9 0,#f5f5f5 100%);background-image:linear-gradient(to bottom,#f9f9f9 0,#f5f5f5 100%);background-repeat:repeat-x;filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#fff9f9f9', endColorstr='#fff5f5f5', GradientType=0);-webkit-box-shadow:inset 0 -1px 0 rgba(0,0,0,.15);box-shadow:inset 0 -1px 0 rgba(0,0,0,.15);box-sizing:border-box;border-radius:4px}.slider-selection.tick-slider-selection{background-image:-webkit-linear-gradient(top,#89cdef 0,#81bfde 100%);background-image:-o-linear-gradient(top,#89cdef 0,#81bfde 100%);background-image:linear-gradient(to bottom,#89cdef 0,#81bfde 100%);background-repeat:repeat-x;filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#ff89cdef', endColorstr='#ff81bfde', GradientType=0)}.slider-track-high,.slider-track-low{position:absolute;background:0 0;box-sizing:border-box;border-radius:4px}.slider-handle{background-color:#337ab7;background-image:-webkit-linear-gradient(top,#149bdf 0,#0480be 100%);background-image:-o-linear-gradient(top,#149bdf 0,#0480be 100%);background-image:linear-gradient(to bottom,#149bdf 0,#0480be 100%);background-repeat:repeat-x;filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#ff149bdf', endColorstr='#ff0480be', GradientType=0);filter:none;-webkit-box-shadow:inset 0 1px 0 rgba(255,255,255,.2),0 1px 2px rgba(0,0,0,.05);box-shadow:inset 0 1px 0 rgba(255,255,255,.2),0 1px 2px rgba(0,0,0,.05);border:0 solid transparent}.slider-handle.round{border-radius:50%}.slider-handle.custom,.slider-handle.triangle{background:0 0}.slider-tick{background-image:-webkit-linear-gradient(top,#f9f9f9 0,#f5f5f5 100%);background-image:-o-linear-gradient(top,#f9f9f9 0,#f5f5f5 100%);background-image:linear-gradient(to bottom,#f9f9f9 0,#f5f5f5 100%);background-repeat:repeat-x;-webkit-box-shadow:inset 0 -1px 0 rgba(0,0,0,.15);box-shadow:inset 0 -1px 0 rgba(0,0,0,.15);-webkit-box-sizing:border-box;-moz-box-sizing:border-box;box-sizing:border-box;filter:none;opacity:.8;border:0 solid transparent}.slider-tick.round{border-radius:50%}.slider-tick.custom,.slider-tick.triangle{background:0 0}.slider-tick.in-selection{background-image:-webkit-linear-gradient(top,#89cdef 0,#81bfde 100%);background-image:-o-linear-gradient(top,#89cdef 0,#81bfde 100%);background-image:linear-gradient(to bottom,#89cdef 0,#81bfde 100%);background-repeat:repeat-x;filter:progid:DXImageTransform.Microsoft.gradient(startColorstr='#ff89cdef', endColorstr='#ff81bfde', GradientType=0);opacity:1}v-accordion,v-pane{display:block}v-pane.is-expanded>v-pane-content>div{display:visible}v-pane-header,v-pane-header>div{display:block}v-pane[disabled]>v-pane-header{opacity:.6;pointer-events:none}v-pane-header{position:relative;cursor:pointer;user-select:none;outline:0}v-pane-header:focus{outline:0}v-pane-content{display:block;position:relative;max-height:0}v-pane-content>div{visibility:none}.vAccordion--default v-accordion{margin-top:20px;padding-left:20px}.vAccordion--default v-pane-content>div{padding-bottom:20px;opacity:0;-webkit-transform:translate3d(0,30px,0);transform:translate3d(0,30px,0);-webkit-transition:all .5s;transition:all .5s}.vAccordion--default v-pane.is-expanded>v-pane-header{border-bottom-color:#2196F3}.vAccordion--default v-pane.is-expanded>v-pane-header::after{-webkit-transform:rotate(90deg);transform:rotate(90deg);opacity:0}.vAccordion--default v-pane.is-expanded>v-pane-header::before{-webkit-transform:rotate(0);transform:rotate(0)}.vAccordion--default v-pane.is-expanded>v-pane-content>div{opacity:1;-webkit-transform:translate3d(0,0,0);transform:translate3d(0,0,0)}.vAccordion--default v-pane[disabled] v-pane-header::after,.vAccordion--default v-pane[disabled] v-pane-header::before{display:none}.vAccordion--default v-pane-header{padding:5px 0;margin-bottom:20px;border-bottom:2px solid #D8D8D8;-webkit-transition:all .25s;transition:all .25s}.vAccordion--default v-pane-header::after,.vAccordion--default v-pane-header::before{content:'';display:block;position:absolute;top:50%;right:0;width:10px;height:1px;background-color:#2196F3;-webkit-transform-origin:50% 50%;transform-origin:50% 50%;will-change:transform;-webkit-transition:all .25s;transition:all .25s}.vAccordion--default v-pane-header::before{-webkit-transform:rotate(-90deg);transform:rotate(-90deg)}.vAccordion--default v-pane-header:focus,.vAccordion--default v-pane-header:hover{color:#2196F3}/*! - * ui-select - * http://github.com/angular-ui/ui-select - * Version: 0.16.0 - 2016-03-23T20:51:56.678Z - * License: MIT - */.ui-select-highlight{font-weight:700}.ui-select-offscreen{clip:rect(0 0 0 0)!important;width:1px!important;height:1px!important;border:0!important;margin:0!important;padding:0!important;overflow:hidden!important;position:absolute!important;outline:0!important;left:0!important;top:0!important}.ui-select-choices-row:hover{background-color:#f5f5f5}.ng-dirty.ng-invalid>a.select2-choice{border-color:#D44950}.select2-result-single{padding-left:0}.select-locked>.ui-select-match-close,.select2-locked>.select2-search-choice-close{display:none}body>.select2-container.open{z-index:9999}.ui-select-container[theme=select2].direction-up .ui-select-match{border-radius:0 0 4px 4px}.ui-select-container[theme=select2].direction-up .ui-select-dropdown{border-radius:4px 4px 0 0;border-top-width:1px;border-top-style:solid;box-shadow:0 -4px 8px rgba(0,0,0,.25);margin-top:-4px}.ui-select-container[theme=select2].direction-up .ui-select-dropdown .select2-search{margin-top:4px}.ui-select-container[theme=select2].direction-up.select2-dropdown-open .ui-select-match{border-bottom-color:#5897fb}.selectize-input.selectize-focus{border-color:#007FBB!important}.selectize-control>.selectize-dropdown,.selectize-control>.selectize-input>input{width:100%}.ng-dirty.ng-invalid>div.selectize-input{border-color:#D44950}.ui-select-container[theme=selectize].direction-up .ui-select-dropdown{box-shadow:0 -4px 8px rgba(0,0,0,.25);margin-top:-2px}.btn-default-focus{color:#333;background-color:#EBEBEB;border-color:#ADADAD;text-decoration:none;outline:-webkit-focus-ring-color auto 5px;outline-offset:-2px;box-shadow:inset 0 1px 1px rgba(0,0,0,.075),0 0 8px rgba(102,175,233,.6)}.ui-select-bootstrap .ui-select-toggle{position:relative}.ui-select-bootstrap .ui-select-toggle>.caret{position:absolute;height:10px;top:50%;right:10px;margin-top:-2px}.input-group>.ui-select-bootstrap.dropdown{position:static}.input-group>.ui-select-bootstrap>input.ui-select-search.form-control{border-radius:4px 0 0 4px}.input-group>.ui-select-bootstrap>input.ui-select-search.form-control.direction-up{border-radius:4px 0 0 4px!important}.ui-select-bootstrap>.ui-select-match>.btn{text-align:left!important}.ui-select-bootstrap>.ui-select-match>.caret{position:absolute;top:45%;right:15px}.ui-select-bootstrap>.ui-select-choices{width:100%;height:auto;max-height:200px;overflow-x:hidden;margin-top:-1px}body>.ui-select-bootstrap.open{z-index:1000}.ui-select-multiple.ui-select-bootstrap{height:auto;padding:3px 3px 0}.ui-select-multiple.ui-select-bootstrap input.ui-select-search{background-color:transparent!important;border:none;outline:0;height:1.666666em;margin-bottom:3px}.ui-select-multiple.ui-select-bootstrap .ui-select-match .close{font-size:1.6em;line-height:.75}.ui-select-multiple.ui-select-bootstrap .ui-select-match-item{outline:0;margin:0 3px 3px 0}.ui-select-multiple .ui-select-match-item{position:relative}.ui-select-multiple .ui-select-match-item.dropping-before:before{content:"";position:absolute;top:0;right:100%;height:100%;margin-right:2px;border-left:1px solid #428bca}.ui-select-multiple .ui-select-match-item.dropping-after:after{content:"";position:absolute;top:0;left:100%;height:100%;margin-left:2px;border-right:1px solid #428bca}.ui-select-bootstrap .ui-select-choices-row>a{display:block;padding:3px 20px;clear:both;font-weight:400;line-height:1.42857143;color:#333;white-space:nowrap}.ui-select-bootstrap .ui-select-choices-row>a:focus,.ui-select-bootstrap .ui-select-choices-row>a:hover{text-decoration:none;color:#262626;background-color:#f5f5f5}.ui-select-bootstrap .ui-select-choices-row.active>a{color:#fff;text-decoration:none;outline:0;background-color:#428bca}.ui-select-bootstrap .ui-select-choices-row.active.disabled>a,.ui-select-bootstrap .ui-select-choices-row.disabled>a{color:#777;cursor:not-allowed;background-color:#fff}.ui-select-match.ng-hide-add,.ui-select-search.ng-hide-add{display:none!important}.ui-select-bootstrap.ng-dirty.ng-invalid>button.btn.ui-select-match{border-color:#D44950}.ui-select-container[theme=bootstrap].direction-up .ui-select-dropdown{box-shadow:0 -4px 8px rgba(0,0,0,.25)}.lightbox-nav{position:relative;margin-bottom:12px;height:22px;text-align:center;font-size:0}.lightbox-nav .btn-group{vertical-align:top}.lightbox-nav .close{position:absolute;top:0;right:0}.lightbox-image-container{position:relative;text-align:center}.lightbox-image-caption{position:absolute;top:0;left:0;margin:.5em .9em;color:#000;font-size:1.5em;font-weight:700;text-align:left;text-shadow:.1em .1em .2em rgba(255,255,255,.5)}.lightbox-image-caption span{padding-top:.1em;padding-bottom:.1em;background-color:rgba(255,255,255,.75);box-shadow:.4em 0 0 rgba(255,255,255,.75),-.4em 0 0 rgba(255,255,255,.75)}/*! angularjs-slider - v2.14.0 - - (c) Rafal Zajac , Valentin Hervieu , Jussi Saarivirta , Angelin Sirbu - - https://github.com/angular-slider/angularjs-slider - - 2016-05-22 */rzslider{position:relative;display:inline-block;width:100%;height:4px;margin:35px 0 15px;vertical-align:middle;user-select:none}rzslider.with-legend{margin-bottom:40px}rzslider[disabled]{cursor:not-allowed}rzslider[disabled] .rz-pointer{cursor:not-allowed;background-color:#d8e0f3}rzslider span{position:absolute;display:inline-block;white-space:nowrap}rzslider .rz-base{width:100%;height:100%;padding:0}rzslider .rz-bar-wrapper{left:0;z-index:1;width:100%;height:32px;padding-top:16px;margin-top:-16px;box-sizing:border-box}rzslider .rz-bar-wrapper.rz-draggable{cursor:move}rzslider .rz-bar{left:0;z-index:1;width:100%;height:4px;background:#d8e0f3;-webkit-border-radius:2px;-moz-border-radius:2px;border-radius:2px}rzslider .rz-bar.rz-selection{z-index:2;background:#0db9f0;-webkit-border-radius:2px;-moz-border-radius:2px;border-radius:2px}rzslider .rz-pointer{top:-14px;z-index:3;width:32px;height:32px;cursor:pointer;background-color:#0db9f0;-webkit-border-radius:16px;-moz-border-radius:16px;border-radius:16px}rzslider .rz-pointer:after{position:absolute;top:12px;left:12px;width:8px;height:8px;background:#fff;-webkit-border-radius:4px;-moz-border-radius:4px;border-radius:4px;content:''}rzslider .rz-pointer:hover:after{background-color:#fff}rzslider .rz-pointer.rz-active{z-index:4}rzslider .rz-pointer.rz-active:after{background-color:#451aff}rzslider .rz-bubble{bottom:16px;padding:1px 3px;color:#55637d;cursor:default}rzslider .rz-bubble.rz-selection{top:16px}rzslider .rz-bubble.rz-limit{color:#55637d}rzslider .rz-ticks{position:absolute;top:-3px;left:0;z-index:1;display:-webkit-flex;display:-ms-flexbox;display:flex;width:100%;height:0;padding:0 11px;margin:0;list-style:none;box-sizing:border-box;-webkit-justify-content:space-between;-ms-flex-pack:justify;justify-content:space-between}rzslider .rz-ticks .rz-tick{width:10px;height:10px;text-align:center;cursor:pointer;background:#d8e0f3;border-radius:50%}rzslider .rz-ticks .rz-tick.rz-selected{background:#0db9f0}rzslider .rz-ticks .rz-tick .rz-tick-value{position:absolute;top:-30px;transform:translate(-50%,0)}rzslider .rz-ticks .rz-tick .rz-tick-legend{position:absolute;top:24px;max-width:50px;white-space:normal;transform:translate(-50%,0)}.jstree-anchor,.jstree-node,.vakata-context li>a{white-space:nowrap}rzslider .rz-ticks.rz-ticks-values-under .rz-tick-value{top:initial;bottom:-40px}rzslider.rz-vertical{position:relative;width:4px;height:100%;padding:0;margin:0 20px;vertical-align:baseline}rzslider.rz-vertical .rz-base{width:100%;height:100%;padding:0}rzslider.rz-vertical .rz-bar-wrapper{top:auto;left:0;width:32px;height:100%;padding:0 0 0 16px;margin:0 0 0 -16px}rzslider.rz-vertical .rz-bar{bottom:0;left:auto;width:4px;height:100%}rzslider.rz-vertical .rz-pointer{top:auto;bottom:0;left:-14px!important}rzslider.rz-vertical .rz-bubble{bottom:0;left:16px!important;margin-left:3px}rzslider.rz-vertical .rz-bubble.rz-selection{top:auto;left:16px!important}rzslider.rz-vertical .rz-ticks{top:0;left:-3px;z-index:1;width:0;height:100%;padding:11px 0;-webkit-flex-direction:column-reverse;-ms-flex-direction:column-reverse;flex-direction:column-reverse}rzslider.rz-vertical .rz-ticks .rz-tick{vertical-align:middle}rzslider.rz-vertical .rz-ticks .rz-tick .rz-tick-value{top:initial;left:22px;transform:translate(0,-28%)}rzslider.rz-vertical .rz-ticks .rz-tick .rz-tick-legend{top:initial;right:24px;max-width:none;white-space:nowrap;transform:translate(0,-28%)}rzslider.rz-vertical .rz-ticks.rz-ticks-values-under .rz-tick-value{right:12px;bottom:initial;left:initial}.jstree-children,.jstree-container-ul,.jstree-node{display:block;margin:0;padding:0;list-style-type:none;list-style-image:none}.jstree-anchor,.jstree-icon,.jstree-icon:empty{display:inline-block;margin:0;vertical-align:top}.jstree-anchor{padding:0 4px 0 1px}.jstree-anchor:focus{outline:0}.jstree-anchor,.jstree-anchor:active,.jstree-anchor:hover,.jstree-anchor:link,.jstree-anchor:visited{text-decoration:none;color:inherit}.jstree-icon,.jstree-icon:empty{text-decoration:none;padding:0;text-align:center}.jstree-ocl{cursor:pointer}.jstree-leaf>.jstree-ocl{cursor:default}.jstree .jstree-open>.jstree-children{display:block}.jstree .jstree-closed>.jstree-children,.jstree .jstree-leaf>.jstree-children,.jstree-anchor>.jstree-themeicon-hidden,.jstree-hidden,.jstree-no-icons .jstree-themeicon{display:none}.jstree-anchor>.jstree-themeicon{margin-right:2px}.jstree-rtl .jstree-anchor{padding:0 1px 0 4px}.jstree-rtl .jstree-anchor>.jstree-themeicon{margin-left:2px;margin-right:0}.jstree-rtl .jstree-node{margin-left:0}.jstree-rtl .jstree-container-ul>.jstree-node{margin-right:0}.jstree-wholerow-ul{position:relative;display:inline-block;min-width:100%}.jstree-wholerow-ul .jstree-leaf>.jstree-ocl{cursor:pointer}.jstree-wholerow-ul .jstree-anchor,.jstree-wholerow-ul .jstree-icon{position:relative}.jstree-wholerow-ul .jstree-wholerow{width:100%;cursor:pointer;position:absolute;left:0;-webkit-user-select:none;-moz-user-select:none;-ms-user-select:none;user-select:none}.vakata-context{display:none}.vakata-context,.vakata-context ul{margin:0;padding:2px;position:absolute;background:#f5f5f5;border:1px solid #979797;box-shadow:2px 2px 2px #999}.vakata-context .vakata-context-hover>a,.vakata-context li>a:hover{position:relative;background-color:#e8eff7;box-shadow:0 0 2px #0a6aa1}.vakata-context ul{list-style:none;left:100%;margin-top:-2.7em;margin-left:-4px}.vakata-context .vakata-context-right ul{left:auto;right:100%;margin-left:auto;margin-right:-4px}.vakata-context li{list-style:none;display:inline}.vakata-context li>a{display:block;padding:0 2em;text-decoration:none;width:auto;color:#000;line-height:2.4em;text-shadow:1px 1px 0 #fff;border-radius:1px}.vakata-context li>a>i,.vakata-context li>a>i:empty{width:2.4em;line-height:2.4em}.vakata-context li>a.vakata-context-parent{background-image:url(data:image/gif;base64,R0lGODlhCwAHAIAAACgoKP///yH5BAEAAAEALAAAAAALAAcAAAIORI4JlrqN1oMSnmmZDQUAOw==);background-position:right center;background-repeat:no-repeat}.vakata-context li>a:focus{outline:0}.vakata-context .vakata-context-separator>a,.vakata-context .vakata-context-separator>a:hover{background:#fff;border:0;border-top:1px solid #e2e3e3;height:1px;min-height:1px;max-height:1px;padding:0;margin:0 0 0 2.4em;border-left:1px solid #e0e0e0;text-shadow:0 0 0 transparent;box-shadow:0 0 0 transparent;border-radius:0}.vakata-context .vakata-contextmenu-disabled a,.vakata-context .vakata-contextmenu-disabled a:hover{color:silver;background-color:transparent;border:0;box-shadow:0 0 0}.vakata-context li>a>i{text-decoration:none;display:inline-block;height:2.4em;background:0 0;margin:0 0 0 -2em;vertical-align:top;text-align:center}.vakata-context li>a .vakata-contextmenu-sep{display:inline-block;width:1px;height:2.4em;background:#fff;margin:0 .5em 0 0;border-left:1px solid #e2e3e3}.vakata-context .vakata-contextmenu-shortcut{font-size:.8em;color:silver;opacity:.5;display:none}.vakata-context-rtl ul{left:auto;right:100%;margin-left:auto;margin-right:-4px}.vakata-context-rtl li>a.vakata-context-parent{background-image:url(data:image/gif;base64,R0lGODlhCwAHAIAAACgoKP///yH5BAEAAAEALAAAAAALAAcAAAINjI+AC7rWHIsPtmoxLAA7);background-position:left center;background-repeat:no-repeat}.vakata-context-rtl .vakata-context-separator>a{margin:0 2.4em 0 0;border-left:0;border-right:1px solid #e2e3e3}.vakata-context-rtl .vakata-context-left ul{right:auto;left:100%;margin-left:-4px;margin-right:auto}.vakata-context-rtl li>a>i{margin:0 -2em 0 0}.vakata-context-rtl li>a .vakata-contextmenu-sep{margin:0 0 0 .5em;border-left-color:#fff;background:#e2e3e3}#jstree-marker{position:absolute;top:0;left:0;margin:-5px 0 0;padding:0;border-right:0;border-top:5px solid transparent;border-bottom:5px solid transparent;border-left:5px solid;width:0;height:0;font-size:0;line-height:0}#jstree-dnd{line-height:16px;margin:0;padding:4px}#jstree-dnd .jstree-copy,#jstree-dnd .jstree-icon{display:inline-block;text-decoration:none;margin:0 2px 0 0;padding:0;width:16px;height:16px}#jstree-dnd .jstree-ok{background:green}#jstree-dnd .jstree-er{background:red}#jstree-dnd .jstree-copy{margin:0 2px}.jstree-default .jstree-icon,.jstree-default .jstree-node{background-repeat:no-repeat;background-color:transparent}.jstree-default .jstree-anchor,.jstree-default .jstree-wholerow{transition:background-color .15s,box-shadow .15s}.jstree-default .jstree-hovered{background:#e7f4f9;border-radius:2px;box-shadow:inset 0 0 1px #ccc}.jstree-default .jstree-clicked{background:#beebff;border-radius:2px;box-shadow:inset 0 0 1px #999}.jstree-default .jstree-no-icons .jstree-anchor>.jstree-themeicon{display:none}.jstree-default .jstree-disabled{color:#666}.jstree-default .jstree-disabled.jstree-hovered{box-shadow:none}.jstree-default .jstree-disabled>.jstree-icon{opacity:.8;filter:url("data:image/svg+xml;utf8,#jstree-grayscale");filter:gray;-webkit-filter:grayscale(100%)}.jstree-default .jstree-search{font-style:italic;color:#8b0000;font-weight:700}.jstree-default .jstree-no-checkboxes .jstree-checkbox{display:none!important}.jstree-default.jstree-checkbox-no-clicked .jstree-clicked{background:0 0;box-shadow:none}.jstree-default.jstree-checkbox-no-clicked .jstree-clicked.jstree-hovered{background:#e7f4f9}.jstree-default.jstree-checkbox-no-clicked>.jstree-wholerow-ul .jstree-wholerow-clicked{background:0 0}.jstree-default.jstree-checkbox-no-clicked>.jstree-wholerow-ul .jstree-wholerow-clicked.jstree-wholerow-hovered{background:#e7f4f9}.jstree-default>.jstree-striped{min-width:100%;display:inline-block;background:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAAEAAAAkCAMAAAB/qqA+AAAABlBMVEUAAAAAAAClZ7nPAAAAAnRSTlMNAMM9s3UAAAAXSURBVHjajcEBAQAAAIKg/H/aCQZ70AUBjAATb6YPDgAAAABJRU5ErkJggg==) left top}.jstree-default>.jstree-wholerow-ul .jstree-clicked,.jstree-default>.jstree-wholerow-ul .jstree-hovered{background:0 0;box-shadow:none;border-radius:0}.jstree-default .jstree-wholerow{-moz-box-sizing:border-box;-webkit-box-sizing:border-box;box-sizing:border-box;height:24px}.jstree-default .jstree-wholerow-hovered{background:#e7f4f9}.jstree-default .jstree-wholerow-clicked{background:#beebff;background:-webkit-linear-gradient(top,#beebff 0,#a8e4ff 100%);background:linear-gradient(to bottom,#beebff 0,#a8e4ff 100%)}.jstree-default .jstree-node{min-height:24px;line-height:24px;margin-left:24px;min-width:24px;background-position:-292px -4px;background-repeat:repeat-y}.jstree-default .jstree-anchor{line-height:24px;height:24px}.jstree-default .jstree-icon,.jstree-default .jstree-icon:empty{width:24px;height:24px;line-height:24px}.jstree-default .jstree-icon,.jstree-default .jstree-node{background-image:url(32px.png)}.jstree-default .jstree-last{background:0 0}.jstree-default .jstree-open>.jstree-ocl{background-position:-132px -4px}.jstree-default .jstree-closed>.jstree-ocl{background-position:-100px -4px}.jstree-default .jstree-leaf>.jstree-ocl{background-position:-68px -4px}.jstree-default .jstree-themeicon{background-position:-260px -4px}.jstree-default>.jstree-no-dots .jstree-leaf>.jstree-ocl,.jstree-default>.jstree-no-dots .jstree-node{background:0 0}.jstree-default>.jstree-no-dots .jstree-open>.jstree-ocl{background-position:-36px -4px}.jstree-default>.jstree-no-dots .jstree-closed>.jstree-ocl{background-position:-4px -4px}.jstree-default .jstree-disabled,.jstree-default .jstree-disabled.jstree-hovered{background:0 0}.jstree-default .jstree-disabled.jstree-clicked{background:#efefef}.jstree-default .jstree-checkbox{background-position:-164px -4px}.jstree-default .jstree-checkbox:hover{background-position:-164px -36px}.jstree-default .jstree-checked>.jstree-checkbox,.jstree-default.jstree-checkbox-selection .jstree-clicked>.jstree-checkbox{background-position:-228px -4px}.jstree-default .jstree-checked>.jstree-checkbox:hover,.jstree-default.jstree-checkbox-selection .jstree-clicked>.jstree-checkbox:hover{background-position:-228px -36px}.jstree-default .jstree-anchor>.jstree-undetermined{background-position:-196px -4px}.jstree-default .jstree-anchor>.jstree-undetermined:hover{background-position:-196px -36px}.jstree-default .jstree-checkbox-disabled{opacity:.8;filter:url("data:image/svg+xml;utf8,#jstree-grayscale");filter:gray;-webkit-filter:grayscale(100%)}.jstree-default>.jstree-striped{background-size:auto 48px}.jstree-default.jstree-rtl .jstree-node{margin-right:24px;background-position:100% 1px;background-repeat:repeat-y}.jstree-default.jstree-rtl .jstree-open>.jstree-ocl{background-position:-132px -36px}.jstree-default.jstree-rtl .jstree-closed>.jstree-ocl{background-position:-100px -36px}.jstree-default.jstree-rtl .jstree-leaf>.jstree-ocl{background-position:-68px -36px}.jstree-default.jstree-rtl>.jstree-no-dots .jstree-leaf>.jstree-ocl,.jstree-default.jstree-rtl>.jstree-no-dots .jstree-node{background:0 0}.jstree-default.jstree-rtl>.jstree-no-dots .jstree-open>.jstree-ocl{background-position:-36px -36px}.jstree-default.jstree-rtl>.jstree-no-dots .jstree-closed>.jstree-ocl{background-position:-4px -36px}.jstree-default .jstree-themeicon-custom{background-color:transparent;background-image:none;background-position:0 0}.jstree-default>.jstree-container-ul .jstree-loading>.jstree-ocl{background:url(throbber.gif) center center no-repeat}.jstree-default .jstree-file{background:url(32px.png) -100px -68px no-repeat}.jstree-default .jstree-folder{background:url(32px.png) -260px -4px no-repeat}.jstree-default>.jstree-container-ul>.jstree-node{margin-left:0;margin-right:0}#jstree-dnd.jstree-default{line-height:24px;padding:0 4px}#jstree-dnd.jstree-default .jstree-er,#jstree-dnd.jstree-default .jstree-ok{background-image:url(32px.png);background-repeat:no-repeat;background-color:transparent}#jstree-dnd.jstree-default i{background:0 0;width:24px;height:24px;line-height:24px}#jstree-dnd.jstree-default .jstree-ok{background-position:-4px -68px}#jstree-dnd.jstree-default .jstree-er{background-position:-36px -68px}.jstree-default.jstree-rtl .jstree-node{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABgAAAACAQMAAAB49I5GAAAABlBMVEUAAAAdHRvEkCwcAAAAAXRSTlMAQObYZgAAAAxJREFUCNdjAAMOBgAAGAAJMwQHdQAAAABJRU5ErkJggg==)}.jstree-default.jstree-rtl .jstree-last{background:0 0}.jstree-default-small .jstree-node{min-height:18px;line-height:18px;margin-left:18px;min-width:18px;background-position:-295px -7px;background-repeat:repeat-y}.jstree-default-small .jstree-anchor{line-height:18px;height:18px}.jstree-default-small .jstree-icon,.jstree-default-small .jstree-icon:empty{width:18px;height:18px;line-height:18px}.jstree-default-small .jstree-wholerow{height:18px}.jstree-default-small .jstree-icon,.jstree-default-small .jstree-node{background-image:url(32px.png)}.jstree-default-small .jstree-last{background:0 0}.jstree-default-small .jstree-open>.jstree-ocl{background-position:-135px -7px}.jstree-default-small .jstree-closed>.jstree-ocl{background-position:-103px -7px}.jstree-default-small .jstree-leaf>.jstree-ocl{background-position:-71px -7px}.jstree-default-small .jstree-themeicon{background-position:-263px -7px}.jstree-default-small>.jstree-no-dots .jstree-leaf>.jstree-ocl,.jstree-default-small>.jstree-no-dots .jstree-node{background:0 0}.jstree-default-small>.jstree-no-dots .jstree-open>.jstree-ocl{background-position:-39px -7px}.jstree-default-small>.jstree-no-dots .jstree-closed>.jstree-ocl{background-position:-7px -7px}.jstree-default-small .jstree-disabled,.jstree-default-small .jstree-disabled.jstree-hovered{background:0 0}.jstree-default-small .jstree-disabled.jstree-clicked{background:#efefef}.jstree-default-small .jstree-checkbox{background-position:-167px -7px}.jstree-default-small .jstree-checkbox:hover{background-position:-167px -39px}.jstree-default-small .jstree-checked>.jstree-checkbox,.jstree-default-small.jstree-checkbox-selection .jstree-clicked>.jstree-checkbox{background-position:-231px -7px}.jstree-default-small .jstree-checked>.jstree-checkbox:hover,.jstree-default-small.jstree-checkbox-selection .jstree-clicked>.jstree-checkbox:hover{background-position:-231px -39px}.jstree-default-small .jstree-anchor>.jstree-undetermined{background-position:-199px -7px}.jstree-default-small .jstree-anchor>.jstree-undetermined:hover{background-position:-199px -39px}.jstree-default-small .jstree-checkbox-disabled{opacity:.8;filter:url("data:image/svg+xml;utf8,#jstree-grayscale");filter:gray;-webkit-filter:grayscale(100%)}.jstree-default-small>.jstree-striped{background-size:auto 36px}.jstree-default-small.jstree-rtl .jstree-node{margin-right:18px;background-position:100% 1px;background-repeat:repeat-y}.jstree-default-small.jstree-rtl .jstree-open>.jstree-ocl{background-position:-135px -39px}.jstree-default-small.jstree-rtl .jstree-closed>.jstree-ocl{background-position:-103px -39px}.jstree-default-small.jstree-rtl .jstree-leaf>.jstree-ocl{background-position:-71px -39px}.jstree-default-small.jstree-rtl>.jstree-no-dots .jstree-leaf>.jstree-ocl,.jstree-default-small.jstree-rtl>.jstree-no-dots .jstree-node{background:0 0}.jstree-default-small.jstree-rtl>.jstree-no-dots .jstree-open>.jstree-ocl{background-position:-39px -39px}.jstree-default-small.jstree-rtl>.jstree-no-dots .jstree-closed>.jstree-ocl{background-position:-7px -39px}.jstree-default-small .jstree-themeicon-custom{background-color:transparent;background-image:none;background-position:0 0}.jstree-default-small>.jstree-container-ul .jstree-loading>.jstree-ocl{background:url(throbber.gif) center center no-repeat}.jstree-default-small .jstree-file{background:url(32px.png) -103px -71px no-repeat}.jstree-default-small .jstree-folder{background:url(32px.png) -263px -7px no-repeat}.jstree-default-small>.jstree-container-ul>.jstree-node{margin-left:0;margin-right:0}#jstree-dnd.jstree-default-small{line-height:18px;padding:0 4px}#jstree-dnd.jstree-default-small .jstree-er,#jstree-dnd.jstree-default-small .jstree-ok{background-image:url(32px.png);background-repeat:no-repeat;background-color:transparent}#jstree-dnd.jstree-default-small i{background:0 0;width:18px;height:18px;line-height:18px}#jstree-dnd.jstree-default-small .jstree-ok{background-position:-7px -71px}#jstree-dnd.jstree-default-small .jstree-er{background-position:-39px -71px}.jstree-default-small.jstree-rtl .jstree-node{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABIAAAACAQMAAABv1h6PAAAABlBMVEUAAAAdHRvEkCwcAAAAAXRSTlMAQObYZgAAAAxJREFUCNdjAAMHBgAAiABBI4gz9AAAAABJRU5ErkJggg==)}.jstree-default-small.jstree-rtl .jstree-last{background:0 0}.jstree-default-large .jstree-node{min-height:32px;line-height:32px;margin-left:32px;min-width:32px;background-position:-288px 0;background-repeat:repeat-y}.jstree-default-large .jstree-anchor{line-height:32px;height:32px}.jstree-default-large .jstree-icon,.jstree-default-large .jstree-icon:empty{width:32px;height:32px;line-height:32px}.jstree-default-large .jstree-wholerow{height:32px}.jstree-default-large .jstree-icon,.jstree-default-large .jstree-node{background-image:url(32px.png)}.jstree-default-large .jstree-last{background:0 0}.jstree-default-large .jstree-open>.jstree-ocl{background-position:-128px 0}.jstree-default-large .jstree-closed>.jstree-ocl{background-position:-96px 0}.jstree-default-large .jstree-leaf>.jstree-ocl{background-position:-64px 0}.jstree-default-large .jstree-themeicon{background-position:-256px 0}.jstree-default-large>.jstree-no-dots .jstree-leaf>.jstree-ocl,.jstree-default-large>.jstree-no-dots .jstree-node{background:0 0}.jstree-default-large>.jstree-no-dots .jstree-open>.jstree-ocl{background-position:-32px 0}.jstree-default-large>.jstree-no-dots .jstree-closed>.jstree-ocl{background-position:0 0}.jstree-default-large .jstree-disabled,.jstree-default-large .jstree-disabled.jstree-hovered{background:0 0}.jstree-default-large .jstree-disabled.jstree-clicked{background:#efefef}.jstree-default-large .jstree-checkbox{background-position:-160px 0}.jstree-default-large .jstree-checkbox:hover{background-position:-160px -32px}.jstree-default-large .jstree-checked>.jstree-checkbox,.jstree-default-large.jstree-checkbox-selection .jstree-clicked>.jstree-checkbox{background-position:-224px 0}.jstree-default-large .jstree-checked>.jstree-checkbox:hover,.jstree-default-large.jstree-checkbox-selection .jstree-clicked>.jstree-checkbox:hover{background-position:-224px -32px}.jstree-default-large .jstree-anchor>.jstree-undetermined{background-position:-192px 0}.jstree-default-large .jstree-anchor>.jstree-undetermined:hover{background-position:-192px -32px}.jstree-default-large .jstree-checkbox-disabled{opacity:.8;filter:url("data:image/svg+xml;utf8,#jstree-grayscale");filter:gray;-webkit-filter:grayscale(100%)}.jstree-default-large>.jstree-striped{background-size:auto 64px}.jstree-default-large.jstree-rtl .jstree-node{margin-right:32px;background-position:100% 1px;background-repeat:repeat-y}.jstree-default-large.jstree-rtl .jstree-open>.jstree-ocl{background-position:-128px -32px}.jstree-default-large.jstree-rtl .jstree-closed>.jstree-ocl{background-position:-96px -32px}.jstree-default-large.jstree-rtl .jstree-leaf>.jstree-ocl{background-position:-64px -32px}.jstree-default-large.jstree-rtl>.jstree-no-dots .jstree-leaf>.jstree-ocl,.jstree-default-large.jstree-rtl>.jstree-no-dots .jstree-node{background:0 0}.jstree-default-large.jstree-rtl>.jstree-no-dots .jstree-open>.jstree-ocl{background-position:-32px -32px}.jstree-default-large.jstree-rtl>.jstree-no-dots .jstree-closed>.jstree-ocl{background-position:0 -32px}.jstree-default-large .jstree-themeicon-custom{background-color:transparent;background-image:none;background-position:0 0}.jstree-default-large>.jstree-container-ul .jstree-loading>.jstree-ocl{background:url(throbber.gif) center center no-repeat}.jstree-default-large .jstree-file{background:url(32px.png) -96px -64px no-repeat}.jstree-default-large .jstree-folder{background:url(32px.png) -256px 0 no-repeat}.jstree-default-large>.jstree-container-ul>.jstree-node{margin-left:0;margin-right:0}#jstree-dnd.jstree-default-large{line-height:32px;padding:0 4px}#jstree-dnd.jstree-default-large .jstree-er,#jstree-dnd.jstree-default-large .jstree-ok{background-image:url(32px.png);background-repeat:no-repeat;background-color:transparent}#jstree-dnd.jstree-default-large i{background:0 0;width:32px;height:32px;line-height:32px}#jstree-dnd.jstree-default-large .jstree-ok{background-position:0 -64px}#jstree-dnd.jstree-default-large .jstree-er{background-position:-32px -64px}.jstree-default-large.jstree-rtl .jstree-node{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAACAQMAAAAD0EyKAAAABlBMVEUAAAAdHRvEkCwcAAAAAXRSTlMAQObYZgAAAAxJREFUCNdjgIIGBgABCgCBvVLXcAAAAABJRU5ErkJggg==)}.jstree-default-large.jstree-rtl .jstree-last{background:0 0}@media (max-width:768px){#jstree-dnd.jstree-dnd-responsive{line-height:40px;font-weight:700;font-size:1.1em;text-shadow:1px 1px #fff}#jstree-dnd.jstree-dnd-responsive>i{background:0 0;width:40px;height:40px}#jstree-dnd.jstree-dnd-responsive>.jstree-ok{background-image:url(40px.png);background-position:0 -200px;background-size:120px 240px}#jstree-dnd.jstree-dnd-responsive>.jstree-er{background-image:url(40px.png);background-position:-40px -200px;background-size:120px 240px}#jstree-marker.jstree-dnd-responsive{border-left-width:10px;border-top-width:10px;border-bottom-width:10px;margin-top:-10px}.jstree-default-responsive .jstree-leaf>.jstree-ocl,.jstree-default-responsive .jstree-node{background:0 0}.jstree-default-responsive .jstree-node{min-height:40px;line-height:40px;margin-left:40px;min-width:40px;white-space:nowrap}.jstree-default-responsive .jstree-anchor{line-height:40px;height:40px;font-weight:700;font-size:1.1em;text-shadow:1px 1px #fff}.jstree-default-responsive .jstree-icon,.jstree-default-responsive .jstree-icon:empty{width:40px;height:40px;line-height:40px}.jstree-default-responsive.jstree-rtl .jstree-node{margin-left:0;margin-right:40px}.jstree-default-responsive.jstree-rtl .jstree-container-ul>.jstree-node{margin-right:0}.jstree-default-responsive .jstree-checkbox,.jstree-default-responsive .jstree-ocl,.jstree-default-responsive .jstree-themeicon{background-size:120px 240px}.jstree-default-responsive .jstree-leaf>.jstree-ocl{background:0 0}.jstree-default-responsive .jstree-open>.jstree-ocl{background-position:0 0!important}.jstree-default-responsive .jstree-closed>.jstree-ocl{background-position:0 -40px!important}.jstree-default-responsive.jstree-rtl .jstree-closed>.jstree-ocl{background-position:-40px 0!important}.jstree-default-responsive .jstree-themeicon{background-position:-40px -40px}.jstree-default-responsive .jstree-checkbox,.jstree-default-responsive .jstree-checkbox:hover{background-position:-40px -80px}.jstree-default-responsive .jstree-checked>.jstree-checkbox,.jstree-default-responsive .jstree-checked>.jstree-checkbox:hover,.jstree-default-responsive.jstree-checkbox-selection .jstree-clicked>.jstree-checkbox,.jstree-default-responsive.jstree-checkbox-selection .jstree-clicked>.jstree-checkbox:hover{background-position:0 -80px}.jstree-default-responsive .jstree-anchor>.jstree-undetermined,.jstree-default-responsive .jstree-anchor>.jstree-undetermined:hover{background-position:0 -120px}.jstree-default-responsive>.jstree-striped{background:0 0}.jstree-default-responsive .jstree-wholerow{border-top:1px solid rgba(255,255,255,.7);border-bottom:1px solid rgba(64,64,64,.2);background:#ebebeb;height:40px}.jstree-default-responsive .jstree-wholerow-hovered{background:#e7f4f9}.jstree-default-responsive .jstree-wholerow-clicked{background:#beebff}.jstree-default-responsive .jstree-children .jstree-last>.jstree-wholerow{box-shadow:inset 0 -6px 3px -5px #666}.jstree-default-responsive .jstree-children .jstree-open>.jstree-wholerow{box-shadow:inset 0 6px 3px -5px #666;border-top:0}.jstree-default-responsive .jstree-children .jstree-open+.jstree-open{box-shadow:none}.jstree-default-responsive .jstree-checkbox,.jstree-default-responsive .jstree-icon,.jstree-default-responsive .jstree-node,.jstree-default-responsive .jstree-node>.jstree-ocl,.jstree-default-responsive .jstree-themeicon{background-image:url(40px.png);background-size:120px 240px}.jstree-default-responsive .jstree-node{background-position:-80px 0;background-repeat:repeat-y}.jstree-default-responsive .jstree-last{background:0 0}.jstree-default-responsive .jstree-leaf>.jstree-ocl{background-position:-40px -120px}.jstree-default-responsive .jstree-last>.jstree-ocl{background-position:-40px -160px}.jstree-default-responsive .jstree-themeicon-custom{background-color:transparent;background-image:none;background-position:0 0}.jstree-default-responsive .jstree-file{background:url(40px.png) 0 -160px no-repeat;background-size:120px 240px}.jstree-default-responsive .jstree-folder{background:url(40px.png) -40px -40px no-repeat;background-size:120px 240px}.jstree-default-responsive>.jstree-container-ul>.jstree-node{margin-left:0;margin-right:0}} \ No newline at end of file diff --git a/public/app/ac6b16c0.vendor.js b/public/app/9003b42a.vendor.js similarity index 57% rename from public/app/ac6b16c0.vendor.js rename to public/app/9003b42a.vendor.js index 2a795bc..f431672 100644 --- a/public/app/ac6b16c0.vendor.js +++ b/public/app/9003b42a.vendor.js @@ -1,66 +1,60 @@ -function $notificationProvider(){function a(a,c,d){function e(c,d){function f(){d=angular.extend({focusWindowOnClick:!0},b.options||{},d);try{g.baseNotification=new a.Notification(c,d)}catch(e){return}d.delay&&setTimeout(angular.bind(g,g.close),d.delay),d.focusWindowOnClick&&g.$on("click",function(){a.focus()}),g._events.forEach(function(a){g.$on.apply(g,a)}),g._events=[]}if(!a.Notification)return!1;d=d||{};var g=this;return this._events=[],"granted"===a.Notification.permission?f():void("denied"!==a.Notification.permission&&e.requestPermission().then(f))}function f(a,b){return new e(a,b)}return e.prototype.$on=function(a,b){function d(){var a=arguments;c.$apply(function(){b.apply(e,a)})}var e=this;return this.baseNotification?(this.baseNotification.addEventListener(a,d),function(){this.baseNotification.removeListener(event,d)}):this._events.push([a,b])},e.prototype.close=function(){this.baseNotification&&this.baseNotification.close()},e.requestPermission=function(){return d(function(b,c){return a.Notification?void a.Notification.requestPermission(function(c){a.Notification.permission=a.Notification.permission||c,b(a.Notification.permission)}):c()})},f.requestPermission=e.requestPermission,f}var b=this;this.$get=["$window","$rootScope","$q",a],this.setOptions=function(a){this.options=a}}function wizardButtonDirective(a){angular.module("mgo-angular-wizard").directive(a,function(){return{restrict:"A",replace:!1,require:"^wizard",link:function(b,c,d,e){c.on("click",function(c){c.preventDefault(),b.$apply(function(){b.$eval(d[a]),e[a.replace("wz","").toLowerCase()]()})})}}})}function validElementString(a){try{return 0!==angular.element(a).length}catch(b){return!1}}function registerTextAngularTool(a,b){if(!a||""===a||taTools.hasOwnProperty(a))throw"textAngular Error: A unique name is required for a Tool Definition";if(b.display&&(""===b.display||!validElementString(b.display))||!b.display&&!b.buttontext&&!b.iconclass)throw'textAngular Error: Tool Definition for "'+a+'" does not have a valid display/iconclass/buttontext value';taTools[a]=b}function _typeof(a){return a&&"undefined"!=typeof Symbol&&a.constructor===Symbol?"symbol":typeof a}function getRandomColors(){for(var a="0123456789ABCDEF".split(""),b="#",c=0;6>c;c++)b+=a[Math.floor(16*Math.random())];return b}function getFirstAndLastName(a){var b=a.split(" ");if(b&&b.length>=2){var c=b[0],d=b[1];if(c&&d){var e=c.substr(0,1)+d.substr(0,1);return e}return a.substr(0,2)}}function getImgTag(a,b,c){var d=angular.element("").attr({xmlns:"http://www.w3.org/2000/svg","pointer-events":"none",width:a,height:b}).css({"background-color":c,width:a+"px",height:b+"px"});return d}function getCharacterObject(a,b,c,d,e){var f=angular.element('').attr({y:"50%",x:"50%",dy:"0.35em","pointer-events":"auto",fill:b,"font-family":c}).html(a).css({"font-weight":d,"font-size":e+"px"});return f}if(function(a,b){"object"==typeof module&&"object"==typeof module.exports?module.exports=a.document?b(a,!0):function(a){if(!a.document)throw new Error("jQuery requires a window with a document");return b(a)}:b(a)}("undefined"!=typeof window?window:this,function(a,b){function c(a){var b="length"in a&&a.length,c=_.type(a);return"function"===c||_.isWindow(a)?!1:1===a.nodeType&&b?!0:"array"===c||0===b||"number"==typeof b&&b>0&&b-1 in a}function d(a,b,c){if(_.isFunction(b))return _.grep(a,function(a,d){return!!b.call(a,d,a)!==c});if(b.nodeType)return _.grep(a,function(a){return a===b!==c});if("string"==typeof b){if(ha.test(b))return _.filter(b,a,c);b=_.filter(b,a)}return _.grep(a,function(a){return U.call(b,a)>=0!==c})}function e(a,b){for(;(a=a[b])&&1!==a.nodeType;);return a}function f(a){var b=oa[a]={};return _.each(a.match(na)||[],function(a,c){b[c]=!0}),b}function g(){Z.removeEventListener("DOMContentLoaded",g,!1),a.removeEventListener("load",g,!1),_.ready()}function h(){Object.defineProperty(this.cache={},0,{get:function(){return{}}}),this.expando=_.expando+h.uid++}function i(a,b,c){var d;if(void 0===c&&1===a.nodeType)if(d="data-"+b.replace(ua,"-$1").toLowerCase(),c=a.getAttribute(d),"string"==typeof c){try{c="true"===c?!0:"false"===c?!1:"null"===c?null:+c+""===c?+c:ta.test(c)?_.parseJSON(c):c}catch(e){}sa.set(a,b,c)}else c=void 0;return c}function j(){return!0}function k(){return!1}function l(){try{return Z.activeElement}catch(a){}}function m(a,b){return _.nodeName(a,"table")&&_.nodeName(11!==b.nodeType?b:b.firstChild,"tr")?a.getElementsByTagName("tbody")[0]||a.appendChild(a.ownerDocument.createElement("tbody")):a}function n(a){return a.type=(null!==a.getAttribute("type"))+"/"+a.type,a}function o(a){var b=Ka.exec(a.type);return b?a.type=b[1]:a.removeAttribute("type"),a}function p(a,b){for(var c=0,d=a.length;d>c;c++)ra.set(a[c],"globalEval",!b||ra.get(b[c],"globalEval"))}function q(a,b){var c,d,e,f,g,h,i,j;if(1===b.nodeType){if(ra.hasData(a)&&(f=ra.access(a),g=ra.set(b,f),j=f.events)){delete g.handle,g.events={};for(e in j)for(c=0,d=j[e].length;d>c;c++)_.event.add(b,e,j[e][c])}sa.hasData(a)&&(h=sa.access(a),i=_.extend({},h),sa.set(b,i))}}function r(a,b){var c=a.getElementsByTagName?a.getElementsByTagName(b||"*"):a.querySelectorAll?a.querySelectorAll(b||"*"):[];return void 0===b||b&&_.nodeName(a,b)?_.merge([a],c):c}function s(a,b){var c=b.nodeName.toLowerCase();"input"===c&&ya.test(a.type)?b.checked=a.checked:"input"!==c&&"textarea"!==c||(b.defaultValue=a.defaultValue)}function t(b,c){var d,e=_(c.createElement(b)).appendTo(c.body),f=a.getDefaultComputedStyle&&(d=a.getDefaultComputedStyle(e[0]))?d.display:_.css(e[0],"display");return e.detach(),f}function u(a){var b=Z,c=Oa[a];return c||(c=t(a,b),"none"!==c&&c||(Na=(Na||_("':''),v=a(d.theme?'':''),d.theme&&q?(x='"):d.theme?(x='"):x=q?'':'',w=a(x),r&&(d.theme?(w.css(p),w.addClass("ui-widget-content")):w.css(f)),d.theme||v.css(d.overlayCSS),v.css("position",q?"fixed":"absolute"),(k||d.forceIframe)&&u.css("opacity",0);var z=[u,v,w],A=a(q?"body":b);a.each(z,function(){this.appendTo(A)}),d.theme&&d.draggable&&a.fn.draggable&&w.draggable({handle:".ui-dialog-titlebar",cancel:"li"});var B=m&&(!a.support.boxModel||a("object,embed",q?null:b).length>0);if(l||B){if(q&&d.allowBodyStretch&&a.support.boxModel&&a("html,body").css("height","100%"),(l||!a.support.boxModel)&&!q)var C=i(b,"borderTopWidth"),D=i(b,"borderLeftWidth"),E=C?"(0 - "+C+")":0,F=D?"(0 - "+D+")":0;a.each(z,function(a,b){var c=b[0].style;if(c.position="absolute",2>a)q?c.setExpression("height","Math.max(document.body.scrollHeight, document.body.offsetHeight) - (jQuery.support.boxModel?0:"+d.quirksmodeOffsetHack+') + "px"'):c.setExpression("height",'this.parentNode.offsetHeight + "px"'),q?c.setExpression("width",'jQuery.support.boxModel && document.documentElement.clientWidth || document.body.clientWidth + "px"'):c.setExpression("width",'this.parentNode.offsetWidth + "px"'),F&&c.setExpression("left",F),E&&c.setExpression("top",E);else if(d.centerY)q&&c.setExpression("top",'(document.documentElement.clientHeight || document.body.clientHeight) / 2 - (this.offsetHeight / 2) + (blah = document.documentElement.scrollTop ? document.documentElement.scrollTop : document.body.scrollTop) + "px"'),c.marginTop=0;else if(!d.centerY&&q){var e=d.css&&d.css.top?parseInt(d.css.top,10):0,f="((document.documentElement.scrollTop ? document.documentElement.scrollTop : document.body.scrollTop) + "+e+') + "px"';c.setExpression("top",f)}})}if(r&&(d.theme?w.find(".ui-widget-content").append(r):w.append(r),(r.jquery||r.nodeType)&&a(r).show()),(k||d.forceIframe)&&d.showOverlay&&u.show(),d.fadeIn){var G=d.onBlock?d.onBlock:j,H=d.showOverlay&&!r?G:j,I=r?G:j;d.showOverlay&&v._fadeIn(d.fadeIn,H),r&&w._fadeIn(d.fadeIn,I)}else d.showOverlay&&v.show(),r&&w.show(),d.onBlock&&d.onBlock.bind(w)();if(e(1,b,d),q?(n=w[0],o=a(d.focusableElements,n),d.focusInput&&setTimeout(g,20)):h(w[0],d.centerX,d.centerY),d.timeout){var J=setTimeout(function(){q?a.unblockUI(d):a(b).unblock(d)},d.timeout);a(b).data("blockUI.timeout",J)}}}function c(b,c){var f,g=b==window,h=a(b),i=h.data("blockUI.history"),j=h.data("blockUI.timeout");j&&(clearTimeout(j),h.removeData("blockUI.timeout")),c=a.extend({},a.blockUI.defaults,c||{}),e(0,b,c),null===c.onUnblock&&(c.onUnblock=h.data("blockUI.onUnblock"),h.removeData("blockUI.onUnblock"));var k;k=g?a("body").children().filter(".blockUI").add("body > .blockUI"):h.find(">.blockUI"),c.cursorReset&&(k.length>1&&(k[1].style.cursor=c.cursorReset),k.length>2&&(k[2].style.cursor=c.cursorReset)),g&&(n=o=null),c.fadeOut?(f=k.length,k.stop().fadeOut(c.fadeOut,function(){0===--f&&d(k,i,c,b)})):d(k,i,c,b)}function d(b,c,d,e){var f=a(e);if(!f.data("blockUI.isBlocked")){b.each(function(a,b){this.parentNode&&this.parentNode.removeChild(this)}),c&&c.el&&(c.el.style.display=c.display,c.el.style.position=c.position,c.el.style.cursor="default",c.parent&&c.parent.appendChild(c.el),f.removeData("blockUI.history")),f.data("blockUI.static")&&f.css("position","static"),"function"==typeof d.onUnblock&&d.onUnblock(e,d);var g=a(document.body),h=g.width(),i=g[0].style.width;g.width(h-1).width(h),g[0].style.width=i}}function e(b,c,d){var e=c==window,g=a(c);if((b||(!e||n)&&(e||g.data("blockUI.isBlocked")))&&(g.data("blockUI.isBlocked",b),e&&d.bindEvents&&(!b||d.showOverlay))){var h="mousedown mouseup keydown keypress keyup touchstart touchend touchmove";b?a(document).bind(h,d,f):a(document).unbind(h,f)}}function f(b){if("keydown"===b.type&&b.keyCode&&9==b.keyCode&&n&&b.data.constrainTabKey){var c=o,d=!b.shiftKey&&b.target===c[c.length-1],e=b.shiftKey&&b.target===c[0];if(d||e)return setTimeout(function(){g(e)},10),!1}var f=b.data,h=a(b.target);return h.hasClass("blockOverlay")&&f.onOverlayClick&&f.onOverlayClick(b),h.parents("div."+f.blockMsgClass).length>0?!0:0===h.parents().children().filter("div.blockUI").length}function g(a){if(o){var b=o[a===!0?o.length-1:0];b&&b.focus()}}function h(a,b,c){var d=a.parentNode,e=a.style,f=(d.offsetWidth-a.offsetWidth)/2-i(d,"borderLeftWidth"),g=(d.offsetHeight-a.offsetHeight)/2-i(d,"borderTopWidth");b&&(e.left=f>0?f+"px":"0"),c&&(e.top=g>0?g+"px":"0")}function i(b,c){return parseInt(a.css(b,c),10)||0}a.fn._fadeIn=a.fn.fadeIn;var j=a.noop||function(){},k=/MSIE/.test(navigator.userAgent),l=/MSIE 6.0/.test(navigator.userAgent)&&!/MSIE 8.0/.test(navigator.userAgent),m=(document.documentMode||0,a.isFunction(document.createElement("div").style.setExpression));a.blockUI=function(a){b(window,a)},a.unblockUI=function(a){c(window,a)},a.growlUI=function(b,c,d,e){var f=a('
');b&&f.append("

"+b+"

"),c&&f.append("

"+c+"

"),void 0===d&&(d=3e3);var g=function(b){b=b||{},a.blockUI({message:f,fadeIn:"undefined"!=typeof b.fadeIn?b.fadeIn:700,fadeOut:"undefined"!=typeof b.fadeOut?b.fadeOut:1e3,timeout:"undefined"!=typeof b.timeout?b.timeout:d,centerY:!1,showOverlay:!1,onUnblock:e,css:a.blockUI.defaults.growlCSS})};g();f.css("opacity");f.mouseover(function(){g({fadeIn:0,timeout:3e4});var b=a(".blockMsg");b.stop(),b.fadeTo(300,1)}).mouseout(function(){a(".blockMsg").fadeOut(1e3)})},a.fn.block=function(c){if(this[0]===window)return a.blockUI(c),this;var d=a.extend({},a.blockUI.defaults,c||{});return this.each(function(){var b=a(this);d.ignoreIfBlocked&&b.data("blockUI.isBlocked")||b.unblock({fadeOut:0})}),this.each(function(){"static"==a.css(this,"position")&&(this.style.position="relative",a(this).data("blockUI.static",!0)),this.style.zoom=1,b(this,c)})},a.fn.unblock=function(b){return this[0]===window?(a.unblockUI(b),this):this.each(function(){c(this,b)})},a.blockUI.version=2.7,a.blockUI.defaults={message:"

Please wait...

",title:null,draggable:!0,theme:!1,css:{padding:0,margin:0,width:"30%",top:"40%",left:"35%",textAlign:"center",color:"#000",border:"3px solid #aaa",backgroundColor:"#fff",cursor:"wait"},themedCSS:{width:"30%",top:"40%",left:"35%"},overlayCSS:{backgroundColor:"#000",opacity:.6,cursor:"wait"},cursorReset:"default",growlCSS:{width:"350px",top:"10px",left:"",right:"10px",border:"none",padding:"5px",opacity:.6,cursor:"default",color:"#fff",backgroundColor:"#000","-webkit-border-radius":"10px","-moz-border-radius":"10px","border-radius":"10px"},iframeSrc:/^https/i.test(window.location.href||"")?"javascript:false":"about:blank",forceIframe:!1,baseZ:1e3,centerX:!0,centerY:!0,allowBodyStretch:!0,bindEvents:!0,constrainTabKey:!0,fadeIn:200,fadeOut:400,timeout:0,showOverlay:!0,focusInput:!0,focusableElements:":input:enabled:visible",onBlock:null,onUnblock:null,onOverlayClick:null,quirksmodeOffsetHack:4,blockMsgClass:"blockMsg",ignoreIfBlocked:!1};var n=null,o=[]}"function"==typeof define&&define.amd&&define.amd.jQuery?define(["jquery"],a):a(jQuery)}(),function(a,b,c){var d=a();a.fn.dropdownHover=function(c){return"ontouchstart"in document?this:(d=d.add(this.parent()),this.each(function(){function e(a){b.clearTimeout(f),b.clearTimeout(g),g=b.setTimeout(function(){d.find(":focus").blur(),n.instantlyCloseOthers===!0&&d.removeClass("open"),b.clearTimeout(g),h.attr("aria-expanded","true"),i.addClass("open"),h.trigger(l)},n.hoverDelay)}var f,g,h=a(this),i=h.parent(),j={delay:500,hoverDelay:0,instantlyCloseOthers:!0},k={delay:a(this).data("delay"),hoverDelay:a(this).data("hover-delay"),instantlyCloseOthers:a(this).data("close-others")},l="show.bs.dropdown",m="hide.bs.dropdown",n=a.extend(!0,{},j,c,k);i.hover(function(a){return i.hasClass("open")||h.is(a.target)?void e(a):!0},function(){b.clearTimeout(g),f=b.setTimeout(function(){h.attr("aria-expanded","false"),i.removeClass("open"),h.trigger(m)},n.delay)}),h.hover(function(a){return i.hasClass("open")||i.is(a.target)?void e(a):!0}),i.find(".dropdown-submenu").each(function(){var c,d=a(this);d.hover(function(){b.clearTimeout(c),d.children(".dropdown-menu").show(),d.siblings().children(".dropdown-menu").hide()},function(){var a=d.children(".dropdown-menu");c=b.setTimeout(function(){a.hide()},n.delay)})})}))},a(document).ready(function(){a('[data-hover="dropdown"]').dropdownHover()})}(jQuery,window),function(a,b){"function"==typeof define&&define.amd?define(["jquery"],function(a){return b(a)}):"object"==typeof exports?module.exports=b(require("jquery")):b(jQuery)}(this,function(a){!function(a){"use strict";function b(b){var c=[{re:/[\xC0-\xC6]/g,ch:"A"},{re:/[\xE0-\xE6]/g,ch:"a"},{re:/[\xC8-\xCB]/g,ch:"E"},{re:/[\xE8-\xEB]/g,ch:"e"},{re:/[\xCC-\xCF]/g,ch:"I"},{re:/[\xEC-\xEF]/g,ch:"i"},{re:/[\xD2-\xD6]/g,ch:"O"},{re:/[\xF2-\xF6]/g,ch:"o"},{re:/[\xD9-\xDC]/g,ch:"U"},{re:/[\xF9-\xFC]/g,ch:"u"},{re:/[\xC7-\xE7]/g,ch:"c"},{re:/[\xD1]/g,ch:"N"},{re:/[\xF1]/g,ch:"n"}];return a.each(c,function(){b=b.replace(this.re,this.ch)}),b}function c(a){var b={"&":"&","<":"<",">":">",'"':""","'":"'","`":"`"},c="(?:"+Object.keys(b).join("|")+")",d=new RegExp(c),e=new RegExp(c,"g"),f=null==a?"":""+a;return d.test(f)?f.replace(e,function(a){return b[a]}):f}function d(b,c){var d=arguments,f=b,g=c;[].shift.apply(d);var h,i=this.each(function(){var b=a(this);if(b.is("select")){var c=b.data("selectpicker"),i="object"==typeof f&&f;if(c){if(i)for(var j in i)i.hasOwnProperty(j)&&(c.options[j]=i[j])}else{var k=a.extend({},e.DEFAULTS,a.fn.selectpicker.defaults||{},b.data(),i);k.template=a.extend({},e.DEFAULTS.template,a.fn.selectpicker.defaults?a.fn.selectpicker.defaults.template:{},b.data().template,i.template),b.data("selectpicker",c=new e(this,k,g))}"string"==typeof f&&(h=c[f]instanceof Function?c[f].apply(c,d):c.options[f])}});return"undefined"!=typeof h?h:i}String.prototype.includes||!function(){var a={}.toString,b=function(){try{var a={},b=Object.defineProperty,c=b(a,a,a)&&b}catch(d){}return c}(),c="".indexOf,d=function(b){if(null==this)throw new TypeError;var d=String(this);if(b&&"[object RegExp]"==a.call(b))throw new TypeError;var e=d.length,f=String(b),g=f.length,h=arguments.length>1?arguments[1]:void 0,i=h?Number(h):0;i!=i&&(i=0);var j=Math.min(Math.max(i,0),e);return g+j>e?!1:-1!=c.call(d,f,i)};b?b(String.prototype,"includes",{value:d,configurable:!0,writable:!0}):String.prototype.includes=d}(),String.prototype.startsWith||!function(){var a=function(){try{var a={},b=Object.defineProperty,c=b(a,a,a)&&b}catch(d){}return c}(),b={}.toString,c=function(a){if(null==this)throw new TypeError;var c=String(this);if(a&&"[object RegExp]"==b.call(a))throw new TypeError;var d=c.length,e=String(a),f=e.length,g=arguments.length>1?arguments[1]:void 0,h=g?Number(g):0;h!=h&&(h=0);var i=Math.min(Math.max(h,0),d);if(f+i>d)return!1;for(var j=-1;++j'},maxOptions:!1,mobile:!1,selectOnTab:!1,dropdownAlignRight:!1},e.prototype={constructor:e,init:function(){var b=this,c=this.$element.attr("id");this.$element.addClass("bs-select-hidden"),this.liObj={},this.multiple=this.$element.prop("multiple"),this.autofocus=this.$element.prop("autofocus"),this.$newElement=this.createView(),this.$element.after(this.$newElement),this.$button=this.$newElement.children("button"),this.$menu=this.$newElement.children(".dropdown-menu"),this.$menuInner=this.$menu.children(".inner"),this.$searchbox=this.$menu.find("input"),this.options.dropdownAlignRight&&this.$menu.addClass("dropdown-menu-right"),"undefined"!=typeof c&&(this.$button.attr("data-id",c),a('label[for="'+c+'"]').click(function(a){a.preventDefault(),b.$button.focus()})),this.checkDisabled(),this.clickListener(),this.options.liveSearch&&this.liveSearchListener(),this.render(),this.setStyle(),this.setWidth(),this.options.container&&this.selectPosition(),this.$menu.data("this",this),this.$newElement.data("this",this),this.options.mobile&&this.mobile(),this.$newElement.on({"hide.bs.dropdown":function(a){b.$element.trigger("hide.bs.select",a)},"hidden.bs.dropdown":function(a){b.$element.trigger("hidden.bs.select",a)},"show.bs.dropdown":function(a){b.$element.trigger("show.bs.select",a)},"shown.bs.dropdown":function(a){b.$element.trigger("shown.bs.select",a)}}),setTimeout(function(){b.$element.trigger("loaded.bs.select")})},createDropdown:function(){var b=this.multiple?" show-tick":"",d=this.$element.parent().hasClass("input-group")?" input-group-btn":"",e=this.autofocus?" autofocus":"",f=this.options.header?'
'+this.options.header+"
":"",g=this.options.liveSearch?'":"",h=this.multiple&&this.options.actionsBox?'
":"",i=this.multiple&&this.options.doneButton?'
":"",j='
";return a(j)},createView:function(){var a=this.createDropdown(),b=this.createLi();return a.find("ul")[0].innerHTML=b,a},reloadLi:function(){this.destroyLi();var a=this.createLi();this.$menuInner[0].innerHTML=a},destroyLi:function(){this.$menu.find("li").remove()},createLi:function(){var d=this,e=[],f=0,g=document.createElement("option"),h=-1,i=function(a,b,c,d){return""+a+""},j=function(a,e,f,g){return'"+a+''};if(this.options.title&&!this.multiple&&(h--,!this.$element.find(".bs-title-option").length)){var k=this.$element[0];g.className="bs-title-option",g.appendChild(document.createTextNode(this.options.title)),g.value="",k.insertBefore(g,k.firstChild),void 0===a(k.options[k.selectedIndex]).attr("selected")&&(g.selected=!0)}return this.$element.find("option").each(function(b){var c=a(this);if(h++,!c.hasClass("bs-title-option")){var g=this.className||"",k=this.style.cssText,l=c.data("content")?c.data("content"):c.html(),m=c.data("tokens")?c.data("tokens"):null,n="undefined"!=typeof c.data("subtext")?''+c.data("subtext")+"":"",o="undefined"!=typeof c.data("icon")?' ':"",p=this.disabled||"OPTGROUP"===this.parentNode.tagName&&this.parentNode.disabled;if(""!==o&&p&&(o=""+o+""),d.options.hideDisabled&&p)return void h--;if(c.data("content")||(l=o+''+l+n+""),"OPTGROUP"===this.parentNode.tagName&&c.data("divider")!==!0){var q=" "+this.parentNode.className||"";if(0===c.index()){f+=1;var r=this.parentNode.label,s="undefined"!=typeof c.parent().data("subtext")?''+c.parent().data("subtext")+"":"",t=c.parent().data("icon")?' ':"";r=t+''+r+s+"",0!==b&&e.length>0&&(h++,e.push(i("",null,"divider",f+"div"))),h++,e.push(i(r,null,"dropdown-header"+q,f))}e.push(i(j(l,"opt "+g+q,k,m),b,"",f))}else c.data("divider")===!0?e.push(i("",b,"divider")):c.data("hidden")===!0?e.push(i(j(l,g,k,m),b,"hidden is-hidden")):(this.previousElementSibling&&"OPTGROUP"===this.previousElementSibling.tagName&&(h++, -e.push(i("",null,"divider",f+"div"))),e.push(i(j(l,g,k,m),b)));d.liObj[b]=h}}),this.multiple||0!==this.$element.find("option:selected").length||this.options.title||this.$element.find("option").eq(0).prop("selected",!0).attr("selected","selected"),e.join("")},findLis:function(){return null==this.$lis&&(this.$lis=this.$menu.find("li")),this.$lis},render:function(b){var c,d=this;b!==!1&&this.$element.find("option").each(function(a){var b=d.findLis().eq(d.liObj[a]);d.setDisabled(a,this.disabled||"OPTGROUP"===this.parentNode.tagName&&this.parentNode.disabled,b),d.setSelected(a,this.selected,b)}),this.tabIndex();var e=this.$element.find("option").map(function(){if(this.selected){if(d.options.hideDisabled&&(this.disabled||"OPTGROUP"===this.parentNode.tagName&&this.parentNode.disabled))return;var b,c=a(this),e=c.data("icon")&&d.options.showIcon?' ':"";return b=d.options.showSubtext&&c.data("subtext")&&!d.multiple?' '+c.data("subtext")+"":"","undefined"!=typeof c.attr("title")?c.attr("title"):c.data("content")&&d.options.showContent?c.data("content"):e+c.html()+b}}).toArray(),f=this.multiple?e.join(this.options.multipleSeparator):e[0];if(this.multiple&&this.options.selectedTextFormat.indexOf("count")>-1){var g=this.options.selectedTextFormat.split(">");if(g.length>1&&e.length>g[1]||1==g.length&&e.length>=2){c=this.options.hideDisabled?", [disabled]":"";var h=this.$element.find("option").not('[data-divider="true"], [data-hidden="true"]'+c).length,i="function"==typeof this.options.countSelectedText?this.options.countSelectedText(e.length,h):this.options.countSelectedText;f=i.replace("{0}",e.length.toString()).replace("{1}",h.toString())}}void 0==this.options.title&&(this.options.title=this.$element.attr("title")),"static"==this.options.selectedTextFormat&&(f=this.options.title),f||(f="undefined"!=typeof this.options.title?this.options.title:this.options.noneSelectedText),this.$button.attr("title",a.trim(f.replace(/<[^>]*>?/g,""))),this.$button.children(".filter-option").html(f),this.$element.trigger("rendered.bs.select")},setStyle:function(a,b){this.$element.attr("class")&&this.$newElement.addClass(this.$element.attr("class").replace(/selectpicker|mobile-device|bs-select-hidden|validate\[.*\]/gi,""));var c=a?a:this.options.style;"add"==b?this.$button.addClass(c):"remove"==b?this.$button.removeClass(c):(this.$button.removeClass(this.options.style),this.$button.addClass(c))},liHeight:function(b){if(b||this.options.size!==!1&&!this.sizeInfo){var c=document.createElement("div"),d=document.createElement("div"),e=document.createElement("ul"),f=document.createElement("li"),g=document.createElement("li"),h=document.createElement("a"),i=document.createElement("span"),j=this.options.header&&this.$menu.find(".popover-title").length>0?this.$menu.find(".popover-title")[0].cloneNode(!0):null,k=this.options.liveSearch?document.createElement("div"):null,l=this.options.actionsBox&&this.multiple&&this.$menu.find(".bs-actionsbox").length>0?this.$menu.find(".bs-actionsbox")[0].cloneNode(!0):null,m=this.options.doneButton&&this.multiple&&this.$menu.find(".bs-donebutton").length>0?this.$menu.find(".bs-donebutton")[0].cloneNode(!0):null;if(i.className="text",c.className=this.$menu[0].parentNode.className+" open",d.className="dropdown-menu open",e.className="dropdown-menu inner",f.className="divider",i.appendChild(document.createTextNode("Inner text")),h.appendChild(i),g.appendChild(h),e.appendChild(g),e.appendChild(f),j&&d.appendChild(j),k){var n=document.createElement("span");k.className="bs-searchbox",n.className="form-control",k.appendChild(n),d.appendChild(k)}l&&d.appendChild(l),d.appendChild(e),m&&d.appendChild(m),c.appendChild(d),document.body.appendChild(c);var o=h.offsetHeight,p=j?j.offsetHeight:0,q=k?k.offsetHeight:0,r=l?l.offsetHeight:0,s=m?m.offsetHeight:0,t=a(f).outerHeight(!0),u="function"==typeof getComputedStyle?getComputedStyle(d):!1,v=u?null:a(d),w=parseInt(u?u.paddingTop:v.css("paddingTop"))+parseInt(u?u.paddingBottom:v.css("paddingBottom"))+parseInt(u?u.borderTopWidth:v.css("borderTopWidth"))+parseInt(u?u.borderBottomWidth:v.css("borderBottomWidth")),x=w+parseInt(u?u.marginTop:v.css("marginTop"))+parseInt(u?u.marginBottom:v.css("marginBottom"))+2;document.body.removeChild(c),this.sizeInfo={liHeight:o,headerHeight:p,searchHeight:q,actionsHeight:r,doneButtonHeight:s,dividerHeight:t,menuPadding:w,menuExtras:x}}},setSize:function(){if(this.findLis(),this.liHeight(),this.options.header&&this.$menu.css("padding-top",0),this.options.size!==!1){var b,c,d,e,f=this,g=this.$menu,h=this.$menuInner,i=a(window),j=this.$newElement[0].offsetHeight,k=this.sizeInfo.liHeight,l=this.sizeInfo.headerHeight,m=this.sizeInfo.searchHeight,n=this.sizeInfo.actionsHeight,o=this.sizeInfo.doneButtonHeight,p=this.sizeInfo.dividerHeight,q=this.sizeInfo.menuPadding,r=this.sizeInfo.menuExtras,s=this.options.hideDisabled?".disabled":"",t=function(){d=f.$newElement.offset().top-i.scrollTop(),e=i.height()-d-j};if(t(),"auto"===this.options.size){var u=function(){var i,j=function(b,c){return function(d){return c?d.classList?d.classList.contains(b):a(d).hasClass(b):!(d.classList?d.classList.contains(b):a(d).hasClass(b))}},p=f.$menuInner[0].getElementsByTagName("li"),s=Array.prototype.filter?Array.prototype.filter.call(p,j("hidden",!1)):f.$lis.not(".hidden"),u=Array.prototype.filter?Array.prototype.filter.call(s,j("dropdown-header",!0)):s.filter(".dropdown-header");t(),b=e-r,f.options.container?(g.data("height")||g.data("height",g.height()),c=g.data("height")):c=g.height(),f.options.dropupAuto&&f.$newElement.toggleClass("dropup",d>e&&c>b-r),f.$newElement.hasClass("dropup")&&(b=d-r),i=s.length+u.length>3?3*k+r-2:0,g.css({"max-height":b+"px",overflow:"hidden","min-height":i+l+m+n+o+"px"}),h.css({"max-height":b-l-m-n-o-q+"px","overflow-y":"auto","min-height":Math.max(i-q,0)+"px"})};u(),this.$searchbox.off("input.getSize propertychange.getSize").on("input.getSize propertychange.getSize",u),i.off("resize.getSize scroll.getSize").on("resize.getSize scroll.getSize",u)}else if(this.options.size&&"auto"!=this.options.size&&this.$lis.not(s).length>this.options.size){var v=this.$lis.not(".divider").not(s).children().slice(0,this.options.size).last().parent().index(),w=this.$lis.slice(0,v+1).filter(".divider").length;b=k*this.options.size+w*p+q,f.options.container?(g.data("height")||g.data("height",g.height()),c=g.data("height")):c=g.height(),f.options.dropupAuto&&this.$newElement.toggleClass("dropup",d>e&&c>b-r),g.css({"max-height":b+l+m+n+o+"px",overflow:"hidden","min-height":""}),h.css({"max-height":b-q+"px","overflow-y":"auto","min-height":""})}}},setWidth:function(){if("auto"===this.options.width){this.$menu.css("min-width","0");var a=this.$menu.parent().clone().appendTo("body"),b=this.options.container?this.$newElement.clone().appendTo("body"):a,c=a.children(".dropdown-menu").outerWidth(),d=b.css("width","auto").children("button").outerWidth();a.remove(),b.remove(),this.$newElement.css("width",Math.max(c,d)+"px")}else"fit"===this.options.width?(this.$menu.css("min-width",""),this.$newElement.css("width","").addClass("fit-width")):this.options.width?(this.$menu.css("min-width",""),this.$newElement.css("width",this.options.width)):(this.$menu.css("min-width",""),this.$newElement.css("width",""));this.$newElement.hasClass("fit-width")&&"fit"!==this.options.width&&this.$newElement.removeClass("fit-width")},selectPosition:function(){this.$bsContainer=a('
');var b,c,d=this,e=function(a){d.$bsContainer.addClass(a.attr("class").replace(/form-control|fit-width/gi,"")).toggleClass("dropup",a.hasClass("dropup")),b=a.offset(),c=a.hasClass("dropup")?0:a[0].offsetHeight,d.$bsContainer.css({top:b.top+c,left:b.left,width:a[0].offsetWidth})};this.$newElement.on("click",function(){var b=a(this);d.isDisabled()||(e(b),d.$bsContainer.appendTo(d.options.container).toggleClass("open",!b.hasClass("open")).append(d.$menu))}),a(window).on("resize scroll",function(){e(d.$newElement)}),this.$element.on("hide.bs.select",function(){d.$menu.data("height",d.$menu.height()),d.$bsContainer.detach()})},setSelected:function(a,b,c){c||(c=this.findLis().eq(this.liObj[a])),c.toggleClass("selected",b)},setDisabled:function(a,b,c){c||(c=this.findLis().eq(this.liObj[a])),b?c.addClass("disabled").children("a").attr("href","#").attr("tabindex",-1):c.removeClass("disabled").children("a").removeAttr("href").attr("tabindex",0)},isDisabled:function(){return this.$element[0].disabled},checkDisabled:function(){var a=this;this.isDisabled()?(this.$newElement.addClass("disabled"),this.$button.addClass("disabled").attr("tabindex",-1)):(this.$button.hasClass("disabled")&&(this.$newElement.removeClass("disabled"),this.$button.removeClass("disabled")),-1!=this.$button.attr("tabindex")||this.$element.data("tabindex")||this.$button.removeAttr("tabindex")),this.$button.click(function(){return!a.isDisabled()})},tabIndex:function(){this.$element.is("[tabindex]")&&(this.$element.data("tabindex",this.$element.attr("tabindex")),this.$button.attr("tabindex",this.$element.data("tabindex")))},clickListener:function(){var b=this,c=a(document);this.$newElement.on("touchstart.dropdown",".dropdown-menu",function(a){a.stopPropagation()}),c.data("spaceSelect",!1),this.$button.on("keyup",function(a){/(32)/.test(a.keyCode.toString(10))&&c.data("spaceSelect")&&(a.preventDefault(),c.data("spaceSelect",!1))}),this.$newElement.on("click",function(){b.setSize(),b.$element.on("shown.bs.select",function(){if(b.options.liveSearch||b.multiple){if(!b.multiple){var a=b.liObj[b.$element[0].selectedIndex];if("number"!=typeof a||b.options.size===!1)return;var c=b.$lis.eq(a)[0].offsetTop-b.$menuInner[0].offsetTop;c=c-b.$menuInner[0].offsetHeight/2+b.sizeInfo.liHeight/2,b.$menuInner[0].scrollTop=c}}else b.$menuInner.find(".selected a").focus()})}),this.$menuInner.on("click","li a",function(c){var d=a(this),e=d.parent().data("originalIndex"),f=b.$element.val(),g=b.$element.prop("selectedIndex");if(b.multiple&&c.stopPropagation(),c.preventDefault(),!b.isDisabled()&&!d.parent().hasClass("disabled")){var h=b.$element.find("option"),i=h.eq(e),j=i.prop("selected"),k=i.parent("optgroup"),l=b.options.maxOptions,m=k.data("maxOptions")||!1;if(b.multiple){if(i.prop("selected",!j),b.setSelected(e,!j),d.blur(),l!==!1||m!==!1){var n=l
');q[2]&&(r=r.replace("{var}",q[2][l>1?0:1]),s=s.replace("{var}",q[2][m>1?0:1])),i.prop("selected",!1),b.$menu.append(t),l&&n&&(t.append(a("
"+r+"
")),b.$element.trigger("maxReached.bs.select")),m&&o&&(t.append(a("
"+s+"
")),b.$element.trigger("maxReachedGrp.bs.select")),setTimeout(function(){b.setSelected(e,!1)},10),t.delay(750).fadeOut(300,function(){a(this).remove()})}}}else h.prop("selected",!1),i.prop("selected",!0),b.$menuInner.find(".selected").removeClass("selected"),b.setSelected(e,!0);b.multiple?b.options.liveSearch&&b.$searchbox.focus():b.$button.focus(),(f!=b.$element.val()&&b.multiple||g!=b.$element.prop("selectedIndex")&&!b.multiple)&&(b.$element.triggerNative("change"),b.$element.trigger("changed.bs.select",[e,i.prop("selected"),j]))}}),this.$menu.on("click","li.disabled a, .popover-title, .popover-title :not(.close)",function(c){c.currentTarget==this&&(c.preventDefault(),c.stopPropagation(),b.options.liveSearch&&!a(c.target).hasClass("close")?b.$searchbox.focus():b.$button.focus())}),this.$menuInner.on("click",".divider, .dropdown-header",function(a){a.preventDefault(),a.stopPropagation(),b.options.liveSearch?b.$searchbox.focus():b.$button.focus()}),this.$menu.on("click",".popover-title .close",function(){b.$button.click()}),this.$searchbox.on("click",function(a){a.stopPropagation()}),this.$menu.on("click",".actions-btn",function(c){b.options.liveSearch?b.$searchbox.focus():b.$button.focus(),c.preventDefault(),c.stopPropagation(),a(this).hasClass("bs-select-all")?b.selectAll():b.deselectAll(),b.$element.triggerNative("change")}),this.$element.change(function(){b.render(!1)})},liveSearchListener:function(){var d=this,e=a('
  • ');this.$newElement.on("click.dropdown.data-api touchstart.dropdown.data-api",function(){d.$menuInner.find(".active").removeClass("active"),d.$searchbox.val()&&(d.$searchbox.val(""),d.$lis.not(".is-hidden").removeClass("hidden"),e.parent().length&&e.remove()),d.multiple||d.$menuInner.find(".selected").addClass("active"),setTimeout(function(){d.$searchbox.focus()},10)}),this.$searchbox.on("click.dropdown.data-api focus.dropdown.data-api touchend.dropdown.data-api",function(a){a.stopPropagation()}),this.$searchbox.on("input propertychange",function(){if(d.$searchbox.val()){var f=d.$lis.not(".is-hidden").removeClass("hidden").children("a");f=d.options.liveSearchNormalize?f.not(":a"+d._searchStyle()+'("'+b(d.$searchbox.val())+'")'):f.not(":"+d._searchStyle()+'("'+d.$searchbox.val()+'")'),f.parent().addClass("hidden"),d.$lis.filter(".dropdown-header").each(function(){var b=a(this),c=b.data("optgroup");0===d.$lis.filter("[data-optgroup="+c+"]").not(b).not(".hidden").length&&(b.addClass("hidden"),d.$lis.filter("[data-optgroup="+c+"div]").addClass("hidden"))});var g=d.$lis.not(".hidden");g.each(function(b){var c=a(this);c.hasClass("divider")&&(c.index()===g.first().index()||c.index()===g.last().index()||g.eq(b+1).hasClass("divider"))&&c.addClass("hidden")}),d.$lis.not(".hidden, .no-results").length?e.parent().length&&e.remove():(e.parent().length&&e.remove(),e.html(d.options.noneResultsText.replace("{0}",'"'+c(d.$searchbox.val())+'"')).show(),d.$menuInner.append(e))}else d.$lis.not(".is-hidden").removeClass("hidden"),e.parent().length&&e.remove();d.$lis.filter(".active").removeClass("active"),d.$searchbox.val()&&d.$lis.not(".hidden, .divider, .dropdown-header").eq(0).addClass("active").children("a").focus(),a(this).focus()})},_searchStyle:function(){var a={begins:"ibegins",startsWith:"ibegins"};return a[this.options.liveSearchStyle]||"icontains"},val:function(a){return"undefined"!=typeof a?(this.$element.val(a),this.render(),this.$element):this.$element.val()},changeAll:function(b){"undefined"==typeof b&&(b=!0),this.findLis();for(var c=this.$element.find("option"),d=this.$lis.not(".divider, .dropdown-header, .disabled, .hidden").toggleClass("selected",b),e=d.length,f=[],g=0;e>g;g++){var h=d[g].getAttribute("data-original-index");f[f.length]=c.eq(h)[0]}a(f).prop("selected",b),this.render(!1)},selectAll:function(){return this.changeAll(!0)},deselectAll:function(){return this.changeAll(!1)},keydown:function(c){var d,e,f,g,h,i,j,k,l,m=a(this),n=m.is("input")?m.parent().parent():m.parent(),o=n.data("this"),p=":not(.disabled, .hidden, .dropdown-header, .divider)",q={32:" ",48:"0",49:"1",50:"2",51:"3",52:"4",53:"5",54:"6",55:"7",56:"8",57:"9",59:";",65:"a",66:"b",67:"c",68:"d",69:"e",70:"f",71:"g",72:"h",73:"i",74:"j",75:"k",76:"l",77:"m",78:"n",79:"o",80:"p",81:"q",82:"r",83:"s",84:"t",85:"u",86:"v",87:"w",88:"x",89:"y",90:"z",96:"0",97:"1",98:"2",99:"3",100:"4",101:"5",102:"6",103:"7",104:"8",105:"9"};if(o.options.liveSearch&&(n=m.parent().parent()),o.options.container&&(n=o.$menu),d=a("[role=menu] li",n),l=o.$menu.parent().hasClass("open"),!l&&(c.keyCode>=48&&c.keyCode<=57||c.keyCode>=96&&c.keyCode<=105||c.keyCode>=65&&c.keyCode<=90)&&(o.options.container?o.$newElement.trigger("click"):(o.setSize(),o.$menu.parent().addClass("open"),l=!0),o.$searchbox.focus()),o.options.liveSearch&&(/(^9$|27)/.test(c.keyCode.toString(10))&&l&&0===o.$menu.find(".active").length&&(c.preventDefault(),o.$menu.parent().removeClass("open"),o.options.container&&o.$newElement.removeClass("open"),o.$button.focus()),d=a("[role=menu] li"+p,n),m.val()||/(38|40)/.test(c.keyCode.toString(10))||0===d.filter(".active").length&&(d=o.$menuInner.find("li"),d=o.options.liveSearchNormalize?d.filter(":a"+o._searchStyle()+"("+b(q[c.keyCode])+")"):d.filter(":"+o._searchStyle()+"("+q[c.keyCode]+")"))),d.length){if(/(38|40)/.test(c.keyCode.toString(10)))e=d.index(d.find("a").filter(":focus").parent()),g=d.filter(p).first().index(),h=d.filter(p).last().index(),f=d.eq(e).nextAll(p).eq(0).index(),i=d.eq(e).prevAll(p).eq(0).index(),j=d.eq(f).prevAll(p).eq(0).index(),o.options.liveSearch&&(d.each(function(b){a(this).hasClass("disabled")||a(this).data("index",b)}),e=d.index(d.filter(".active")),g=d.first().data("index"),h=d.last().data("index"),f=d.eq(e).nextAll().eq(0).data("index"),i=d.eq(e).prevAll().eq(0).data("index"),j=d.eq(f).prevAll().eq(0).data("index")),k=m.data("prevIndex"),38==c.keyCode?(o.options.liveSearch&&e--,e!=j&&e>i&&(e=i),g>e&&(e=g),e==k&&(e=h)):40==c.keyCode&&(o.options.liveSearch&&e++,-1==e&&(e=0),e!=j&&f>e&&(e=f),e>h&&(e=h),e==k&&(e=g)),m.data("prevIndex",e),o.options.liveSearch?(c.preventDefault(),m.hasClass("dropdown-toggle")||(d.removeClass("active").eq(e).addClass("active").children("a").focus(),m.focus())):d.eq(e).children("a").focus();else if(!m.is("input")){var r,s,t=[];d.each(function(){a(this).hasClass("disabled")||a.trim(a(this).children("a").text().toLowerCase()).substring(0,1)==q[c.keyCode]&&t.push(a(this).index())}),r=a(document).data("keycount"),r++,a(document).data("keycount",r),s=a.trim(a(":focus").text().toLowerCase()).substring(0,1),s!=q[c.keyCode]?(r=1,a(document).data("keycount",r)):r>=t.length&&(a(document).data("keycount",0),r>t.length&&(r=1)),d.eq(t[r-1]).children("a").focus()}if((/(13|32)/.test(c.keyCode.toString(10))||/(^9$)/.test(c.keyCode.toString(10))&&o.options.selectOnTab)&&l){if(/(32)/.test(c.keyCode.toString(10))||c.preventDefault(),o.options.liveSearch)/(32)/.test(c.keyCode.toString(10))||(o.$menuInner.find(".active a").click(),m.focus());else{var u=a(":focus");u.click(),u.focus(),c.preventDefault(),a(document).data("spaceSelect",!0)}a(document).data("keycount",0)}(/(^9$|27)/.test(c.keyCode.toString(10))&&l&&(o.multiple||o.options.liveSearch)||/(27)/.test(c.keyCode.toString(10))&&!l)&&(o.$menu.parent().removeClass("open"),o.options.container&&o.$newElement.removeClass("open"),o.$button.focus())}},mobile:function(){this.$element.addClass("mobile-device").appendTo(this.$newElement),this.options.container&&this.$menu.hide()},refresh:function(){this.$lis=null,this.liObj={},this.reloadLi(),this.render(),this.checkDisabled(),this.liHeight(!0),this.setStyle(),this.setWidth(),this.$lis&&this.$searchbox.trigger("propertychange"),this.$element.trigger("refreshed.bs.select")},hide:function(){this.$newElement.hide()},show:function(){this.$newElement.show()},remove:function(){this.$newElement.remove(),this.$element.remove()},destroy:function(){this.$newElement.remove(),this.$bsContainer?this.$bsContainer.remove():this.$menu.remove(),this.$element.off(".bs.select").removeData("selectpicker").removeClass("bs-select-hidden selectpicker")}};var f=a.fn.selectpicker;a.fn.selectpicker=d,a.fn.selectpicker.Constructor=e,a.fn.selectpicker.noConflict=function(){return a.fn.selectpicker=f,this},a(document).data("keycount",0).on("keydown.bs.select",'.bootstrap-select [data-toggle=dropdown], .bootstrap-select [role="menu"], .bs-searchbox input',e.prototype.keydown).on("focusin.modal",'.bootstrap-select [data-toggle=dropdown], .bootstrap-select [role="menu"], .bs-searchbox input',function(a){a.stopPropagation()}),a(window).on("load.bs.select.data-api",function(){a(".selectpicker").each(function(){var b=a(this);d.call(b,b.data())})})}(a)}),angular.module("checklist-model",[]).directive("checklistModel",["$parse","$compile",function(a,b){function c(a,b,c){if(angular.isArray(a))for(var d=a.length;d--;)if(c(a[d],b))return!0;return!1}function d(a,b,d){return a=angular.isArray(a)?a:[],c(a,b,d)||a.push(b),a}function e(a,b,c){if(angular.isArray(a))for(var d=a.length;d--;)if(c(a[d],b)){a.splice(d,1);break}return a}function f(f,g,h){function i(a,b){f[h.ngModel]=c(a,n,o)}var j=h.checklistModel;h.$set("checklistModel",null),b(g)(f),h.$set("checklistModel",j);var k=a(j),l=k.assign,m=a(h.checklistChange),n=h.checklistValue?a(h.checklistValue)(f.$parent):h.value,o=angular.equals;if(h.hasOwnProperty("checklistComparator"))if("."==h.checklistComparator[0]){var p=h.checklistComparator.substring(1);o=function(a,b){return a[p]===b[p]}}else o=a(h.checklistComparator)(f.$parent);f.$watch(h.ngModel,function(a,b){if(a!==b){var c=k(f.$parent);angular.isFunction(l)&&(a===!0?l(f.$parent,d(c,n,o)):l(f.$parent,e(c,n,o))),m&&m(f)}}),angular.isFunction(f.$parent.$watchCollection)?f.$parent.$watchCollection(j,i):f.$parent.$watch(j,i,!0)}return{restrict:"A",priority:1e3,terminal:!0,scope:!0,compile:function(a,b){if(("INPUT"!==a[0].tagName||"checkbox"!==b.type)&&"MD-CHECKBOX"!==a[0].tagName&&!b.btnCheckbox)throw'checklist-model should be applied to `input[type="checkbox"]` or `md-checkbox`.';if(!b.checklistValue&&!b.value)throw"You should provide `value` or `checklist-value`.";return b.ngModel||b.$set("ngModel","checked"),f}}}]),function(a,b,c){"use strict";a.fn.backstretch=function(d,f){return d!==c&&0!==d.length||a.error("No images were supplied for Backstretch"),0===a(b).scrollTop()&&b.scrollTo(0,0),this.each(function(){var b=a(this),c=b.data("backstretch");if(c){if("string"==typeof d&&"function"==typeof c[d])return void c[d](f);f=a.extend(c.options,f),c.destroy(!0)}c=new e(this,d,f),b.data("backstretch",c)})},a.backstretch=function(b,c){return a("body").backstretch(b,c).data("backstretch")},a.expr[":"].backstretch=function(b){return a(b).data("backstretch")!==c},a.fn.backstretch.defaults={centeredX:!0,centeredY:!0,duration:5e3,fade:0};var d={wrap:{left:0,top:0,overflow:"hidden",margin:0,padding:0,height:"100%",width:"100%",zIndex:-999999},img:{position:"absolute",display:"none",margin:0,padding:0,border:"none",width:"auto",height:"auto",maxHeight:"none",maxWidth:"none",zIndex:-999999}},e=function(c,e,g){this.options=a.extend({},a.fn.backstretch.defaults,g||{}),this.images=a.isArray(e)?e:[e],a.each(this.images,function(){a("")[0].src=this}),this.isBody=c===document.body,this.$container=a(c),this.$root=this.isBody?a(f?b:document):this.$container;var h=this.$container.children(".backstretch").first();if(this.$wrap=h.length?h:a('
    ').css(d.wrap).appendTo(this.$container),!this.isBody){var i=this.$container.css("position"),j=this.$container.css("zIndex");this.$container.css({position:"static"===i?"relative":i,zIndex:"auto"===j?0:j,background:"none"}),this.$wrap.css({zIndex:-999998})}this.$wrap.css({position:this.isBody&&f?"fixed":"absolute"}),this.index=0,this.show(this.index),a(b).on("resize.backstretch",a.proxy(this.resize,this)).on("orientationchange.backstretch",a.proxy(function(){this.isBody&&0===b.pageYOffset&&(b.scrollTo(0,1),this.resize())},this))};e.prototype={resize:function(){try{var a,c={left:0,top:0},d=this.isBody?this.$root.width():this.$root.innerWidth(),e=d,f=this.isBody?b.innerHeight?b.innerHeight:this.$root.height():this.$root.innerHeight(),g=e/this.$img.data("ratio");g>=f?(a=(g-f)/2,this.options.centeredY&&(c.top="-"+a+"px")):(g=f,e=g*this.$img.data("ratio"),a=(e-d)/2,this.options.centeredX&&(c.left="-"+a+"px")),this.$wrap.css({width:d,height:f}).find("img:not(.deleteable)").css({width:e,height:g}).css(c)}catch(h){}return this},show:function(b){if(!(Math.abs(b)>this.images.length-1)){var c=this,e=c.$wrap.find("img").addClass("deleteable"),f={relatedTarget:c.$container[0]};return c.$container.trigger(a.Event("backstretch.before",f),[c,b]),this.index=b,clearInterval(c.interval),c.$img=a("").css(d.img).bind("load",function(d){var g=this.width||a(d.target).width(),h=this.height||a(d.target).height();a(this).data("ratio",g/h),a(this).fadeIn(c.options.speed||c.options.fade,function(){e.remove(),c.paused||c.cycle(),a(["after","show"]).each(function(){c.$container.trigger(a.Event("backstretch."+this,f),[c,b])})}),c.resize()}).appendTo(c.$wrap),c.$img.attr("src",c.images[b]),c}},next:function(){return this.show(this.index1&&(clearInterval(this.interval),this.interval=setInterval(a.proxy(function(){this.paused||this.next()},this),this.options.duration)),this},destroy:function(c){a(b).off("resize.backstretch orientationchange.backstretch"),clearInterval(this.interval),c||this.$wrap.remove(),this.$container.removeData("backstretch")}};var f=function(){var a=navigator.userAgent,c=navigator.platform,d=a.match(/AppleWebKit\/([0-9]+)/),e=!!d&&d[1],f=a.match(/Fennec\/([0-9]+)/),g=!!f&&f[1],h=a.match(/Opera Mobi\/([0-9]+)/),i=!!h&&h[1],j=a.match(/MSIE ([0-9]+)/),k=!!j&&j[1];return!((c.indexOf("iPhone")>-1||c.indexOf("iPad")>-1||c.indexOf("iPod")>-1)&&e&&534>e||b.operamini&&"[object OperaMini]"==={}.toString.call(b.operamini)||h&&7458>i||a.indexOf("Android")>-1&&e&&533>e||g&&6>g||"palmGetResource"in b&&e&&534>e||a.indexOf("MeeGo")>-1&&a.indexOf("NokiaBrowser/8.5.0")>-1||k&&6>=k)}()}(jQuery,window),function(a){"function"==typeof define&&define.amd?define(["jquery"],a):a("object"==typeof exports?require("jquery"):jQuery)}(function(a){function b(a){return h.raw?a:encodeURIComponent(a)}function c(a){return h.raw?a:decodeURIComponent(a)}function d(a){return b(h.json?JSON.stringify(a):String(a))}function e(a){0===a.indexOf('"')&&(a=a.slice(1,-1).replace(/\\"/g,'"').replace(/\\\\/g,"\\"));try{return a=decodeURIComponent(a.replace(g," ")),h.json?JSON.parse(a):a}catch(b){}}function f(b,c){var d=h.raw?b:e(b);return a.isFunction(c)?c(d):d}var g=/\+/g,h=a.cookie=function(e,g,i){if(void 0!==g&&!a.isFunction(g)){if(i=a.extend({},h.defaults,i),"number"==typeof i.expires){var j=i.expires,k=i.expires=new Date;k.setTime(+k+864e5*j)}return document.cookie=[b(e),"=",d(g),i.expires?"; expires="+i.expires.toUTCString():"",i.path?"; path="+i.path:"",i.domain?"; domain="+i.domain:"",i.secure?"; secure":""].join("")}for(var l=e?void 0:{},m=document.cookie?document.cookie.split("; "):[],n=0,o=m.length;o>n;n++){var p=m[n].split("="),q=c(p.shift()),r=p.join("=");if(e&&e===q){l=f(r,g);break}e||void 0===(r=f(r))||(l[q]=r)}return l};h.defaults={},a.removeCookie=function(b,c){return void 0===a.cookie(b)?!1:(a.cookie(b,"",a.extend({},c,{expires:-1})),!a.cookie(b))}}),function(a,b,c){function d(c){var d=b.console;f[c]||(f[c]=!0,a.migrateWarnings.push(c),d&&d.warn&&!a.migrateMute&&(d.warn("JQMIGRATE: "+c),a.migrateTrace&&d.trace&&d.trace()))}function e(b,c,e,f){if(Object.defineProperty)try{return void Object.defineProperty(b,c,{configurable:!0,enumerable:!0,get:function(){return d(f),e},set:function(a){d(f),e=a}})}catch(g){}a._definePropertyBroken=!0,b[c]=e}var f={};a.migrateWarnings=[],!a.migrateMute&&b.console&&b.console.log&&b.console.log("JQMIGRATE: Logging is active"),a.migrateTrace===c&&(a.migrateTrace=!0),a.migrateReset=function(){f={},a.migrateWarnings.length=0},"BackCompat"===document.compatMode&&d("jQuery is not compatible with Quirks Mode");var g=a("",{size:1}).attr("size")&&a.attrFn,h=a.attr,i=a.attrHooks.value&&a.attrHooks.value.get||function(){return null},j=a.attrHooks.value&&a.attrHooks.value.set||function(){return c},k=/^(?:input|button)$/i,l=/^[238]$/,m=/^(?:autofocus|autoplay|async|checked|controls|defer|disabled|hidden|loop|multiple|open|readonly|required|scoped|selected)$/i,n=/^(?:checked|selected)$/i;e(a,"attrFn",g||{},"jQuery.attrFn is deprecated"),a.attr=function(b,e,f,i){var j=e.toLowerCase(),o=b&&b.nodeType;return i&&(h.length<4&&d("jQuery.fn.attr( props, pass ) is deprecated"),b&&!l.test(o)&&(g?e in g:a.isFunction(a.fn[e])))?a(b)[e](f):("type"===e&&f!==c&&k.test(b.nodeName)&&b.parentNode&&d("Can't change the 'type' of an input or button in IE 6/7/8"),!a.attrHooks[j]&&m.test(j)&&(a.attrHooks[j]={get:function(b,d){var e,f=a.prop(b,d);return f===!0||"boolean"!=typeof f&&(e=b.getAttributeNode(d))&&e.nodeValue!==!1?d.toLowerCase():c},set:function(b,c,d){var e;return c===!1?a.removeAttr(b,d):(e=a.propFix[d]||d,e in b&&(b[e]=!0),b.setAttribute(d,d.toLowerCase())),d}},n.test(j)&&d("jQuery.fn.attr('"+j+"') may use property instead of attribute")),h.call(a,b,e,f))},a.attrHooks.value={get:function(a,b){var c=(a.nodeName||"").toLowerCase();return"button"===c?i.apply(this,arguments):("input"!==c&&"option"!==c&&d("jQuery.fn.attr('value') no longer gets properties"),b in a?a.value:null)},set:function(a,b){var c=(a.nodeName||"").toLowerCase();return"button"===c?j.apply(this,arguments):("input"!==c&&"option"!==c&&d("jQuery.fn.attr('value', val) no longer sets properties"),void(a.value=b))}};var o,p,q=a.fn.init,r=a.parseJSON,s=/^([^<]*)(<[\w\W]+>)([^>]*)$/;a.fn.init=function(b,c,e){var f;return b&&"string"==typeof b&&!a.isPlainObject(c)&&(f=s.exec(a.trim(b)))&&f[0]&&("<"!==b.charAt(0)&&d("$(html) HTML strings must start with '<' character"),f[3]&&d("$(html) HTML text after last tag is ignored"),"#"===f[0].charAt(0)&&(d("HTML string cannot start with a '#' character"),a.error("JQMIGRATE: Invalid selector string (XSS)")),c&&c.context&&(c=c.context),a.parseHTML)?q.call(this,a.parseHTML(f[2],c,!0),c,e):q.apply(this,arguments)},a.fn.init.prototype=a.fn,a.parseJSON=function(a){return a||null===a?r.apply(this,arguments):(d("jQuery.parseJSON requires a valid JSON string"),null)},a.uaMatch=function(a){a=a.toLowerCase();var b=/(chrome)[ \/]([\w.]+)/.exec(a)||/(webkit)[ \/]([\w.]+)/.exec(a)||/(opera)(?:.*version|)[ \/]([\w.]+)/.exec(a)||/(msie) ([\w.]+)/.exec(a)||a.indexOf("compatible")<0&&/(mozilla)(?:.*? rv:([\w.]+)|)/.exec(a)||[];return{browser:b[1]||"",version:b[2]||"0"}},a.browser||(o=a.uaMatch(navigator.userAgent),p={},o.browser&&(p[o.browser]=!0,p.version=o.version),p.chrome?p.webkit=!0:p.webkit&&(p.safari=!0),a.browser=p),e(a,"browser",a.browser,"jQuery.browser is deprecated"),a.sub=function(){function b(a,c){return new b.fn.init(a,c)}a.extend(!0,b,this),b.superclass=this,b.fn=b.prototype=this(),b.fn.constructor=b,b.sub=this.sub,b.fn.init=function(d,e){return e&&e instanceof a&&!(e instanceof b)&&(e=b(e)),a.fn.init.call(this,d,e,c)},b.fn.init.prototype=b.fn;var c=b(document);return d("jQuery.sub() is deprecated"),b},a.ajaxSetup({converters:{"text json":a.parseJSON}});var t=a.fn.data;a.fn.data=function(b){var e,f,g=this[0];return!g||"events"!==b||1!==arguments.length||(e=a.data(g,b),f=a._data(g,b),e!==c&&e!==f||f===c)?t.apply(this,arguments):(d("Use of jQuery.fn.data('events') is deprecated"),f)};var u=/\/(java|ecma)script/i,v=a.fn.andSelf||a.fn.addBack;a.fn.andSelf=function(){return d("jQuery.fn.andSelf() replaced by jQuery.fn.addBack()"),v.apply(this,arguments)},a.clean||(a.clean=function(b,c,e,f){c=c||document,c=!c.nodeType&&c[0]||c,c=c.ownerDocument||c,d("jQuery.clean() is deprecated");var g,h,i,j,k=[];if(a.merge(k,a.buildFragment(b,c).childNodes),e)for(i=function(a){return!a.type||u.test(a.type)?f?f.push(a.parentNode?a.parentNode.removeChild(a):a):e.appendChild(a):void 0},g=0;null!=(h=k[g]);g++)a.nodeName(h,"script")&&i(h)||(e.appendChild(h),"undefined"!=typeof h.getElementsByTagName&&(j=a.grep(a.merge([],h.getElementsByTagName("script")),i),k.splice.apply(k,[g+1,0].concat(j)),g+=j.length));return k});var w=a.event.add,x=a.event.remove,y=a.event.trigger,z=a.fn.toggle,A=a.fn.live,B=a.fn.die,C="ajaxStart|ajaxStop|ajaxSend|ajaxComplete|ajaxError|ajaxSuccess",D=new RegExp("\\b(?:"+C+")\\b"),E=/(?:^|\s)hover(\.\S+|)\b/,F=function(b){return"string"!=typeof b||a.event.special.hover?b:(E.test(b)&&d("'hover' pseudo-event is deprecated, use 'mouseenter mouseleave'"), -b&&b.replace(E,"mouseenter$1 mouseleave$1"))};a.event.props&&"attrChange"!==a.event.props[0]&&a.event.props.unshift("attrChange","attrName","relatedNode","srcElement"),a.event.dispatch&&e(a.event,"handle",a.event.dispatch,"jQuery.event.handle is undocumented and deprecated"),a.event.add=function(a,b,c,e,f){a!==document&&D.test(b)&&d("AJAX events should be attached to document: "+b),w.call(this,a,F(b||""),c,e,f)},a.event.remove=function(a,b,c,d,e){x.call(this,a,F(b)||"",c,d,e)},a.fn.error=function(){var a=Array.prototype.slice.call(arguments,0);return d("jQuery.fn.error() is deprecated"),a.splice(0,0,"error"),arguments.length?this.bind.apply(this,a):(this.triggerHandler.apply(this,a),this)},a.fn.toggle=function(b,c){if(!a.isFunction(b)||!a.isFunction(c))return z.apply(this,arguments);d("jQuery.fn.toggle(handler, handler...) is deprecated");var e=arguments,f=b.guid||a.guid++,g=0,h=function(c){var d=(a._data(this,"lastToggle"+b.guid)||0)%g;return a._data(this,"lastToggle"+b.guid,d+1),c.preventDefault(),e[d].apply(this,arguments)||!1};for(h.guid=f;g").attr("name",c.submitButton.name).val(a(c.submitButton).val()).appendTo(c.currentForm)),e=c.settings.submitHandler.call(c,c.currentForm,b),c.submitButton&&d.remove(),void 0!==e?e:!1):!0}return c.settings.debug&&b.preventDefault(),c.cancelSubmit?(c.cancelSubmit=!1,d()):c.form()?c.pendingRequest?(c.formSubmitted=!0,!1):d():(c.focusInvalid(),!1)})),c)},valid:function(){var b,c,d;return a(this[0]).is("form")?b=this.validate().form():(d=[],b=!0,c=a(this[0].form).validate(),this.each(function(){b=c.element(this)&&b,d=d.concat(c.errorList)}),c.errorList=d),b},rules:function(b,c){var d,e,f,g,h,i,j=this[0];if(b)switch(d=a.data(j.form,"validator").settings,e=d.rules,f=a.validator.staticRules(j),b){case"add":a.extend(f,a.validator.normalizeRule(c)),delete f.messages,e[j.name]=f,c.messages&&(d.messages[j.name]=a.extend(d.messages[j.name],c.messages));break;case"remove":return c?(i={},a.each(c.split(/\s/),function(b,c){i[c]=f[c],delete f[c],"required"===c&&a(j).removeAttr("aria-required")}),i):(delete e[j.name],f)}return g=a.validator.normalizeRules(a.extend({},a.validator.classRules(j),a.validator.attributeRules(j),a.validator.dataRules(j),a.validator.staticRules(j)),j),g.required&&(h=g.required,delete g.required,g=a.extend({required:h},g),a(j).attr("aria-required","true")),g.remote&&(h=g.remote,delete g.remote,g=a.extend(g,{remote:h})),g}}),a.extend(a.expr[":"],{blank:function(b){return!a.trim(""+a(b).val())},filled:function(b){return!!a.trim(""+a(b).val())},unchecked:function(b){return!a(b).prop("checked")}}),a.validator=function(b,c){this.settings=a.extend(!0,{},a.validator.defaults,b),this.currentForm=c,this.init()},a.validator.format=function(b,c){return 1===arguments.length?function(){var c=a.makeArray(arguments);return c.unshift(b),a.validator.format.apply(this,c)}:(arguments.length>2&&c.constructor!==Array&&(c=a.makeArray(arguments).slice(1)),c.constructor!==Array&&(c=[c]),a.each(c,function(a,c){b=b.replace(new RegExp("\\{"+a+"\\}","g"),function(){return c})}),b)},a.extend(a.validator,{defaults:{messages:{},groups:{},rules:{},errorClass:"error",validClass:"valid",errorElement:"label",focusCleanup:!1,focusInvalid:!0,errorContainer:a([]),errorLabelContainer:a([]),onsubmit:!0,ignore:":hidden",ignoreTitle:!1,onfocusin:function(a){this.lastActive=a,this.settings.focusCleanup&&(this.settings.unhighlight&&this.settings.unhighlight.call(this,a,this.settings.errorClass,this.settings.validClass),this.hideThese(this.errorsFor(a)))},onfocusout:function(a){this.checkable(a)||!(a.name in this.submitted)&&this.optional(a)||this.element(a)},onkeyup:function(b,c){var d=[16,17,18,20,35,36,37,38,39,40,45,144,225];9===c.which&&""===this.elementValue(b)||-1!==a.inArray(c.keyCode,d)||(b.name in this.submitted||b===this.lastElement)&&this.element(b)},onclick:function(a){a.name in this.submitted?this.element(a):a.parentNode.name in this.submitted&&this.element(a.parentNode)},highlight:function(b,c,d){"radio"===b.type?this.findByName(b.name).addClass(c).removeClass(d):a(b).addClass(c).removeClass(d)},unhighlight:function(b,c,d){"radio"===b.type?this.findByName(b.name).removeClass(c).addClass(d):a(b).removeClass(c).addClass(d)}},setDefaults:function(b){a.extend(a.validator.defaults,b)},messages:{required:"This field is required.",remote:"Please fix this field.",email:"Please enter a valid email address.",url:"Please enter a valid URL.",date:"Please enter a valid date.",dateISO:"Please enter a valid date ( ISO ).",number:"Please enter a valid number.",digits:"Please enter only digits.",creditcard:"Please enter a valid credit card number.",equalTo:"Please enter the same value again.",maxlength:a.validator.format("Please enter no more than {0} characters."),minlength:a.validator.format("Please enter at least {0} characters."),rangelength:a.validator.format("Please enter a value between {0} and {1} characters long."),range:a.validator.format("Please enter a value between {0} and {1}."),max:a.validator.format("Please enter a value less than or equal to {0}."),min:a.validator.format("Please enter a value greater than or equal to {0}.")},autoCreateRanges:!1,prototype:{init:function(){function b(b){var c=a.data(this.form,"validator"),d="on"+b.type.replace(/^validate/,""),e=c.settings;e[d]&&!a(this).is(e.ignore)&&e[d].call(c,this,b)}this.labelContainer=a(this.settings.errorLabelContainer),this.errorContext=this.labelContainer.length&&this.labelContainer||a(this.currentForm),this.containers=a(this.settings.errorContainer).add(this.settings.errorLabelContainer),this.submitted={},this.valueCache={},this.pendingRequest=0,this.pending={},this.invalid={},this.reset();var c,d=this.groups={};a.each(this.settings.groups,function(b,c){"string"==typeof c&&(c=c.split(/\s/)),a.each(c,function(a,c){d[c]=b})}),c=this.settings.rules,a.each(c,function(b,d){c[b]=a.validator.normalizeRule(d)}),a(this.currentForm).on("focusin.validate focusout.validate keyup.validate",":text, [type='password'], [type='file'], select, textarea, [type='number'], [type='search'], [type='tel'], [type='url'], [type='email'], [type='datetime'], [type='date'], [type='month'], [type='week'], [type='time'], [type='datetime-local'], [type='range'], [type='color'], [type='radio'], [type='checkbox']",b).on("click.validate","select, option, [type='radio'], [type='checkbox']",b),this.settings.invalidHandler&&a(this.currentForm).on("invalid-form.validate",this.settings.invalidHandler),a(this.currentForm).find("[required], [data-rule-required], .required").attr("aria-required","true")},form:function(){return this.checkForm(),a.extend(this.submitted,this.errorMap),this.invalid=a.extend({},this.errorMap),this.valid()||a(this.currentForm).triggerHandler("invalid-form",[this]),this.showErrors(),this.valid()},checkForm:function(){this.prepareForm();for(var a=0,b=this.currentElements=this.elements();b[a];a++)this.check(b[a]);return this.valid()},element:function(b){var c=this.clean(b),d=this.validationTargetFor(c),e=!0;return this.lastElement=d,void 0===d?delete this.invalid[c.name]:(this.prepareElement(d),this.currentElements=a(d),e=this.check(d)!==!1,e?delete this.invalid[d.name]:this.invalid[d.name]=!0),a(b).attr("aria-invalid",!e),this.numberOfInvalids()||(this.toHide=this.toHide.add(this.containers)),this.showErrors(),e},showErrors:function(b){if(b){a.extend(this.errorMap,b),this.errorList=[];for(var c in b)this.errorList.push({message:b[c],element:this.findByName(c)[0]});this.successList=a.grep(this.successList,function(a){return!(a.name in b)})}this.settings.showErrors?this.settings.showErrors.call(this,this.errorMap,this.errorList):this.defaultShowErrors()},resetForm:function(){a.fn.resetForm&&a(this.currentForm).resetForm(),this.submitted={},this.lastElement=null,this.prepareForm(),this.hideErrors();var b,c=this.elements().removeData("previousValue").removeAttr("aria-invalid");if(this.settings.unhighlight)for(b=0;c[b];b++)this.settings.unhighlight.call(this,c[b],this.settings.errorClass,"");else c.removeClass(this.settings.errorClass)},numberOfInvalids:function(){return this.objectLength(this.invalid)},objectLength:function(a){var b,c=0;for(b in a)c++;return c},hideErrors:function(){this.hideThese(this.toHide)},hideThese:function(a){a.not(this.containers).text(""),this.addWrapper(a).hide()},valid:function(){return 0===this.size()},size:function(){return this.errorList.length},focusInvalid:function(){if(this.settings.focusInvalid)try{a(this.findLastActive()||this.errorList.length&&this.errorList[0].element||[]).filter(":visible").focus().trigger("focusin")}catch(b){}},findLastActive:function(){var b=this.lastActive;return b&&1===a.grep(this.errorList,function(a){return a.element.name===b.name}).length&&b},elements:function(){var b=this,c={};return a(this.currentForm).find("input, select, textarea").not(":submit, :reset, :image, :disabled").not(this.settings.ignore).filter(function(){return!this.name&&b.settings.debug&&window.console&&console.error("%o has no name assigned",this),this.name in c||!b.objectLength(a(this).rules())?!1:(c[this.name]=!0,!0)})},clean:function(b){return a(b)[0]},errors:function(){var b=this.settings.errorClass.split(" ").join(".");return a(this.settings.errorElement+"."+b,this.errorContext)},reset:function(){this.successList=[],this.errorList=[],this.errorMap={},this.toShow=a([]),this.toHide=a([]),this.currentElements=a([])},prepareForm:function(){this.reset(),this.toHide=this.errors().add(this.containers)},prepareElement:function(a){this.reset(),this.toHide=this.errorsFor(a)},elementValue:function(b){var c,d=a(b),e=b.type;return"radio"===e||"checkbox"===e?this.findByName(b.name).filter(":checked").val():"number"===e&&"undefined"!=typeof b.validity?b.validity.badInput?!1:d.val():(c=d.val(),"string"==typeof c?c.replace(/\r/g,""):c)},check:function(b){b=this.validationTargetFor(this.clean(b));var c,d,e,f=a(b).rules(),g=a.map(f,function(a,b){return b}).length,h=!1,i=this.elementValue(b);for(d in f){e={method:d,parameters:f[d]};try{if(c=a.validator.methods[d].call(this,i,b,e.parameters),"dependency-mismatch"===c&&1===g){h=!0;continue}if(h=!1,"pending"===c)return void(this.toHide=this.toHide.not(this.errorsFor(b)));if(!c)return this.formatAndAdd(b,e),!1}catch(j){throw this.settings.debug&&window.console&&console.log("Exception occurred when checking element "+b.id+", check the '"+e.method+"' method.",j),j instanceof TypeError&&(j.message+=". Exception occurred when checking element "+b.id+", check the '"+e.method+"' method."),j}}if(!h)return this.objectLength(f)&&this.successList.push(b),!0},customDataMessage:function(b,c){return a(b).data("msg"+c.charAt(0).toUpperCase()+c.substring(1).toLowerCase())||a(b).data("msg")},customMessage:function(a,b){var c=this.settings.messages[a];return c&&(c.constructor===String?c:c[b])},findDefined:function(){for(var a=0;aWarning: No message defined for "+b.name+"")},formatAndAdd:function(b,c){var d=this.defaultMessage(b,c.method),e=/\$?\{(\d+)\}/g;"function"==typeof d?d=d.call(this,c.parameters,b):e.test(d)&&(d=a.validator.format(d.replace(e,"{$1}"),c.parameters)),this.errorList.push({message:d,element:b,method:c.method}),this.errorMap[b.name]=d,this.submitted[b.name]=d},addWrapper:function(a){return this.settings.wrapper&&(a=a.add(a.parent(this.settings.wrapper))),a},defaultShowErrors:function(){var a,b,c;for(a=0;this.errorList[a];a++)c=this.errorList[a],this.settings.highlight&&this.settings.highlight.call(this,c.element,this.settings.errorClass,this.settings.validClass),this.showLabel(c.element,c.message);if(this.errorList.length&&(this.toShow=this.toShow.add(this.containers)),this.settings.success)for(a=0;this.successList[a];a++)this.showLabel(this.successList[a]);if(this.settings.unhighlight)for(a=0,b=this.validElements();b[a];a++)this.settings.unhighlight.call(this,b[a],this.settings.errorClass,this.settings.validClass);this.toHide=this.toHide.not(this.toShow),this.hideErrors(),this.addWrapper(this.toShow).show()},validElements:function(){return this.currentElements.not(this.invalidElements())},invalidElements:function(){return a(this.errorList).map(function(){return this.element})},showLabel:function(b,c){var d,e,f,g=this.errorsFor(b),h=this.idOrName(b),i=a(b).attr("aria-describedby");g.length?(g.removeClass(this.settings.validClass).addClass(this.settings.errorClass),g.html(c)):(g=a("<"+this.settings.errorElement+">").attr("id",h+"-error").addClass(this.settings.errorClass).html(c||""),d=g,this.settings.wrapper&&(d=g.hide().show().wrap("<"+this.settings.wrapper+"/>").parent()),this.labelContainer.length?this.labelContainer.append(d):this.settings.errorPlacement?this.settings.errorPlacement(d,a(b)):d.insertAfter(b),g.is("label")?g.attr("for",h):0===g.parents("label[for='"+h+"']").length&&(f=g.attr("id").replace(/(:|\.|\[|\]|\$)/g,"\\$1"),i?i.match(new RegExp("\\b"+f+"\\b"))||(i+=" "+f):i=f,a(b).attr("aria-describedby",i),e=this.groups[b.name],e&&a.each(this.groups,function(b,c){c===e&&a("[name='"+b+"']",this.currentForm).attr("aria-describedby",g.attr("id"))}))),!c&&this.settings.success&&(g.text(""),"string"==typeof this.settings.success?g.addClass(this.settings.success):this.settings.success(g,b)),this.toShow=this.toShow.add(g)},errorsFor:function(b){var c=this.idOrName(b),d=a(b).attr("aria-describedby"),e="label[for='"+c+"'], label[for='"+c+"'] *";return d&&(e=e+", #"+d.replace(/\s+/g,", #")),this.errors().filter(e)},idOrName:function(a){return this.groups[a.name]||(this.checkable(a)?a.name:a.id||a.name)},validationTargetFor:function(b){return this.checkable(b)&&(b=this.findByName(b.name)),a(b).not(this.settings.ignore)[0]},checkable:function(a){return/radio|checkbox/i.test(a.type)},findByName:function(b){return a(this.currentForm).find("[name='"+b+"']")},getLength:function(b,c){switch(c.nodeName.toLowerCase()){case"select":return a("option:selected",c).length;case"input":if(this.checkable(c))return this.findByName(c.name).filter(":checked").length}return b.length},depend:function(a,b){return this.dependTypes[typeof a]?this.dependTypes[typeof a](a,b):!0},dependTypes:{"boolean":function(a){return a},string:function(b,c){return!!a(b,c.form).length},"function":function(a,b){return a(b)}},optional:function(b){var c=this.elementValue(b);return!a.validator.methods.required.call(this,c,b)&&"dependency-mismatch"},startRequest:function(a){this.pending[a.name]||(this.pendingRequest++,this.pending[a.name]=!0)},stopRequest:function(b,c){this.pendingRequest--,this.pendingRequest<0&&(this.pendingRequest=0),delete this.pending[b.name],c&&0===this.pendingRequest&&this.formSubmitted&&this.form()?(a(this.currentForm).submit(),this.formSubmitted=!1):!c&&0===this.pendingRequest&&this.formSubmitted&&(a(this.currentForm).triggerHandler("invalid-form",[this]),this.formSubmitted=!1)},previousValue:function(b){return a.data(b,"previousValue")||a.data(b,"previousValue",{old:null,valid:!0,message:this.defaultMessage(b,"remote")})},destroy:function(){this.resetForm(),a(this.currentForm).off(".validate").removeData("validator")}},classRuleSettings:{required:{required:!0},email:{email:!0},url:{url:!0},date:{date:!0},dateISO:{dateISO:!0},number:{number:!0},digits:{digits:!0},creditcard:{creditcard:!0}},addClassRules:function(b,c){b.constructor===String?this.classRuleSettings[b]=c:a.extend(this.classRuleSettings,b)},classRules:function(b){var c={},d=a(b).attr("class");return d&&a.each(d.split(" "),function(){this in a.validator.classRuleSettings&&a.extend(c,a.validator.classRuleSettings[this])}),c},normalizeAttributeRule:function(a,b,c,d){/min|max/.test(c)&&(null===b||/number|range|text/.test(b))&&(d=Number(d),isNaN(d)&&(d=void 0)),d||0===d?a[c]=d:b===c&&"range"!==b&&(a[c]=!0)},attributeRules:function(b){var c,d,e={},f=a(b),g=b.getAttribute("type");for(c in a.validator.methods)"required"===c?(d=b.getAttribute(c),""===d&&(d=!0),d=!!d):d=f.attr(c),this.normalizeAttributeRule(e,g,c,d);return e.maxlength&&/-1|2147483647|524288/.test(e.maxlength)&&delete e.maxlength,e},dataRules:function(b){var c,d,e={},f=a(b),g=b.getAttribute("type");for(c in a.validator.methods)d=f.data("rule"+c.charAt(0).toUpperCase()+c.substring(1).toLowerCase()),this.normalizeAttributeRule(e,g,c,d);return e},staticRules:function(b){var c={},d=a.data(b.form,"validator");return d.settings.rules&&(c=a.validator.normalizeRule(d.settings.rules[b.name])||{}),c},normalizeRules:function(b,c){return a.each(b,function(d,e){if(e===!1)return void delete b[d];if(e.param||e.depends){var f=!0;switch(typeof e.depends){case"string":f=!!a(e.depends,c.form).length;break;case"function":f=e.depends.call(c,c)}f?b[d]=void 0!==e.param?e.param:!0:delete b[d]}}),a.each(b,function(d,e){b[d]=a.isFunction(e)?e(c):e}),a.each(["minlength","maxlength"],function(){b[this]&&(b[this]=Number(b[this]))}),a.each(["rangelength","range"],function(){var c;b[this]&&(a.isArray(b[this])?b[this]=[Number(b[this][0]),Number(b[this][1])]:"string"==typeof b[this]&&(c=b[this].replace(/[\[\]]/g,"").split(/[\s,]+/),b[this]=[Number(c[0]),Number(c[1])]))}),a.validator.autoCreateRanges&&(null!=b.min&&null!=b.max&&(b.range=[b.min,b.max],delete b.min,delete b.max),null!=b.minlength&&null!=b.maxlength&&(b.rangelength=[b.minlength,b.maxlength],delete b.minlength,delete b.maxlength)),b},normalizeRule:function(b){if("string"==typeof b){var c={};a.each(b.split(/\s/),function(){c[this]=!0}),b=c}return b},addMethod:function(b,c,d){a.validator.methods[b]=c,a.validator.messages[b]=void 0!==d?d:a.validator.messages[b],c.length<3&&a.validator.addClassRules(b,a.validator.normalizeRule(b))},methods:{required:function(b,c,d){if(!this.depend(d,c))return"dependency-mismatch";if("select"===c.nodeName.toLowerCase()){var e=a(c).val();return e&&e.length>0}return this.checkable(c)?this.getLength(b,c)>0:b.length>0},email:function(a,b){return this.optional(b)||/^[a-zA-Z0-9.!#$%&'*+\/=?^_`{|}~-]+@[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?(?:\.[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?)*$/.test(a)},url:function(a,b){return this.optional(b)||/^(?:(?:(?:https?|ftp):)?\/\/)(?:\S+(?::\S*)?@)?(?:(?!(?:10|127)(?:\.\d{1,3}){3})(?!(?:169\.254|192\.168)(?:\.\d{1,3}){2})(?!172\.(?:1[6-9]|2\d|3[0-1])(?:\.\d{1,3}){2})(?:[1-9]\d?|1\d\d|2[01]\d|22[0-3])(?:\.(?:1?\d{1,2}|2[0-4]\d|25[0-5])){2}(?:\.(?:[1-9]\d?|1\d\d|2[0-4]\d|25[0-4]))|(?:(?:[a-z\u00a1-\uffff0-9]-*)*[a-z\u00a1-\uffff0-9]+)(?:\.(?:[a-z\u00a1-\uffff0-9]-*)*[a-z\u00a1-\uffff0-9]+)*(?:\.(?:[a-z\u00a1-\uffff]{2,})).?)(?::\d{2,5})?(?:[\/?#]\S*)?$/i.test(a)},date:function(a,b){return this.optional(b)||!/Invalid|NaN/.test(new Date(a).toString())},dateISO:function(a,b){return this.optional(b)||/^\d{4}[\/\-](0?[1-9]|1[012])[\/\-](0?[1-9]|[12][0-9]|3[01])$/.test(a)},number:function(a,b){return this.optional(b)||/^(?:-?\d+|-?\d{1,3}(?:,\d{3})+)?(?:\.\d+)?$/.test(a)},digits:function(a,b){return this.optional(b)||/^\d+$/.test(a)},creditcard:function(a,b){if(this.optional(b))return"dependency-mismatch";if(/[^0-9 \-]+/.test(a))return!1;var c,d,e=0,f=0,g=!1;if(a=a.replace(/\D/g,""),a.length<13||a.length>19)return!1;for(c=a.length-1;c>=0;c--)d=a.charAt(c),f=parseInt(d,10),g&&(f*=2)>9&&(f-=9),e+=f,g=!g;return e%10===0},minlength:function(b,c,d){var e=a.isArray(b)?b.length:this.getLength(b,c);return this.optional(c)||e>=d},maxlength:function(b,c,d){var e=a.isArray(b)?b.length:this.getLength(b,c);return this.optional(c)||d>=e},rangelength:function(b,c,d){var e=a.isArray(b)?b.length:this.getLength(b,c);return this.optional(c)||e>=d[0]&&e<=d[1]},min:function(a,b,c){return this.optional(b)||a>=c},max:function(a,b,c){return this.optional(b)||c>=a},range:function(a,b,c){return this.optional(b)||a>=c[0]&&a<=c[1]},equalTo:function(b,c,d){var e=a(d);return this.settings.onfocusout&&e.off(".validate-equalTo").on("blur.validate-equalTo",function(){a(c).valid()}),b===e.val()},remote:function(b,c,d){if(this.optional(c))return"dependency-mismatch";var e,f,g=this.previousValue(c);return this.settings.messages[c.name]||(this.settings.messages[c.name]={}),g.originalMessage=this.settings.messages[c.name].remote,this.settings.messages[c.name].remote=g.message,d="string"==typeof d&&{url:d}||d,g.old===b?g.valid:(g.old=b,e=this,this.startRequest(c),f={},f[c.name]=b,a.ajax(a.extend(!0,{mode:"abort",port:"validate"+c.name,dataType:"json",data:f,context:e.currentForm,success:function(d){var f,h,i,j=d===!0||"true"===d;e.settings.messages[c.name].remote=g.originalMessage,j?(i=e.formSubmitted,e.prepareElement(c),e.formSubmitted=i,e.successList.push(c),delete e.invalid[c.name],e.showErrors()):(f={},h=d||e.defaultMessage(c,"remote"),f[c.name]=g.message=a.isFunction(h)?h(b):h,e.invalid[c.name]=!0,e.showErrors(f)),g.valid=j,e.stopRequest(c,j)}},d)),"pending")}}});var b,c={};a.ajaxPrefilter?a.ajaxPrefilter(function(a,b,d){var e=a.port;"abort"===a.mode&&(c[e]&&c[e].abort(),c[e]=d)}):(b=a.ajax,a.ajax=function(d){var e=("mode"in d?d:a.ajaxSettings).mode,f=("port"in d?d:a.ajaxSettings).port;return"abort"===e?(c[f]&&c[f].abort(),c[f]=b.apply(this,arguments),c[f]):b.apply(this,arguments)})}),function(a){"function"==typeof define&&define.amd?define(["jquery"],a):a(jQuery)}(function(a){a.fn.addBack=a.fn.addBack||a.fn.andSelf,a.fn.extend({actual:function(b,c){if(!this[b])throw'$.actual => The jQuery method "'+b+'" you called does not exist';var d,e,f={absolute:!1,clone:!1,includeMargin:!1,display:"block"},g=a.extend(f,c),h=this.eq(0);if(g.clone===!0)d=function(){var a="position: absolute !important; top: -1000 !important; ";h=h.clone().attr("style",a).appendTo("body")},e=function(){h.remove()};else{var i,j=[],k="";d=function(){i=h.parents().addBack().filter(":hidden"),k+="visibility: hidden !important; display: "+g.display+" !important; ",g.absolute===!0&&(k+="position: absolute !important; "),i.each(function(){var b=a(this),c=b.attr("style");j.push(c),b.attr("style",c?c+";"+k:k)})},e=function(){i.each(function(b){var c=a(this),d=j[b];void 0===d?c.removeAttr("style"):c.attr("style",d)})}}d();var l=/(outer)/.test(b)?h[b](g.includeMargin):h[b]();return e(),l}})}),function(a,b){"object"==typeof exports&&"undefined"!=typeof module?module.exports=b():"function"==typeof define&&define.amd?define(b):a.moment=b()}(this,function(){"use strict";function a(){return Hc.apply(null,arguments)}function b(a){Hc=a}function c(a){return"[object Array]"===Object.prototype.toString.call(a)}function d(a){return a instanceof Date||"[object Date]"===Object.prototype.toString.call(a)}function e(a,b){var c,d=[];for(c=0;c0)for(c in Jc)d=Jc[c],e=b[d],"undefined"!=typeof e&&(a[d]=e);return a}function n(b){m(this,b),this._d=new Date(null!=b._d?b._d.getTime():NaN),Kc===!1&&(Kc=!0,a.updateOffset(this),Kc=!1)}function o(a){return a instanceof n||null!=a&&null!=a._isAMomentObject}function p(a){return 0>a?Math.ceil(a):Math.floor(a)}function q(a){var b=+a,c=0;return 0!==b&&isFinite(b)&&(c=p(b)),c}function r(a,b,c){var d,e=Math.min(a.length,b.length),f=Math.abs(a.length-b.length),g=0;for(d=0;e>d;d++)(c&&a[d]!==b[d]||!c&&q(a[d])!==q(b[d]))&&g++;return g+f}function s(){}function t(a){return a?a.toLowerCase().replace("_","-"):a}function u(a){for(var b,c,d,e,f=0;f0;){if(d=v(e.slice(0,b).join("-")))return d;if(c&&c.length>=b&&r(e,c,!0)>=b-1)break;b--}f++}return null}function v(a){var b=null;if(!Lc[a]&&"undefined"!=typeof module&&module&&module.exports)try{b=Ic._abbr,require("./locale/"+a),w(b)}catch(c){}return Lc[a]}function w(a,b){var c;return a&&(c="undefined"==typeof b?y(a):x(a,b),c&&(Ic=c)),Ic._abbr}function x(a,b){return null!==b?(b.abbr=a,Lc[a]=Lc[a]||new s,Lc[a].set(b),w(a),Lc[a]):(delete Lc[a],null)}function y(a){var b;if(a&&a._locale&&a._locale._abbr&&(a=a._locale._abbr),!a)return Ic;if(!c(a)){if(b=v(a))return b;a=[a]}return u(a)}function z(a,b){var c=a.toLowerCase();Mc[c]=Mc[c+"s"]=Mc[b]=a}function A(a){return"string"==typeof a?Mc[a]||Mc[a.toLowerCase()]:void 0}function B(a){var b,c,d={};for(c in a)f(a,c)&&(b=A(c),b&&(d[b]=a[c]));return d}function C(b,c){return function(d){return null!=d?(E(this,b,d),a.updateOffset(this,c),this):D(this,b)}}function D(a,b){return a._d["get"+(a._isUTC?"UTC":"")+b]()}function E(a,b,c){return a._d["set"+(a._isUTC?"UTC":"")+b](c)}function F(a,b){var c;if("object"==typeof a)for(c in a)this.set(c,a[c]);else if(a=A(a),"function"==typeof this[a])return this[a](b);return this}function G(a,b,c){var d=""+Math.abs(a),e=b-d.length,f=a>=0;return(f?c?"+":"":"-")+Math.pow(10,Math.max(0,e)).toString().substr(1)+d}function H(a,b,c,d){var e=d;"string"==typeof d&&(e=function(){return this[d]()}),a&&(Qc[a]=e),b&&(Qc[b[0]]=function(){return G(e.apply(this,arguments),b[1],b[2])}),c&&(Qc[c]=function(){return this.localeData().ordinal(e.apply(this,arguments),a)})}function I(a){return a.match(/\[[\s\S]/)?a.replace(/^\[|\]$/g,""):a.replace(/\\/g,"")}function J(a){var b,c,d=a.match(Nc);for(b=0,c=d.length;c>b;b++)Qc[d[b]]?d[b]=Qc[d[b]]:d[b]=I(d[b]);return function(e){var f="";for(b=0;c>b;b++)f+=d[b]instanceof Function?d[b].call(e,a):d[b];return f}}function K(a,b){return a.isValid()?(b=L(b,a.localeData()),Pc[b]=Pc[b]||J(b),Pc[b](a)):a.localeData().invalidDate()}function L(a,b){function c(a){return b.longDateFormat(a)||a}var d=5;for(Oc.lastIndex=0;d>=0&&Oc.test(a);)a=a.replace(Oc,c),Oc.lastIndex=0,d-=1;return a}function M(a){return"function"==typeof a&&"[object Function]"===Object.prototype.toString.call(a)}function N(a,b,c){dd[a]=M(b)?b:function(a){return a&&c?c:b}}function O(a,b){return f(dd,a)?dd[a](b._strict,b._locale):new RegExp(P(a))}function P(a){return a.replace("\\","").replace(/\\(\[)|\\(\])|\[([^\]\[]*)\]|\\(.)/g,function(a,b,c,d,e){return b||c||d||e}).replace(/[-\/\\^$*+?.()|[\]{}]/g,"\\$&")}function Q(a,b){var c,d=b;for("string"==typeof a&&(a=[a]),"number"==typeof b&&(d=function(a,c){c[b]=q(a)}),c=0;cd;d++){if(e=h([2e3,d]),c&&!this._longMonthsParse[d]&&(this._longMonthsParse[d]=new RegExp("^"+this.months(e,"").replace(".","")+"$","i"),this._shortMonthsParse[d]=new RegExp("^"+this.monthsShort(e,"").replace(".","")+"$","i")),c||this._monthsParse[d]||(f="^"+this.months(e,"")+"|^"+this.monthsShort(e,""),this._monthsParse[d]=new RegExp(f.replace(".",""),"i")),c&&"MMMM"===b&&this._longMonthsParse[d].test(a))return d;if(c&&"MMM"===b&&this._shortMonthsParse[d].test(a))return d;if(!c&&this._monthsParse[d].test(a))return d}}function X(a,b){var c;return"string"==typeof b&&(b=a.localeData().monthsParse(b),"number"!=typeof b)?a:(c=Math.min(a.date(),T(a.year(),b)),a._d["set"+(a._isUTC?"UTC":"")+"Month"](b,c),a)}function Y(b){return null!=b?(X(this,b),a.updateOffset(this,!0),this):D(this,"Month")}function Z(){return T(this.year(),this.month())}function $(a){var b,c=a._a;return c&&-2===j(a).overflow&&(b=c[gd]<0||c[gd]>11?gd:c[hd]<1||c[hd]>T(c[fd],c[gd])?hd:c[id]<0||c[id]>24||24===c[id]&&(0!==c[jd]||0!==c[kd]||0!==c[ld])?id:c[jd]<0||c[jd]>59?jd:c[kd]<0||c[kd]>59?kd:c[ld]<0||c[ld]>999?ld:-1,j(a)._overflowDayOfYear&&(fd>b||b>hd)&&(b=hd),j(a).overflow=b),a}function _(b){a.suppressDeprecationWarnings===!1&&"undefined"!=typeof console&&console.warn&&console.warn("Deprecation warning: "+b)}function aa(a,b){var c=!0;return g(function(){return c&&(_(a+"\n"+(new Error).stack),c=!1),b.apply(this,arguments)},b)}function ba(a,b){od[a]||(_(b),od[a]=!0)}function ca(a){var b,c,d=a._i,e=pd.exec(d);if(e){for(j(a).iso=!0,b=0,c=qd.length;c>b;b++)if(qd[b][1].exec(d)){a._f=qd[b][0];break}for(b=0,c=rd.length;c>b;b++)if(rd[b][1].exec(d)){a._f+=(e[6]||" ")+rd[b][0];break}d.match(ad)&&(a._f+="Z"),va(a)}else a._isValid=!1}function da(b){var c=sd.exec(b._i);return null!==c?void(b._d=new Date(+c[1])):(ca(b),void(b._isValid===!1&&(delete b._isValid,a.createFromInputFallback(b))))}function ea(a,b,c,d,e,f,g){var h=new Date(a,b,c,d,e,f,g);return 1970>a&&h.setFullYear(a),h}function fa(a){var b=new Date(Date.UTC.apply(null,arguments));return 1970>a&&b.setUTCFullYear(a),b}function ga(a){return ha(a)?366:365}function ha(a){return a%4===0&&a%100!==0||a%400===0}function ia(){return ha(this.year())}function ja(a,b,c){var d,e=c-b,f=c-a.day();return f>e&&(f-=7),e-7>f&&(f+=7),d=Da(a).add(f,"d"),{week:Math.ceil(d.dayOfYear()/7),year:d.year()}}function ka(a){return ja(a,this._week.dow,this._week.doy).week}function la(){return this._week.dow}function ma(){return this._week.doy}function na(a){var b=this.localeData().week(this);return null==a?b:this.add(7*(a-b),"d")}function oa(a){var b=ja(this,1,4).week; -return null==a?b:this.add(7*(a-b),"d")}function pa(a,b,c,d,e){var f,g=6+e-d,h=fa(a,0,1+g),i=h.getUTCDay();return e>i&&(i+=7),c=null!=c?1*c:e,f=1+g+7*(b-1)-i+c,{year:f>0?a:a-1,dayOfYear:f>0?f:ga(a-1)+f}}function qa(a){var b=Math.round((this.clone().startOf("day")-this.clone().startOf("year"))/864e5)+1;return null==a?b:this.add(a-b,"d")}function ra(a,b,c){return null!=a?a:null!=b?b:c}function sa(a){var b=new Date;return a._useUTC?[b.getUTCFullYear(),b.getUTCMonth(),b.getUTCDate()]:[b.getFullYear(),b.getMonth(),b.getDate()]}function ta(a){var b,c,d,e,f=[];if(!a._d){for(d=sa(a),a._w&&null==a._a[hd]&&null==a._a[gd]&&ua(a),a._dayOfYear&&(e=ra(a._a[fd],d[fd]),a._dayOfYear>ga(e)&&(j(a)._overflowDayOfYear=!0),c=fa(e,0,a._dayOfYear),a._a[gd]=c.getUTCMonth(),a._a[hd]=c.getUTCDate()),b=0;3>b&&null==a._a[b];++b)a._a[b]=f[b]=d[b];for(;7>b;b++)a._a[b]=f[b]=null==a._a[b]?2===b?1:0:a._a[b];24===a._a[id]&&0===a._a[jd]&&0===a._a[kd]&&0===a._a[ld]&&(a._nextDay=!0,a._a[id]=0),a._d=(a._useUTC?fa:ea).apply(null,f),null!=a._tzm&&a._d.setUTCMinutes(a._d.getUTCMinutes()-a._tzm),a._nextDay&&(a._a[id]=24)}}function ua(a){var b,c,d,e,f,g,h;b=a._w,null!=b.GG||null!=b.W||null!=b.E?(f=1,g=4,c=ra(b.GG,a._a[fd],ja(Da(),1,4).year),d=ra(b.W,1),e=ra(b.E,1)):(f=a._locale._week.dow,g=a._locale._week.doy,c=ra(b.gg,a._a[fd],ja(Da(),f,g).year),d=ra(b.w,1),null!=b.d?(e=b.d,f>e&&++d):e=null!=b.e?b.e+f:f),h=pa(c,d,e,g,f),a._a[fd]=h.year,a._dayOfYear=h.dayOfYear}function va(b){if(b._f===a.ISO_8601)return void ca(b);b._a=[],j(b).empty=!0;var c,d,e,f,g,h=""+b._i,i=h.length,k=0;for(e=L(b._f,b._locale).match(Nc)||[],c=0;c0&&j(b).unusedInput.push(g),h=h.slice(h.indexOf(d)+d.length),k+=d.length),Qc[f]?(d?j(b).empty=!1:j(b).unusedTokens.push(f),S(f,d,b)):b._strict&&!d&&j(b).unusedTokens.push(f);j(b).charsLeftOver=i-k,h.length>0&&j(b).unusedInput.push(h),j(b).bigHour===!0&&b._a[id]<=12&&b._a[id]>0&&(j(b).bigHour=void 0),b._a[id]=wa(b._locale,b._a[id],b._meridiem),ta(b),$(b)}function wa(a,b,c){var d;return null==c?b:null!=a.meridiemHour?a.meridiemHour(b,c):null!=a.isPM?(d=a.isPM(c),d&&12>b&&(b+=12),d||12!==b||(b=0),b):b}function xa(a){var b,c,d,e,f;if(0===a._f.length)return j(a).invalidFormat=!0,void(a._d=new Date(NaN));for(e=0;ef)&&(d=f,c=b));g(a,c||b)}function ya(a){if(!a._d){var b=B(a._i);a._a=[b.year,b.month,b.day||b.date,b.hour,b.minute,b.second,b.millisecond],ta(a)}}function za(a){var b=new n($(Aa(a)));return b._nextDay&&(b.add(1,"d"),b._nextDay=void 0),b}function Aa(a){var b=a._i,e=a._f;return a._locale=a._locale||y(a._l),null===b||void 0===e&&""===b?l({nullInput:!0}):("string"==typeof b&&(a._i=b=a._locale.preparse(b)),o(b)?new n($(b)):(c(e)?xa(a):e?va(a):d(b)?a._d=b:Ba(a),a))}function Ba(b){var f=b._i;void 0===f?b._d=new Date:d(f)?b._d=new Date(+f):"string"==typeof f?da(b):c(f)?(b._a=e(f.slice(0),function(a){return parseInt(a,10)}),ta(b)):"object"==typeof f?ya(b):"number"==typeof f?b._d=new Date(f):a.createFromInputFallback(b)}function Ca(a,b,c,d,e){var f={};return"boolean"==typeof c&&(d=c,c=void 0),f._isAMomentObject=!0,f._useUTC=f._isUTC=e,f._l=c,f._i=a,f._f=b,f._strict=d,za(f)}function Da(a,b,c,d){return Ca(a,b,c,d,!1)}function Ea(a,b){var d,e;if(1===b.length&&c(b[0])&&(b=b[0]),!b.length)return Da();for(d=b[0],e=1;ea&&(a=-a,c="-"),c+G(~~(a/60),2)+b+G(~~a%60,2)})}function Ka(a){var b=(a||"").match(ad)||[],c=b[b.length-1]||[],d=(c+"").match(xd)||["-",0,0],e=+(60*d[1])+q(d[2]);return"+"===d[0]?e:-e}function La(b,c){var e,f;return c._isUTC?(e=c.clone(),f=(o(b)||d(b)?+b:+Da(b))-+e,e._d.setTime(+e._d+f),a.updateOffset(e,!1),e):Da(b).local()}function Ma(a){return 15*-Math.round(a._d.getTimezoneOffset()/15)}function Na(b,c){var d,e=this._offset||0;return null!=b?("string"==typeof b&&(b=Ka(b)),Math.abs(b)<16&&(b=60*b),!this._isUTC&&c&&(d=Ma(this)),this._offset=b,this._isUTC=!0,null!=d&&this.add(d,"m"),e!==b&&(!c||this._changeInProgress?bb(this,Ya(b-e,"m"),1,!1):this._changeInProgress||(this._changeInProgress=!0,a.updateOffset(this,!0),this._changeInProgress=null)),this):this._isUTC?e:Ma(this)}function Oa(a,b){return null!=a?("string"!=typeof a&&(a=-a),this.utcOffset(a,b),this):-this.utcOffset()}function Pa(a){return this.utcOffset(0,a)}function Qa(a){return this._isUTC&&(this.utcOffset(0,a),this._isUTC=!1,a&&this.subtract(Ma(this),"m")),this}function Ra(){return this._tzm?this.utcOffset(this._tzm):"string"==typeof this._i&&this.utcOffset(Ka(this._i)),this}function Sa(a){return a=a?Da(a).utcOffset():0,(this.utcOffset()-a)%60===0}function Ta(){return this.utcOffset()>this.clone().month(0).utcOffset()||this.utcOffset()>this.clone().month(5).utcOffset()}function Ua(){if("undefined"!=typeof this._isDSTShifted)return this._isDSTShifted;var a={};if(m(a,this),a=Aa(a),a._a){var b=a._isUTC?h(a._a):Da(a._a);this._isDSTShifted=this.isValid()&&r(a._a,b.toArray())>0}else this._isDSTShifted=!1;return this._isDSTShifted}function Va(){return!this._isUTC}function Wa(){return this._isUTC}function Xa(){return this._isUTC&&0===this._offset}function Ya(a,b){var c,d,e,g=a,h=null;return Ia(a)?g={ms:a._milliseconds,d:a._days,M:a._months}:"number"==typeof a?(g={},b?g[b]=a:g.milliseconds=a):(h=yd.exec(a))?(c="-"===h[1]?-1:1,g={y:0,d:q(h[hd])*c,h:q(h[id])*c,m:q(h[jd])*c,s:q(h[kd])*c,ms:q(h[ld])*c}):(h=zd.exec(a))?(c="-"===h[1]?-1:1,g={y:Za(h[2],c),M:Za(h[3],c),d:Za(h[4],c),h:Za(h[5],c),m:Za(h[6],c),s:Za(h[7],c),w:Za(h[8],c)}):null==g?g={}:"object"==typeof g&&("from"in g||"to"in g)&&(e=_a(Da(g.from),Da(g.to)),g={},g.ms=e.milliseconds,g.M=e.months),d=new Ha(g),Ia(a)&&f(a,"_locale")&&(d._locale=a._locale),d}function Za(a,b){var c=a&&parseFloat(a.replace(",","."));return(isNaN(c)?0:c)*b}function $a(a,b){var c={milliseconds:0,months:0};return c.months=b.month()-a.month()+12*(b.year()-a.year()),a.clone().add(c.months,"M").isAfter(b)&&--c.months,c.milliseconds=+b-+a.clone().add(c.months,"M"),c}function _a(a,b){var c;return b=La(b,a),a.isBefore(b)?c=$a(a,b):(c=$a(b,a),c.milliseconds=-c.milliseconds,c.months=-c.months),c}function ab(a,b){return function(c,d){var e,f;return null===d||isNaN(+d)||(ba(b,"moment()."+b+"(period, number) is deprecated. Please use moment()."+b+"(number, period)."),f=c,c=d,d=f),c="string"==typeof c?+c:c,e=Ya(c,d),bb(this,e,a),this}}function bb(b,c,d,e){var f=c._milliseconds,g=c._days,h=c._months;e=null==e?!0:e,f&&b._d.setTime(+b._d+f*d),g&&E(b,"Date",D(b,"Date")+g*d),h&&X(b,D(b,"Month")+h*d),e&&a.updateOffset(b,g||h)}function cb(a,b){var c=a||Da(),d=La(c,this).startOf("day"),e=this.diff(d,"days",!0),f=-6>e?"sameElse":-1>e?"lastWeek":0>e?"lastDay":1>e?"sameDay":2>e?"nextDay":7>e?"nextWeek":"sameElse";return this.format(b&&b[f]||this.localeData().calendar(f,this,Da(c)))}function db(){return new n(this)}function eb(a,b){var c;return b=A("undefined"!=typeof b?b:"millisecond"),"millisecond"===b?(a=o(a)?a:Da(a),+this>+a):(c=o(a)?+a:+Da(a),c<+this.clone().startOf(b))}function fb(a,b){var c;return b=A("undefined"!=typeof b?b:"millisecond"),"millisecond"===b?(a=o(a)?a:Da(a),+a>+this):(c=o(a)?+a:+Da(a),+this.clone().endOf(b)b-f?(c=a.clone().add(e-1,"months"),d=(b-f)/(f-c)):(c=a.clone().add(e+1,"months"),d=(b-f)/(c-f)),-(e+d)}function kb(){return this.clone().locale("en").format("ddd MMM DD YYYY HH:mm:ss [GMT]ZZ")}function lb(){var a=this.clone().utc();return 0b;b++)if(this._weekdaysParse[b]||(c=Da([2e3,1]).day(b),d="^"+this.weekdays(c,"")+"|^"+this.weekdaysShort(c,"")+"|^"+this.weekdaysMin(c,""),this._weekdaysParse[b]=new RegExp(d.replace(".",""),"i")),this._weekdaysParse[b].test(a))return b}function Pb(a){var b=this._isUTC?this._d.getUTCDay():this._d.getDay();return null!=a?(a=Kb(a,this.localeData()),this.add(a-b,"d")):b}function Qb(a){var b=(this.day()+7-this.localeData()._week.dow)%7;return null==a?b:this.add(a-b,"d")}function Rb(a){return null==a?this.day()||7:this.day(this.day()%7?a:a-7)}function Sb(a,b){H(a,0,0,function(){return this.localeData().meridiem(this.hours(),this.minutes(),b)})}function Tb(a,b){return b._meridiemParse}function Ub(a){return"p"===(a+"").toLowerCase().charAt(0)}function Vb(a,b,c){return a>11?c?"pm":"PM":c?"am":"AM"}function Wb(a,b){b[ld]=q(1e3*("0."+a))}function Xb(){return this._isUTC?"UTC":""}function Yb(){return this._isUTC?"Coordinated Universal Time":""}function Zb(a){return Da(1e3*a)}function $b(){return Da.apply(null,arguments).parseZone()}function _b(a,b,c){var d=this._calendar[a];return"function"==typeof d?d.call(b,c):d}function ac(a){var b=this._longDateFormat[a],c=this._longDateFormat[a.toUpperCase()];return b||!c?b:(this._longDateFormat[a]=c.replace(/MMMM|MM|DD|dddd/g,function(a){return a.slice(1)}),this._longDateFormat[a])}function bc(){return this._invalidDate}function cc(a){return this._ordinal.replace("%d",a)}function dc(a){return a}function ec(a,b,c,d){var e=this._relativeTime[c];return"function"==typeof e?e(a,b,c,d):e.replace(/%d/i,a)}function fc(a,b){var c=this._relativeTime[a>0?"future":"past"];return"function"==typeof c?c(b):c.replace(/%s/i,b)}function gc(a){var b,c;for(c in a)b=a[c],"function"==typeof b?this[c]=b:this["_"+c]=b;this._ordinalParseLenient=new RegExp(this._ordinalParse.source+"|"+/\d{1,2}/.source)}function hc(a,b,c,d){var e=y(),f=h().set(d,b);return e[c](f,a)}function ic(a,b,c,d,e){if("number"==typeof a&&(b=a,a=void 0),a=a||"",null!=b)return hc(a,b,c,e);var f,g=[];for(f=0;d>f;f++)g[f]=hc(a,f,c,e);return g}function jc(a,b){return ic(a,b,"months",12,"month")}function kc(a,b){return ic(a,b,"monthsShort",12,"month")}function lc(a,b){return ic(a,b,"weekdays",7,"day")}function mc(a,b){return ic(a,b,"weekdaysShort",7,"day")}function nc(a,b){return ic(a,b,"weekdaysMin",7,"day")}function oc(){var a=this._data;return this._milliseconds=Wd(this._milliseconds),this._days=Wd(this._days),this._months=Wd(this._months),a.milliseconds=Wd(a.milliseconds),a.seconds=Wd(a.seconds),a.minutes=Wd(a.minutes),a.hours=Wd(a.hours),a.months=Wd(a.months),a.years=Wd(a.years),this}function pc(a,b,c,d){var e=Ya(b,c);return a._milliseconds+=d*e._milliseconds,a._days+=d*e._days,a._months+=d*e._months,a._bubble()}function qc(a,b){return pc(this,a,b,1)}function rc(a,b){return pc(this,a,b,-1)}function sc(a){return 0>a?Math.floor(a):Math.ceil(a)}function tc(){var a,b,c,d,e,f=this._milliseconds,g=this._days,h=this._months,i=this._data;return f>=0&&g>=0&&h>=0||0>=f&&0>=g&&0>=h||(f+=864e5*sc(vc(h)+g),g=0,h=0),i.milliseconds=f%1e3,a=p(f/1e3),i.seconds=a%60,b=p(a/60),i.minutes=b%60,c=p(b/60),i.hours=c%24,g+=p(c/24),e=p(uc(g)),h+=e,g-=sc(vc(e)),d=p(h/12),h%=12,i.days=g,i.months=h,i.years=d,this}function uc(a){return 4800*a/146097}function vc(a){return 146097*a/4800}function wc(a){var b,c,d=this._milliseconds;if(a=A(a),"month"===a||"year"===a)return b=this._days+d/864e5,c=this._months+uc(b),"month"===a?c:c/12;switch(b=this._days+Math.round(vc(this._months)),a){case"week":return b/7+d/6048e5;case"day":return b+d/864e5;case"hour":return 24*b+d/36e5;case"minute":return 1440*b+d/6e4;case"second":return 86400*b+d/1e3;case"millisecond":return Math.floor(864e5*b)+d;default:throw new Error("Unknown unit "+a)}}function xc(){return this._milliseconds+864e5*this._days+this._months%12*2592e6+31536e6*q(this._months/12)}function yc(a){return function(){return this.as(a)}}function zc(a){return a=A(a),this[a+"s"]()}function Ac(a){return function(){return this._data[a]}}function Bc(){return p(this.days()/7)}function Cc(a,b,c,d,e){return e.relativeTime(b||1,!!c,a,d)}function Dc(a,b,c){var d=Ya(a).abs(),e=ke(d.as("s")),f=ke(d.as("m")),g=ke(d.as("h")),h=ke(d.as("d")),i=ke(d.as("M")),j=ke(d.as("y")),k=e0,k[4]=c,Cc.apply(null,k)}function Ec(a,b){return void 0===le[a]?!1:void 0===b?le[a]:(le[a]=b,!0)}function Fc(a){var b=this.localeData(),c=Dc(this,!a,b);return a&&(c=b.pastFuture(+this,c)),b.postformat(c)}function Gc(){var a,b,c,d=me(this._milliseconds)/1e3,e=me(this._days),f=me(this._months);a=p(d/60),b=p(a/60),d%=60,a%=60,c=p(f/12),f%=12;var g=c,h=f,i=e,j=b,k=a,l=d,m=this.asSeconds();return m?(0>m?"-":"")+"P"+(g?g+"Y":"")+(h?h+"M":"")+(i?i+"D":"")+(j||k||l?"T":"")+(j?j+"H":"")+(k?k+"M":"")+(l?l+"S":""):"P0D"}var Hc,Ic,Jc=a.momentProperties=[],Kc=!1,Lc={},Mc={},Nc=/(\[[^\[]*\])|(\\)?(Mo|MM?M?M?|Do|DDDo|DD?D?D?|ddd?d?|do?|w[o|w]?|W[o|W]?|Q|YYYYYY|YYYYY|YYYY|YY|gg(ggg?)?|GG(GGG?)?|e|E|a|A|hh?|HH?|mm?|ss?|S{1,9}|x|X|zz?|ZZ?|.)/g,Oc=/(\[[^\[]*\])|(\\)?(LTS|LT|LL?L?L?|l{1,4})/g,Pc={},Qc={},Rc=/\d/,Sc=/\d\d/,Tc=/\d{3}/,Uc=/\d{4}/,Vc=/[+-]?\d{6}/,Wc=/\d\d?/,Xc=/\d{1,3}/,Yc=/\d{1,4}/,Zc=/[+-]?\d{1,6}/,$c=/\d+/,_c=/[+-]?\d+/,ad=/Z|[+-]\d\d:?\d\d/gi,bd=/[+-]?\d+(\.\d{1,3})?/,cd=/[0-9]*['a-z\u00A0-\u05FF\u0700-\uD7FF\uF900-\uFDCF\uFDF0-\uFFEF]+|[\u0600-\u06FF\/]+(\s*?[\u0600-\u06FF]+){1,2}/i,dd={},ed={},fd=0,gd=1,hd=2,id=3,jd=4,kd=5,ld=6;H("M",["MM",2],"Mo",function(){return this.month()+1}),H("MMM",0,0,function(a){return this.localeData().monthsShort(this,a)}),H("MMMM",0,0,function(a){return this.localeData().months(this,a)}),z("month","M"),N("M",Wc),N("MM",Wc,Sc),N("MMM",cd),N("MMMM",cd),Q(["M","MM"],function(a,b){b[gd]=q(a)-1}),Q(["MMM","MMMM"],function(a,b,c,d){var e=c._locale.monthsParse(a,d,c._strict);null!=e?b[gd]=e:j(c).invalidMonth=a});var md="January_February_March_April_May_June_July_August_September_October_November_December".split("_"),nd="Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_"),od={};a.suppressDeprecationWarnings=!1;var pd=/^\s*(?:[+-]\d{6}|\d{4})-(?:(\d\d-\d\d)|(W\d\d$)|(W\d\d-\d)|(\d\d\d))((T| )(\d\d(:\d\d(:\d\d(\.\d+)?)?)?)?([\+\-]\d\d(?::?\d\d)?|\s*Z)?)?$/,qd=[["YYYYYY-MM-DD",/[+-]\d{6}-\d{2}-\d{2}/],["YYYY-MM-DD",/\d{4}-\d{2}-\d{2}/],["GGGG-[W]WW-E",/\d{4}-W\d{2}-\d/],["GGGG-[W]WW",/\d{4}-W\d{2}/],["YYYY-DDD",/\d{4}-\d{3}/]],rd=[["HH:mm:ss.SSSS",/(T| )\d\d:\d\d:\d\d\.\d+/],["HH:mm:ss",/(T| )\d\d:\d\d:\d\d/],["HH:mm",/(T| )\d\d:\d\d/],["HH",/(T| )\d\d/]],sd=/^\/?Date\((\-?\d+)/i;a.createFromInputFallback=aa("moment construction falls back to js Date. This is discouraged and will be removed in upcoming major release. Please refer to https://github.com/moment/moment/issues/1407 for more info.",function(a){a._d=new Date(a._i+(a._useUTC?" UTC":""))}),H(0,["YY",2],0,function(){return this.year()%100}),H(0,["YYYY",4],0,"year"),H(0,["YYYYY",5],0,"year"),H(0,["YYYYYY",6,!0],0,"year"),z("year","y"),N("Y",_c),N("YY",Wc,Sc),N("YYYY",Yc,Uc),N("YYYYY",Zc,Vc),N("YYYYYY",Zc,Vc),Q(["YYYYY","YYYYYY"],fd),Q("YYYY",function(b,c){c[fd]=2===b.length?a.parseTwoDigitYear(b):q(b)}),Q("YY",function(b,c){c[fd]=a.parseTwoDigitYear(b)}),a.parseTwoDigitYear=function(a){return q(a)+(q(a)>68?1900:2e3)};var td=C("FullYear",!1);H("w",["ww",2],"wo","week"),H("W",["WW",2],"Wo","isoWeek"),z("week","w"),z("isoWeek","W"),N("w",Wc),N("ww",Wc,Sc),N("W",Wc),N("WW",Wc,Sc),R(["w","ww","W","WW"],function(a,b,c,d){b[d.substr(0,1)]=q(a)});var ud={dow:0,doy:6};H("DDD",["DDDD",3],"DDDo","dayOfYear"),z("dayOfYear","DDD"),N("DDD",Xc),N("DDDD",Tc),Q(["DDD","DDDD"],function(a,b,c){c._dayOfYear=q(a)}),a.ISO_8601=function(){};var vd=aa("moment().min is deprecated, use moment.min instead. https://github.com/moment/moment/issues/1548",function(){var a=Da.apply(null,arguments);return this>a?this:a}),wd=aa("moment().max is deprecated, use moment.max instead. https://github.com/moment/moment/issues/1548",function(){var a=Da.apply(null,arguments);return a>this?this:a});Ja("Z",":"),Ja("ZZ",""),N("Z",ad),N("ZZ",ad),Q(["Z","ZZ"],function(a,b,c){c._useUTC=!0,c._tzm=Ka(a)});var xd=/([\+\-]|\d\d)/gi;a.updateOffset=function(){};var yd=/(\-)?(?:(\d*)\.)?(\d+)\:(\d+)(?:\:(\d+)\.?(\d{3})?)?/,zd=/^(-)?P(?:(?:([0-9,.]*)Y)?(?:([0-9,.]*)M)?(?:([0-9,.]*)D)?(?:T(?:([0-9,.]*)H)?(?:([0-9,.]*)M)?(?:([0-9,.]*)S)?)?|([0-9,.]*)W)$/;Ya.fn=Ha.prototype;var Ad=ab(1,"add"),Bd=ab(-1,"subtract");a.defaultFormat="YYYY-MM-DDTHH:mm:ssZ";var Cd=aa("moment().lang() is deprecated. Instead, use moment().localeData() to get the language configuration. Use moment().locale() to change languages.",function(a){return void 0===a?this.localeData():this.locale(a)});H(0,["gg",2],0,function(){return this.weekYear()%100}),H(0,["GG",2],0,function(){return this.isoWeekYear()%100}),Db("gggg","weekYear"),Db("ggggg","weekYear"),Db("GGGG","isoWeekYear"),Db("GGGGG","isoWeekYear"),z("weekYear","gg"),z("isoWeekYear","GG"),N("G",_c),N("g",_c),N("GG",Wc,Sc),N("gg",Wc,Sc),N("GGGG",Yc,Uc),N("gggg",Yc,Uc),N("GGGGG",Zc,Vc),N("ggggg",Zc,Vc),R(["gggg","ggggg","GGGG","GGGGG"],function(a,b,c,d){b[d.substr(0,2)]=q(a)}),R(["gg","GG"],function(b,c,d,e){c[e]=a.parseTwoDigitYear(b)}),H("Q",0,0,"quarter"),z("quarter","Q"),N("Q",Rc),Q("Q",function(a,b){b[gd]=3*(q(a)-1)}),H("D",["DD",2],"Do","date"),z("date","D"),N("D",Wc),N("DD",Wc,Sc),N("Do",function(a,b){return a?b._ordinalParse:b._ordinalParseLenient}),Q(["D","DD"],hd),Q("Do",function(a,b){b[hd]=q(a.match(Wc)[0],10)});var Dd=C("Date",!0);H("d",0,"do","day"),H("dd",0,0,function(a){return this.localeData().weekdaysMin(this,a)}),H("ddd",0,0,function(a){return this.localeData().weekdaysShort(this,a)}),H("dddd",0,0,function(a){return this.localeData().weekdays(this,a)}),H("e",0,0,"weekday"),H("E",0,0,"isoWeekday"),z("day","d"),z("weekday","e"),z("isoWeekday","E"),N("d",Wc),N("e",Wc),N("E",Wc),N("dd",cd),N("ddd",cd),N("dddd",cd),R(["dd","ddd","dddd"],function(a,b,c){var d=c._locale.weekdaysParse(a);null!=d?b.d=d:j(c).invalidWeekday=a}),R(["d","e","E"],function(a,b,c,d){b[d]=q(a)});var Ed="Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),Fd="Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),Gd="Su_Mo_Tu_We_Th_Fr_Sa".split("_");H("H",["HH",2],0,"hour"),H("h",["hh",2],0,function(){return this.hours()%12||12}),Sb("a",!0),Sb("A",!1),z("hour","h"),N("a",Tb),N("A",Tb),N("H",Wc),N("h",Wc),N("HH",Wc,Sc),N("hh",Wc,Sc),Q(["H","HH"],id),Q(["a","A"],function(a,b,c){c._isPm=c._locale.isPM(a),c._meridiem=a}),Q(["h","hh"],function(a,b,c){b[id]=q(a),j(c).bigHour=!0});var Hd=/[ap]\.?m?\.?/i,Id=C("Hours",!0);H("m",["mm",2],0,"minute"),z("minute","m"),N("m",Wc),N("mm",Wc,Sc),Q(["m","mm"],jd);var Jd=C("Minutes",!1);H("s",["ss",2],0,"second"),z("second","s"),N("s",Wc),N("ss",Wc,Sc),Q(["s","ss"],kd);var Kd=C("Seconds",!1);H("S",0,0,function(){return~~(this.millisecond()/100)}),H(0,["SS",2],0,function(){return~~(this.millisecond()/10)}),H(0,["SSS",3],0,"millisecond"),H(0,["SSSS",4],0,function(){return 10*this.millisecond()}),H(0,["SSSSS",5],0,function(){return 100*this.millisecond()}),H(0,["SSSSSS",6],0,function(){return 1e3*this.millisecond()}),H(0,["SSSSSSS",7],0,function(){return 1e4*this.millisecond()}),H(0,["SSSSSSSS",8],0,function(){return 1e5*this.millisecond()}),H(0,["SSSSSSSSS",9],0,function(){return 1e6*this.millisecond()}),z("millisecond","ms"),N("S",Xc,Rc),N("SS",Xc,Sc),N("SSS",Xc,Tc);var Ld;for(Ld="SSSS";Ld.length<=9;Ld+="S")N(Ld,$c);for(Ld="S";Ld.length<=9;Ld+="S")Q(Ld,Wb);var Md=C("Milliseconds",!1);H("z",0,0,"zoneAbbr"),H("zz",0,0,"zoneName");var Nd=n.prototype;Nd.add=Ad,Nd.calendar=cb,Nd.clone=db,Nd.diff=ib,Nd.endOf=ub,Nd.format=mb,Nd.from=nb,Nd.fromNow=ob,Nd.to=pb,Nd.toNow=qb,Nd.get=F,Nd.invalidAt=Cb,Nd.isAfter=eb,Nd.isBefore=fb,Nd.isBetween=gb,Nd.isSame=hb,Nd.isValid=Ab,Nd.lang=Cd,Nd.locale=rb,Nd.localeData=sb,Nd.max=wd,Nd.min=vd,Nd.parsingFlags=Bb,Nd.set=F,Nd.startOf=tb,Nd.subtract=Bd,Nd.toArray=yb,Nd.toObject=zb,Nd.toDate=xb,Nd.toISOString=lb,Nd.toJSON=lb,Nd.toString=kb,Nd.unix=wb,Nd.valueOf=vb,Nd.year=td,Nd.isLeapYear=ia,Nd.weekYear=Fb,Nd.isoWeekYear=Gb,Nd.quarter=Nd.quarters=Jb,Nd.month=Y,Nd.daysInMonth=Z,Nd.week=Nd.weeks=na,Nd.isoWeek=Nd.isoWeeks=oa,Nd.weeksInYear=Ib,Nd.isoWeeksInYear=Hb,Nd.date=Dd,Nd.day=Nd.days=Pb,Nd.weekday=Qb,Nd.isoWeekday=Rb,Nd.dayOfYear=qa,Nd.hour=Nd.hours=Id,Nd.minute=Nd.minutes=Jd,Nd.second=Nd.seconds=Kd,Nd.millisecond=Nd.milliseconds=Md,Nd.utcOffset=Na,Nd.utc=Pa,Nd.local=Qa,Nd.parseZone=Ra,Nd.hasAlignedHourOffset=Sa,Nd.isDST=Ta,Nd.isDSTShifted=Ua,Nd.isLocal=Va,Nd.isUtcOffset=Wa,Nd.isUtc=Xa,Nd.isUTC=Xa,Nd.zoneAbbr=Xb,Nd.zoneName=Yb,Nd.dates=aa("dates accessor is deprecated. Use date instead.",Dd),Nd.months=aa("months accessor is deprecated. Use month instead",Y),Nd.years=aa("years accessor is deprecated. Use year instead",td),Nd.zone=aa("moment().zone is deprecated, use moment().utcOffset instead. https://github.com/moment/moment/issues/1779",Oa);var Od=Nd,Pd={sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},Qd={LTS:"h:mm:ss A",LT:"h:mm A",L:"MM/DD/YYYY",LL:"MMMM D, YYYY",LLL:"MMMM D, YYYY h:mm A",LLLL:"dddd, MMMM D, YYYY h:mm A"},Rd="Invalid date",Sd="%d",Td=/\d{1,2}/,Ud={future:"in %s",past:"%s ago",s:"a few seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},Vd=s.prototype;Vd._calendar=Pd,Vd.calendar=_b,Vd._longDateFormat=Qd,Vd.longDateFormat=ac,Vd._invalidDate=Rd,Vd.invalidDate=bc,Vd._ordinal=Sd,Vd.ordinal=cc,Vd._ordinalParse=Td,Vd.preparse=dc,Vd.postformat=dc,Vd._relativeTime=Ud,Vd.relativeTime=ec,Vd.pastFuture=fc,Vd.set=gc,Vd.months=U,Vd._months=md,Vd.monthsShort=V,Vd._monthsShort=nd,Vd.monthsParse=W,Vd.week=ka,Vd._week=ud,Vd.firstDayOfYear=ma,Vd.firstDayOfWeek=la,Vd.weekdays=Lb,Vd._weekdays=Ed,Vd.weekdaysMin=Nb,Vd._weekdaysMin=Gd,Vd.weekdaysShort=Mb,Vd._weekdaysShort=Fd,Vd.weekdaysParse=Ob,Vd.isPM=Ub,Vd._meridiemParse=Hd,Vd.meridiem=Vb,w("en",{ordinalParse:/\d{1,2}(th|st|nd|rd)/,ordinal:function(a){var b=a%10,c=1===q(a%100/10)?"th":1===b?"st":2===b?"nd":3===b?"rd":"th";return a+c}}),a.lang=aa("moment.lang is deprecated. Use moment.locale instead.",w),a.langData=aa("moment.langData is deprecated. Use moment.localeData instead.",y);var Wd=Math.abs,Xd=yc("ms"),Yd=yc("s"),Zd=yc("m"),$d=yc("h"),_d=yc("d"),ae=yc("w"),be=yc("M"),ce=yc("y"),de=Ac("milliseconds"),ee=Ac("seconds"),fe=Ac("minutes"),ge=Ac("hours"),he=Ac("days"),ie=Ac("months"),je=Ac("years"),ke=Math.round,le={s:45,m:45,h:22,d:26,M:11},me=Math.abs,ne=Ha.prototype;ne.abs=oc,ne.add=qc,ne.subtract=rc,ne.as=wc,ne.asMilliseconds=Xd,ne.asSeconds=Yd,ne.asMinutes=Zd,ne.asHours=$d,ne.asDays=_d,ne.asWeeks=ae,ne.asMonths=be,ne.asYears=ce,ne.valueOf=xc,ne._bubble=tc,ne.get=zc,ne.milliseconds=de,ne.seconds=ee,ne.minutes=fe,ne.hours=ge,ne.days=he,ne.weeks=Bc,ne.months=ie,ne.years=je,ne.humanize=Fc,ne.toISOString=Gc,ne.toString=Gc,ne.toJSON=Gc,ne.locale=rb,ne.localeData=sb,ne.toIsoString=aa("toIsoString() is deprecated. Please use toISOString() instead (notice the capitals)",Gc),ne.lang=Cd,H("X",0,0,"unix"),H("x",0,0,"valueOf"),N("x",_c),N("X",bd),Q("X",function(a,b,c){c._d=new Date(1e3*parseFloat(a,10))}),Q("x",function(a,b,c){c._d=new Date(q(a))}),a.version="2.10.6",b(Da),a.fn=Od,a.min=Fa,a.max=Ga,a.utc=h,a.unix=Zb,a.months=jc,a.isDate=d,a.locale=w,a.invalid=l,a.duration=Ya,a.isMoment=o,a.weekdays=lc,a.parseZone=$b,a.localeData=y,a.isDuration=Ia,a.monthsShort=kc,a.weekdaysMin=nc,a.defineLocale=x,a.weekdaysShort=mc,a.normalizeUnits=A,a.relativeTimeThreshold=Ec;var oe=a;return oe}),!function(a){angular.module("ngCsv.config",[]).value("ngCsv.config",{debug:!0}).config(["$compileProvider",function(a){angular.isDefined(a.urlSanitizationWhitelist)?a.urlSanitizationWhitelist(/^\s*(https?|ftp|mailto|file|data):/):a.aHrefSanitizationWhitelist(/^\s*(https?|ftp|mailto|file|data):/)}]),angular.module("ngCsv.directives",["ngCsv.services"]),angular.module("ngCsv.services",[]),angular.module("ngCsv",["ngCsv.config","ngCsv.services","ngCsv.directives","ngSanitize"]),"undefined"!=typeof module&&"undefined"!=typeof exports&&module.exports===exports&&(module.exports="ngCsv"),angular.module("ngCsv.services").service("CSV",["$q",function(a){var b="\r\n",c="\ufeff",d={"\\t":" ","\\b":"\b","\\v":"\x0B","\\f":"\f","\\r":"\r"};this.stringifyField=function(a,b){return"locale"===b.decimalSep&&this.isFloat(a)?a.toLocaleString():"."!==b.decimalSep&&this.isFloat(a)?a.toString().replace(".",b.decimalSep):"string"==typeof a?(a=a.replace(/"/g,'""'),(b.quoteStrings||a.indexOf(",")>-1||a.indexOf("\n")>-1||a.indexOf("\r")>-1)&&(a=b.txtDelim+a+b.txtDelim),a):"boolean"==typeof a?a?"TRUE":"FALSE":a},this.isFloat=function(a){return+a===a&&(!isFinite(a)||Boolean(a%1))},this.stringify=function(d,e){var f=a.defer(),g=this,h="",i="",j=a.when(d).then(function(a){if(angular.isDefined(e.header)&&e.header){var d,j;d=[],angular.forEach(e.header,function(a){this.push(g.stringifyField(a,e))},d),j=d.join(e.fieldSep?e.fieldSep:","),i+=j+b}var k=[];if(angular.isArray(a)?k=a:angular.isFunction(a)&&(k=a()),angular.isDefined(e.label)&&e.label&&"boolean"==typeof e.label){var l,m;l=[],angular.forEach(k[0],function(a,b){this.push(g.stringifyField(b,e))},l),m=l.join(e.fieldSep?e.fieldSep:","),i+=m+b}angular.forEach(k,function(a,c){var d,f,h=angular.copy(k[c]);f=[];var j=e.columnOrder?e.columnOrder:h;angular.forEach(j,function(a){var b=e.columnOrder?h[a]:a;this.push(g.stringifyField(b,e))},f),d=f.join(e.fieldSep?e.fieldSep:","),i+=c'),h=angular.element(g.children()[0]);h.attr("href",a.URL.createObjectURL(d)),h.attr("download",b.getFilename()),h.attr("target","_blank"),e.find("body").append(g),f(function(){h[0].click(),h.remove()},null)}}c.bind("click",function(){b.buildCSV().then(function(){d()}),b.$apply()})}}}])}(window,document),function(angular){"use strict";if(!angular)throw"Missing something? Please add angular.js to your project or move this script below the angular.js reference";var directiveId="ngRemoteValidate",remoteValidate=function($http,$timeout,$q){return{restrict:"A",require:["^form","ngModel"],scope:{ngRemoteInterceptors:"=?"},link:function(scope,el,attrs,ctrls){var cache={},handleChange,setValidation,addToCache,request,shouldProcess,ngForm=ctrls[0],ngModel=ctrls[1],options={ngRemoteThrottle:400,ngRemoteMethod:"POST"};angular.extend(options,attrs),"["===options.ngRemoteValidate.charAt(0)?options.urls=eval(options.ngRemoteValidate):"{"===options.ngRemoteValidate.charAt(0)?(options.keys=eval("("+options.ngRemoteValidate+")"),options.urls=Object.keys(options.keys)):options.urls=[options.ngRemoteValidate], -addToCache=function(a){var b=a[0].data.value;return cache[b]?cache[b]:void(cache[b]=a)},shouldProcess=function(a){var b=!1;for(var c in ngModel.$error){var d=!options.hasOwnProperty("keys")||!Object.keys(options.keys).filter(function(a){return options.keys[a]===c})[0];if(ngModel.$error[c]&&c!=directiveId&&d){b=!0;break}}return!(ngModel.$pristine||b)},setValidation=function(a,b){for(var c=0,d=a.length,e=options.hasOwnProperty("keys"),f=!0;d>c&&(scope.ngRemoteInterceptors&&scope.ngRemoteInterceptors.response&&(a[c]=scope.ngRemoteInterceptors.response(a[c])),a[c].data.isValid||(f=!1,e));c++){var g=e&&a[c].hasOwnProperty("config")&&options.keys[a[c].config.url];if(g){var h=options.keys[a[c].config.url];ngModel.$setValidity(h,a[c].data.isValid)}}b||addToCache(a),ngModel.$setValidity(directiveId,f),ngModel.$processing=ngModel.$pending=ngForm.$pending=!1},handleChange=function(a){return"undefined"==typeof a||""===a?void ngModel.$setPristine():shouldProcess(a)?cache[a]?setValidation(cache[a],!0):(ngModel.$pending||(ngModel.$processing=ngModel.$pending=ngForm.$pending=!0),request&&$timeout.cancel(request),request=$timeout(function(){var b=[],c=0,d=options.urls.length,e={value:a},f={method:options.ngRemoteMethod};for(scope[el[0].name+"SetArgs"]&&(e=scope[el[0].name+"SetArgs"](a,el,attrs,ngModel)),"POST"==options.ngRemoteMethod?f.data=e:f.params=e;d>c;c++)f.url=options.urls[c],scope.ngRemoteInterceptors&&scope.ngRemoteInterceptors.request&&(f=scope.ngRemoteInterceptors.request(f)),b.push($http(f));$q.all(b).then(setValidation)},options.ngRemoteThrottle),!0):setValidation([{data:{isValid:!0,value:a}}],!0)},scope.$watch(function(){return ngModel.$viewValue},handleChange)}}};angular.module("remoteValidation",[]).constant("MODULE_VERSION","0.6.1").directive(directiveId,["$http","$timeout","$q",remoteValidate])}(this.angular),function(a){"function"==typeof define&&define.amd?define(["jquery"],a):"object"==typeof exports?module.exports=a:a(jQuery)}(function(a){function b(b){var g=b||window.event,h=i.call(arguments,1),j=0,l=0,m=0,n=0,o=0,p=0;if(b=a.event.fix(g),b.type="mousewheel","detail"in g&&(m=-1*g.detail),"wheelDelta"in g&&(m=g.wheelDelta),"wheelDeltaY"in g&&(m=g.wheelDeltaY),"wheelDeltaX"in g&&(l=-1*g.wheelDeltaX),"axis"in g&&g.axis===g.HORIZONTAL_AXIS&&(l=-1*m,m=0),j=0===m?l:m,"deltaY"in g&&(m=-1*g.deltaY,j=m),"deltaX"in g&&(l=g.deltaX,0===m&&(j=-1*l)),0!==m||0!==l){if(1===g.deltaMode){var q=a.data(this,"mousewheel-line-height");j*=q,m*=q,l*=q}else if(2===g.deltaMode){var r=a.data(this,"mousewheel-page-height");j*=r,m*=r,l*=r}if(n=Math.max(Math.abs(m),Math.abs(l)),(!f||f>n)&&(f=n,d(g,n)&&(f/=40)),d(g,n)&&(j/=40,l/=40,m/=40),j=Math[j>=1?"floor":"ceil"](j/f),l=Math[l>=1?"floor":"ceil"](l/f),m=Math[m>=1?"floor":"ceil"](m/f),k.settings.normalizeOffset&&this.getBoundingClientRect){var s=this.getBoundingClientRect();o=b.clientX-s.left,p=b.clientY-s.top}return b.deltaX=l,b.deltaY=m,b.deltaFactor=f,b.offsetX=o,b.offsetY=p,b.deltaMode=0,h.unshift(b,j,l,m),e&&clearTimeout(e),e=setTimeout(c,200),(a.event.dispatch||a.event.handle).apply(this,h)}}function c(){f=null}function d(a,b){return k.settings.adjustOldDeltas&&"mousewheel"===a.type&&b%120===0}var e,f,g=["wheel","mousewheel","DOMMouseScroll","MozMousePixelScroll"],h="onwheel"in document||document.documentMode>=9?["wheel"]:["mousewheel","DomMouseScroll","MozMousePixelScroll"],i=Array.prototype.slice;if(a.event.fixHooks)for(var j=g.length;j;)a.event.fixHooks[g[--j]]=a.event.mouseHooks;var k=a.event.special.mousewheel={version:"3.1.12",setup:function(){if(this.addEventListener)for(var c=h.length;c;)this.addEventListener(h[--c],b,!1);else this.onmousewheel=b;a.data(this,"mousewheel-line-height",k.getLineHeight(this)),a.data(this,"mousewheel-page-height",k.getPageHeight(this))},teardown:function(){if(this.removeEventListener)for(var c=h.length;c;)this.removeEventListener(h[--c],b,!1);else this.onmousewheel=null;a.removeData(this,"mousewheel-line-height"),a.removeData(this,"mousewheel-page-height")},getLineHeight:function(b){var c=a(b),d=c["offsetParent"in a.fn?"offsetParent":"parent"]();return d.length||(d=a("body")),parseInt(d.css("fontSize"),10)||parseInt(c.css("fontSize"),10)||16},getPageHeight:function(b){return a(b).height()},settings:{adjustOldDeltas:!0,normalizeOffset:!0}};a.fn.extend({mousewheel:function(a){return a?this.bind("mousewheel",a):this.trigger("mousewheel")},unmousewheel:function(a){return this.unbind("mousewheel",a)}})}),function(a){"undefined"!=typeof module&&module.exports?module.exports=a:a(jQuery,window,document)}(function(a){!function(b){var c="function"==typeof define&&define.amd,d="undefined"!=typeof module&&module.exports,e="https:"==document.location.protocol?"https:":"http:",f="cdnjs.cloudflare.com/ajax/libs/jquery-mousewheel/3.1.13/jquery.mousewheel.min.js";c||(d?require("jquery-mousewheel")(a):a.event.special.mousewheel||a("head").append(decodeURI("%3Cscript src="+e+"//"+f+"%3E%3C/script%3E"))),b()}(function(){var b,c="mCustomScrollbar",d="mCS",e=".mCustomScrollbar",f={setTop:0,setLeft:0,axis:"y",scrollbarPosition:"inside",scrollInertia:950,autoDraggerLength:!0,alwaysShowScrollbar:0,snapOffset:0,mouseWheel:{enable:!0,scrollAmount:"auto",axis:"y",deltaFactor:"auto",disableOver:["select","option","keygen","datalist","textarea"]},scrollButtons:{scrollType:"stepless",scrollAmount:"auto"},keyboard:{enable:!0,scrollType:"stepless",scrollAmount:"auto"},contentTouchScroll:25,documentTouchScroll:!0,advanced:{autoScrollOnFocus:"input,textarea,select,button,datalist,keygen,a[tabindex],area,object,[contenteditable='true']",updateOnContentResize:!0,updateOnImageLoad:"auto",autoUpdateTimeout:60},theme:"light",callbacks:{onTotalScrollOffset:0,onTotalScrollBackOffset:0,alwaysTriggerOffsets:!0}},g=0,h={},i=window.attachEvent&&!window.addEventListener?1:0,j=!1,k=["mCSB_dragger_onDrag","mCSB_scrollTools_onDrag","mCS_img_loaded","mCS_disabled","mCS_destroyed","mCS_no_scrollbar","mCS-autoHide","mCS-dir-rtl","mCS_no_scrollbar_y","mCS_no_scrollbar_x","mCS_y_hidden","mCS_x_hidden","mCSB_draggerContainer","mCSB_buttonUp","mCSB_buttonDown","mCSB_buttonLeft","mCSB_buttonRight"],l={init:function(b){var b=a.extend(!0,{},f,b),c=m.call(this);if(b.live){var i=b.liveSelector||this.selector||e,j=a(i);if("off"===b.live)return void o(i);h[i]=setTimeout(function(){j.mCustomScrollbar(b),"once"===b.live&&j.length&&o(i)},500)}else o(i);return b.setWidth=b.set_width?b.set_width:b.setWidth,b.setHeight=b.set_height?b.set_height:b.setHeight,b.axis=b.horizontalScroll?"x":p(b.axis),b.scrollInertia=b.scrollInertia>0&&b.scrollInertia<17?17:b.scrollInertia,"object"!=typeof b.mouseWheel&&1==b.mouseWheel&&(b.mouseWheel={enable:!0,scrollAmount:"auto",axis:"y",preventDefault:!1,deltaFactor:"auto",normalizeDelta:!1,invert:!1}),b.mouseWheel.scrollAmount=b.mouseWheelPixels?b.mouseWheelPixels:b.mouseWheel.scrollAmount,b.mouseWheel.normalizeDelta=b.advanced.normalizeMouseWheelDelta?b.advanced.normalizeMouseWheelDelta:b.mouseWheel.normalizeDelta,b.scrollButtons.scrollType=q(b.scrollButtons.scrollType),n(b),a(c).each(function(){var c=a(this);if(!c.data(d)){c.data(d,{idx:++g,opt:b,scrollRatio:{y:null,x:null},overflowed:null,contentReset:{y:null,x:null},bindEvents:!1,tweenRunning:!1,sequential:{},langDir:c.css("direction"),cbOffsets:null,trigger:null,poll:{size:{o:0,n:0},img:{o:0,n:0},change:{o:0,n:0}}});var e=c.data(d),f=e.opt,h=c.data("mcs-axis"),i=c.data("mcs-scrollbar-position"),j=c.data("mcs-theme");h&&(f.axis=h),i&&(f.scrollbarPosition=i),j&&(f.theme=j,n(f)),r.call(this),e&&f.callbacks.onCreate&&"function"==typeof f.callbacks.onCreate&&f.callbacks.onCreate.call(this),a("#mCSB_"+e.idx+"_container img:not(."+k[2]+")").addClass(k[2]),l.update.call(null,c)}})},update:function(b,c){var e=b||m.call(this);return a(e).each(function(){var b=a(this);if(b.data(d)){var e=b.data(d),f=e.opt,g=a("#mCSB_"+e.idx+"_container"),h=a("#mCSB_"+e.idx),i=[a("#mCSB_"+e.idx+"_dragger_vertical"),a("#mCSB_"+e.idx+"_dragger_horizontal")];if(!g.length)return;e.tweenRunning&&U(b),c&&e&&f.callbacks.onBeforeUpdate&&"function"==typeof f.callbacks.onBeforeUpdate&&f.callbacks.onBeforeUpdate.call(this),b.hasClass(k[3])&&b.removeClass(k[3]),b.hasClass(k[4])&&b.removeClass(k[4]),h.css("max-height","none"),h.height()!==b.height()&&h.css("max-height",b.height()),t.call(this),"y"===f.axis||f.advanced.autoExpandHorizontalScroll||g.css("width",s(g)),e.overflowed=y.call(this),C.call(this),f.autoDraggerLength&&v.call(this),w.call(this),A.call(this);var j=[Math.abs(g[0].offsetTop),Math.abs(g[0].offsetLeft)];"x"!==f.axis&&(e.overflowed[0]?i[0].height()>i[0].parent().height()?z.call(this):(V(b,j[0].toString(),{dir:"y",dur:0,overwrite:"none"}),e.contentReset.y=null):(z.call(this),"y"===f.axis?B.call(this):"yx"===f.axis&&e.overflowed[1]&&V(b,j[1].toString(),{dir:"x",dur:0,overwrite:"none"}))),"y"!==f.axis&&(e.overflowed[1]?i[1].width()>i[1].parent().width()?z.call(this):(V(b,j[1].toString(),{dir:"x",dur:0,overwrite:"none"}),e.contentReset.x=null):(z.call(this),"x"===f.axis?B.call(this):"yx"===f.axis&&e.overflowed[0]&&V(b,j[0].toString(),{dir:"y",dur:0,overwrite:"none"}))),c&&e&&(2===c&&f.callbacks.onImageLoad&&"function"==typeof f.callbacks.onImageLoad?f.callbacks.onImageLoad.call(this):3===c&&f.callbacks.onSelectorChange&&"function"==typeof f.callbacks.onSelectorChange?f.callbacks.onSelectorChange.call(this):f.callbacks.onUpdate&&"function"==typeof f.callbacks.onUpdate&&f.callbacks.onUpdate.call(this)),S.call(this)}})},scrollTo:function(b,c){if("undefined"!=typeof b&&null!=b){var e=m.call(this);return a(e).each(function(){var e=a(this);if(e.data(d)){var f=e.data(d),g=f.opt,h={trigger:"external",scrollInertia:g.scrollInertia,scrollEasing:"mcsEaseInOut",moveDragger:!1,timeout:60,callbacks:!0,onStart:!0,onUpdate:!0,onComplete:!0},i=a.extend(!0,{},h,c),j=Q.call(this,b),k=i.scrollInertia>0&&i.scrollInertia<17?17:i.scrollInertia;j[0]=R.call(this,j[0],"y"),j[1]=R.call(this,j[1],"x"),i.moveDragger&&(j[0]*=f.scrollRatio.y,j[1]*=f.scrollRatio.x),i.dur=ca()?0:k,setTimeout(function(){null!==j[0]&&"undefined"!=typeof j[0]&&"x"!==g.axis&&f.overflowed[0]&&(i.dir="y",i.overwrite="all",V(e,j[0].toString(),i)),null!==j[1]&&"undefined"!=typeof j[1]&&"y"!==g.axis&&f.overflowed[1]&&(i.dir="x",i.overwrite="none",V(e,j[1].toString(),i))},i.timeout)}})}},stop:function(){var b=m.call(this);return a(b).each(function(){var b=a(this);b.data(d)&&U(b)})},disable:function(b){var c=m.call(this);return a(c).each(function(){var c=a(this);if(c.data(d)){c.data(d);S.call(this,"remove"),B.call(this),b&&z.call(this),C.call(this,!0),c.addClass(k[3])}})},destroy:function(){var b=m.call(this);return a(b).each(function(){var e=a(this);if(e.data(d)){var f=e.data(d),g=f.opt,h=a("#mCSB_"+f.idx),i=a("#mCSB_"+f.idx+"_container"),j=a(".mCSB_"+f.idx+"_scrollbar");g.live&&o(g.liveSelector||a(b).selector),S.call(this,"remove"),B.call(this),z.call(this),e.removeData(d),Z(this,"mcs"),j.remove(),i.find("img."+k[2]).removeClass(k[2]),h.replaceWith(i.contents()),e.removeClass(c+" _"+d+"_"+f.idx+" "+k[6]+" "+k[7]+" "+k[5]+" "+k[3]).addClass(k[4])}})}},m=function(){return"object"!=typeof a(this)||a(this).length<1?e:this},n=function(b){var c=["rounded","rounded-dark","rounded-dots","rounded-dots-dark"],d=["rounded-dots","rounded-dots-dark","3d","3d-dark","3d-thick","3d-thick-dark","inset","inset-dark","inset-2","inset-2-dark","inset-3","inset-3-dark"],e=["minimal","minimal-dark"],f=["minimal","minimal-dark"],g=["minimal","minimal-dark"];b.autoDraggerLength=a.inArray(b.theme,c)>-1?!1:b.autoDraggerLength,b.autoExpandScrollbar=a.inArray(b.theme,d)>-1?!1:b.autoExpandScrollbar,b.scrollButtons.enable=a.inArray(b.theme,e)>-1?!1:b.scrollButtons.enable,b.autoHideScrollbar=a.inArray(b.theme,f)>-1?!0:b.autoHideScrollbar,b.scrollbarPosition=a.inArray(b.theme,g)>-1?"outside":b.scrollbarPosition},o=function(a){h[a]&&(clearTimeout(h[a]),Z(h,a))},p=function(a){return"yx"===a||"xy"===a||"auto"===a?"yx":"x"===a||"horizontal"===a?"x":"y"},q=function(a){return"stepped"===a||"pixels"===a||"step"===a||"click"===a?"stepped":"stepless"},r=function(){var b=a(this),e=b.data(d),f=e.opt,g=f.autoExpandScrollbar?" "+k[1]+"_expand":"",h=["
    ","
    "],i="yx"===f.axis?"mCSB_vertical_horizontal":"x"===f.axis?"mCSB_horizontal":"mCSB_vertical",j="yx"===f.axis?h[0]+h[1]:"x"===f.axis?h[1]:h[0],l="yx"===f.axis?"
    ":"",m=f.autoHideScrollbar?" "+k[6]:"",n="x"!==f.axis&&"rtl"===e.langDir?" "+k[7]:"";f.setWidth&&b.css("width",f.setWidth),f.setHeight&&b.css("height",f.setHeight),f.setLeft="y"!==f.axis&&"rtl"===e.langDir?"989999px":f.setLeft,b.addClass(c+" _"+d+"_"+e.idx+m+n).wrapInner("
    ");var o=a("#mCSB_"+e.idx),p=a("#mCSB_"+e.idx+"_container");"y"===f.axis||f.advanced.autoExpandHorizontalScroll||p.css("width",s(p)),"outside"===f.scrollbarPosition?("static"===b.css("position")&&b.css("position","relative"),b.css("overflow","visible"),o.addClass("mCSB_outside").after(j)):(o.addClass("mCSB_inside").append(j),p.wrap(l)),u.call(this);var q=[a("#mCSB_"+e.idx+"_dragger_vertical"),a("#mCSB_"+e.idx+"_dragger_horizontal")];q[0].css("min-height",q[0].height()),q[1].css("min-width",q[1].width())},s=function(b){var c=[b[0].scrollWidth,Math.max.apply(Math,b.children().map(function(){return a(this).outerWidth(!0)}).get())],d=b.parent().width();return c[0]>d?c[0]:c[1]>d?c[1]:"100%"},t=function(){var b=a(this),c=b.data(d),e=c.opt,f=a("#mCSB_"+c.idx+"_container");if(e.advanced.autoExpandHorizontalScroll&&"y"!==e.axis){f.css({width:"auto","min-width":0,"overflow-x":"scroll"});var g=Math.ceil(f[0].scrollWidth);3===e.advanced.autoExpandHorizontalScroll||2!==e.advanced.autoExpandHorizontalScroll&&g>f.parent().width()?f.css({width:g,"min-width":"100%","overflow-x":"inherit"}):f.css({"overflow-x":"inherit",position:"absolute"}).wrap("
    ").css({width:Math.ceil(f[0].getBoundingClientRect().right+.4)-Math.floor(f[0].getBoundingClientRect().left),"min-width":"100%",position:"relative"}).unwrap()}},u=function(){var b=a(this),c=b.data(d),e=c.opt,f=a(".mCSB_"+c.idx+"_scrollbar:first"),g=aa(e.scrollButtons.tabindex)?"tabindex='"+e.scrollButtons.tabindex+"'":"",h=["","","",""],i=["x"===e.axis?h[2]:h[0],"x"===e.axis?h[3]:h[1],h[2],h[3]];e.scrollButtons.enable&&f.prepend(i[0]).append(i[1]).next(".mCSB_scrollTools").prepend(i[2]).append(i[3])},v=function(){var b=a(this),c=b.data(d),e=a("#mCSB_"+c.idx),f=a("#mCSB_"+c.idx+"_container"),g=[a("#mCSB_"+c.idx+"_dragger_vertical"),a("#mCSB_"+c.idx+"_dragger_horizontal")],h=[e.height()/f.outerHeight(!1),e.width()/f.outerWidth(!1)],j=[parseInt(g[0].css("min-height")),Math.round(h[0]*g[0].parent().height()),parseInt(g[1].css("min-width")),Math.round(h[1]*g[1].parent().width())],k=i&&j[1]g&&(g=i),j>h&&(h=j),[g>e.height(),h>e.width()]},z=function(){var b=a(this),c=b.data(d),e=c.opt,f=a("#mCSB_"+c.idx),g=a("#mCSB_"+c.idx+"_container"),h=[a("#mCSB_"+c.idx+"_dragger_vertical"),a("#mCSB_"+c.idx+"_dragger_horizontal")];if(U(b),("x"!==e.axis&&!c.overflowed[0]||"y"===e.axis&&c.overflowed[0])&&(h[0].add(g).css("top",0),V(b,"_resetY")),"y"!==e.axis&&!c.overflowed[1]||"x"===e.axis&&c.overflowed[1]){var i=dx=0;"rtl"===c.langDir&&(i=f.width()-g.outerWidth(!1),dx=Math.abs(i/c.scrollRatio.x)),g.css("left",i),h[1].css("left",dx),V(b,"_resetX")}},A=function(){function b(){g=setTimeout(function(){a.event.special.mousewheel?(clearTimeout(g),H.call(c[0])):b()},100)}var c=a(this),e=c.data(d),f=e.opt;if(!e.bindEvents){if(E.call(this),f.contentTouchScroll&&F.call(this),G.call(this),f.mouseWheel.enable){var g;b()}K.call(this),M.call(this),f.advanced.autoScrollOnFocus&&L.call(this),f.scrollButtons.enable&&N.call(this),f.keyboard.enable&&O.call(this),e.bindEvents=!0}},B=function(){var b=a(this),c=b.data(d),e=c.opt,f=d+"_"+c.idx,g=".mCSB_"+c.idx+"_scrollbar",h=a("#mCSB_"+c.idx+",#mCSB_"+c.idx+"_container,#mCSB_"+c.idx+"_container_wrapper,"+g+" ."+k[12]+",#mCSB_"+c.idx+"_dragger_vertical,#mCSB_"+c.idx+"_dragger_horizontal,"+g+">a"),i=a("#mCSB_"+c.idx+"_container");e.advanced.releaseDraggableSelectors&&h.add(a(e.advanced.releaseDraggableSelectors)),e.advanced.extraDraggableSelectors&&h.add(a(e.advanced.extraDraggableSelectors)),c.bindEvents&&(a(document).add(a(!I()||top.document)).unbind("."+f),h.each(function(){a(this).unbind("."+f)}),clearTimeout(b[0]._focusTimeout),Z(b[0],"_focusTimeout"),clearTimeout(c.sequential.step),Z(c.sequential,"step"),clearTimeout(i[0].onCompleteTimeout),Z(i[0],"onCompleteTimeout"),c.bindEvents=!1)},C=function(b){var c=a(this),e=c.data(d),f=e.opt,g=a("#mCSB_"+e.idx+"_container_wrapper"),h=g.length?g:a("#mCSB_"+e.idx+"_container"),i=[a("#mCSB_"+e.idx+"_scrollbar_vertical"),a("#mCSB_"+e.idx+"_scrollbar_horizontal")],j=[i[0].find(".mCSB_dragger"),i[1].find(".mCSB_dragger")];"x"!==f.axis&&(e.overflowed[0]&&!b?(i[0].add(j[0]).add(i[0].children("a")).css("display","block"),h.removeClass(k[8]+" "+k[10])):(f.alwaysShowScrollbar?(2!==f.alwaysShowScrollbar&&j[0].css("display","none"),h.removeClass(k[10])):(i[0].css("display","none"),h.addClass(k[10])),h.addClass(k[8]))),"y"!==f.axis&&(e.overflowed[1]&&!b?(i[1].add(j[1]).add(i[1].children("a")).css("display","block"),h.removeClass(k[9]+" "+k[11])):(f.alwaysShowScrollbar?(2!==f.alwaysShowScrollbar&&j[1].css("display","none"),h.removeClass(k[11])):(i[1].css("display","none"),h.addClass(k[11])),h.addClass(k[9]))),e.overflowed[0]||e.overflowed[1]?c.removeClass(k[5]):c.addClass(k[5])},D=function(b){var c=b.type,d=b.target.ownerDocument!==document?[a(frameElement).offset().top,a(frameElement).offset().left]:null,e=I()&&b.target.ownerDocument!==top.document?[a(b.view.frameElement).offset().top,a(b.view.frameElement).offset().left]:[0,0];switch(c){case"pointerdown":case"MSPointerDown":case"pointermove":case"MSPointerMove":case"pointerup":case"MSPointerUp":return d?[b.originalEvent.pageY-d[0]+e[0],b.originalEvent.pageX-d[1]+e[1],!1]:[b.originalEvent.pageY,b.originalEvent.pageX,!1];case"touchstart":case"touchmove":case"touchend":var f=b.originalEvent.touches[0]||b.originalEvent.changedTouches[0],g=b.originalEvent.touches.length||b.originalEvent.changedTouches.length;return b.target.ownerDocument!==document?[f.screenY,f.screenX,g>1]:[f.pageY,f.pageX,g>1];default:return d?[b.pageY-d[0]+e[0],b.pageX-d[1]+e[1],!1]:[b.pageY,b.pageX,!1]}},E=function(){function b(a){var b=o.find("iframe");if(b.length){var c=a?"auto":"none";b.css("pointer-events",c)}}function c(a,b,c,d){if(o[0].idleTimer=l.scrollInertia<233?250:0,e.attr("id")===n[1])var f="x",g=(e[0].offsetLeft-b+d)*k.scrollRatio.x;else var f="y",g=(e[0].offsetTop-a+c)*k.scrollRatio.y;V(h,g.toString(),{dir:f,drag:!0})}var e,f,g,h=a(this),k=h.data(d),l=k.opt,m=d+"_"+k.idx,n=["mCSB_"+k.idx+"_dragger_vertical","mCSB_"+k.idx+"_dragger_horizontal"],o=a("#mCSB_"+k.idx+"_container"),p=a("#"+n[0]+",#"+n[1]),q=l.advanced.releaseDraggableSelectors?p.add(a(l.advanced.releaseDraggableSelectors)):p,r=l.advanced.extraDraggableSelectors?a(!I()||top.document).add(a(l.advanced.extraDraggableSelectors)):a(!I()||top.document);p.bind("mousedown."+m+" touchstart."+m+" pointerdown."+m+" MSPointerDown."+m,function(c){if(c.stopImmediatePropagation(),c.preventDefault(),$(c)){j=!0,i&&(document.onselectstart=function(){return!1}),b(!1),U(h),e=a(this);var d=e.offset(),k=D(c)[0]-d.top,m=D(c)[1]-d.left,n=e.height()+d.top,o=e.width()+d.left;n>k&&k>0&&o>m&&m>0&&(f=k,g=m),x(e,"active",l.autoExpandScrollbar)}}).bind("touchmove."+m,function(a){a.stopImmediatePropagation(),a.preventDefault();var b=e.offset(),d=D(a)[0]-b.top,h=D(a)[1]-b.left;c(f,g,d,h)}),a(document).add(r).bind("mousemove."+m+" pointermove."+m+" MSPointerMove."+m,function(a){if(e){var b=e.offset(),d=D(a)[0]-b.top,h=D(a)[1]-b.left;if(f===d&&g===h)return;c(f,g,d,h)}}).add(q).bind("mouseup."+m+" touchend."+m+" pointerup."+m+" MSPointerUp."+m,function(a){e&&(x(e,"active",l.autoExpandScrollbar),e=null),j=!1,i&&(document.onselectstart=null),b(!0)})},F=function(){function c(a){if(!_(a)||j||D(a)[2])return void(b=0);b=1,w=0,x=0,k=1,y.removeClass("mCS_touch_action");var c=E.offset();l=D(a)[0]-c.top,m=D(a)[1]-c.left,L=[D(a)[0],D(a)[1]]}function e(a){if(_(a)&&!j&&!D(a)[2]&&(A.documentTouchScroll||a.preventDefault(),a.stopImmediatePropagation(),(!x||w)&&k)){q=X();var b=C.offset(),c=D(a)[0]-b.top,d=D(a)[1]-b.left,e="mcsLinearOut";if(G.push(c),H.push(d),L[2]=Math.abs(D(a)[0]-L[0]),L[3]=Math.abs(D(a)[1]-L[1]),z.overflowed[0])var f=F[0].parent().height()-F[0].height(),g=l-c>0&&c-l>-(f*z.scrollRatio.y)&&(2*L[3]0&&d-m>-(h*z.scrollRatio.x)&&(2*L[2]30)){t=1e3/(r-p);var e="mcsEaseOut",f=2.5>t,g=f?[G[G.length-2],H[H.length-2]]:[0,0];s=f?[c-g[0],d-g[1]]:[c-n,d-o];var l=[Math.abs(s[0]),Math.abs(s[1])];t=f?[Math.abs(s[0]/4),Math.abs(s[1]/4)]:[t,t];var m=[Math.abs(E[0].offsetTop)-s[0]*h(l[0]/t[0],t[0]),Math.abs(E[0].offsetLeft)-s[1]*h(l[1]/t[1],t[1])];u="yx"===A.axis?[m[0],m[1]]:"x"===A.axis?[null,m[1]]:[m[0],null],v=[4*l[0]+A.scrollInertia,4*l[1]+A.scrollInertia];var y=parseInt(A.contentTouchScroll)||0;u[0]=l[0]>y?u[0]:0,u[1]=l[1]>y?u[1]:0,z.overflowed[0]&&i(u[0],v[0],e,"y",K,!1),z.overflowed[1]&&i(u[1],v[1],e,"x",K,!1)}}}function h(a,b){var c=[1.5*b,2*b,b/1.5,b/2];return a>90?b>4?c[0]:c[3]:a>60?b>3?c[3]:c[2]:a>30?b>8?c[1]:b>6?c[0]:b>4?b:c[2]:b>8?b:c[3]}function i(a,b,c,d,e,f){a&&V(y,a.toString(),{dur:b,scrollEasing:c,dir:d,overwrite:e,drag:f})}var k,l,m,n,o,p,q,r,s,t,u,v,w,x,y=a(this),z=y.data(d),A=z.opt,B=d+"_"+z.idx,C=a("#mCSB_"+z.idx),E=a("#mCSB_"+z.idx+"_container"),F=[a("#mCSB_"+z.idx+"_dragger_vertical"),a("#mCSB_"+z.idx+"_dragger_horizontal")],G=[],H=[],J=0,K="yx"===A.axis?"none":"all",L=[],M=E.find("iframe"),N=["touchstart."+B+" pointerdown."+B+" MSPointerDown."+B,"touchmove."+B+" pointermove."+B+" MSPointerMove."+B,"touchend."+B+" pointerup."+B+" MSPointerUp."+B],O=void 0!==document.body.style.touchAction;E.bind(N[0],function(a){c(a)}).bind(N[1],function(a){e(a)}),C.bind(N[0],function(a){f(a)}).bind(N[2],function(a){g(a)}),M.length&&M.each(function(){a(this).load(function(){I(this)&&a(this.contentDocument||this.contentWindow.document).bind(N[0],function(a){c(a),f(a)}).bind(N[1],function(a){e(a)}).bind(N[2],function(a){g(a)})})})},G=function(){function c(){return window.getSelection?window.getSelection().toString():document.selection&&"Control"!=document.selection.type?document.selection.createRange().text:0}function e(a,b,c){k.type=c&&f?"stepped":"stepless",k.scrollAmount=10,P(g,a,b,"mcsLinearOut",c?60:null)}var f,g=a(this),h=g.data(d),i=h.opt,k=h.sequential,l=d+"_"+h.idx,m=a("#mCSB_"+h.idx+"_container"),n=m.parent();m.bind("mousedown."+l,function(a){b||f||(f=1,j=!0)}).add(document).bind("mousemove."+l,function(a){if(!b&&f&&c()){var d=m.offset(),g=D(a)[0]-d.top+m[0].offsetTop,j=D(a)[1]-d.left+m[0].offsetLeft;g>0&&g0&&jg?e("on",38):g>n.height()&&e("on",40)),"y"!==i.axis&&h.overflowed[1]&&(0>j?e("on",37):j>n.width()&&e("on",39)))}}).bind("mouseup."+l+" dragend."+l,function(a){b||(f&&(f=0,e("off",null)),j=!1)})},H=function(){function b(b,d){if(U(c),!J(c,b.target)){var g="auto"!==f.mouseWheel.deltaFactor?parseInt(f.mouseWheel.deltaFactor):i&&b.deltaFactor<100?100:b.deltaFactor||100,k=f.scrollInertia;if("x"===f.axis||"x"===f.mouseWheel.axis)var l="x",m=[Math.round(g*e.scrollRatio.x),parseInt(f.mouseWheel.scrollAmount)],n="auto"!==f.mouseWheel.scrollAmount?m[1]:m[0]>=h.width()?.9*h.width():m[0],o=Math.abs(a("#mCSB_"+e.idx+"_container")[0].offsetLeft),p=j[1][0].offsetLeft,q=j[1].parent().width()-j[1].width(),r=b.deltaX||b.deltaY||d;else var l="y",m=[Math.round(g*e.scrollRatio.y),parseInt(f.mouseWheel.scrollAmount)],n="auto"!==f.mouseWheel.scrollAmount?m[1]:m[0]>=h.height()?.9*h.height():m[0],o=Math.abs(a("#mCSB_"+e.idx+"_container")[0].offsetTop),p=j[0][0].offsetTop,q=j[0].parent().height()-j[0].height(),r=b.deltaY||d;"y"===l&&!e.overflowed[0]||"x"===l&&!e.overflowed[1]||((f.mouseWheel.invert||b.webkitDirectionInvertedFromDevice)&&(r=-r),f.mouseWheel.normalizeDelta&&(r=0>r?-1:1),(r>0&&0!==p||0>r&&p!==q||f.mouseWheel.preventDefault)&&(b.stopImmediatePropagation(),b.preventDefault()),b.deltaFactor<2&&!f.mouseWheel.normalizeDelta&&(n=b.deltaFactor,k=17),V(c,(o-r*n).toString(),{dir:l,dur:k}))}}if(a(this).data(d)){var c=a(this),e=c.data(d),f=e.opt,g=d+"_"+e.idx,h=a("#mCSB_"+e.idx),j=[a("#mCSB_"+e.idx+"_dragger_vertical"),a("#mCSB_"+e.idx+"_dragger_horizontal")],k=a("#mCSB_"+e.idx+"_container").find("iframe");k.length&&k.each(function(){a(this).load(function(){I(this)&&a(this.contentDocument||this.contentWindow.document).bind("mousewheel."+g,function(a,c){b(a,c)})})}),h.bind("mousewheel."+g,function(a,c){b(a,c)})}},I=function(a){var b=null;if(a){try{var c=a.contentDocument||a.contentWindow.document;b=c.body.innerHTML}catch(d){}return null!==b}try{var c=top.document;b=c.body.innerHTML}catch(d){}return null!==b},J=function(b,c){var e=c.nodeName.toLowerCase(),f=b.data(d).opt.mouseWheel.disableOver,g=["select","textarea"];return a.inArray(e,f)>-1&&!(a.inArray(e,g)>-1&&!a(c).is(":focus"))},K=function(){var b,c=a(this),e=c.data(d),f=d+"_"+e.idx,g=a("#mCSB_"+e.idx+"_container"),h=g.parent(),i=a(".mCSB_"+e.idx+"_scrollbar ."+k[12]);i.bind("mousedown."+f+" touchstart."+f+" pointerdown."+f+" MSPointerDown."+f,function(c){j=!0,a(c.target).hasClass("mCSB_dragger")||(b=1)}).bind("touchend."+f+" pointerup."+f+" MSPointerUp."+f,function(a){j=!1}).bind("click."+f,function(d){if(b&&(b=0,a(d.target).hasClass(k[12])||a(d.target).hasClass("mCSB_draggerRail"))){U(c);var f=a(this),i=f.find(".mCSB_dragger");if(f.parent(".mCSB_scrollTools_horizontal").length>0){if(!e.overflowed[1])return;var j="x",l=d.pageX>i.offset().left?-1:1,m=Math.abs(g[0].offsetLeft)-l*(.9*h.width())}else{if(!e.overflowed[0])return;var j="y",l=d.pageY>i.offset().top?-1:1,m=Math.abs(g[0].offsetTop)-l*(.9*h.height())}V(c,m.toString(),{dir:j,scrollEasing:"mcsEaseInOut"})}})},L=function(){var b=a(this),c=b.data(d),e=c.opt,f=d+"_"+c.idx,g=a("#mCSB_"+c.idx+"_container"),h=g.parent();g.bind("focusin."+f,function(c){var d=a(document.activeElement),f=g.find(".mCustomScrollBox").length,i=0;d.is(e.advanced.autoScrollOnFocus)&&(U(b),clearTimeout(b[0]._focusTimeout),b[0]._focusTimer=f?(i+17)*f:0,b[0]._focusTimeout=setTimeout(function(){var a=[ba(d)[0],ba(d)[1]],c=[g[0].offsetTop,g[0].offsetLeft],f=[c[0]+a[0]>=0&&c[0]+a[0]=0&&c[0]+a[1]a");i.bind("mousedown."+g+" touchstart."+g+" pointerdown."+g+" MSPointerDown."+g+" mouseup."+g+" touchend."+g+" pointerup."+g+" MSPointerUp."+g+" mouseout."+g+" pointerout."+g+" MSPointerOut."+g+" click."+g,function(d){function g(a,c){f.scrollAmount=e.scrollButtons.scrollAmount,P(b,a,c)}if(d.preventDefault(),$(d)){var h=a(this).attr("class");switch(f.type=e.scrollButtons.scrollType,d.type){case"mousedown":case"touchstart":case"pointerdown":case"MSPointerDown":if("stepped"===f.type)return;j=!0,c.tweenRunning=!1,g("on",h);break;case"mouseup":case"touchend":case"pointerup":case"MSPointerUp":case"mouseout":case"pointerout":case"MSPointerOut":if("stepped"===f.type)return;j=!1,f.dir&&g("off",h);break;case"click":if("stepped"!==f.type||c.tweenRunning)return;g("on",h)}}})},O=function(){function b(b){function d(a,b){g.type=f.keyboard.scrollType,g.scrollAmount=f.keyboard.scrollAmount,"stepped"===g.type&&e.tweenRunning||P(c,a,b)}switch(b.type){case"blur":e.tweenRunning&&g.dir&&d("off",null);break;case"keydown":case"keyup":var h=b.keyCode?b.keyCode:b.which,i="on";if("x"!==f.axis&&(38===h||40===h)||"y"!==f.axis&&(37===h||39===h)){if((38===h||40===h)&&!e.overflowed[0]||(37===h||39===h)&&!e.overflowed[1])return;"keyup"===b.type&&(i="off"),a(document.activeElement).is(l)||(b.preventDefault(),b.stopImmediatePropagation(),d(i,h))}else if(33===h||34===h){if((e.overflowed[0]||e.overflowed[1])&&(b.preventDefault(),b.stopImmediatePropagation()),"keyup"===b.type){U(c);var m=34===h?-1:1;if("x"===f.axis||"yx"===f.axis&&e.overflowed[1]&&!e.overflowed[0])var n="x",o=Math.abs(j[0].offsetLeft)-m*(.9*k.width());else var n="y",o=Math.abs(j[0].offsetTop)-m*(.9*k.height());V(c,o.toString(),{dir:n,scrollEasing:"mcsEaseInOut"})}}else if((35===h||36===h)&&!a(document.activeElement).is(l)&&((e.overflowed[0]||e.overflowed[1])&&(b.preventDefault(),b.stopImmediatePropagation()),"keyup"===b.type)){if("x"===f.axis||"yx"===f.axis&&e.overflowed[1]&&!e.overflowed[0])var n="x",o=35===h?Math.abs(k.width()-j.outerWidth(!1)):0;else var n="y",o=35===h?Math.abs(k.height()-j.outerHeight(!1)):0; -V(c,o.toString(),{dir:n,scrollEasing:"mcsEaseInOut"})}}}var c=a(this),e=c.data(d),f=e.opt,g=e.sequential,h=d+"_"+e.idx,i=a("#mCSB_"+e.idx),j=a("#mCSB_"+e.idx+"_container"),k=j.parent(),l="input,textarea,select,datalist,keygen,[contenteditable='true']",m=j.find("iframe"),n=["blur."+h+" keydown."+h+" keyup."+h];m.length&&m.each(function(){a(this).load(function(){I(this)&&a(this.contentDocument||this.contentWindow.document).bind(n[0],function(a){b(a)})})}),i.attr("tabindex","0").bind(n[0],function(a){b(a)})},P=function(b,c,e,f,g){function h(a){l.snapAmount&&(m.scrollAmount=l.snapAmount instanceof Array?"x"===m.dir[0]?l.snapAmount[1]:l.snapAmount[0]:l.snapAmount);var c="stepped"!==m.type,d=g?g:a?c?p/1.5:q:1e3/60,e=a?c?7.5:40:2.5,i=[Math.abs(n[0].offsetTop),Math.abs(n[0].offsetLeft)],k=[j.scrollRatio.y>10?10:j.scrollRatio.y,j.scrollRatio.x>10?10:j.scrollRatio.x],o="x"===m.dir[0]?i[1]+m.dir[1]*(k[1]*e):i[0]+m.dir[1]*(k[0]*e),r="x"===m.dir[0]?i[1]+m.dir[1]*parseInt(m.scrollAmount):i[0]+m.dir[1]*parseInt(m.scrollAmount),s="auto"!==m.scrollAmount?r:o,t=f?f:a?c?"mcsLinearOut":"mcsEaseInOut":"mcsLinear",u=!!a;return a&&17>d&&(s="x"===m.dir[0]?i[1]:i[0]),V(b,s.toString(),{dir:m.dir[0],scrollEasing:t,dur:d,onComplete:u}),a?void(m.dir=!1):(clearTimeout(m.step),void(m.step=setTimeout(function(){h()},d)))}function i(){clearTimeout(m.step),Z(m,"step"),U(b)}var j=b.data(d),l=j.opt,m=j.sequential,n=a("#mCSB_"+j.idx+"_container"),o="stepped"===m.type,p=l.scrollInertia<26?26:l.scrollInertia,q=l.scrollInertia<1?17:l.scrollInertia;switch(c){case"on":if(m.dir=[e===k[16]||e===k[15]||39===e||37===e?"x":"y",e===k[13]||e===k[15]||38===e||37===e?-1:1],U(b),aa(e)&&"stepped"===m.type)return;h(o);break;case"off":i(),(o||j.tweenRunning&&m.dir)&&h(!0)}},Q=function(b){var c=a(this).data(d).opt,e=[];return"function"==typeof b&&(b=b()),b instanceof Array?e=b.length>1?[b[0],b[1]]:"x"===c.axis?[null,b[0]]:[b[0],null]:(e[0]=b.y?b.y:b.x||"x"===c.axis?null:b,e[1]=b.x?b.x:b.y||"y"===c.axis?null:b),"function"==typeof e[0]&&(e[0]=e[0]()),"function"==typeof e[1]&&(e[1]=e[1]()),e},R=function(b,c){if(null!=b&&"undefined"!=typeof b){var e=a(this),f=e.data(d),g=f.opt,h=a("#mCSB_"+f.idx+"_container"),i=h.parent(),j=typeof b;c||(c="x"===g.axis?"x":"y");var k="x"===c?h.outerWidth(!1):h.outerHeight(!1),m="x"===c?h[0].offsetLeft:h[0].offsetTop,n="x"===c?"left":"top";switch(j){case"function":return b();case"object":var o=b.jquery?b:a(b);if(!o.length)return;return"x"===c?ba(o)[1]:ba(o)[0];case"string":case"number":if(aa(b))return Math.abs(b);if(-1!==b.indexOf("%"))return Math.abs(k*parseInt(b)/100);if(-1!==b.indexOf("-="))return Math.abs(m-parseInt(b.split("-=")[1]));if(-1!==b.indexOf("+=")){var p=m+parseInt(b.split("+=")[1]);return p>=0?0:Math.abs(p)}if(-1!==b.indexOf("px")&&aa(b.split("px")[0]))return Math.abs(b.split("px")[0]);if("top"===b||"left"===b)return 0;if("bottom"===b)return Math.abs(i.height()-h.outerHeight(!1));if("right"===b)return Math.abs(i.width()-h.outerWidth(!1));if("first"===b||"last"===b){var o=h.find(":"+b);return"x"===c?ba(o)[1]:ba(o)[0]}return a(b).length?"x"===c?ba(a(b))[1]:ba(a(b))[0]:(h.css(n,b),void l.update.call(null,e[0]))}}},S=function(b){function c(){return clearTimeout(m[0].autoUpdate),0===h.parents("html").length?void(h=null):void(m[0].autoUpdate=setTimeout(function(){return j.advanced.updateOnSelectorChange&&(i.poll.change.n=f(),i.poll.change.n!==i.poll.change.o)?(i.poll.change.o=i.poll.change.n,void g(3)):j.advanced.updateOnContentResize&&(i.poll.size.n=h[0].scrollHeight+h[0].scrollWidth+m[0].offsetHeight+h[0].offsetHeight+h[0].offsetWidth,i.poll.size.n!==i.poll.size.o)?(i.poll.size.o=i.poll.size.n,void g(1)):!j.advanced.updateOnImageLoad||"auto"===j.advanced.updateOnImageLoad&&"y"===j.axis||(i.poll.img.n=m.find("img").length,i.poll.img.n===i.poll.img.o)?void((j.advanced.updateOnSelectorChange||j.advanced.updateOnContentResize||j.advanced.updateOnImageLoad)&&c()):(i.poll.img.o=i.poll.img.n,void m.find("img").each(function(){e(this)}))},j.advanced.autoUpdateTimeout))}function e(b){function c(a,b){return function(){return b.apply(a,arguments)}}function d(){this.onload=null,a(b).addClass(k[2]),g(2)}if(a(b).hasClass(k[2]))return void g();var e=new Image;e.onload=c(e,d),e.src=b.src}function f(){j.advanced.updateOnSelectorChange===!0&&(j.advanced.updateOnSelectorChange="*");var a=0,b=m.find(j.advanced.updateOnSelectorChange);return j.advanced.updateOnSelectorChange&&b.length>0&&b.each(function(){a+=this.offsetHeight+this.offsetWidth}),a}function g(a){clearTimeout(m[0].autoUpdate),l.update.call(null,h[0],a)}var h=a(this),i=h.data(d),j=i.opt,m=a("#mCSB_"+i.idx+"_container");return b?(clearTimeout(m[0].autoUpdate),void Z(m[0],"autoUpdate")):void c()},T=function(a,b,c){return Math.round(a/b)*b-c},U=function(b){var c=b.data(d),e=a("#mCSB_"+c.idx+"_container,#mCSB_"+c.idx+"_container_wrapper,#mCSB_"+c.idx+"_dragger_vertical,#mCSB_"+c.idx+"_dragger_horizontal");e.each(function(){Y.call(this)})},V=function(b,c,e){function f(a){return i&&j.callbacks[a]&&"function"==typeof j.callbacks[a]}function g(){return[j.callbacks.alwaysTriggerOffsets||u>=v[0]+y,j.callbacks.alwaysTriggerOffsets||-z>=u]}function h(){var a=[n[0].offsetTop,n[0].offsetLeft],c=[s[0].offsetTop,s[0].offsetLeft],d=[n.outerHeight(!1),n.outerWidth(!1)],f=[m.height(),m.width()];b[0].mcs={content:n,top:a[0],left:a[1],draggerTop:c[0],draggerLeft:c[1],topPct:Math.round(100*Math.abs(a[0])/(Math.abs(d[0])-f[0])),leftPct:Math.round(100*Math.abs(a[1])/(Math.abs(d[1])-f[1])),direction:e.dir}}var i=b.data(d),j=i.opt,k={trigger:"internal",dir:"y",scrollEasing:"mcsEaseOut",drag:!1,dur:j.scrollInertia,overwrite:"all",callbacks:!0,onStart:!0,onUpdate:!0,onComplete:!0},e=a.extend(k,e),l=[e.dur,e.drag?0:e.dur],m=a("#mCSB_"+i.idx),n=a("#mCSB_"+i.idx+"_container"),o=n.parent(),p=j.callbacks.onTotalScrollOffset?Q.call(b,j.callbacks.onTotalScrollOffset):[0,0],q=j.callbacks.onTotalScrollBackOffset?Q.call(b,j.callbacks.onTotalScrollBackOffset):[0,0];if(i.trigger=e.trigger,0===o.scrollTop()&&0===o.scrollLeft()||(a(".mCSB_"+i.idx+"_scrollbar").css("visibility","visible"),o.scrollTop(0).scrollLeft(0)),"_resetY"!==c||i.contentReset.y||(f("onOverflowYNone")&&j.callbacks.onOverflowYNone.call(b[0]),i.contentReset.y=1),"_resetX"!==c||i.contentReset.x||(f("onOverflowXNone")&&j.callbacks.onOverflowXNone.call(b[0]),i.contentReset.x=1),"_resetY"!==c&&"_resetX"!==c){if(!i.contentReset.y&&b[0].mcs||!i.overflowed[0]||(f("onOverflowY")&&j.callbacks.onOverflowY.call(b[0]),i.contentReset.x=null),!i.contentReset.x&&b[0].mcs||!i.overflowed[1]||(f("onOverflowX")&&j.callbacks.onOverflowX.call(b[0]),i.contentReset.x=null),j.snapAmount){var r=j.snapAmount instanceof Array?"x"===e.dir?j.snapAmount[1]:j.snapAmount[0]:j.snapAmount;c=T(c,r,j.snapOffset)}switch(e.dir){case"x":var s=a("#mCSB_"+i.idx+"_dragger_horizontal"),t="left",u=n[0].offsetLeft,v=[m.width()-n.outerWidth(!1),s.parent().width()-s.width()],w=[c,0===c?0:c/i.scrollRatio.x],y=p[1],z=q[1],A=y>0?y/i.scrollRatio.x:0,B=z>0?z/i.scrollRatio.x:0;break;case"y":var s=a("#mCSB_"+i.idx+"_dragger_vertical"),t="top",u=n[0].offsetTop,v=[m.height()-n.outerHeight(!1),s.parent().height()-s.height()],w=[c,0===c?0:c/i.scrollRatio.y],y=p[0],z=q[0],A=y>0?y/i.scrollRatio.y:0,B=z>0?z/i.scrollRatio.y:0}w[1]<0||0===w[0]&&0===w[1]?w=[0,0]:w[1]>=v[1]?w=[v[0],v[1]]:w[0]=-w[0],b[0].mcs||(h(),f("onInit")&&j.callbacks.onInit.call(b[0])),clearTimeout(n[0].onCompleteTimeout),W(s[0],t,Math.round(w[1]),l[1],e.scrollEasing),!i.tweenRunning&&(0===u&&w[0]>=0||u===v[0]&&w[0]<=v[0])||W(n[0],t,Math.round(w[0]),l[0],e.scrollEasing,e.overwrite,{onStart:function(){e.callbacks&&e.onStart&&!i.tweenRunning&&(f("onScrollStart")&&(h(),j.callbacks.onScrollStart.call(b[0])),i.tweenRunning=!0,x(s),i.cbOffsets=g())},onUpdate:function(){e.callbacks&&e.onUpdate&&f("whileScrolling")&&(h(),j.callbacks.whileScrolling.call(b[0]))},onComplete:function(){if(e.callbacks&&e.onComplete){"yx"===j.axis&&clearTimeout(n[0].onCompleteTimeout);var a=n[0].idleTimer||0;n[0].onCompleteTimeout=setTimeout(function(){f("onScroll")&&(h(),j.callbacks.onScroll.call(b[0])),f("onTotalScroll")&&w[1]>=v[1]-A&&i.cbOffsets[0]&&(h(),j.callbacks.onTotalScroll.call(b[0])),f("onTotalScrollBack")&&w[1]<=B&&i.cbOffsets[1]&&(h(),j.callbacks.onTotalScrollBack.call(b[0])),i.tweenRunning=!1,n[0].idleTimer=0,x(s,"hide")},a)}}})}},W=function(a,b,c,d,e,f,g){function h(){v.stop||(s||o.call(),s=X()-r,i(),s>=v.time&&(v.time=s>v.time?s+m-(s-v.time):s+m-1,v.time0?(v.currVal=l(v.time,t,w,d,e),u[b]=Math.round(v.currVal)+"px"):u[b]=c+"px",p.call()}function j(){m=1e3/60,v.time=s+m,n=window.requestAnimationFrame?window.requestAnimationFrame:function(a){return i(),setTimeout(a,.01)},v.id=n(h)}function k(){null!=v.id&&(window.requestAnimationFrame?window.cancelAnimationFrame(v.id):clearTimeout(v.id),v.id=null)}function l(a,b,c,d,e){switch(e){case"linear":case"mcsLinear":return c*a/d+b;case"mcsLinearOut":return a/=d,a--,c*Math.sqrt(1-a*a)+b;case"easeInOutSmooth":return a/=d/2,1>a?c/2*a*a+b:(a--,-c/2*(a*(a-2)-1)+b);case"easeInOutStrong":return a/=d/2,1>a?c/2*Math.pow(2,10*(a-1))+b:(a--,c/2*(-Math.pow(2,-10*a)+2)+b);case"easeInOut":case"mcsEaseInOut":return a/=d/2,1>a?c/2*a*a*a+b:(a-=2,c/2*(a*a*a+2)+b);case"easeOutSmooth":return a/=d,a--,-c*(a*a*a*a-1)+b;case"easeOutStrong":return c*(-Math.pow(2,-10*a/d)+1)+b;case"easeOut":case"mcsEaseOut":default:var f=(a/=d)*a,g=f*a;return b+c*(.499999999999997*g*f+-2.5*f*f+5.5*g+-6.5*f+4*a)}}a._mTween||(a._mTween={top:{},left:{}});var m,n,g=g||{},o=g.onStart||function(){},p=g.onUpdate||function(){},q=g.onComplete||function(){},r=X(),s=0,t=a.offsetTop,u=a.style,v=a._mTween[b];"left"===b&&(t=a.offsetLeft);var w=c-t;v.stop=0,"none"!==f&&k(),j()},X=function(){return window.performance&&window.performance.now?window.performance.now():window.performance&&window.performance.webkitNow?window.performance.webkitNow():Date.now?Date.now():(new Date).getTime()},Y=function(){var a=this;a._mTween||(a._mTween={top:{},left:{}});for(var b=["top","left"],c=0;c=0&&d[0]+ba(e)[0]=0&&d[1]+ba(e)[1]
    ":"
    "},c=function(b,c,d,e,f){var g,h,i,j,k,l,m,n,o,p,q;return h=d.duration||1,q=d.timingFunction||"ease-in-out",i=c.scope(),j=d.emitOnClose,n=d.onClose,m=void 0!==d.lazyRender,g=null,o=null,k=function(a){var b,d,e,f,g;for(e=0,d=c.children(),f=0,g=d.length;g>f;f++)b=d[f],e+=b.clientHeight;return""+e+"px"},p=function(){return g&&a.cancel(g),m&&(b.lazyRender=!0),a(function(){return o&&a.cancel(o),c.css({overflow:"hidden",transitionProperty:"height",transitionDuration:""+h+"s",transitionTimingFunction:q,height:k()}),o=a(function(){return c.css({overflow:"visible",transition:"none",height:"auto"})},1e3*h)})},l=function(){return o&&a.cancel(o),c.css({overflow:"hidden",transitionProperty:"height",transitionDuration:""+h+"s",transitionTimingFunction:q,height:"0px"}),j||n||m?g=a(function(){return j&&b.$emit(j,{}),n&&i.$eval(n),m?b.lazyRender=!1:void 0},1e3*h):void 0},b.$watch("expanded",function(b,d){return b?a(p):(null!=b&&(c.css({height:k()}),c[0].clientHeight),a(l))})},{restrict:"A",scope:{expanded:"=ngSlideDown"},transclude:!0,link:c,template:function(a,c){return b(a,c)}}}])}.call(this);var mod;mod=angular.module("infinite-scroll",[]),mod.directive("infiniteScroll",["$rootScope","$window","$timeout",function(a,b,c){return{link:function(d,e,f){var g,h,i,j;return b=angular.element(b),i=0,null!=f.infiniteScrollDistance&&d.$watch(f.infiniteScrollDistance,function(a){return i=parseInt(a,10)}),j=!0,g=!1,null!=f.infiniteScrollDisabled&&d.$watch(f.infiniteScrollDisabled,function(a){return j=!a,j&&g?(g=!1,h()):void 0}),h=function(){var c,h,k,l;return l=b.height()+b.scrollTop(),c=e.offset().top+e.height(),h=c-l,k=h<=b.height()*i,k&&j?a.$$phase?d.$eval(f.infiniteScroll):d.$apply(f.infiniteScroll):k?g=!0:void 0},b.on("scroll",h),d.$on("$destroy",function(){return b.off("scroll",h)}),c(function(){return f.infiniteScrollImmediateCheck?d.$eval(f.infiniteScrollImmediateCheck)?h():void 0:h()},0)}}}]),angular.module("ngMap",[]),function(){"use strict";function camelCase(a){return a.replace(SPECIAL_CHARS_REGEXP,function(a,b,c,d){return d?c.toUpperCase():c}).replace(MOZ_HACK_REGEXP,"Moz$1")}function JSONize(a){try{return JSON.parse(a),a}catch(b){return a.replace(/([\$\w]+)\s*:/g,function(a,b){return'"'+b+'":'}).replace(/'([^']+)'/g,function(a,b){return'"'+b+'"'})}}var SPECIAL_CHARS_REGEXP=/([\:\-\_]+(.))/g,MOZ_HACK_REGEXP=/^moz([A-Z])/,Attr2Options=function($parse,$timeout,$log,NavigatorGeolocation,GeoCoder){var orgAttributes=function(a){a.length>0&&(a=a[0]);for(var b={},c=0;c-1&&b.splice(c,1),this.el.className=b.join(" ")},d.prototype.onAdd=function(){this.getPanes().overlayMouseTarget.appendChild(this.el)},d.prototype.draw=function(){this.setPosition(),this.setZIndex(this.zIndex),this.setVisible(this.visible)},d.prototype.onRemove=function(){this.el.parentNode.removeChild(this.el),this.el=null}},f=function(c,e){return function(f,g,h,i){var j=(a.orgAttributes(g),a.filter(h)),k=a.getOptions(j,f),l=a.getEvents(f,j),m=g[0].parentElement.removeChild(g[0]),n=new d(k);b(function(){f.$watch("["+e.join(",")+"]",function(a){n.setContent(c,f)}),n.setContent(m.innerHTML,f);var a=m.firstElementChild.className;n.addClass("custom-marker"),n.addClass(a),k.position instanceof google.maps.LatLng||i.getGeoLocation(k.position).then(function(a){n.setPosition(a)})});for(var o in l)google.maps.event.addDomListener(n.el,o,l[o]);i.addObject("customMarkers",n),g.bind("$destroy",function(){i.deleteObject("customMarkers",n)})}},g=function(d,g,h){return a=d,b=g,c=h,e(),{restrict:"E",require:"^map",compile:function(a){var b=a.html(),c=b.match(/{{([^}]+)}}/g),d=[];return(c||[]).forEach(function(a){var b=a.replace("{{","").replace("}}","");-1==a.indexOf("::")&&-1==a.indexOf("this.")&&-1==d.indexOf(b)&&d.push(a.replace("{{","").replace("}}",""))}),f(b,d)}}};g.$inject=["Attr2Options","$timeout","$compile"],angular.module("ngMap").directive("customMarker",g)}(),function(){"use strict";var a=function(a,b){a.panel&&(a.panel=document.getElementById(a.panel)||document.querySelector(a.panel));var c=new google.maps.DirectionsRenderer(a);for(var d in b)google.maps.event.addListener(c,d,b[d]);return c},b=function(b,c,d){var e=b,f=new google.maps.DirectionsService,g=function(a,b){var e=b;e.travelMode=e.travelMode||"DRIVING";var g=["origin","destination","travelMode","transitOptions","unitSystem","durationInTraffic","waypoints","optimizeWaypoints","provideRouteAlternatives","avoidHighways","avoidTolls","region"];for(var h in e)-1===g.indexOf(h)&&delete e[h];e.waypoints&&("[]"!=e.waypoints&&""!=e.waypoints||delete e.waypoints);var i=function(b){f.route(b,function(b,d){d==google.maps.DirectionsStatus.OK&&c(function(){a.setDirections(b)})})};e.origin&&e.destination&&("current-location"==e.origin?d.getCurrentPosition().then(function(a){e.origin=new google.maps.LatLng(a.coords.latitude,a.coords.longitude),i(e)}):"current-location"==e.destination?d.getCurrentPosition().then(function(a){e.destination=new google.maps.LatLng(a.coords.latitude,a.coords.longitude),i(e)}):i(e))},h=function(b,d,f,h){var i=e.orgAttributes(d),j=e.filter(f),k=e.getOptions(j),l=e.getEvents(b,j),m=e.getAttrsToObserve(i),n=a(k,l);h.addObject("directionsRenderers",n),m.forEach(function(a){!function(a){f.$observe(a,function(b){if("panel"==a)c(function(){var a=document.getElementById(b)||document.querySelector(b);a&&n.setPanel(a)});else if(k[a]!==b){var d=e.toOptionValue(b,{key:a});k[a]=d,g(n,k)}})}(a)}),b.$on("mapInitialized",function(a,b){g(n,k)}),b.$on("$destroy",function(a,b){h.deleteObject("directionsRenderers",n)})};return{restrict:"E",require:"^map",link:h}};b.$inject=["Attr2Options","$timeout","NavigatorGeolocation"],angular.module("ngMap").directive("directions",b)}(),function(){"use strict";angular.module("ngMap").directive("drawingManager",["Attr2Options",function(a){var b=a;return{restrict:"E",require:"^map",link:function(a,c,d,e){var f=(b.orgAttributes(c),b.filter(d)),g=b.getOptions(f),h=b.getControlOptions(f),i=b.getEvents(a,f),j=new google.maps.drawing.DrawingManager({drawingMode:g.drawingmode,drawingControl:g.drawingcontrol,drawingControlOptions:h.drawingControlOptions,circleOptions:g.circleoptions,markerOptions:g.markeroptions,polygonOptions:g.polygonoptions,polylineOptions:g.polylineoptions,rectangleOptions:g.rectangleoptions}),i=b.getEvents(a,f);for(var k in i)google.maps.event.addListener(j,k,i[k]);e.addObject("mapDrawingManager",j)}}}])}(),function(){"use strict";angular.module("ngMap").directive("dynamicMapsEngineLayer",["Attr2Options",function(a){var b=a,c=function(a,b){var c=new google.maps.visualization.DynamicMapsEngineLayer(a);for(var d in b)google.maps.event.addListener(c,d,b[d]);return c};return{restrict:"E",require:"^map",link:function(a,d,e,f){var g=b.filter(e),h=b.getOptions(g),i=b.getEvents(a,g,i),j=c(h,i);f.addObject("mapsEngineLayers",j)}}}])}(),function(){"use strict";angular.module("ngMap").directive("fusionTablesLayer",["Attr2Options",function(a){var b=a,c=function(a,b){var c=new google.maps.FusionTablesLayer(a);for(var d in b)google.maps.event.addListener(c,d,b[d]);return c};return{restrict:"E",require:"^map",link:function(a,d,e,f){var g=b.filter(e),h=b.getOptions(g),i=b.getEvents(a,g,i),j=c(h,i);f.addObject("fusionTablesLayers",j)}}}])}(),function(){"use strict";angular.module("ngMap").directive("heatmapLayer",["Attr2Options","$window",function(a,b){var c=a;return{restrict:"E",require:"^map",link:function(a,d,e,f){var g=c.filter(e),h=c.getOptions(g);if(h.data=b[e.data]||a[e.data],!(h.data instanceof Array))throw"invalid heatmap data";h.data=new google.maps.MVCArray(h.data);var i=new google.maps.visualization.HeatmapLayer(h);c.getEvents(a,g);f.addObject("heatmapLayers",i)}}}])}(),function(){"use strict";var a=function(a,b,c,d){var e=a,f=function(a,d,e){var f;!a.position||a.position instanceof google.maps.LatLng||delete a.position,f=new google.maps.InfoWindow(a),Object.keys(d).length>0;for(var g in d)g&&google.maps.event.addListener(f,g,d[g]);var h=e.html().trim();if(1!=angular.element(h).length)throw"info-window working as a template must have a container";return f.__template=h.replace(/\s?ng-non-bindable[='"]+/,""),f.__compile=function(a,c){c&&(a["this"]=c);var d=b(f.__template)(a);f.setContent(d[0]),a.$apply()},f.__open=function(a,b,d){c(function(){f.__compile(b,d),d&&d.getPosition?f.open(a,d):d&&d instanceof google.maps.LatLng?(f.open(a),f.setPosition(d)):f.open(a)})},f},g=function(a,b,c,g){b.css("display","none");var h,i=e.orgAttributes(b),j=e.filter(c),k=e.getOptions(j,a),l=e.getEvents(a,j);!k.position||k.position instanceof google.maps.LatLng||(h=k.position);var m=f(k,l,b);h&&g.getGeoLocation(h).then(function(b){m.setPosition(b),m.__open(g.map,a,b);var e=c.geoCallback;e&&d(e)(a)}),g.addObject("infoWindows",m),g.observeAttrSetObj(i,c,m),a.$on("mapInitialized",function(b,c){if(m.visible&&m.__open(c,a),m.visibleOnMarker){var d=m.visibleOnMarker;m.__open(c,a,c.markers[d])}}),a.showInfoWindow=function(b,c,d){var e=g.map.infoWindows[c],f=d?d:this.getPosition?this:null;e.__open(g.map,a,f),g.singleInfoWindow&&(g.lastInfoWindow&&a.hideInfoWindow(b,g.lastInfoWindow),g.lastInfoWindow=c)},a.hideInfoWindow=a.hideInfoWindow||function(a,b){var c=g.map.infoWindows[b];c.close()}};return{restrict:"E",require:"^map",link:g}};a.$inject=["Attr2Options","$compile","$timeout","$parse"],angular.module("ngMap").directive("infoWindow",a)}(),function(){"use strict";angular.module("ngMap").directive("kmlLayer",["Attr2Options",function(a){var b=a,c=function(a,b){var c=new google.maps.KmlLayer(a);for(var d in b)google.maps.event.addListener(c,d,b[d]);return c};return{restrict:"E",require:"^map",link:function(a,d,e,f){var g=b.orgAttributes(d),h=b.filter(e),i=b.getOptions(h),j=b.getEvents(a,h),k=c(i,j);f.addObject("kmlLayers",k),f.observeAttrSetObj(g,e,k),d.bind("$destroy",function(){f.deleteObject("kmlLayers",k)})}}}])}(),function(){"use strict";angular.module("ngMap").directive("mapData",["Attr2Options",function(a){var b=a;return{restrict:"E",require:"^map",link:function(a,c,d,e){var f=b.filter(d),g=b.getOptions(f),h=b.getEvents(a,f,h);a.$on("mapInitialized",function(b,c){for(var d in g)if(d){var e=g[d];"function"==typeof a[e]?c.data[d](a[e]):c.data[d](e)}for(var f in h)h[f]&&c.data.addListener(f,h[f])})}}}])}(),function(){"use strict";var a,b,c,d,e=function(c,e,f){var g=f.mapLazyLoadParams||f.mapLazyLoad;if(window.lazyLoadCallback=function(){a(function(){e.html(d),b(e.contents())(c)},100)},void 0===window.google||void 0===window.google.maps){var h=document.createElement("script");h.src=g+(g.indexOf("?")>-1?"&":"?")+"callback=lazyLoadCallback",document.body.appendChild(h)}else e.html(d),b(e.contents())(c)},f=function(a,b){return!b.mapLazyLoad&&void 0,d=a.html(),c=b.mapLazyLoad,document.querySelector('script[src="'+c+(c.indexOf("?")>-1?"&":"?")+'callback=lazyLoadCallback"]')?!1:(a.html(""),{pre:e})},g=function(c,d){return b=c,a=d,{compile:f}};g.$inject=["$compile","$timeout"], -angular.module("ngMap").directive("mapLazyLoad",g)}(),function(){"use strict";angular.module("ngMap").directive("mapType",["Attr2Options","$window",function(a,b){return{restrict:"E",require:"^map",link:function(a,c,d,e){var f,g=d.name;if(!g)throw"invalid map-type name";if(d.object){var h=a[d.object]?a:b;f=h[d.object],"function"==typeof f&&(f=new f)}if(!f)throw"invalid map-type object";a.$on("mapInitialized",function(a,b){b.mapTypes.set(g,f)}),e.addObject("mapTypes",f)}}}])}(),function(){"use strict";function a(a,b){var c;return a.currentStyle?c=a.currentStyle[b]:window.getComputedStyle&&(c=document.defaultView.getComputedStyle(a,null).getPropertyValue(b)),c}var b=function(b,c,d){var e=b,f=function(b,f,g,h){var i=e.orgAttributes(f);b.google=google;var j=document.createElement("div");j.style.width="100%",j.style.height="100%",f.prepend(j),"false"!==g.defaultStyle&&("block"!=a(f[0],"display")&&f.css("display","block"),a(f[0],"height").match(/^(0|auto)/)&&f.css("height","300px")),f[0].addEventListener("dragstart",function(a){return a.preventDefault(),!1});var k=function(a,e){var f=new google.maps.Map(j,{});f.markers={},f.shapes={},c(function(){google.maps.event.trigger(f,"resize")}),a.zoom=a.zoom||15;var k=a.center;k?k instanceof google.maps.LatLng||(delete a.center,h.getGeoLocation(k,m.geoLocationOptions).then(function(a){f.setCenter(a);var c=g.geoCallback;c&&d(c)(b)},function(a){f.setCenter(m.geoFallbackCenter)})):a.center=new google.maps.LatLng(0,0),f.setOptions(a),h.singleInfoWindow=a.singleInfoWindow;for(var l in e)l&&google.maps.event.addListener(f,l,e[l]);h.observeAttrSetObj(i,g,f),h.map=f,h.addObjects(h._objects),b.map=f,b.map.scope=b,google.maps.event.addListenerOnce(f,"idle",function(){b.$emit("mapInitialized",f),g.zoomToIncludeMarkers&&(h.zoomToIncludeMarkers(),"auto"==g.zoomToIncludeMarkers&&b.$on("objectChanged",function(a,b){"markers"==b[0]&&h.zoomToIncludeMarkers()}))})},l=e.filter(g),m=e.getOptions(l,b),n=e.getControlOptions(l),o=angular.extend(m,n),p=e.getEvents(b,l);g.initEvent?b.$on(g.initEvent,function(){!h.map&&k(o,p)}):k(o,p)};return{restrict:"AE",controller:"MapController",link:f}};angular.module("ngMap").directive("map",["Attr2Options","$timeout","$parse",b])}(),function(){"use strict";var a=function(a,b,c,d,e){var f=e,g=this,h=function(a,b,c){a.$observe(b,function(a){if(a){var d=f.camelCase("set-"+b),e=f.toOptionValue(a,{key:b});c[d]&&(b.match(/center|position/)&&"string"==typeof e?g.getGeoLocation(e).then(function(a){c[d](a)}):c[d](e))}})};this.map=null,this._objects=[],this.addObject=function(b,c){if(this.map){this.map[b]=this.map[b]||{};var d=Object.keys(this.map[b]).length;this.map[b][c.id||d]=c,"infoWindows"!=b&&c.setMap&&c.setMap&&c.setMap(this.map),c.centered&&c.position&&this.map.setCenter(c.position),a.$emit("objectChanged",[b,this.map[b]])}else c.groupName=b,this._objects.push(c)},this.deleteObject=function(b,c){if(c.map){var d=c.map[b];for(var e in d)d[e]===c&&delete d[e];c.map&&c.setMap&&c.setMap(null),a.$emit("objectChanged",[b,this.map[b]])}},this.addObjects=function(a){for(var b=0;b0;for(var d in b)d&&google.maps.event.addListener(c,d,b[d]);return c},b=function(b,c){var d=b,e=function(b,e,f,g){var h,i=d.orgAttributes(e),j=d.filter(f),k=d.getOptions(j,b),l=d.getEvents(b,j);k.position instanceof google.maps.LatLng||(h=k.position);var m=a(k,l);g.addObject("markers",m),h&&g.getGeoLocation(h).then(function(a){m.setPosition(a),k.centered&&m.map.setCenter(a);var d=f.geoCallback;d&&c(d)(b)}),k.noWatcher||g.observeAttrSetObj(i,f,m),e.bind("$destroy",function(){g.deleteObject("markers",m)})};return{restrict:"E",require:"^map",link:e}};b.$inject=["Attr2Options","$parse"],angular.module("ngMap").directive("marker",b)}(),function(){"use strict";angular.module("ngMap").directive("overlayMapType",["Attr2Options","$window",function(a,b){return{restrict:"E",require:"^map",link:function(a,c,d,e){var f,g=d.initMethod||"insertAt";if(d.object){var h=a[d.object]?a:b;f=h[d.object],"function"==typeof f&&(f=new f)}if(!f)throw"invalid map-type object";a.$on("mapInitialized",function(a,b){if("insertAt"==g){var c=parseInt(d.index,10);b.overlayMapTypes.insertAt(c,f)}else"push"==g&&b.overlayMapTypes.push(f)}),e.addObject("overlayMapTypes",f)}}}])}(),function(){"use strict";var a=function(a,b){var c=a,d=function(a,d,e,f){if("false"===e.placesAutoComplete)return!1;var g=c.filter(e),h=c.getOptions(g),i=c.getEvents(a,g),j=new google.maps.places.Autocomplete(d[0],h);for(var k in i)google.maps.event.addListener(j,k,i[k]);var l=function(){b(function(){f&&f.$setViewValue(d.val())},100)};google.maps.event.addListener(j,"place_changed",l),d[0].addEventListener("change",l),e.$observe("types",function(a){if(a){var b=c.toOptionValue(a,{key:"types"});j.setTypes(b)}})};return{restrict:"A",require:"?ngModel",link:d}};a.$inject=["Attr2Options","$timeout"],angular.module("ngMap").directive("placesAutoComplete",a)}(),function(){"use strict";var a=function(a,b){var c,d=a.name;switch(delete a.name,d){case"circle":a.center instanceof google.maps.LatLng||(a.center=new google.maps.LatLng(0,0)),c=new google.maps.Circle(a);break;case"polygon":c=new google.maps.Polygon(a);break;case"polyline":c=new google.maps.Polyline(a);break;case"rectangle":c=new google.maps.Rectangle(a);break;case"groundOverlay":case"image":var e=a.url,f={opacity:a.opacity,clickable:a.clickable,id:a.id};c=new google.maps.GroundOverlay(e,a.bounds,f)}for(var g in b)b[g]&&google.maps.event.addListener(c,g,b[g]);return c},b=function(b,c){var d=b,e=function(b,e,f,g){var h,i,j=d.orgAttributes(e),k=d.filter(f),l=d.getOptions(k),m=d.getEvents(b,k);i=l.name,l.center instanceof google.maps.LatLng||(h=l.center);var n=a(l,m);g.addObject("shapes",n),h&&"circle"==i&&g.getGeoLocation(h).then(function(a){n.setCenter(a),n.centered&&n.map.setCenter(a);var d=f.geoCallback;d&&c(d)(b)}),g.observeAttrSetObj(j,f,n),e.bind("$destroy",function(){g.deleteObject("shapes",n)})};return{restrict:"E",require:"^map",link:e}};b.$inject=["Attr2Options","$parse"],angular.module("ngMap").directive("shape",b)}(),function(){"use strict";var a=function(a){var b=a,c=function(a,b,c){var d,e;b.container&&(e=document.getElementById(b.container),e=e||document.querySelector(b.container)),e?d=new google.maps.StreetViewPanorama(e,b):(d=a.getStreetView(),d.setOptions(b));for(var f in c)f&&google.maps.event.addListener(d,f,c[f]);return d},d=function(a,d,e,f){var g=(b.orgAttributes(d),b.filter(e)),h=b.getOptions(g),i=b.getControlOptions(g),j=angular.extend(h,i),k=b.getEvents(a,g);a.$on("mapInitialized",function(a,b){var d=c(b,j,k);b.setStreetView(d),!d.getPosition()&&d.setPosition(b.getCenter()),google.maps.event.addListener(d,"position_changed",function(){d.getPosition()!==b.getCenter()&&b.setCenter(d.getPosition())});var e=google.maps.event.addListener(b,"center_changed",function(){d.setPosition(b.getCenter()),google.maps.event.removeListener(e)})})};return{restrict:"E",require:"^map",link:d}};a.$inject=["Attr2Options"],angular.module("ngMap").directive("streetViewPanorama",a)}(),function(){"use strict";angular.module("ngMap").directive("trafficLayer",["Attr2Options",function(a){var b=a,c=function(a,b){var c=new google.maps.TrafficLayer(a);for(var d in b)google.maps.event.addListener(c,d,b[d]);return c};return{restrict:"E",require:"^map",link:function(a,d,e,f){var g=b.orgAttributes(d),h=b.filter(e),i=b.getOptions(h),j=b.getEvents(a,h),k=c(i,j);f.addObject("trafficLayers",k),f.observeAttrSetObj(g,e,k),d.bind("$destroy",function(){f.deleteObject("trafficLayers",k)})}}}])}(),function(){"use strict";angular.module("ngMap").directive("transitLayer",["Attr2Options",function(a){var b=a,c=function(a,b){var c=new google.maps.TransitLayer(a);for(var d in b)google.maps.event.addListener(c,d,b[d]);return c};return{restrict:"E",require:"^map",link:function(a,d,e,f){var g=b.orgAttributes(d),h=b.filter(e),i=b.getOptions(h),j=b.getEvents(a,h),k=c(i,j);f.addObject("transitLayers",k),f.observeAttrSetObj(g,e,k),d.bind("$destroy",function(){f.deleteObject("transitLayers",k)})}}}])}(),function(){"use strict";angular.module("ngMap").directive("weatherLayer",["Attr2Options",function(a){var b=a,c=function(a,b){var c=new google.maps.weather.WeatherLayer(a);for(var d in b)google.maps.event.addListener(c,d,b[d]);return c};return{restrict:"E",require:"^map",link:function(a,d,e,f){var g=b.orgAttributes(d),h=b.filter(e),i=b.getOptions(h),j=b.getEvents(a,h),k=c(i,j);f.addObject("weatherLayers",k),f.observeAttrSetObj(g,e,k),d.bind("$destroy",function(){f.deleteObject("weatherLayers",k)})}}}])}(),function(a,b){"use strict";var c=["ng","oc.lazyLoad"],d={},e=[],f=[],g=[],h=[],i=a.noop,j={},k=[],l=a.module("oc.lazyLoad",["ng"]);l.provider("$ocLazyLoad",["$controllerProvider","$provide","$compileProvider","$filterProvider","$injector","$animateProvider",function(l,m,p,q,r,s){function t(b,d,e){if(d){var f,h,l,m=[];for(f=d.length-1;f>=0;f--)if(h=d[f],a.isString(h)||(h=w(h)),h&&-1===k.indexOf(h)&&(!y[h]||-1!==g.indexOf(h))){var n=-1===c.indexOf(h);if(l=o(h),n&&(c.push(h),t(b,l.requires,e)),l._runBlocks.length>0)for(j[h]=[];l._runBlocks.length>0;)j[h].push(l._runBlocks.shift());a.isDefined(j[h])&&(n||e.rerun)&&(m=m.concat(j[h])),v(b,l._invokeQueue,h,e.reconfig),v(b,l._configBlocks,h,e.reconfig),i(n?"ocLazyLoad.moduleLoaded":"ocLazyLoad.moduleReloaded",h),d.pop(),k.push(h)}var p=b.getInstanceInjector();a.forEach(m,function(a){p.invoke(a)})}}function u(b,c){function e(b,c){var d,e=!0;return c.length&&(d=f(b),a.forEach(c,function(a){e=e&&f(a)!==d})),e}function f(b){return a.isArray(b)?F(b.toString()):a.isObject(b)?F(E(b)):a.isDefined(b)&&null!==b?F(b.toString()):b}var g=b[2][0],h=b[1],j=!1;a.isUndefined(d[c])&&(d[c]={}),a.isUndefined(d[c][h])&&(d[c][h]={});var k=function(a,b){d[c][h].hasOwnProperty(a)||(d[c][h][a]=[]),e(b,d[c][h][a])&&(j=!0,d[c][h][a].push(b),i("ocLazyLoad.componentLoaded",[c,h,a]))};if(a.isString(g))k(g,b[2][1]);else{if(!a.isObject(g))return!1;a.forEach(g,function(b,c){a.isString(b)?k(b,g[1]):k(c,b)})}return j}function v(b,c,d,f){if(c){var g,h,i,j;for(g=0,h=c.length;h>g;g++)if(i=c[g],a.isArray(i)){if(null!==b){if(!b.hasOwnProperty(i[0]))throw new Error("unsupported provider "+i[0]);j=b[i[0]]}var k=u(i,d);if("invoke"!==i[1])k&&a.isDefined(j)&&j[i[1]].apply(j,i[2]);else{var l=function(b){var c=e.indexOf(d+"-"+b);(-1===c||f)&&(-1===c&&e.push(d+"-"+b),a.isDefined(j)&&j[i[1]].apply(j,i[2]))};if(a.isFunction(i[2][0]))l(i[2][0]);else if(a.isArray(i[2][0]))for(var m=0,n=i[2][0].length;n>m;m++)a.isFunction(i[2][0][m])&&l(i[2][0][m])}}}}function w(b){var c=null;return a.isString(b)?c=b:a.isObject(b)&&b.hasOwnProperty("name")&&a.isString(b.name)&&(c=b.name),c}function x(b){if(!a.isString(b))return!1;try{return o(b)}catch(c){if(/No module/.test(c)||c.message.indexOf("$injector:nomod")>-1)return!1}}var y={},z={$controllerProvider:l,$compileProvider:p,$filterProvider:q,$provide:m,$injector:r,$animateProvider:s},A=!1,B=!1,C=[],D={};C.push=function(a){-1===this.indexOf(a)&&Array.prototype.push.apply(this,arguments)},this.config=function(b){a.isDefined(b.modules)&&(a.isArray(b.modules)?a.forEach(b.modules,function(a){y[a.name]=a}):y[b.modules.name]=b.modules),a.isDefined(b.debug)&&(A=b.debug),a.isDefined(b.events)&&(B=b.events)},this._init=function(d){if(0===f.length){var e=[d],g=["ng:app","ng-app","x-ng-app","data-ng-app"],i=/\sng[:\-]app(:\s*([\w\d_]+);?)?\s/,j=function(a){return a&&e.push(a)};a.forEach(g,function(b){g[b]=!0,j(document.getElementById(b)),b=b.replace(":","\\:"),"undefined"!=typeof d[0]&&d[0].querySelectorAll&&(a.forEach(d[0].querySelectorAll("."+b),j),a.forEach(d[0].querySelectorAll("."+b+"\\:"),j),a.forEach(d[0].querySelectorAll("["+b+"]"),j))}),a.forEach(e,function(b){if(0===f.length){var c=" "+d.className+" ",e=i.exec(c);e?f.push((e[2]||"").replace(/\s+/g,",")):a.forEach(b.attributes,function(a){0===f.length&&g[a.name]&&f.push(a.value)})}})}0!==f.length||(b.jasmine||b.mocha)&&a.isDefined(a.mock)||console.error("No module found during bootstrap, unable to init ocLazyLoad. You should always use the ng-app directive or angular.boostrap when you use ocLazyLoad.");var k=function l(b){if(-1===c.indexOf(b)){c.push(b);var d=a.module(b);v(null,d._invokeQueue,b),v(null,d._configBlocks,b),a.forEach(d.requires,l)}};a.forEach(f,function(a){k(a)}),f=[],h.pop()};var E=function(b){try{return JSON.stringify(b)}catch(c){var d=[];return JSON.stringify(b,function(b,c){if(a.isObject(c)&&null!==c){if(-1!==d.indexOf(c))return;d.push(c)}return c})}},F=function(a){var b,c,d,e=0;if(0==a.length)return e;for(b=0,d=a.length;d>b;b++)c=a.charCodeAt(b),e=(e<<5)-e+c,e|=0;return e};this.$get=["$log","$rootElement","$rootScope","$cacheFactory","$q",function(b,e,g,j,l){function m(a){var c=l.defer();return b.error(a.message),c.reject(a),c.promise}var p,q=j("ocLazyLoad");return A||(b={},b.error=a.noop,b.warn=a.noop,b.info=a.noop),z.getInstanceInjector=function(){return p?p:p=e.data("$injector")||a.injector()},i=function(a,c){B&&g.$broadcast(a,c),A&&b.info(a,c)},{_broadcast:i,_$log:b,_getFilesCache:function(){return q},toggleWatch:function(a){a?h.push(!0):h.pop()},getModuleConfig:function(b){if(!a.isString(b))throw new Error("You need to give the name of the module to get");return y[b]?a.copy(y[b]):null},setModuleConfig:function(b){if(!a.isObject(b))throw new Error("You need to give the module config object to set");return y[b.name]=b,b},getModules:function(){return c},isLoaded:function(b){var d=function(a){var b=c.indexOf(a)>-1;return b||(b=!!x(a)),b};if(a.isString(b)&&(b=[b]),a.isArray(b)){var e,f;for(e=0,f=b.length;f>e;e++)if(!d(b[e]))return!1;return!0}throw new Error("You need to define the module(s) name(s)")},_getModuleName:w,_getModule:function(a){try{return o(a)}catch(b){throw(/No module/.test(b)||b.message.indexOf("$injector:nomod")>-1)&&(b.message='The module "'+E(a)+'" that you are trying to load does not exist. '+b.message),b}},moduleExists:x,_loadDependencies:function(b,c){var d,e,f,g=[],h=this;if(b=h._getModuleName(b),null===b)return l.when();try{d=h._getModule(b)}catch(i){return m(i)}return e=h.getRequires(d),a.forEach(e,function(d){if(a.isString(d)){var e=h.getModuleConfig(d);if(null===e)return void C.push(d);d=e,e.name=void 0}if(h.moduleExists(d.name))return f=d.files.filter(function(a){return h.getModuleConfig(d.name).files.indexOf(a)<0}),0!==f.length&&h._$log.warn('Module "',b,'" attempted to redefine configuration for dependency. "',d.name,'"\n Additional Files Loaded:',f),a.isDefined(h.filesLoader)?void g.push(h.filesLoader(d,c).then(function(){return h._loadDependencies(d)})):m(new Error("Error: New dependencies need to be loaded from external files ("+d.files+"), but no loader has been defined."));if(a.isArray(d)){var i=[];a.forEach(d,function(a){var b=h.getModuleConfig(a);null===b?i.push(a):b.files&&(i=i.concat(b.files))}),i.length>0&&(d={files:i})}else a.isObject(d)&&d.hasOwnProperty("name")&&d.name&&(h.setModuleConfig(d),C.push(d.name));if(a.isDefined(d.files)&&0!==d.files.length){if(!a.isDefined(h.filesLoader))return m(new Error('Error: the module "'+d.name+'" is defined in external files ('+d.files+"), but no loader has been defined."));g.push(h.filesLoader(d,c).then(function(){return h._loadDependencies(d)}))}}),l.all(g)},inject:function(b){var c=arguments.length<=1||void 0===arguments[1]?{}:arguments[1],d=arguments.length<=2||void 0===arguments[2]?!1:arguments[2],e=this,g=l.defer();if(a.isDefined(b)&&null!==b){if(a.isArray(b)){var h=[];return a.forEach(b,function(a){h.push(e.inject(a,c,d))}),l.all(h)}e._addToLoadList(e._getModuleName(b),!0,d)}if(f.length>0){var i=f.slice(),j=function m(a){C.push(a),D[a]=g.promise,e._loadDependencies(a,c).then(function(){try{k=[],t(z,C,c)}catch(a){return e._$log.error(a.message),void g.reject(a)}f.length>0?m(f.shift()):g.resolve(i)},function(a){g.reject(a)})};j(f.shift())}else{if(c&&c.name&&D[c.name])return D[c.name];g.resolve()}return g.promise},getRequires:function(b){var d=[];return a.forEach(b.requires,function(a){-1===c.indexOf(a)&&d.push(a)}),d},_invokeQueue:v,_registerInvokeList:u,_register:t,_addToLoadList:n,_unregister:function(b){a.isDefined(b)&&a.isArray(b)&&a.forEach(b,function(a){d[a]=void 0})}}}],this._init(a.element(b.document))}]);var m=a.bootstrap;a.bootstrap=function(b,c,d){return a.forEach(c.slice(),function(a){n(a,!0,!0)}),m(b,c,d)};var n=function(b,c,d){(h.length>0||c)&&a.isString(b)&&-1===f.indexOf(b)&&(f.push(b),d&&g.push(b))},o=a.module;a.module=function(a,b,c){return n(a,!1,!0),o(a,b,c)},"undefined"!=typeof module&&"undefined"!=typeof exports&&module.exports===exports&&(module.exports="oc.lazyLoad")}(angular,window),function(a){"use strict";a.module("oc.lazyLoad").directive("ocLazyLoad",["$ocLazyLoad","$compile","$animate","$parse","$timeout",function(b,c,d,e,f){return{restrict:"A",terminal:!0,priority:1e3,compile:function(f,g){var h=f[0].innerHTML;return f.html(""),function(f,g,i){var j=e(i.ocLazyLoad);f.$watch(function(){return j(f)||i.ocLazyLoad},function(e){a.isDefined(e)&&b.load(e).then(function(){d.enter(h,g),c(g.contents())(f)})},!0)}}}}])}(angular),function(a){"use strict";a.module("oc.lazyLoad").config(["$provide",function(b){b.decorator("$ocLazyLoad",["$delegate","$q","$window","$interval",function(b,c,d,e){var f=!1,g=!1,h=d.document.getElementsByTagName("head")[0]||d.document.getElementsByTagName("body")[0];return b.buildElement=function(i,j,k){var l,m,n=c.defer(),o=b._getFilesCache(),p=function(a){var b=(new Date).getTime();return a.indexOf("?")>=0?"&"===a.substring(0,a.length-1)?a+"_dc="+b:a+"&_dc="+b:a+"?_dc="+b};switch(a.isUndefined(o.get(j))&&o.put(j,n.promise),i){case"css":l=d.document.createElement("link"),l.type="text/css",l.rel="stylesheet",l.href=k.cache===!1?p(j):j;break;case"js":l=d.document.createElement("script"),l.src=k.cache===!1?p(j):j;break;default:o.remove(j),n.reject(new Error('Requested type "'+i+'" is not known. Could not inject "'+j+'"'))}l.onload=l.onreadystatechange=function(a){l.readyState&&!/^c|loade/.test(l.readyState)||m||(l.onload=l.onreadystatechange=null,m=1,b._broadcast("ocLazyLoad.fileLoaded",j),n.resolve())},l.onerror=function(){o.remove(j),n.reject(new Error("Unable to load "+j))},l.async=k.serie?0:1;var q=h.lastChild;if(k.insertBefore){var r=a.element(a.isDefined(window.jQuery)?k.insertBefore:document.querySelector(k.insertBefore));r&&r.length>0&&(q=r[0])}if(q.parentNode.insertBefore(l,q),"css"==i){if(!f){var s=d.navigator.userAgent.toLowerCase();if(/iP(hone|od|ad)/.test(d.navigator.platform)){var t=d.navigator.appVersion.match(/OS (\d+)_(\d+)_?(\d+)?/),u=parseFloat([parseInt(t[1],10),parseInt(t[2],10),parseInt(t[3]||0,10)].join("."));g=6>u}else if(s.indexOf("android")>-1){var v=parseFloat(s.slice(s.indexOf("android")+8));g=4.4>v}else if(s.indexOf("safari")>-1){var w=s.match(/version\/([\.\d]+)/i);g=w&&w[1]&&parseFloat(w[1])<6}}if(g)var x=1e3,y=e(function(){try{l.sheet.cssRules,e.cancel(y),l.onload()}catch(a){--x<=0&&l.onerror()}},20)}return n.promise},b}])}])}(angular),function(a){"use strict";a.module("oc.lazyLoad").config(["$provide",function(b){b.decorator("$ocLazyLoad",["$delegate","$q",function(b,c){return b.filesLoader=function(d){var e=arguments.length<=1||void 0===arguments[1]?{}:arguments[1],f=[],g=[],h=[],i=[],j=null,k=b._getFilesCache();b.toggleWatch(!0),a.extend(e,d);var l=function(c){var d,l=null;if(a.isObject(c)&&(l=c.type,c=c.path),j=k.get(c),a.isUndefined(j)||e.cache===!1){if(null!==(d=/^(css|less|html|htm|js)?(?=!)/.exec(c))&&(l=d[1],c=c.substr(d[1].length+1,c.length)),!l)if(null!==(d=/[.](css|less|html|htm|js)?((\?|#).*)?$/.exec(c)))l=d[1];else{if(b.jsLoader.hasOwnProperty("ocLazyLoadLoader")||!b.jsLoader.hasOwnProperty("requirejs"))return void b._$log.error("File type could not be determined. "+c);l="js"}"css"!==l&&"less"!==l||-1!==f.indexOf(c)?"html"!==l&&"htm"!==l||-1!==g.indexOf(c)?"js"===l||-1===h.indexOf(c)?h.push(c):b._$log.error("File type is not valid. "+c):g.push(c):f.push(c)}else j&&i.push(j)};if(e.serie?l(e.files.shift()):a.forEach(e.files,function(a){l(a)}),f.length>0){var m=c.defer();b.cssLoader(f,function(c){a.isDefined(c)&&b.cssLoader.hasOwnProperty("ocLazyLoadLoader")?(b._$log.error(c),m.reject(c)):m.resolve()},e),i.push(m.promise)}if(g.length>0){var n=c.defer();b.templatesLoader(g,function(c){a.isDefined(c)&&b.templatesLoader.hasOwnProperty("ocLazyLoadLoader")?(b._$log.error(c),n.reject(c)):n.resolve()},e),i.push(n.promise)}if(h.length>0){var o=c.defer();b.jsLoader(h,function(c){a.isDefined(c)&&(b.jsLoader.hasOwnProperty("ocLazyLoadLoader")||b.jsLoader.hasOwnProperty("requirejs"))?(b._$log.error(c),o.reject(c)):o.resolve()},e),i.push(o.promise)}if(0===i.length){var p=c.defer(),q="Error: no file to load has been found, if you're trying to load an existing module you should use the 'inject' method instead of 'load'.";return b._$log.error(q),p.reject(q),p.promise}return e.serie&&e.files.length>0?c.all(i).then(function(){return b.filesLoader(d,e)}):c.all(i)["finally"](function(a){return b.toggleWatch(!1),a})},b.load=function(d){var e,f=arguments.length<=1||void 0===arguments[1]?{}:arguments[1],g=this,h=null,i=[],j=c.defer(),k=a.copy(d),l=a.copy(f);if(a.isArray(k))return a.forEach(k,function(a){i.push(g.load(a,l))}),c.all(i).then(function(a){j.resolve(a)},function(a){j.reject(a)}),j.promise;if(a.isString(k)?(h=g.getModuleConfig(k),h||(h={files:[k]})):a.isObject(k)&&(h=a.isDefined(k.path)&&a.isDefined(k.type)?{files:[k]}:g.setModuleConfig(k)),null===h){var m=g._getModuleName(k);return e='Module "'+(m||"unknown")+'" is not configured, cannot load.',b._$log.error(e),j.reject(new Error(e)),j.promise}a.isDefined(h.template)&&(a.isUndefined(h.files)&&(h.files=[]),a.isString(h.template)?h.files.push(h.template):a.isArray(h.template)&&h.files.concat(h.template));var n=a.extend({},l,h);return a.isUndefined(h.files)&&a.isDefined(h.name)&&b.moduleExists(h.name)?b.inject(h.name,n,!0):(b.filesLoader(h,n).then(function(){b.inject(null,n).then(function(a){j.resolve(a)},function(a){j.reject(a)})},function(a){j.reject(a)}),j.promise)},b}])}])}(angular),function(a){"use strict";a.module("oc.lazyLoad").config(["$provide",function(b){b.decorator("$ocLazyLoad",["$delegate","$q",function(b,c){return b.cssLoader=function(d,e,f){var g=[];a.forEach(d,function(a){g.push(b.buildElement("css",a,f))}),c.all(g).then(function(){e()},function(a){e(a)})},b.cssLoader.ocLazyLoadLoader=!0,b}])}])}(angular),function(a){"use strict";a.module("oc.lazyLoad").config(["$provide",function(b){b.decorator("$ocLazyLoad",["$delegate","$q",function(b,c){return b.jsLoader=function(d,e,f){var g=[];a.forEach(d,function(a){g.push(b.buildElement("js",a,f))}),c.all(g).then(function(){e()},function(a){e(a)})},b.jsLoader.ocLazyLoadLoader=!0,b}])}])}(angular),function(a){"use strict";a.module("oc.lazyLoad").config(["$provide",function(b){b.decorator("$ocLazyLoad",["$delegate","$templateCache","$q","$http",function(b,c,d,e){return b.templatesLoader=function(f,g,h){var i=[],j=b._getFilesCache();return a.forEach(f,function(b){var f=d.defer();i.push(f.promise),e.get(b,h).success(function(d){a.isString(d)&&d.length>0&&a.forEach(a.element(d),function(a){"SCRIPT"===a.nodeName&&"text/ng-template"===a.type&&c.put(a.id,a.innerHTML)}),a.isUndefined(j.get(b))&&j.put(b,!0),f.resolve()}).error(function(a){f.reject(new Error('Unable to load template file "'+b+'": '+a))})}),d.all(i).then(function(){g()},function(a){g(a)})},b.templatesLoader.ocLazyLoadLoader=!0,b}])}])}(angular),Array.prototype.indexOf||(Array.prototype.indexOf=function(a,b){var c;if(null==this)throw new TypeError('"this" is null or not defined');var d=Object(this),e=d.length>>>0;if(0===e)return-1;var f=+b||0;if(Math.abs(f)===1/0&&(f=0),f>=e)return-1;for(c=Math.max(f>=0?f:e-Math.abs(f),0);e>c;){if(c in d&&d[c]===a)return c;c++}return-1}),"undefined"==typeof PDFJS&&(("undefined"!=typeof window?window:this).PDFJS={}),PDFJS.version="1.1.551",PDFJS.build="2a5616c",function(){"use strict";function a(a){PDFJS.verbosity>=PDFJS.VERBOSITY_LEVELS.infos&&console.log("Info: "+a)}function b(a){PDFJS.verbosity>=PDFJS.VERBOSITY_LEVELS.warnings&&console.log("Warning: "+a)}function c(a){b("Deprecated API usage: "+a)}function d(a){throw PDFJS.verbosity>=PDFJS.VERBOSITY_LEVELS.errors&&(console.log("Error: "+a),console.log(e())),I.notify(H.unknown),new Error(a)}function e(){try{throw new Error}catch(a){return a.stack?a.stack.split("\n").slice(2).join("\n"):""}}function f(a,b){a||d(b)}function g(a,b){if(!b)return a;if(/^[a-z][a-z0-9+\-.]*:/i.test(b))return b;var c;if("/"===b.charAt(0))return c=a.indexOf("://"),"/"===b.charAt(1)?++c:c=a.indexOf("/",c+3),a.substring(0,c)+b;var d=a.length;c=a.lastIndexOf("#"),d=c>=0?c:d,c=a.lastIndexOf("?",d),d=c>=0?c:d;var e=a.lastIndexOf("/",d);return a.substring(0,e+1)+b}function h(a,b){if(!a)return!1;var c=/^[a-z][a-z0-9+\-.]*(?=:)/i.exec(a);if(!c)return b;switch(c=c[0].toLowerCase()){case"http":case"https":case"ftp":case"mailto":case"tel":return!0;default:return!1}}function i(a,b,c){return Object.defineProperty(a,b,{value:c,enumerable:!0,configurable:!0,writable:!1}),c}function j(){switch(PDFJS.openExternalLinksInNewWindow&&(b("PDFJS.openExternalLinksInNewWindow is deprecated, use PDFJS.externalLinkTarget instead."),PDFJS.externalLinkTarget===J.NONE&&(PDFJS.externalLinkTarget=J.BLANK),PDFJS.openExternalLinksInNewWindow=!1),PDFJS.externalLinkTarget){case J.NONE:return!1;case J.SELF:case J.BLANK:case J.PARENT:case J.TOP:return!0}return b("PDFJS.externalLinkTarget is invalid: "+PDFJS.externalLinkTarget),PDFJS.externalLinkTarget=J.NONE,!1}function k(a){f(null!==a&&"object"==typeof a&&void 0!==a.length,"Invalid argument for bytesToString");var b=a.length,c=8192;if(c>b)return String.fromCharCode.apply(null,a);for(var d=[],e=0;b>e;e+=c){var g=Math.min(e+c,b),h=a.subarray(e,g);d.push(String.fromCharCode.apply(null,h))}return d.join("")}function l(a){f("string"==typeof a,"Invalid argument for stringToBytes");for(var b=a.length,c=new Uint8Array(b),d=0;b>d;++d)c[d]=255&a.charCodeAt(d);return c}function m(a){return String.fromCharCode(a>>24&255,a>>16&255,a>>8&255,255&a)}function n(){var a=new Uint8Array(2);a[0]=1;var b=new Uint16Array(a.buffer);return 1===b[0]}function o(){var a=document.createElement("canvas");a.width=a.height=1;var b=a.getContext("2d"),c=b.createImageData(1,1);return"undefined"!=typeof c.data.buffer}function p(a){return"number"==typeof a}function q(a){return a instanceof Array}function r(a){return"object"==typeof a&&null!==a&&void 0!==a.byteLength}function s(){var a={};return a.promise=new Promise(function(b,c){a.resolve=b,a.reject=c}),a}function t(a,b){this.name=a,this.comObj=b,this.callbackIndex=1,this.postMessageTransfers=!0;var c=this.callbacksCapabilities={},e=this.actionHandler={};e.console_log=[function(a){console.log.apply(console,a)}],e.console_error=[function(a){console.error.apply(console,a)}],e._unsupported_feature=[function(a){I.notify(a)}],b.onmessage=function(a){var f=a.data;if(f.isReply){var g=f.callbackId;if(f.callbackId in c){var h=c[g];delete c[g],"error"in f?h.reject(f.error):h.resolve(f.data)}else d("Cannot resolve callback "+g)}else if(f.action in e){var i=e[f.action];f.callbackId?Promise.resolve().then(function(){return i[0].call(i[1],f.data)}).then(function(a){b.postMessage({isReply:!0,callbackId:f.callbackId,data:a})},function(a){a instanceof Error&&(a+=""),b.postMessage({isReply:!0,callbackId:f.callbackId,error:a})}):i[0].call(i[1],f.data)}else d("Unknown action from worker: "+f.action)}}function u(a,c,d){var e=new Image;e.onload=function(){d.resolve(a,e)},e.onerror=function(){d.resolve(a,null),b("Error during JPEG image loading")},e.src=c}function v(a,b){var c=document.createElement("canvas");return c.width=a,c.height=b,c}function w(a){a.mozCurrentTransform||(a._originalSave=a.save,a._originalRestore=a.restore,a._originalRotate=a.rotate,a._originalScale=a.scale,a._originalTranslate=a.translate,a._originalTransform=a.transform,a._originalSetTransform=a.setTransform,a._transformMatrix=a._transformMatrix||[1,0,0,1,0,0],a._transformStack=[],Object.defineProperty(a,"mozCurrentTransform",{get:function(){return this._transformMatrix}}),Object.defineProperty(a,"mozCurrentTransformInverse",{get:function(){var a=this._transformMatrix,b=a[0],c=a[1],d=a[2],e=a[3],f=a[4],g=a[5],h=b*e-c*d,i=c*d-b*e;return[e/h,c/i,d/i,b/h,(e*f-d*g)/i,(c*f-b*g)/h]}}),a.save=function(){var a=this._transformMatrix;this._transformStack.push(a),this._transformMatrix=a.slice(0,6),this._originalSave()},a.restore=function(){var a=this._transformStack.pop();a&&(this._transformMatrix=a,this._originalRestore())},a.translate=function(a,b){var c=this._transformMatrix;c[4]=c[0]*a+c[2]*b+c[4],c[5]=c[1]*a+c[3]*b+c[5],this._originalTranslate(a,b)},a.scale=function(a,b){var c=this._transformMatrix;c[0]=c[0]*a,c[1]=c[1]*a,c[2]=c[2]*b,c[3]=c[3]*b,this._originalScale(a,b)},a.transform=function(b,c,d,e,f,g){var h=this._transformMatrix;this._transformMatrix=[h[0]*b+h[2]*c,h[1]*b+h[3]*c,h[0]*d+h[2]*e,h[1]*d+h[3]*e,h[0]*f+h[2]*g+h[4],h[1]*f+h[3]*g+h[5]],a._originalTransform(b,c,d,e,f,g)},a.setTransform=function(b,c,d,e,f,g){this._transformMatrix=[b,c,d,e,f,g],a._originalSetTransform(b,c,d,e,f,g)},a.rotate=function(a){var b=Math.cos(a),c=Math.sin(a),d=this._transformMatrix;this._transformMatrix=[d[0]*b+d[2]*c,d[1]*b+d[3]*c,d[0]*-c+d[2]*b,d[1]*-c+d[3]*b,d[4],d[5]],this._originalRotate(a)})}function x(a){var b,c,d,e,f=1e3,g=a.width,h=a.height,i=g+1,j=new Uint8Array(i*(h+1)),k=new Uint8Array([0,2,4,0,1,0,5,4,8,10,0,8,0,2,1,0]),l=g+7&-8,m=a.data,n=new Uint8Array(l*h),o=0;for(b=0,e=m.length;e>b;b++)for(var p=128,q=m[b];p>0;)n[o++]=q&p?0:255,p>>=1;var r=0;for(o=0,0!==n[o]&&(j[0]=1,++r),c=1;g>c;c++)n[o]!==n[o+1]&&(j[c]=n[o]?2:1,++r),o++;for(0!==n[o]&&(j[c]=2,++r),b=1;h>b;b++){o=b*l,d=b*i,n[o-l]!==n[o]&&(j[d]=n[o]?1:8,++r);var s=(n[o]?4:0)+(n[o-l]?8:0);for(c=1;g>c;c++)s=(s>>2)+(n[o+1]?4:0)+(n[o-l+1]?8:0),k[s]&&(j[d+c]=k[s],++r),o++;if(n[o-l]!==n[o]&&(j[d+c]=n[o]?2:4,++r),r>f)return null}for(o=l*(h-1),d=b*i,0!==n[o]&&(j[d]=8,++r),c=1;g>c;c++)n[o]!==n[o+1]&&(j[d+c]=n[o]?4:8,++r),o++;if(0!==n[o]&&(j[d+c]=4,++r),r>f)return null;var t=new Int32Array([0,i,-1,0,-i,0,0,0,1]),u=[];for(b=0;r&&h>=b;b++){for(var v=b*i,w=v+g;w>v&&!j[v];)v++;if(v!==w){var x,y=[v%i,b],z=j[v],A=v;do{var B=t[z];do v+=B;while(!j[v]);x=j[v],5!==x&&10!==x?(z=x,j[v]=0):(z=x&51*z>>4,j[v]&=z>>2|z<<2),y.push(v%i),y.push(v/i|0),--r}while(A!==v);u.push(y),--b}}var C=function(a){ -a.save(),a.scale(1/g,-1/h),a.translate(0,-h),a.beginPath();for(var b=0,c=u.length;c>b;b++){var d=u[b];a.moveTo(d[0],d[1]);for(var e=2,f=d.length;f>e;e+=2)a.lineTo(d[e],d[e+1])}a.fill(),a.beginPath(),a.restore()};return C}function y(a){var b=ma[a[0]];return b||d("Unknown IR type: "+a[0]),b.fromIR(a)}var z="undefined"==typeof window?this:window,A="undefined"==typeof window,B=[.001,0,0,.001,0,0],C={FILL:0,STROKE:1,FILL_STROKE:2,INVISIBLE:3,FILL_ADD_TO_PATH:4,STROKE_ADD_TO_PATH:5,FILL_STROKE_ADD_TO_PATH:6,ADD_TO_PATH:7,FILL_STROKE_MASK:3,ADD_TO_PATH_FLAG:4},D={GRAYSCALE_1BPP:1,RGB_24BPP:2,RGBA_32BPP:3},E={WIDGET:1,TEXT:2,LINK:3},F={SOLID:1,DASHED:2,BEVELED:3,INSET:4,UNDERLINE:5};z.PDFJS||(z.PDFJS={}),z.PDFJS.pdfBug=!1,PDFJS.VERBOSITY_LEVELS={errors:0,warnings:1,infos:5};var G=PDFJS.OPS={dependency:1,setLineWidth:2,setLineCap:3,setLineJoin:4,setMiterLimit:5,setDash:6,setRenderingIntent:7,setFlatness:8,setGState:9,save:10,restore:11,transform:12,moveTo:13,lineTo:14,curveTo:15,curveTo2:16,curveTo3:17,closePath:18,rectangle:19,stroke:20,closeStroke:21,fill:22,eoFill:23,fillStroke:24,eoFillStroke:25,closeFillStroke:26,closeEOFillStroke:27,endPath:28,clip:29,eoClip:30,beginText:31,endText:32,setCharSpacing:33,setWordSpacing:34,setHScale:35,setLeading:36,setFont:37,setTextRenderingMode:38,setTextRise:39,moveText:40,setLeadingMoveText:41,setTextMatrix:42,nextLine:43,showText:44,showSpacedText:45,nextLineShowText:46,nextLineSetSpacingShowText:47,setCharWidth:48,setCharWidthAndBounds:49,setStrokeColorSpace:50,setFillColorSpace:51,setStrokeColor:52,setStrokeColorN:53,setFillColor:54,setFillColorN:55,setStrokeGray:56,setFillGray:57,setStrokeRGBColor:58,setFillRGBColor:59,setStrokeCMYKColor:60,setFillCMYKColor:61,shadingFill:62,beginInlineImage:63,beginImageData:64,endInlineImage:65,paintXObject:66,markPoint:67,markPointProps:68,beginMarkedContent:69,beginMarkedContentProps:70,endMarkedContent:71,beginCompat:72,endCompat:73,paintFormXObjectBegin:74,paintFormXObjectEnd:75,beginGroup:76,endGroup:77,beginAnnotations:78,endAnnotations:79,beginAnnotation:80,endAnnotation:81,paintJpegXObject:82,paintImageMaskXObject:83,paintImageMaskXObjectGroup:84,paintImageXObject:85,paintInlineImageXObject:86,paintInlineImageXObjectGroup:87,paintImageXObjectRepeat:88,paintImageMaskXObjectRepeat:89,paintSolidColorImageMask:90,constructPath:91},H=PDFJS.UNSUPPORTED_FEATURES={unknown:"unknown",forms:"forms",javaScript:"javaScript",smask:"smask",shadingPattern:"shadingPattern",font:"font"},I=PDFJS.UnsupportedManager=function(){var a=[];return{listen:function(b){a.push(b)},notify:function(c){b('Unsupported feature "'+c+'"');for(var d=0,e=a.length;e>d;d++)a[d](c)}}}();PDFJS.isValidUrl=h,PDFJS.shadow=i;var J=PDFJS.LinkTarget={NONE:0,SELF:1,BLANK:2,PARENT:3,TOP:4},K=["","_self","_blank","_parent","_top"];PDFJS.isExternalLinkTargetSet=j;var L=PDFJS.PasswordResponses={NEED_PASSWORD:1,INCORRECT_PASSWORD:2},M=function(){function a(a,b){this.name="PasswordException",this.message=a,this.code=b}return a.prototype=new Error,a.constructor=a,a}();PDFJS.PasswordException=M;var N=function(){function a(a,b){this.name="UnknownErrorException",this.message=a,this.details=b}return a.prototype=new Error,a.constructor=a,a}();PDFJS.UnknownErrorException=N;var O=function(){function a(a){this.name="InvalidPDFException",this.message=a}return a.prototype=new Error,a.constructor=a,a}();PDFJS.InvalidPDFException=O;var P=function(){function a(a){this.name="MissingPDFException",this.message=a}return a.prototype=new Error,a.constructor=a,a}();PDFJS.MissingPDFException=P;var Q=function(){function a(a,b){this.name="UnexpectedResponseException",this.message=a,this.status=b}return a.prototype=new Error,a.constructor=a,a}();PDFJS.UnexpectedResponseException=Q;(function(){function a(a){this.message=a}return a.prototype=new Error,a.prototype.name="NotImplementedException",a.constructor=a,a})(),function(){function a(a,b){this.begin=a,this.end=b,this.message="Missing data ["+a+", "+b+")"}return a.prototype=new Error,a.prototype.name="MissingDataException",a.constructor=a,a}(),function(){function a(a){this.message=a}return a.prototype=new Error,a.prototype.name="XRefParseException",a.constructor=a,a}();Object.defineProperty(PDFJS,"isLittleEndian",{configurable:!0,get:function(){return i(PDFJS,"isLittleEndian",n())}}),Object.defineProperty(PDFJS,"hasCanvasTypedArrays",{configurable:!0,get:function(){return i(PDFJS,"hasCanvasTypedArrays",o())}});var R=function(){function a(a,b){this.buffer=a,this.byteLength=a.length,this.length=void 0===b?this.byteLength>>2:b,c(this.length)}function b(a){return{get:function(){var b=this.buffer,c=a<<2;return(b[c]|b[c+1]<<8|b[c+2]<<16|b[c+3]<<24)>>>0},set:function(b){var c=this.buffer,d=a<<2;c[d]=255&b,c[d+1]=b>>8&255,c[d+2]=b>>16&255,c[d+3]=b>>>24&255}}}function c(c){for(;c>d;)Object.defineProperty(a.prototype,d,b(d)),d++}a.prototype=Object.create(null);var d=0;return a}(),S=[1,0,0,1,0,0],T=PDFJS.Util=function(){function a(){}var b=["rgb(",0,",",0,",",0,")"];return a.makeCssRgb=function(a,c,d){return b[1]=a,b[3]=c,b[5]=d,b.join("")},a.transform=function(a,b){return[a[0]*b[0]+a[2]*b[1],a[1]*b[0]+a[3]*b[1],a[0]*b[2]+a[2]*b[3],a[1]*b[2]+a[3]*b[3],a[0]*b[4]+a[2]*b[5]+a[4],a[1]*b[4]+a[3]*b[5]+a[5]]},a.applyTransform=function(a,b){var c=a[0]*b[0]+a[1]*b[2]+b[4],d=a[0]*b[1]+a[1]*b[3]+b[5];return[c,d]},a.applyInverseTransform=function(a,b){var c=b[0]*b[3]-b[1]*b[2],d=(a[0]*b[3]-a[1]*b[2]+b[2]*b[5]-b[4]*b[3])/c,e=(-a[0]*b[1]+a[1]*b[0]+b[4]*b[1]-b[5]*b[0])/c;return[d,e]},a.getAxialAlignedBoundingBox=function(b,c){var d=a.applyTransform(b,c),e=a.applyTransform(b.slice(2,4),c),f=a.applyTransform([b[0],b[3]],c),g=a.applyTransform([b[2],b[1]],c);return[Math.min(d[0],e[0],f[0],g[0]),Math.min(d[1],e[1],f[1],g[1]),Math.max(d[0],e[0],f[0],g[0]),Math.max(d[1],e[1],f[1],g[1])]},a.inverseTransform=function(a){var b=a[0]*a[3]-a[1]*a[2];return[a[3]/b,-a[1]/b,-a[2]/b,a[0]/b,(a[2]*a[5]-a[4]*a[3])/b,(a[4]*a[1]-a[5]*a[0])/b]},a.apply3dTransform=function(a,b){return[a[0]*b[0]+a[1]*b[1]+a[2]*b[2],a[3]*b[0]+a[4]*b[1]+a[5]*b[2],a[6]*b[0]+a[7]*b[1]+a[8]*b[2]]},a.singularValueDecompose2dScale=function(a){var b=[a[0],a[2],a[1],a[3]],c=a[0]*b[0]+a[1]*b[2],d=a[0]*b[1]+a[1]*b[3],e=a[2]*b[0]+a[3]*b[2],f=a[2]*b[1]+a[3]*b[3],g=(c+f)/2,h=Math.sqrt((c+f)*(c+f)-4*(c*f-e*d))/2,i=g+h||1,j=g-h||1;return[Math.sqrt(i),Math.sqrt(j)]},a.normalizeRect=function(a){var b=a.slice(0);return a[0]>a[2]&&(b[0]=a[2],b[2]=a[0]),a[1]>a[3]&&(b[1]=a[3],b[3]=a[1]),b},a.intersect=function(b,c){function d(a,b){return a-b}var e=[b[0],b[2],c[0],c[2]].sort(d),f=[b[1],b[3],c[1],c[3]].sort(d),g=[];return b=a.normalizeRect(b),c=a.normalizeRect(c),e[0]===b[0]&&e[1]===c[0]||e[0]===c[0]&&e[1]===b[0]?(g[0]=e[1],g[2]=e[2],f[0]===b[1]&&f[1]===c[1]||f[0]===c[1]&&f[1]===b[1]?(g[1]=f[1],g[3]=f[2],g):!1):!1},a.sign=function(a){return 0>a?-1:1},a.appendToArray=function(a,b){Array.prototype.push.apply(a,b)},a.prependToArray=function(a,b){Array.prototype.unshift.apply(a,b)},a.extendObj=function(a,b){for(var c in b)a[c]=b[c]},a.getInheritableProperty=function(a,b){for(;a&&!a.has(b);)a=a.get("Parent");return a?a.get(b):null},a.inherit=function(a,b,c){a.prototype=Object.create(b.prototype),a.prototype.constructor=a;for(var d in c)a.prototype[d]=c[d]},a.loadScript=function(a,b){var c=document.createElement("script"),d=!1;c.setAttribute("src",a),b&&(c.onload=function(){d||b(),d=!0}),document.getElementsByTagName("head")[0].appendChild(c)},a}();PDFJS.PageViewport=function(){function a(a,b,c,d,e,f){this.viewBox=a,this.scale=b,this.rotation=c,this.offsetX=d,this.offsetY=e;var g,h,i,j,k=(a[2]+a[0])/2,l=(a[3]+a[1])/2;switch(c%=360,c=0>c?c+360:c){case 180:g=-1,h=0,i=0,j=1;break;case 90:g=0,h=1,i=1,j=0;break;case 270:g=0,h=-1,i=-1,j=0;break;default:g=1,h=0,i=0,j=-1}f&&(i=-i,j=-j);var m,n,o,p;0===g?(m=Math.abs(l-a[1])*b+d,n=Math.abs(k-a[0])*b+e,o=Math.abs(a[3]-a[1])*b,p=Math.abs(a[2]-a[0])*b):(m=Math.abs(k-a[0])*b+d,n=Math.abs(l-a[1])*b+e,o=Math.abs(a[2]-a[0])*b,p=Math.abs(a[3]-a[1])*b),this.transform=[g*b,h*b,i*b,j*b,m-g*b*k-i*b*l,n-h*b*k-j*b*l],this.width=o,this.height=p,this.fontScale=b}return a.prototype={clone:function(b){b=b||{};var c="scale"in b?b.scale:this.scale,d="rotation"in b?b.rotation:this.rotation;return new a(this.viewBox.slice(),c,d,this.offsetX,this.offsetY,b.dontFlip)},convertToViewportPoint:function(a,b){return T.applyTransform([a,b],this.transform)},convertToViewportRectangle:function(a){var b=T.applyTransform([a[0],a[1]],this.transform),c=T.applyTransform([a[2],a[3]],this.transform);return[b[0],b[1],c[0],c[1]]},convertToPdfPoint:function(a,b){return T.applyInverseTransform([a,b],this.transform)}},a}();PDFJS.createPromiseCapability=s,function(){function a(a){this._status=c,this._handlers=[];try{a.call(this,this._resolve.bind(this),this._reject.bind(this))}catch(b){this._reject(b)}}if(z.Promise)return"function"!=typeof z.Promise.all&&(z.Promise.all=function(a){var b,c,d=0,e=[],f=new z.Promise(function(a,d){b=a,c=d});return a.forEach(function(a,f){d++,a.then(function(a){e[f]=a,d--,0===d&&b(e)},c)}),0===d&&b(e),f}),"function"!=typeof z.Promise.resolve&&(z.Promise.resolve=function(a){return new z.Promise(function(b){b(a)})}),"function"!=typeof z.Promise.reject&&(z.Promise.reject=function(a){return new z.Promise(function(b,c){c(a)})}),void("function"!=typeof z.Promise.prototype["catch"]&&(z.Promise.prototype["catch"]=function(a){return z.Promise.prototype.then(void 0,a)}));var c=0,d=1,e=2,f=500,g={handlers:[],running:!1,unhandledRejections:[],pendingRejectionCheck:!1,scheduleHandlers:function(a){a._status!==c&&(this.handlers=this.handlers.concat(a._handlers),a._handlers=[],this.running||(this.running=!0,setTimeout(this.runHandlers.bind(this),0)))},runHandlers:function(){for(var a=1,b=Date.now()+a;this.handlers.length>0;){var c=this.handlers.shift(),f=c.thisPromise._status,g=c.thisPromise._value;try{f===d?"function"==typeof c.onResolve&&(g=c.onResolve(g)):"function"==typeof c.onReject&&(g=c.onReject(g),f=d,c.thisPromise._unhandledRejection&&this.removeUnhandeledRejection(c.thisPromise))}catch(h){f=e,g=h}if(c.nextPromise._updateStatus(f,g),Date.now()>=b)break}return this.handlers.length>0?void setTimeout(this.runHandlers.bind(this),0):void(this.running=!1)},addUnhandledRejection:function(a){this.unhandledRejections.push({promise:a,time:Date.now()}),this.scheduleRejectionCheck()},removeUnhandeledRejection:function(a){a._unhandledRejection=!1;for(var b=0;bf){var d=this.unhandledRejections[c].promise._value,e="Unhandled rejection: "+d;d.stack&&(e+="\n"+d.stack),b(e),this.unhandledRejections.splice(c),c--}this.unhandledRejections.length&&this.scheduleRejectionCheck()}.bind(this),f))}};a.all=function(b){function c(a){g._status!==e&&(i=[],f(a))}var d,f,g=new a(function(a,b){d=a,f=b}),h=b.length,i=[];if(0===h)return d(i),g;for(var j=0,k=b.length;k>j;++j){var l=b[j],m=function(a){return function(b){g._status!==e&&(i[a]=b,h--,0===h&&d(i))}}(j);a.isPromise(l)?l.then(m,c):m(l)}return g},a.isPromise=function(a){return a&&"function"==typeof a.then},a.resolve=function(b){return new a(function(a){a(b)})},a.reject=function(b){return new a(function(a,c){c(b)})},a.prototype={_status:null,_value:null,_handlers:null,_unhandledRejection:null,_updateStatus:function(b,c){if(this._status!==d&&this._status!==e){if(b===d&&a.isPromise(c))return void c.then(this._updateStatus.bind(this,d),this._updateStatus.bind(this,e));this._status=b,this._value=c,b===e&&0===this._handlers.length&&(this._unhandledRejection=!0,g.addUnhandledRejection(this)),g.scheduleHandlers(this)}},_resolve:function(a){this._updateStatus(d,a)},_reject:function(a){this._updateStatus(e,a)},then:function(b,c){var d=new a(function(a,b){this.resolve=a,this.reject=b});return this._handlers.push({thisPromise:this,onResolve:b,onReject:c,nextPromise:d}),g.scheduleHandlers(this),d},"catch":function(a){return this.then(void 0,a)}},z.Promise=a}();var U=function(){function a(a,b,c){for(;a.lengthb;++b){var g=d[b].name;g.length>f&&(f=g.length)}for(b=0,c=d.length;c>b;++b){var h=d[b],i=h.end-h.start;e+=a(h.name," ",f)+" "+i+"ms\n"}return e}},c}();PDFJS.createBlob=function(a,b){if("undefined"!=typeof Blob)return new Blob([a],{type:b});var c=new MozBlobBuilder;return c.append(a),c.getBlob(b)},PDFJS.createObjectURL=function(){var a="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/=";return function(b,c){if(!PDFJS.disableCreateObjectURL&&"undefined"!=typeof URL&&URL.createObjectURL){var d=PDFJS.createBlob(b,c);return URL.createObjectURL(d)}for(var e="data:"+c+";base64,",f=0,g=b.length;g>f;f+=3){var h=255&b[f],i=255&b[f+1],j=255&b[f+2],k=h>>2,l=(3&h)<<4|i>>4,m=g>f+1?(15&i)<<2|j>>6:64,n=g>f+2?63&j:64;e+=a[k]+a[l]+a[m]+a[n]}return e}}(),t.prototype={on:function(a,b,c){var e=this.actionHandler;e[a]&&d('There is already an actionName called "'+a+'"'),e[a]=[b,c]},send:function(a,b,c){var d={action:a,data:b};this.postMessage(d,c)},sendWithPromise:function(a,b,c){var d=this.callbackIndex++,e={action:a,data:b,callbackId:d},f=s();this.callbacksCapabilities[d]=f;try{this.postMessage(e,c)}catch(g){f.reject(g)}return f.promise},postMessage:function(a,b){b&&this.postMessageTransfers?this.comObj.postMessage(a,b):this.comObj.postMessage(a)}},PDFJS.maxImageSize=void 0===PDFJS.maxImageSize?-1:PDFJS.maxImageSize,PDFJS.cMapUrl=void 0===PDFJS.cMapUrl?null:PDFJS.cMapUrl,PDFJS.cMapPacked=void 0===PDFJS.cMapPacked?!1:PDFJS.cMapPacked,PDFJS.disableFontFace=void 0===PDFJS.disableFontFace?!1:PDFJS.disableFontFace,PDFJS.imageResourcesPath=void 0===PDFJS.imageResourcesPath?"":PDFJS.imageResourcesPath,PDFJS.disableWorker=void 0===PDFJS.disableWorker?!1:PDFJS.disableWorker,PDFJS.workerSrc=void 0===PDFJS.workerSrc?null:PDFJS.workerSrc,PDFJS.disableRange=void 0===PDFJS.disableRange?!1:PDFJS.disableRange,PDFJS.disableStream=void 0===PDFJS.disableStream?!1:PDFJS.disableStream,PDFJS.disableAutoFetch=void 0===PDFJS.disableAutoFetch?!1:PDFJS.disableAutoFetch,PDFJS.pdfBug=void 0===PDFJS.pdfBug?!1:PDFJS.pdfBug,PDFJS.postMessageTransfers=void 0===PDFJS.postMessageTransfers?!0:PDFJS.postMessageTransfers,PDFJS.disableCreateObjectURL=void 0===PDFJS.disableCreateObjectURL?!1:PDFJS.disableCreateObjectURL,PDFJS.disableWebGL=void 0===PDFJS.disableWebGL?!0:PDFJS.disableWebGL,PDFJS.disableFullscreen=void 0===PDFJS.disableFullscreen?!1:PDFJS.disableFullscreen,PDFJS.useOnlyCssZoom=void 0===PDFJS.useOnlyCssZoom?!1:PDFJS.useOnlyCssZoom,PDFJS.verbosity=void 0===PDFJS.verbosity?PDFJS.VERBOSITY_LEVELS.warnings:PDFJS.verbosity,PDFJS.maxCanvasPixels=void 0===PDFJS.maxCanvasPixels?16777216:PDFJS.maxCanvasPixels,PDFJS.openExternalLinksInNewWindow=void 0===PDFJS.openExternalLinksInNewWindow?!1:PDFJS.openExternalLinksInNewWindow,PDFJS.externalLinkTarget=void 0===PDFJS.externalLinkTarget?PDFJS.LinkTarget.NONE:PDFJS.externalLinkTarget,PDFJS.isEvalSupported=void 0===PDFJS.isEvalSupported?!0:PDFJS.isEvalSupported,PDFJS.getDocument=function(a,b,e,f){var h=new V;arguments.length>1&&c("getDocument is called with pdfDataRangeTransport, passwordCallback or progressCallback argument"),b&&(b instanceof W||(b=Object.create(b),b.length=a.length,b.initialData=a.initialData,b.abort||(b.abort=function(){})),a=Object.create(a),a.range=b),h.onPassword=e||null,h.onProgress=f||null;var i,j,k;"string"==typeof a?k={url:a}:r(a)?k={data:a}:a instanceof W?k={range:a}:("object"!=typeof a&&d("Invalid parameter in getDocument, need either Uint8Array, string or a parameter object"),a.url||a.data||a.range||d("Invalid parameter object: need either .data, .range or .url"),k=a);var m={};for(var n in k)if("url"!==n||"undefined"==typeof window){if("range"!==n)if("data"!==n||k[n]instanceof Uint8Array)m[n]=k[n];else{var o=k[n];"string"==typeof o?m[n]=l(o):"object"!=typeof o||null===o||isNaN(o.length)?r(o)?m[n]=new Uint8Array(o):d("Invalid PDF binary data: either typed array, string or array-like object is expected in the data property."):m[n]=new Uint8Array(o)}}else m[n]=g(window.location.href,k[n]);return i=s(),j=new Z(i,k.range),i.promise.then(function(){j.fetchDocument(h,m)}),h._transport=j,h};var V=function(){function a(){this._capability=s(),this._transport=null,this.onPassword=null,this.onProgress=null}return a.prototype={get promise(){return this._capability.promise},destroy:function(){return this._transport.destroy()},then:function(a,b){return this.promise.then.apply(this.promise,arguments)}},a}(),W=function(){function a(a,b){this.length=a,this.initialData=b,this._rangeListeners=[],this._progressListeners=[],this._progressiveReadListeners=[],this._readyCapability=s()}return a.prototype={addRangeListener:function(a){this._rangeListeners.push(a)},addProgressListener:function(a){this._progressListeners.push(a)},addProgressiveReadListener:function(a){this._progressiveReadListeners.push(a)},onDataRange:function(a,b){for(var c=this._rangeListeners,d=0,e=c.length;e>d;++d)c[d](a,b)},onDataProgress:function(a){this._readyCapability.promise.then(function(){for(var b=this._progressListeners,c=0,d=b.length;d>c;++c)b[c](a)}.bind(this))},onDataProgressiveRead:function(a){this._readyCapability.promise.then(function(){for(var b=this._progressiveReadListeners,c=0,d=b.length;d>c;++c)b[c](a)}.bind(this))},transportReady:function(){this._readyCapability.resolve()},requestDataRange:function(a,b){throw new Error("Abstract method PDFDataRangeTransport.requestDataRange")},abort:function(){}},a}();PDFJS.PDFDataRangeTransport=W;var X=function(){function a(a,b,c){this.pdfInfo=a,this.transport=b,this.loadingTask=c}return a.prototype={get numPages(){return this.pdfInfo.numPages},get fingerprint(){return this.pdfInfo.fingerprint},getPage:function(a){return this.transport.getPage(a)},getPageIndex:function(a){return this.transport.getPageIndex(a)},getDestinations:function(){return this.transport.getDestinations()},getDestination:function(a){return this.transport.getDestination(a)},getAttachments:function(){return this.transport.getAttachments()},getJavaScript:function(){return this.transport.getJavaScript()},getOutline:function(){return this.transport.getOutline()},getMetadata:function(){return this.transport.getMetadata()},getData:function(){return this.transport.getData()},getDownloadInfo:function(){return this.transport.downloadInfoCapability.promise},getStats:function(){return this.transport.getStats()},cleanup:function(){this.transport.startCleanup()},destroy:function(){return this.transport.destroy()}},a}(),Y=function(){function a(a,b,c){this.pageIndex=a,this.pageInfo=b,this.transport=c,this.stats=new U,this.stats.enabled=!!z.PDFJS.enableStats,this.commonObjs=c.commonObjs,this.objs=new $,this.cleanupAfterRender=!1,this.pendingCleanup=!1,this.intentStates={},this.destroyed=!1}return a.prototype={get pageNumber(){return this.pageIndex+1},get rotate(){return this.pageInfo.rotate},get ref(){return this.pageInfo.ref},get view(){return this.pageInfo.view},getViewport:function(a,b){return arguments.length<2&&(b=this.rotate),new PDFJS.PageViewport(this.view,a,b,0,0)},getAnnotations:function(){return this.annotationsPromise||(this.annotationsPromise=this.transport.getAnnotations(this.pageIndex)),this.annotationsPromise},render:function(a){function b(a){var b=f.renderTasks.indexOf(g);b>=0&&f.renderTasks.splice(b,1),i.cleanupAfterRender&&(i.pendingCleanup=!0),i._tryCleanup(),a?g.capability.reject(a):g.capability.resolve(),d.timeEnd("Rendering"),d.timeEnd("Overall")}var d=this.stats;d.time("Overall"),this.pendingCleanup=!1;var e="print"===a.intent?"print":"display";this.intentStates[e]||(this.intentStates[e]={});var f=this.intentStates[e];f.displayReadyCapability||(f.receivingOperatorList=!0,f.displayReadyCapability=s(),f.operatorList={fnArray:[],argsArray:[],lastChunk:!1},this.stats.time("Page Request"),this.transport.messageHandler.send("RenderPageRequest",{pageIndex:this.pageNumber-1,intent:e}));var g=new aa(b,a,this.objs,this.commonObjs,f.operatorList,this.pageNumber);g.useRequestAnimationFrame="print"!==e,f.renderTasks||(f.renderTasks=[]),f.renderTasks.push(g);var h=g.task;a.continueCallback&&(c("render is used with continueCallback parameter"),h.onContinue=a.continueCallback);var i=this;return f.displayReadyCapability.promise.then(function(a){return i.pendingCleanup?void b():(d.time("Rendering"),g.initalizeGraphics(a),void g.operatorListChanged())},function(a){b(a)}),h},getOperatorList:function(){function a(){c.operatorList.lastChunk&&c.opListReadCapability.resolve(c.operatorList)}var b="oplist";this.intentStates[b]||(this.intentStates[b]={});var c=this.intentStates[b];if(!c.opListReadCapability){var d={};d.operatorListChanged=a,c.receivingOperatorList=!0,c.opListReadCapability=s(),c.renderTasks=[],c.renderTasks.push(d),c.operatorList={fnArray:[],argsArray:[],lastChunk:!1},this.transport.messageHandler.send("RenderPageRequest",{pageIndex:this.pageIndex,intent:b})}return c.opListReadCapability.promise},getTextContent:function(){return this.transport.messageHandler.sendWithPromise("GetTextContent",{pageIndex:this.pageNumber-1})},_destroy:function(){this.destroyed=!0,this.transport.pageCache[this.pageIndex]=null;var a=[];return Object.keys(this.intentStates).forEach(function(b){var c=this.intentStates[b];c.renderTasks.forEach(function(b){var c=b.capability.promise["catch"](function(){});a.push(c),b.cancel()})},this),this.objs.clear(),this.annotationsPromise=null,this.pendingCleanup=!1,Promise.all(a)},destroy:function(){c("page destroy method, use cleanup() instead"),this.cleanup()},cleanup:function(){this.pendingCleanup=!0,this._tryCleanup()},_tryCleanup:function(){this.pendingCleanup&&!Object.keys(this.intentStates).some(function(a){var b=this.intentStates[a];return 0!==b.renderTasks.length||b.receivingOperatorList},this)&&(Object.keys(this.intentStates).forEach(function(a){delete this.intentStates[a]},this),this.objs.clear(),this.annotationsPromise=null,this.pendingCleanup=!1)},_startRenderPage:function(a,b){var c=this.intentStates[b];c.displayReadyCapability&&c.displayReadyCapability.resolve(a)},_renderPageChunk:function(a,b){var c,d,e=this.intentStates[b];for(c=0,d=a.length;d>c;c++)e.operatorList.fnArray.push(a.fnArray[c]),e.operatorList.argsArray.push(a.argsArray[c]);for(e.operatorList.lastChunk=a.lastChunk,c=0;ch&&(g.cleanupAfterRender=!0);break;default:d("Got unknown object type "+f)}},this),a.on("DocProgress",function(a){var b=this.loadingTask;b.onProgress&&b.onProgress({loaded:a.loaded,total:a.total})},this),a.on("PageError",function(a){var b=this.pageCache[a.pageNum-1],c=b.intentStates[a.intent];c.displayReadyCapability?c.displayReadyCapability.reject(a.error):d(a.error)},this),a.on("JpegDecode",function(a){var b=a[0],c=a[1];return 3!==c&&1!==c?Promise.reject(new Error("Only 3 components or 1 component can be returned")):new Promise(function(a,d){var e=new Image;e.onload=function(){var b=e.width,d=e.height,f=b*d,g=4*f,h=new Uint8Array(f*c),i=v(b,d),j=i.getContext("2d");j.drawImage(e,0,0);var k,l,m=j.getImageData(0,0,b,d).data;if(3===c)for(k=0,l=0;g>k;k+=4,l+=3)h[l]=m[k],h[l+1]=m[k+1],h[l+2]=m[k+2];else if(1===c)for(k=0,l=0;g>k;k+=4,l++)h[l]=m[k];a({data:h,width:b,height:d})},e.onerror=function(){d(new Error("JpegDecode failed to load image"))},e.src=b})})},fetchDocument:function(a,b){return this.destroyed?(a._capability.reject(new Error("Loading aborted")),void this.destroyCapability.resolve()):(this.loadingTask=a,b.disableAutoFetch=PDFJS.disableAutoFetch,b.disableStream=PDFJS.disableStream,b.chunkedViewerLoading=!!this.pdfDataRangeTransport,this.pdfDataRangeTransport&&(b.length=this.pdfDataRangeTransport.length,b.initialData=this.pdfDataRangeTransport.initialData),void this.messageHandler.send("GetDocRequest",{source:b,disableRange:PDFJS.disableRange,maxImageSize:PDFJS.maxImageSize,cMapUrl:PDFJS.cMapUrl,cMapPacked:PDFJS.cMapPacked,disableFontFace:PDFJS.disableFontFace,disableCreateObjectURL:PDFJS.disableCreateObjectURL,verbosity:PDFJS.verbosity}))},getData:function(){return this.messageHandler.sendWithPromise("GetData",null)},getPage:function(a,b){if(0>=a||a>this.numPages||(0|a)!==a)return Promise.reject(new Error("Invalid page request"));var c=a-1;if(c in this.pagePromises)return this.pagePromises[c];var d=this.messageHandler.sendWithPromise("GetPage",{pageIndex:c}).then(function(a){if(this.destroyed)throw new Error("Transport destroyed");var b=new Y(c,a,this);return this.pageCache[c]=b,b}.bind(this));return this.pagePromises[c]=d,d},getPageIndex:function(a){return this.messageHandler.sendWithPromise("GetPageIndex",{ref:a})},getAnnotations:function(a){return this.messageHandler.sendWithPromise("GetAnnotations",{pageIndex:a})},getDestinations:function(){return this.messageHandler.sendWithPromise("GetDestinations",null)},getDestination:function(a){return this.messageHandler.sendWithPromise("GetDestination",{id:a})},getAttachments:function(){return this.messageHandler.sendWithPromise("GetAttachments",null)},getJavaScript:function(){return this.messageHandler.sendWithPromise("GetJavaScript",null)},getOutline:function(){return this.messageHandler.sendWithPromise("GetOutline",null)},getMetadata:function(){return this.messageHandler.sendWithPromise("GetMetadata",null).then(function(a){return{info:a[0],metadata:a[1]?new PDFJS.Metadata(a[1]):null}})},getStats:function(){return this.messageHandler.sendWithPromise("GetStats",null)},startCleanup:function(){this.messageHandler.sendWithPromise("Cleanup",null).then(function(){for(var a=0,b=this.pageCache.length;b>a;a++){var c=this.pageCache[a];c&&c.cleanup()}this.commonObjs.clear(),pa.clear()}.bind(this))}},c}(),$=function(){function a(){this.objs={}}return a.prototype={ensureObj:function(a){if(this.objs[a])return this.objs[a];var b={capability:s(),data:null,resolved:!1};return this.objs[a]=b,b},get:function(a,b){if(b)return this.ensureObj(a).capability.promise.then(b),null;var c=this.objs[a];return c&&c.resolved||d("Requesting object that isn't resolved yet "+a),c.data},resolve:function(a,b){var c=this.ensureObj(a);c.resolved=!0,c.data=b,c.capability.resolve(b)},isResolved:function(a){var b=this.objs;return b[a]?b[a].resolved:!1},hasData:function(a){return this.isResolved(a)},getData:function(a){var b=this.objs;return b[a]&&b[a].resolved?b[a].data:null},clear:function(){this.objs={}}},a}(),_=function(){function a(a){this._internalRenderTask=a,this.onContinue=null}return a.prototype={get promise(){return this._internalRenderTask.capability.promise},cancel:function(){this._internalRenderTask.cancel()},then:function(a,b){return this.promise.then.apply(this.promise,arguments)}},a}(),aa=function(){function a(a,b,c,d,e,f){this.callback=a,this.params=b,this.objs=c,this.commonObjs=d,this.operatorListIdx=null,this.operatorList=e,this.pageNumber=f,this.running=!1,this.graphicsReadyCallback=null, -this.graphicsReady=!1,this.useRequestAnimationFrame=!1,this.cancelled=!1,this.capability=s(),this.task=new _(this),this._continueBound=this._continue.bind(this),this._scheduleNextBound=this._scheduleNext.bind(this),this._nextBound=this._next.bind(this)}return a.prototype={initalizeGraphics:function(a){if(!this.cancelled){PDFJS.pdfBug&&"StepperManager"in z&&z.StepperManager.enabled&&(this.stepper=z.StepperManager.create(this.pageNumber-1),this.stepper.init(this.operatorList),this.stepper.nextBreakPoint=this.stepper.getNextBreakPoint());var b=this.params;this.gfx=new ka(b.canvasContext,this.commonObjs,this.objs,b.imageLayer),this.gfx.beginDrawing(b.viewport,a),this.operatorListIdx=0,this.graphicsReady=!0,this.graphicsReadyCallback&&this.graphicsReadyCallback()}},cancel:function(){this.running=!1,this.cancelled=!0,this.callback("cancelled")},operatorListChanged:function(){return this.graphicsReady?(this.stepper&&this.stepper.updateOperatorList(this.operatorList),void(this.running||this._continue())):void(this.graphicsReadyCallback||(this.graphicsReadyCallback=this._continueBound))},_continue:function(){this.running=!0,this.cancelled||(this.task.onContinue?this.task.onContinue.call(this.task,this._scheduleNextBound):this._scheduleNext())},_scheduleNext:function(){this.useRequestAnimationFrame?window.requestAnimationFrame(this._nextBound):Promise.resolve(void 0).then(this._nextBound)},_next:function(){this.cancelled||(this.operatorListIdx=this.gfx.executeOperatorList(this.operatorList,this.operatorListIdx,this._continueBound,this.stepper),this.operatorListIdx===this.operatorList.argsArray.length&&(this.running=!1,this.operatorList.lastChunk&&(this.gfx.endDrawing(),this.callback())))}},a}(),ba=(PDFJS.Metadata=function(){function a(a){return a.replace(/>\\376\\377([^<]+)/g,function(a,b){for(var c=b.replace(/\\([0-3])([0-7])([0-7])/g,function(a,b,c,d){return String.fromCharCode(64*b+8*c+1*d)}),d="",e=0;e"+d})}function b(b){if("string"==typeof b){b=a(b);var c=new DOMParser;b=c.parseFromString(b,"application/xml")}else b instanceof Document||d("Metadata: Invalid metadata object");this.metaDocument=b,this.metadata={},this.parse()}return b.prototype={parse:function(){var a=this.metaDocument,b=a.documentElement;if("rdf:rdf"!==b.nodeName.toLowerCase())for(b=b.firstChild;b&&"rdf:rdf"!==b.nodeName.toLowerCase();)b=b.nextSibling;var c=b?b.nodeName.toLowerCase():null;if(b&&"rdf:rdf"===c&&b.hasChildNodes()){var d,e,f,g,h,i,j,k=b.childNodes;for(g=0,i=k.length;i>g;g++)if(d=k[g],"rdf:description"===d.nodeName.toLowerCase())for(h=0,j=d.childNodes.length;j>h;h++)"#text"!==d.childNodes[h].nodeName.toLowerCase()&&(e=d.childNodes[h],f=e.nodeName.toLowerCase(),this.metadata[f]=e.textContent.trim())}},get:function(a){return this.metadata[a]||null},has:function(a){return"undefined"!=typeof this.metadata[a]}},b}(),16),ca=100,da=4096,ea=.65,fa=!0,ga=1e3,ha=16,ia=function(){var a={};return{getCanvas:function(b,c,d,e){var f;if(void 0!==a[b])f=a[b],f.canvas.width=c,f.canvas.height=d,f.context.setTransform(1,0,0,1,0,0);else{var g=v(c,d),h=g.getContext("2d");e&&w(h),a[b]=f={canvas:g,context:h}}return f},clear:function(){for(var b in a){var c=a[b];c.canvas.width=0,c.canvas.height=0,delete a[b]}}}}(),ja=function(){function a(a){this.alphaIsShape=!1,this.fontSize=0,this.fontSizeScale=1,this.textMatrix=S,this.textMatrixScale=1,this.fontMatrix=B,this.leading=0,this.x=0,this.y=0,this.lineX=0,this.lineY=0,this.charSpacing=0,this.wordSpacing=0,this.textHScale=1,this.textRenderingMode=C.FILL,this.textRise=0,this.fillColor="#000000",this.strokeColor="#000000",this.patternFill=!1,this.fillAlpha=1,this.strokeAlpha=1,this.lineWidth=1,this.activeSMask=null,this.old=a}return a.prototype={clone:function(){return Object.create(this)},setCurrentPoint:function(a,b){this.x=a,this.y=b}},a}(),ka=function(){function c(a,b,c,d){this.ctx=a,this.current=new ja,this.stateStack=[],this.pendingClip=null,this.pendingEOFill=!1,this.res=null,this.xobjs=null,this.commonObjs=b,this.objs=c,this.imageLayer=d,this.groupStack=[],this.processingType3=null,this.baseTransform=null,this.baseTransformStack=[],this.groupLevel=0,this.smaskStack=[],this.smaskCounter=0,this.tempSMask=null,a&&w(a),this.cachedGetSinglePixelWidth=null}function e(a,b){if("undefined"!=typeof ImageData&&b instanceof ImageData)return void a.putImageData(b,0,0);var c,e,f,g,h,i=b.height,j=b.width,k=i%ha,l=(i-k)/ha,m=0===k?l:l+1,n=a.createImageData(j,ha),o=0,p=b.data,q=n.data;if(b.kind===D.GRAYSCALE_1BPP){var r=p.byteLength,s=PDFJS.hasCanvasTypedArrays?new Uint32Array(q.buffer):new R(q),t=s.length,u=j+7>>3,v=4294967295,w=PDFJS.isLittleEndian||!PDFJS.hasCanvasTypedArrays?4278190080:255;for(e=0;m>e;e++){for(g=l>e?ha:k,c=0,f=0;g>f;f++){for(var x=r-o,y=0,z=x>u?j:8*x-7,A=-8&z,B=0,C=0;A>y;y+=8)C=p[o++],s[c++]=128&C?v:w,s[c++]=64&C?v:w,s[c++]=32&C?v:w,s[c++]=16&C?v:w,s[c++]=8&C?v:w,s[c++]=4&C?v:w,s[c++]=2&C?v:w,s[c++]=1&C?v:w;for(;z>y;y++)0===B&&(C=p[o++],B=128),s[c++]=C&B?v:w,B>>=1}for(;t>c;)s[c++]=0;a.putImageData(n,0,e*ha)}}else if(b.kind===D.RGBA_32BPP){for(f=0,h=j*ha*4,e=0;l>e;e++)q.set(p.subarray(o,o+h)),o+=h,a.putImageData(n,0,f),f+=ha;m>e&&(h=j*k*4,q.set(p.subarray(o,o+h)),a.putImageData(n,0,f))}else if(b.kind===D.RGB_24BPP)for(g=ha,h=j*g,e=0;m>e;e++){for(e>=l&&(g=k,h=j*g),c=0,f=h;f--;)q[c++]=p[o++],q[c++]=p[o++],q[c++]=p[o++],q[c++]=255;a.putImageData(n,0,e*ha)}else d("bad image kind: "+b.kind)}function g(a,b){for(var c=b.height,d=b.width,e=c%ha,f=(c-e)/ha,g=0===e?f:f+1,h=a.createImageData(d,ha),i=0,j=b.data,k=h.data,l=0;g>l;l++){for(var m=f>l?ha:e,n=3,o=0;m>o;o++)for(var p=0,q=0;d>q;q++){if(!p){var r=j[i++];p=128}k[n]=r&p?0:255,n+=4,p>>=1}a.putImageData(h,0,l*ha)}}function h(a,b){for(var c=["strokeStyle","fillStyle","fillRule","globalAlpha","lineWidth","lineCap","lineJoin","miterLimit","globalCompositeOperation","font"],d=0,e=c.length;e>d;d++){var f=c[d];void 0!==a[f]&&(b[f]=a[f])}void 0!==a.setLineDash?(b.setLineDash(a.getLineDash()),b.lineDashOffset=a.lineDashOffset):void 0!==a.mozDashOffset&&(b.mozDash=a.mozDash,b.mozDashOffset=a.mozDashOffset)}function j(a,b,c,d){for(var e=a.length,f=3;e>f;f+=4){var g=a[f];if(0===g)a[f-3]=b,a[f-2]=c,a[f-1]=d;else if(255>g){var h=255-g;a[f-3]=a[f-3]*g+b*h>>8,a[f-2]=a[f-2]*g+c*h>>8,a[f-1]=a[f-1]*g+d*h>>8}}}function k(a,b){for(var c=a.length,d=1/255,e=3;c>e;e+=4){var f=a[e];b[e]=b[e]*f*d|0}}function l(a,b){for(var c=a.length,d=3;c>d;d+=4){var e=77*a[d-3]+152*a[d-2]+28*a[d-1];b[d]=b[d]*e>>16}}function m(a,b,c,d,e,f){var g,h=!!f,i=h?f[0]:0,m=h?f[1]:0,n=h?f[2]:0;g="Luminosity"===e?l:k;for(var o=1048576,p=Math.min(d,Math.ceil(o/c)),q=0;d>q;q+=p){var r=Math.min(p,d-q),s=a.getImageData(0,q,c,r),t=b.getImageData(0,q,c,r);h&&j(s.data,i,m,n),g(s.data,t.data),a.putImageData(t,0,q)}}function n(a,b,c){var d=b.canvas,e=b.context;a.setTransform(b.scaleX,0,0,b.scaleY,b.offsetX,b.offsetY);var f=b.backdrop||null;if(la.isEnabled){var g=la.composeSMask(c.canvas,d,{subtype:b.subtype,backdrop:f});return a.setTransform(1,0,0,1,0,0),void a.drawImage(g,b.offsetX,b.offsetY)}m(e,c,d.width,d.height,b.subtype,f),a.drawImage(d,0,0)}var o=15,r=10,s=["butt","round","square"],t=["miter","round","bevel"],u={},v={};c.prototype={beginDrawing:function(a,b){var c=this.ctx.canvas.width,d=this.ctx.canvas.height;b?this.ctx.clearRect(0,0,c,d):(this.ctx.mozOpaque=!0,this.ctx.save(),this.ctx.fillStyle="rgb(255, 255, 255)",this.ctx.fillRect(0,0,c,d),this.ctx.restore());var e=a.transform;this.ctx.save(),this.ctx.transform.apply(this.ctx,e),this.baseTransform=this.ctx.mozCurrentTransform.slice(),this.imageLayer&&this.imageLayer.beginLayout()},executeOperatorList:function(a,b,c,d){var e=a.argsArray,f=a.fnArray,g=b||0,h=e.length;if(h===g)return g;for(var i,j=h-g>r&&"function"==typeof c,k=j?Date.now()+o:0,l=0,m=this.commonObjs,n=this.objs;;){if(void 0!==d&&g===d.nextBreakPoint)return d.breakIt(g,c),g;if(i=f[g],i!==G.dependency)this[i].apply(this,e[g]);else for(var p=e[g],q=0,s=p.length;s>q;q++){var t=p[q],u="g"===t[0]&&"_"===t[1],v=u?m:n;if(!v.isResolved(t))return v.get(t,c),g}if(g++,g===h)return g;if(j&&++l>r){if(Date.now()>k)return c(),g;l=0}}},endDrawing:function(){this.ctx.restore(),ia.clear(),la.clear(),this.imageLayer&&this.imageLayer.endLayout()},setLineWidth:function(a){this.current.lineWidth=a,this.ctx.lineWidth=a},setLineCap:function(a){this.ctx.lineCap=s[a]},setLineJoin:function(a){this.ctx.lineJoin=t[a]},setMiterLimit:function(a){this.ctx.miterLimit=a},setDash:function(a,b){var c=this.ctx;void 0!==c.setLineDash?(c.setLineDash(a),c.lineDashOffset=b):(c.mozDash=a,c.mozDashOffset=b)},setRenderingIntent:function(a){},setFlatness:function(a){},setGState:function(a){for(var c=0,d=a.length;d>c;c++){var e=a[c],f=e[0],g=e[1];switch(f){case"LW":this.setLineWidth(g);break;case"LC":this.setLineCap(g);break;case"LJ":this.setLineJoin(g);break;case"ML":this.setMiterLimit(g);break;case"D":this.setDash(g[0],g[1]);break;case"RI":this.setRenderingIntent(g);break;case"FL":this.setFlatness(g);break;case"Font":this.setFont(g[0],g[1]);break;case"CA":this.current.strokeAlpha=e[1];break;case"ca":this.current.fillAlpha=e[1],this.ctx.globalAlpha=e[1];break;case"BM":if(g&&g.name&&"Normal"!==g.name){var h=g.name.replace(/([A-Z])/g,function(a){return"-"+a.toLowerCase()}).substring(1);this.ctx.globalCompositeOperation=h,this.ctx.globalCompositeOperation!==h&&b('globalCompositeOperation "'+h+'" is not supported')}else this.ctx.globalCompositeOperation="source-over";break;case"SMask":this.current.activeSMask&&this.endSMaskGroup(),this.current.activeSMask=g?this.tempSMask:null,this.current.activeSMask&&this.beginSMaskGroup(),this.tempSMask=null}}},beginSMaskGroup:function(){var a=this.current.activeSMask,b=a.canvas.width,c=a.canvas.height,d="smaskGroupAt"+this.groupLevel,e=ia.getCanvas(d,b,c,!0),f=this.ctx,g=f.mozCurrentTransform;this.ctx.save();var i=e.context;i.scale(1/a.scaleX,1/a.scaleY),i.translate(-a.offsetX,-a.offsetY),i.transform.apply(i,g),h(f,i),this.ctx=i,this.setGState([["BM","Normal"],["ca",1],["CA",1]]),this.groupStack.push(f),this.groupLevel++},endSMaskGroup:function(){var a=this.ctx;this.groupLevel--,this.ctx=this.groupStack.pop(),n(this.ctx,this.current.activeSMask,a),this.ctx.restore()},save:function(){this.ctx.save();var a=this.current;this.stateStack.push(a),this.current=a.clone(),this.current.activeSMask=null},restore:function(){0!==this.stateStack.length&&(null!==this.current.activeSMask&&this.endSMaskGroup(),this.current=this.stateStack.pop(),this.ctx.restore(),this.pendingClip=null,this.cachedGetSinglePixelWidth=null)},transform:function(a,b,c,d,e,f){this.ctx.transform(a,b,c,d,e,f),this.cachedGetSinglePixelWidth=null},constructPath:function(a,b){for(var c=this.ctx,d=this.current,e=d.x,f=d.y,g=0,h=0,i=a.length;i>g;g++)switch(0|a[g]){case G.rectangle:e=b[h++],f=b[h++];var j=b[h++],k=b[h++];0===j&&(j=this.getSinglePixelWidth()),0===k&&(k=this.getSinglePixelWidth());var l=e+j,m=f+k;this.ctx.moveTo(e,f),this.ctx.lineTo(l,f),this.ctx.lineTo(l,m),this.ctx.lineTo(e,m),this.ctx.lineTo(e,f),this.ctx.closePath();break;case G.moveTo:e=b[h++],f=b[h++],c.moveTo(e,f);break;case G.lineTo:e=b[h++],f=b[h++],c.lineTo(e,f);break;case G.curveTo:e=b[h+4],f=b[h+5],c.bezierCurveTo(b[h],b[h+1],b[h+2],b[h+3],e,f),h+=6;break;case G.curveTo2:c.bezierCurveTo(e,f,b[h],b[h+1],b[h+2],b[h+3]),e=b[h+2],f=b[h+3],h+=4;break;case G.curveTo3:e=b[h+2],f=b[h+3],c.bezierCurveTo(b[h],b[h+1],e,f,e,f),h+=4;break;case G.closePath:c.closePath()}d.setCurrentPoint(e,f)},closePath:function(){this.ctx.closePath()},stroke:function(a){a="undefined"!=typeof a?a:!0;var b=this.ctx,c=this.current.strokeColor;b.lineWidth=Math.max(this.getSinglePixelWidth()*ea,this.current.lineWidth),b.globalAlpha=this.current.strokeAlpha,c&&c.hasOwnProperty("type")&&"Pattern"===c.type?(b.save(),b.strokeStyle=c.getPattern(b,this),b.stroke(),b.restore()):b.stroke(),a&&this.consumePath(),b.globalAlpha=this.current.fillAlpha},closeStroke:function(){this.closePath(),this.stroke()},fill:function(a){a="undefined"!=typeof a?a:!0;var b=this.ctx,c=this.current.fillColor,d=this.current.patternFill,e=!1;d&&(b.save(),b.fillStyle=c.getPattern(b,this),e=!0),this.pendingEOFill?(void 0!==b.mozFillRule?(b.mozFillRule="evenodd",b.fill(),b.mozFillRule="nonzero"):b.fill("evenodd"),this.pendingEOFill=!1):b.fill(),e&&b.restore(),a&&this.consumePath()},eoFill:function(){this.pendingEOFill=!0,this.fill()},fillStroke:function(){this.fill(!1),this.stroke(!1),this.consumePath()},eoFillStroke:function(){this.pendingEOFill=!0,this.fillStroke()},closeFillStroke:function(){this.closePath(),this.fillStroke()},closeEOFillStroke:function(){this.pendingEOFill=!0,this.closePath(),this.fillStroke()},endPath:function(){this.consumePath()},clip:function(){this.pendingClip=u},eoClip:function(){this.pendingClip=v},beginText:function(){this.current.textMatrix=S,this.current.textMatrixScale=1,this.current.x=this.current.lineX=0,this.current.y=this.current.lineY=0},endText:function(){var a=this.pendingTextPaths,b=this.ctx;if(void 0===a)return void b.beginPath();b.save(),b.beginPath();for(var c=0;cc?(c=-c,f.fontDirection=-1):f.fontDirection=1,this.current.font=e,this.current.fontSize=c,!e.isType3Font){var g=e.loadedName||"sans-serif",h=e.black?e.bold?"900":"bold":e.bold?"bold":"normal",i=e.italic?"italic":"normal",j='"'+g+'", '+e.fallbackName,k=ba>c?ba:c>ca?ca:c;this.current.fontSizeScale=c/k;var l=i+" "+h+" "+k+"px "+j;this.ctx.font=l}},setTextRenderingMode:function(a){this.current.textRenderingMode=a},setTextRise:function(a){this.current.textRise=a},moveText:function(a,b){this.current.x=this.current.lineX+=a,this.current.y=this.current.lineY+=b},setLeadingMoveText:function(a,b){this.setLeading(-b),this.moveText(a,b)},setTextMatrix:function(a,b,c,d,e,f){this.current.textMatrix=[a,b,c,d,e,f],this.current.textMatrixScale=Math.sqrt(a*a+b*b),this.current.x=this.current.lineX=0,this.current.y=this.current.lineY=0},nextLine:function(){this.moveText(0,this.current.leading)},paintChar:function(a,b,c){var d,e=this.ctx,f=this.current,g=f.font,h=f.textRenderingMode,i=f.fontSize/f.fontSizeScale,j=h&C.FILL_STROKE_MASK,k=!!(h&C.ADD_TO_PATH_FLAG);if((g.disableFontFace||k)&&(d=g.getPathGenerator(this.commonObjs,a)),g.disableFontFace?(e.save(),e.translate(b,c),e.beginPath(),d(e,i),j!==C.FILL&&j!==C.FILL_STROKE||e.fill(),j!==C.STROKE&&j!==C.FILL_STROKE||e.stroke(),e.restore()):(j!==C.FILL&&j!==C.FILL_STROKE||e.fillText(a,b,c),j!==C.STROKE&&j!==C.FILL_STROKE||e.strokeText(a,b,c)),k){var l=this.pendingTextPaths||(this.pendingTextPaths=[]);l.push({transform:e.mozCurrentTransform,x:b,y:c,fontSize:i,addToPath:d})}},get isFontSubpixelAAEnabled(){var a=document.createElement("canvas").getContext("2d");a.scale(1.5,1),a.fillText("I",0,10);for(var b=a.getImageData(0,0,10,10).data,c=!1,d=3;d0&&b[d]<255){c=!0;break}return i(this,"isFontSubpixelAAEnabled",c)},showText:function(a){var b=this.current,c=b.font;if(c.isType3Font)return this.showType3Text(a);var d=b.fontSize;if(0!==d){var e=this.ctx,f=b.fontSizeScale,g=b.charSpacing,h=b.wordSpacing,i=b.fontDirection,j=b.textHScale*i,k=a.length,l=c.vertical,m=l?1:-1,n=c.defaultVMetrics,o=d*b.fontMatrix[0],q=b.textRenderingMode===C.FILL&&!c.disableFontFace;e.save(),e.transform.apply(e,b.textMatrix),e.translate(b.x,b.y+b.textRise),i>0?e.scale(j,-1):e.scale(j,1);var r=b.lineWidth,s=b.textMatrixScale;if(0===s||0===r){var t=b.textRenderingMode&C.FILL_STROKE_MASK;t!==C.STROKE&&t!==C.FILL_STROKE||(this.cachedGetSinglePixelWidth=null,r=this.getSinglePixelWidth()*ea)}else r/=s;1!==f&&(e.scale(f,f),r/=f),e.lineWidth=r;var u,v=0;for(u=0;k>u;++u){var w=a[u];if(null!==w)if(p(w))v+=m*w*d/1e3;else{var x,y,z,A,B=!1,D=w.fontChar,E=w.accent,F=w.width;if(l){var G,H,I;G=w.vmetric||n,H=w.vmetric?G[1]:.5*F,H=-H*o,I=G[2]*o,F=G?-G[0]:F,x=H/f,y=(v+I)/f}else x=v/f,y=0;if(c.remeasure&&F>0&&this.isFontSubpixelAAEnabled){var J=1e3*e.measureText(D).width/d*f,K=F/J;B=!0,e.save(),e.scale(K,1),x/=K}q&&!E?e.fillText(D,x,y):(this.paintChar(D,x,y),E&&(z=x+E.offset.x/f,A=y-E.offset.y/f,this.paintChar(E.fontChar,z,A)));var L=F*o+g*i;v+=L,B&&e.restore()}else v+=i*h}l?b.y-=v*j:b.x+=v*j,e.restore()}},showType3Text:function(a){var c,d,e,f,g=this.ctx,h=this.current,i=h.font,j=h.fontSize,k=h.fontDirection,l=i.vertical?1:-1,m=h.charSpacing,n=h.wordSpacing,o=h.textHScale*k,q=h.fontMatrix||B,r=a.length,s=h.textRenderingMode===C.INVISIBLE;if(!s&&0!==j){for(this.cachedGetSinglePixelWidth=null,g.save(),g.transform.apply(g,h.textMatrix),g.translate(h.x,h.y),g.scale(o,k),c=0;r>c;++c)if(d=a[c],null!==d)if(p(d))f=l*d*j/1e3,this.ctx.translate(f,0),h.x+=f*o;else{var t=i.charProcOperatorList[d.operatorListId];if(t){this.processingType3=d,this.save(),g.scale(j,j),g.transform.apply(g,q),this.executeOperatorList(t),this.restore();var u=T.applyTransform([d.width,0],q);e=u[0]*j+m,g.translate(e,0),h.x+=e*o}else b('Type3 character "'+d.operatorListId+'" is not available')}else this.ctx.translate(n,0),h.x+=n*o;g.restore(),this.processingType3=null}},setCharWidth:function(a,b){},setCharWidthAndBounds:function(a,b,c,d,e,f){this.ctx.rect(c,d,e-c,f-d),this.clip(),this.endPath()},getColorN_Pattern:function(a){var b;if("TilingPattern"===a[0]){var c=a[1],d=this.baseTransform||this.ctx.mozCurrentTransform.slice();b=new oa(a,c,this.ctx,this.objs,this.commonObjs,d)}else b=y(a);return b},setStrokeColorN:function(){this.current.strokeColor=this.getColorN_Pattern(arguments)},setFillColorN:function(){this.current.fillColor=this.getColorN_Pattern(arguments),this.current.patternFill=!0},setStrokeRGBColor:function(a,b,c){var d=T.makeCssRgb(a,b,c);this.ctx.strokeStyle=d,this.current.strokeColor=d},setFillRGBColor:function(a,b,c){var d=T.makeCssRgb(a,b,c);this.ctx.fillStyle=d,this.current.fillColor=d,this.current.patternFill=!1},shadingFill:function(a){var b=this.ctx;this.save();var c=y(a);b.fillStyle=c.getPattern(b,this,!0);var d=b.mozCurrentTransformInverse;if(d){var e=b.canvas,f=e.width,g=e.height,h=T.applyTransform([0,0],d),i=T.applyTransform([0,g],d),j=T.applyTransform([f,0],d),k=T.applyTransform([f,g],d),l=Math.min(h[0],i[0],j[0],k[0]),m=Math.min(h[1],i[1],j[1],k[1]),n=Math.max(h[0],i[0],j[0],k[0]),o=Math.max(h[1],i[1],j[1],k[1]);this.ctx.fillRect(l,m,n-l,o-m)}else this.ctx.fillRect(-1e10,-1e10,2e10,2e10);this.restore()},beginInlineImage:function(){d("Should not call beginInlineImage")},beginImageData:function(){d("Should not call beginImageData")},paintFormXObjectBegin:function(a,b){if(this.save(),this.baseTransformStack.push(this.baseTransform),q(a)&&6===a.length&&this.transform.apply(this,a),this.baseTransform=this.ctx.mozCurrentTransform,q(b)&&4===b.length){var c=b[2]-b[0],d=b[3]-b[1];this.ctx.rect(b[0],b[1],c,d),this.clip(),this.endPath()}},paintFormXObjectEnd:function(){this.restore(),this.baseTransform=this.baseTransformStack.pop()},beginGroup:function(c){this.save();var d=this.ctx;c.isolated||a("TODO: Support non-isolated groups."),c.knockout&&b("Knockout groups not supported.");var e=d.mozCurrentTransform;c.matrix&&d.transform.apply(d,c.matrix),f(c.bbox,"Bounding box is required.");var g=T.getAxialAlignedBoundingBox(c.bbox,d.mozCurrentTransform),i=[0,0,d.canvas.width,d.canvas.height];g=T.intersect(g,i)||[0,0,0,0];var j=Math.floor(g[0]),k=Math.floor(g[1]),l=Math.max(Math.ceil(g[2])-j,1),m=Math.max(Math.ceil(g[3])-k,1),n=1,o=1;l>da&&(n=l/da,l=da),m>da&&(o=m/da,m=da);var p="groupAt"+this.groupLevel;c.smask&&(p+="_smask_"+this.smaskCounter++%2);var q=ia.getCanvas(p,l,m,!0),r=q.context;r.scale(1/n,1/o),r.translate(-j,-k),r.transform.apply(r,e),c.smask?this.smaskStack.push({canvas:q.canvas,context:r,offsetX:j,offsetY:k,scaleX:n,scaleY:o,subtype:c.smask.subtype,backdrop:c.smask.backdrop}):(d.setTransform(1,0,0,1,0,0),d.translate(j,k),d.scale(n,o)),h(d,r),this.ctx=r,this.setGState([["BM","Normal"],["ca",1],["CA",1]]),this.groupStack.push(d),this.groupLevel++},endGroup:function(a){this.groupLevel--;var b=this.ctx;this.ctx=this.groupStack.pop(),void 0!==this.ctx.imageSmoothingEnabled?this.ctx.imageSmoothingEnabled=!1:this.ctx.mozImageSmoothingEnabled=!1,a.smask?this.tempSMask=this.smaskStack.pop():this.ctx.drawImage(b.canvas,0,0),this.restore()},beginAnnotations:function(){this.save(),this.current=new ja},endAnnotations:function(){this.restore()},beginAnnotation:function(a,b,c){if(this.save(),q(a)&&4===a.length){var d=a[2]-a[0],e=a[3]-a[1];this.ctx.rect(a[0],a[1],d,e),this.clip(),this.endPath()}this.transform.apply(this,b),this.transform.apply(this,c)},endAnnotation:function(){this.restore()},paintJpegXObject:function(a,c,d){var e=this.objs.get(a);if(!e)return void b("Dependent image isn't ready yet");this.save();var f=this.ctx;if(f.scale(1/c,-1/d),f.drawImage(e,0,0,e.width,e.height,0,-d,c,d),this.imageLayer){var g=f.mozCurrentTransformInverse,h=this.getCanvasPosition(0,0);this.imageLayer.appendImage({objId:a,left:h[0],top:h[1],width:c/g[0],height:d/g[3]})}this.restore()},paintImageMaskXObject:function(a){var b=this.ctx,c=a.width,d=a.height,e=this.current.fillColor,f=this.current.patternFill,h=this.processingType3;if(fa&&h&&void 0===h.compiled&&(ga>=c&&ga>=d?h.compiled=x({data:a.data,width:c,height:d}):h.compiled=null),h&&h.compiled)return void h.compiled(b);var i=ia.getCanvas("maskCanvas",c,d),j=i.context;j.save(),g(j,a),j.globalCompositeOperation="source-in",j.fillStyle=f?e.getPattern(j,this):e,j.fillRect(0,0,c,d),j.restore(),this.paintInlineImageXObject(i.canvas)},paintImageMaskXObjectRepeat:function(a,b,c,d){var e=a.width,f=a.height,h=this.current.fillColor,i=this.current.patternFill,j=ia.getCanvas("maskCanvas",e,f),k=j.context;k.save(),g(k,a),k.globalCompositeOperation="source-in",k.fillStyle=i?h.getPattern(k,this):h,k.fillRect(0,0,e,f),k.restore();for(var l=this.ctx,m=0,n=d.length;n>m;m+=2)l.save(),l.transform(b,0,0,c,d[m],d[m+1]),l.scale(1,-1),l.drawImage(j.canvas,0,0,e,f,0,-1,1,1),l.restore()},paintImageMaskXObjectGroup:function(a){for(var b=this.ctx,c=this.current.fillColor,d=this.current.patternFill,e=0,f=a.length;f>e;e++){var h=a[e],i=h.width,j=h.height,k=ia.getCanvas("maskCanvas",i,j),l=k.context;l.save(),g(l,h),l.globalCompositeOperation="source-in",l.fillStyle=d?c.getPattern(l,this):c,l.fillRect(0,0,i,j),l.restore(),b.save(),b.transform.apply(b,h.transform),b.scale(1,-1),b.drawImage(k.canvas,0,0,i,j,0,-1,1,1),b.restore()}},paintImageXObject:function(a){var c=this.objs.get(a);return c?void this.paintInlineImageXObject(c):void b("Dependent image isn't ready yet")},paintImageXObjectRepeat:function(a,c,d,e){var f=this.objs.get(a);if(!f)return void b("Dependent image isn't ready yet");for(var g=f.width,h=f.height,i=[],j=0,k=e.length;k>j;j+=2)i.push({transform:[c,0,0,d,e[j],e[j+1]],x:0,y:0,w:g,h:h});this.paintInlineImageXObjectGroup(f,i)},paintInlineImageXObject:function(a){var b=a.width,c=a.height,d=this.ctx;this.save(),d.scale(1/b,-1/c);var f,g,h=d.mozCurrentTransformInverse,i=h[0],j=h[1],k=Math.max(Math.sqrt(i*i+j*j),1),l=h[2],m=h[3],n=Math.max(Math.sqrt(l*l+m*m),1);if(a instanceof HTMLElement||!a.data)f=a;else{g=ia.getCanvas("inlineImage",b,c);var o=g.context;e(o,a),f=g.canvas}for(var p=b,q=c,r="prescale1";k>2&&p>1||n>2&&q>1;){var s=p,t=q;k>2&&p>1&&(s=Math.ceil(p/2),k/=p/s),n>2&&q>1&&(t=Math.ceil(q/2),n/=q/t),g=ia.getCanvas(r,s,t),o=g.context,o.clearRect(0,0,s,t),o.drawImage(f,0,0,p,q,0,0,s,t),f=g.canvas,p=s,q=t,r="prescale1"===r?"prescale2":"prescale1"}if(d.drawImage(f,0,0,p,q,0,-c,b,c),this.imageLayer){var u=this.getCanvasPosition(0,-c);this.imageLayer.appendImage({imgData:a,left:u[0],top:u[1],width:b/h[0],height:c/h[3]})}this.restore()},paintInlineImageXObjectGroup:function(a,b){var c=this.ctx,d=a.width,f=a.height,g=ia.getCanvas("inlineImage",d,f),h=g.context;e(h,a);for(var i=0,j=b.length;j>i;i++){var k=b[i];if(c.save(),c.transform.apply(c,k.transform),c.scale(1,-1),c.drawImage(g.canvas,k.x,k.y,k.w,k.h,0,-1,1,1),this.imageLayer){var l=this.getCanvasPosition(k.x,k.y);this.imageLayer.appendImage({imgData:a,left:l[0],top:l[1],width:d,height:f})}c.restore()}},paintSolidColorImageMask:function(){this.ctx.fillRect(0,0,1,1)},paintXObject:function(){I.notify(H.unknown),b("Unsupported 'paintXObject' command.")},markPoint:function(a){},markPointProps:function(a,b){},beginMarkedContent:function(a){},beginMarkedContentProps:function(a,b){},endMarkedContent:function(){},beginCompat:function(){},endCompat:function(){},consumePath:function(){var a=this.ctx;this.pendingClip&&(this.pendingClip===v?void 0!==a.mozFillRule?(a.mozFillRule="evenodd",a.clip(),a.mozFillRule="nonzero"):a.clip("evenodd"):a.clip(),this.pendingClip=null),a.beginPath()},getSinglePixelWidth:function(a){if(null===this.cachedGetSinglePixelWidth){var b=this.ctx.mozCurrentTransformInverse;this.cachedGetSinglePixelWidth=Math.sqrt(Math.max(b[0]*b[0]+b[1]*b[1],b[2]*b[2]+b[3]*b[3]))}return this.cachedGetSinglePixelWidth},getCanvasPosition:function(a,b){var c=this.ctx.mozCurrentTransform;return[c[0]*a+c[2]*b+c[4],c[1]*a+c[3]*b+c[5]]}};for(var z in G)c.prototype[G[z]]=c.prototype[z];return c}(),la=function(){function a(a,b,c){var d=a.createShader(c);a.shaderSource(d,b),a.compileShader(d);var e=a.getShaderParameter(d,a.COMPILE_STATUS);if(!e){var f=a.getShaderInfoLog(d);throw new Error("Error during shader compilation: "+f)}return d}function b(b,c){return a(b,c,b.VERTEX_SHADER)}function c(b,c){return a(b,c,b.FRAGMENT_SHADER)}function d(a,b){for(var c=a.createProgram(),d=0,e=b.length;e>d;++d)a.attachShader(c,b[d]);a.linkProgram(c);var f=a.getProgramParameter(c,a.LINK_STATUS);if(!f){var g=a.getProgramInfoLog(c);throw new Error("Error during program linking: "+g)}return c}function e(a,b,c){a.activeTexture(c);var d=a.createTexture();return a.bindTexture(a.TEXTURE_2D,d),a.texParameteri(a.TEXTURE_2D,a.TEXTURE_WRAP_S,a.CLAMP_TO_EDGE),a.texParameteri(a.TEXTURE_2D,a.TEXTURE_WRAP_T,a.CLAMP_TO_EDGE),a.texParameteri(a.TEXTURE_2D,a.TEXTURE_MIN_FILTER,a.NEAREST),a.texParameteri(a.TEXTURE_2D,a.TEXTURE_MAG_FILTER,a.NEAREST),a.texImage2D(a.TEXTURE_2D,0,a.RGBA,a.RGBA,a.UNSIGNED_BYTE,b),d}function f(){m||(n=document.createElement("canvas"),m=n.getContext("webgl",{premultipliedalpha:!1}))}function g(){var a,e;f(),a=n,n=null,e=m,m=null;var g=b(e,o),h=c(e,p),i=d(e,[g,h]);e.useProgram(i);var j={};j.gl=e,j.canvas=a,j.resolutionLocation=e.getUniformLocation(i,"u_resolution"),j.positionLocation=e.getAttribLocation(i,"a_position"),j.backdropLocation=e.getUniformLocation(i,"u_backdrop"),j.subtypeLocation=e.getUniformLocation(i,"u_subtype");var k=e.getAttribLocation(i,"a_texCoord"),l=e.getUniformLocation(i,"u_image"),r=e.getUniformLocation(i,"u_mask"),s=e.createBuffer();e.bindBuffer(e.ARRAY_BUFFER,s),e.bufferData(e.ARRAY_BUFFER,new Float32Array([0,0,1,0,0,1,0,1,1,0,1,1]),e.STATIC_DRAW),e.enableVertexAttribArray(k),e.vertexAttribPointer(k,2,e.FLOAT,!1,0,0),e.uniform1i(l,0),e.uniform1i(r,1),q=j}function h(a,b,c){var d=a.width,f=a.height;q||g();var h=q,i=h.canvas,j=h.gl;i.width=d,i.height=f,j.viewport(0,0,j.drawingBufferWidth,j.drawingBufferHeight),j.uniform2f(h.resolutionLocation,d,f),c.backdrop?j.uniform4f(h.resolutionLocation,c.backdrop[0],c.backdrop[1],c.backdrop[2],1):j.uniform4f(h.resolutionLocation,0,0,0,0),j.uniform1i(h.subtypeLocation,"Luminosity"===c.subtype?1:0);var k=e(j,a,j.TEXTURE0),l=e(j,b,j.TEXTURE1),m=j.createBuffer();return j.bindBuffer(j.ARRAY_BUFFER,m),j.bufferData(j.ARRAY_BUFFER,new Float32Array([0,0,d,0,0,f,0,f,d,0,d,f]),j.STATIC_DRAW),j.enableVertexAttribArray(h.positionLocation),j.vertexAttribPointer(h.positionLocation,2,j.FLOAT,!1,0,0),j.clearColor(0,0,0,0),j.enable(j.BLEND),j.blendFunc(j.ONE,j.ONE_MINUS_SRC_ALPHA),j.clear(j.COLOR_BUFFER_BIT),j.drawArrays(j.TRIANGLES,0,6),j.flush(),j.deleteTexture(k),j.deleteTexture(l),j.deleteBuffer(m),i}function j(){var a,e;f(),a=n,n=null,e=m,m=null;var g=b(e,r),h=c(e,s),i=d(e,[g,h]);e.useProgram(i);var j={};j.gl=e,j.canvas=a,j.resolutionLocation=e.getUniformLocation(i,"u_resolution"),j.scaleLocation=e.getUniformLocation(i,"u_scale"),j.offsetLocation=e.getUniformLocation(i,"u_offset"),j.positionLocation=e.getAttribLocation(i,"a_position"),j.colorLocation=e.getAttribLocation(i,"a_color"),t=j}function k(a,b,c,d,e){t||j();var f=t,g=f.canvas,h=f.gl;g.width=a,g.height=b,h.viewport(0,0,h.drawingBufferWidth,h.drawingBufferHeight),h.uniform2f(f.resolutionLocation,a,b);var i,k,l,m=0;for(i=0,k=d.length;k>i;i++)switch(d[i].type){case"lattice":l=d[i].coords.length/d[i].verticesPerRow|0,m+=(l-1)*(d[i].verticesPerRow-1)*6;break;case"triangles":m+=d[i].coords.length}var n=new Float32Array(2*m),o=new Uint8Array(3*m),p=e.coords,q=e.colors,r=0,s=0;for(i=0,k=d.length;k>i;i++){var u=d[i],v=u.coords,w=u.colors;switch(u.type){case"lattice":var x=u.verticesPerRow;l=v.length/x|0;for(var y=1;l>y;y++)for(var z=y*x+1,A=1;x>A;A++,z++)n[r]=p[v[z-x-1]],n[r+1]=p[v[z-x-1]+1],n[r+2]=p[v[z-x]],n[r+3]=p[v[z-x]+1],n[r+4]=p[v[z-1]],n[r+5]=p[v[z-1]+1],o[s]=q[w[z-x-1]],o[s+1]=q[w[z-x-1]+1],o[s+2]=q[w[z-x-1]+2],o[s+3]=q[w[z-x]],o[s+4]=q[w[z-x]+1],o[s+5]=q[w[z-x]+2],o[s+6]=q[w[z-1]],o[s+7]=q[w[z-1]+1],o[s+8]=q[w[z-1]+2],n[r+6]=n[r+2],n[r+7]=n[r+3],n[r+8]=n[r+4],n[r+9]=n[r+5],n[r+10]=p[v[z]],n[r+11]=p[v[z]+1],o[s+9]=o[s+3],o[s+10]=o[s+4],o[s+11]=o[s+5],o[s+12]=o[s+6],o[s+13]=o[s+7],o[s+14]=o[s+8],o[s+15]=q[w[z]],o[s+16]=q[w[z]+1],o[s+17]=q[w[z]+2],r+=12,s+=18;break;case"triangles":for(var B=0,C=v.length;C>B;B++)n[r]=p[v[B]],n[r+1]=p[v[B]+1],o[s]=q[w[B]],o[s+1]=q[w[B]+1],o[s+2]=q[w[B]+2],r+=2,s+=3}}c?h.clearColor(c[0]/255,c[1]/255,c[2]/255,1):h.clearColor(0,0,0,0),h.clear(h.COLOR_BUFFER_BIT);var D=h.createBuffer();h.bindBuffer(h.ARRAY_BUFFER,D),h.bufferData(h.ARRAY_BUFFER,n,h.STATIC_DRAW),h.enableVertexAttribArray(f.positionLocation),h.vertexAttribPointer(f.positionLocation,2,h.FLOAT,!1,0,0);var E=h.createBuffer();return h.bindBuffer(h.ARRAY_BUFFER,E),h.bufferData(h.ARRAY_BUFFER,o,h.STATIC_DRAW),h.enableVertexAttribArray(f.colorLocation),h.vertexAttribPointer(f.colorLocation,3,h.UNSIGNED_BYTE,!1,0,0),h.uniform2f(f.scaleLocation,e.scaleX,e.scaleY),h.uniform2f(f.offsetLocation,e.offsetX,e.offsetY),h.drawArrays(h.TRIANGLES,0,m),h.flush(),h.deleteBuffer(D),h.deleteBuffer(E),g}function l(){q&&q.canvas&&(q.canvas.width=0,q.canvas.height=0),t&&t.canvas&&(t.canvas.width=0,t.canvas.height=0),q=null,t=null}var m,n,o=" attribute vec2 a_position; attribute vec2 a_texCoord; uniform vec2 u_resolution; varying vec2 v_texCoord; void main() { vec2 clipSpace = (a_position / u_resolution) * 2.0 - 1.0; gl_Position = vec4(clipSpace * vec2(1, -1), 0, 1); v_texCoord = a_texCoord; } ",p=" precision mediump float; uniform vec4 u_backdrop; uniform int u_subtype; uniform sampler2D u_image; uniform sampler2D u_mask; varying vec2 v_texCoord; void main() { vec4 imageColor = texture2D(u_image, v_texCoord); vec4 maskColor = texture2D(u_mask, v_texCoord); if (u_backdrop.a > 0.0) { maskColor.rgb = maskColor.rgb * maskColor.a + u_backdrop.rgb * (1.0 - maskColor.a); } float lum; if (u_subtype == 0) { lum = maskColor.a; } else { lum = maskColor.r * 0.3 + maskColor.g * 0.59 + maskColor.b * 0.11; } imageColor.a *= lum; imageColor.rgb *= imageColor.a; gl_FragColor = imageColor; } ",q=null,r=" attribute vec2 a_position; attribute vec3 a_color; uniform vec2 u_resolution; uniform vec2 u_scale; uniform vec2 u_offset; varying vec4 v_color; void main() { vec2 position = (a_position + u_offset) * u_scale; vec2 clipSpace = (position / u_resolution) * 2.0 - 1.0; gl_Position = vec4(clipSpace * vec2(1, -1), 0, 1); v_color = vec4(a_color / 255.0, 1.0); } ",s=" precision mediump float; varying vec4 v_color; void main() { gl_FragColor = v_color; } ",t=null; -return{get isEnabled(){if(PDFJS.disableWebGL)return!1;var a=!1;try{f(),a=!!m}catch(b){}return i(this,"isEnabled",a)},composeSMask:h,drawFigures:k,clear:l}}(),ma={};ma.RadialAxial={fromIR:function(a){var b=a[1],c=a[2],d=a[3],e=a[4],f=a[5],g=a[6];return{type:"Pattern",getPattern:function(a){var h;"axial"===b?h=a.createLinearGradient(d[0],d[1],e[0],e[1]):"radial"===b&&(h=a.createRadialGradient(d[0],d[1],f,e[0],e[1],g));for(var i=0,j=c.length;j>i;++i){var k=c[i];h.addColorStop(k[0],k[1])}return h}}}};var na=function(){function a(a,b,c,d,e,f,g,h){var i,j=b.coords,k=b.colors,l=a.data,m=4*a.width;j[c+1]>j[d+1]&&(i=c,c=d,d=i,i=f,f=g,g=i),j[d+1]>j[e+1]&&(i=d,d=e,e=i,i=g,g=h,h=i),j[c+1]>j[d+1]&&(i=c,c=d,d=i,i=f,f=g,g=i);var n=(j[c]+b.offsetX)*b.scaleX,o=(j[c+1]+b.offsetY)*b.scaleY,p=(j[d]+b.offsetX)*b.scaleX,q=(j[d+1]+b.offsetY)*b.scaleY,r=(j[e]+b.offsetX)*b.scaleX,s=(j[e+1]+b.offsetY)*b.scaleY;if(!(o>=s))for(var t,u,v,w,x,y,z,A,B,C=k[f],D=k[f+1],E=k[f+2],F=k[g],G=k[g+1],H=k[g+2],I=k[h],J=k[h+1],K=k[h+2],L=Math.round(o),M=Math.round(s),N=L;M>=N;N++){q>N?(B=o>N?0:o===q?1:(o-N)/(o-q),t=n-(n-p)*B,u=C-(C-F)*B,v=D-(D-G)*B,w=E-(E-H)*B):(B=N>s?1:q===s?0:(q-N)/(q-s),t=p-(p-r)*B,u=F-(F-I)*B,v=G-(G-J)*B,w=H-(H-K)*B),B=o>N?0:N>s?1:(o-N)/(o-s),x=n-(n-r)*B,y=C-(C-I)*B,z=D-(D-J)*B,A=E-(E-K)*B;for(var O=Math.round(Math.min(t,x)),P=Math.round(Math.max(t,x)),Q=m*N+4*O,R=O;P>=R;R++)B=(t-R)/(t-x),B=0>B?0:B>1?1:B,l[Q++]=u-(u-y)*B|0,l[Q++]=v-(v-z)*B|0,l[Q++]=w-(w-A)*B|0,l[Q++]=255}}function b(b,c,e){var f,g,h=c.coords,i=c.colors;switch(c.type){case"lattice":var j=c.verticesPerRow,k=Math.floor(h.length/j)-1,l=j-1;for(f=0;k>f;f++)for(var m=f*j,n=0;l>n;n++,m++)a(b,e,h[m],h[m+1],h[m+j],i[m],i[m+1],i[m+j]),a(b,e,h[m+j+1],h[m+1],h[m+j],i[m+j+1],i[m+1],i[m+j]);break;case"triangles":for(f=0,g=h.length;g>f;f+=3)a(b,e,h[f],h[f+1],h[f+2],i[f],i[f+1],i[f+2]);break;default:d("illigal figure")}}function c(a,c,d,e,f,g){var h,i,j,k,l=1.1,m=3e3,n=Math.floor(a[0]),o=Math.floor(a[1]),p=Math.ceil(a[2])-n,q=Math.ceil(a[3])-o,r=Math.min(Math.ceil(Math.abs(p*c[0]*l)),m),s=Math.min(Math.ceil(Math.abs(q*c[1]*l)),m),t=p/r,u=q/s,v={coords:d,colors:e,offsetX:-n,offsetY:-o,scaleX:1/t,scaleY:1/u};if(la.isEnabled)h=la.drawFigures(r,s,g,f,v),i=ia.getCanvas("mesh",r,s,!1),i.context.drawImage(h,0,0),h=i.canvas;else{i=ia.getCanvas("mesh",r,s,!1);var w=i.context,x=w.createImageData(r,s);if(g){var y=x.data;for(j=0,k=y.length;k>j;j+=4)y[j]=g[0],y[j+1]=g[1],y[j+2]=g[2],y[j+3]=255}for(j=0;j=14?!0:"node"===a}(),nativeFontFaces:[],isFontLoadingAPISupported:!A&&"undefined"!=typeof document&&!!document.fonts,addNativeFontFace:function(a){this.nativeFontFaces.push(a),document.fonts.add(a)},bind:function(a,c){f(!A,"bind() shall be called from main thread");for(var d=[],e=[],g=[],h=function(a){return a.loaded["catch"](function(c){b('Failed to load font "'+a.family+'": '+c)})},i=0,j=a.length;j>i;i++){var k=a[i];if(!k.attached&&k.loading!==!1)if(k.attached=!0,this.isFontLoadingAPISupported){var l=k.createNativeFontFace();l&&g.push(h(l))}else{var m=k.bindDOM();m&&(d.push(m),e.push(k))}}var n=pa.queueLoadingCallback(c);this.isFontLoadingAPISupported?Promise.all(g).then(function(){n.complete()}):d.length>0&&!this.isSyncFontLoadingSupported?pa.prepareFontLoadEvent(d,e,n):n.complete()},queueLoadingCallback:function(a){function b(){for(f(!e.end,"completeRequest() cannot be called twice"),e.end=Date.now();c.requests.length>0&&c.requests[0].end;){var a=c.requests.shift();setTimeout(a.callback,0)}}var c=pa.loadingContext,d="pdfjs-font-loading-"+c.nextRequestId++,e={id:d,complete:b,callback:a,started:Date.now()};return c.requests.push(e),e},prepareFontLoadEvent:function(a,c,d){function e(a,b){return a.charCodeAt(b)<<24|a.charCodeAt(b+1)<<16|a.charCodeAt(b+2)<<8|255&a.charCodeAt(b+3)}function f(a,b,c,d){var e=a.substr(0,b),f=a.substr(b+c);return e+d+f}function g(a,c){if(l++,l>30)return b("Load test font never loaded."),void c();k.font="30px "+a,k.fillText(".",0,20);var d=k.getImageData(0,0,1,1);return d.data[3]>0?void c():void setTimeout(g.bind(null,a,c))}var h,i,j=document.createElement("canvas");j.width=1,j.height=1;var k=j.getContext("2d"),l=0,n="lt"+Date.now()+this.loadTestFontId++,o=this.loadTestFont,p=976;o=f(o,p,n.length,n);var q=16,r=1482184792,s=e(o,q);for(h=0,i=n.length-3;i>h;h+=4)s=s-r+e(n,h)|0;hh;h++)v.push(c[h].loadedName);v.push(n);var w=document.createElement("div");for(w.setAttribute("style","visibility: hidden;width: 10px; height: 10px;position: absolute; top: 0px; left: 0px;"),h=0,i=v.length;i>h;++h){var x=document.createElement("span");x.textContent="Hi",x.style.fontFamily=v[h],w.appendChild(x)}document.body.appendChild(w),g(n,function(){document.body.removeChild(w),d.complete()})}},qa=function(){function a(a,b,c){if(this.compiledGlyphs={},1!==arguments.length);else{var d=arguments[0];for(var e in d)this[e]=d[e]}}return a.prototype={createNativeFontFace:function(){if(!this.data)return null;if(PDFJS.disableFontFace)return this.disableFontFace=!0,null;var a=new FontFace(this.loadedName,this.data,{});return pa.addNativeFontFace(a),PDFJS.pdfBug&&"FontInspector"in z&&z.FontInspector.enabled&&z.FontInspector.fontAdded(this),a},bindDOM:function(){if(!this.data)return null;if(PDFJS.disableFontFace)return this.disableFontFace=!0,null;var a=k(new Uint8Array(this.data)),b=this.loadedName,c="url(data:"+this.mimetype+";base64,"+window.btoa(a)+");",d='@font-face { font-family:"'+b+'";src:'+c+"}";return pa.insertRule(d),PDFJS.pdfBug&&"FontInspector"in z&&z.FontInspector.enabled&&z.FontInspector.fontAdded(this,c),d},getPathGenerator:function(a,b){if(!(b in this.compiledGlyphs)){var c,d,e,f=a.get(this.loadedName+"_path_"+b);if(pa.isEvalSupported){var g,h="";for(d=0,e=f.length;e>d;d++)c=f[d],g=void 0!==c.args?c.args.join(","):"",h+="c."+c.cmd+"("+g+");\n";this.compiledGlyphs[b]=new Function("c","size",h)}else this.compiledGlyphs[b]=function(a,b){for(d=0,e=f.length;e>d;d++)c=f[d],"scale"===c.cmd&&(c.args=[b,-b]),a[c.cmd].apply(a,c.args)}}return this.compiledGlyphs[b]}},a}(),ra=10,sa=function(){function a(a,b,c){var d=a.style;if(d.fontSize=b.fontSize+"px",d.direction=b.fontDirection<0?"rtl":"ltr",c){d.fontWeight=c.black?c.bold?"bolder":"bold":c.bold?"bold":"normal",d.fontStyle=c.italic?"italic":"normal";var e=c.loadedName,f=e?'"'+e+'", ':"",g=c.fallbackName||"Helvetica, sans-serif";d.fontFamily=f+g}}function c(a){var c=document.createElement("section"),d=c.style,e=a.rect[2]-a.rect[0],f=a.rect[3]-a.rect[1];if(a.borderStyle.width>0){c.style.borderWidth=a.borderStyle.width+"px",a.borderStyle.style!==F.UNDERLINE&&(e-=2*a.borderStyle.width,f-=2*a.borderStyle.width);var g=a.borderStyle.horizontalCornerRadius,h=a.borderStyle.verticalCornerRadius;if(g>0||h>0){var i=g+"px / "+h+"px";CustomStyle.setProp("borderRadius",c,i)}switch(a.borderStyle.style){case F.SOLID:c.style.borderStyle="solid";break;case F.DASHED:c.style.borderStyle="dashed";break;case F.BEVELED:b("Unimplemented border style: beveled");break;case F.INSET:b("Unimplemented border style: inset");break;case F.UNDERLINE:c.style.borderBottomStyle="solid"}a.color?c.style.borderColor=T.makeCssRgb(0|a.color[0],0|a.color[1],0|a.color[2]):c.style.borderWidth=0}return d.width=e+"px",d.height=f+"px",c}function d(b,c){var d=document.createElement("div"),e=b.rect[2]-b.rect[0],f=b.rect[3]-b.rect[1];d.style.width=e+"px",d.style.height=f+"px",d.style.display="table";var g=document.createElement("div");g.textContent=b.fieldValue;var h=b.textAlignment;g.style.textAlign=["left","center","right"][h],g.style.verticalAlign="middle",g.style.display="table-cell";var i=b.fontRefName?c.getData(b.fontRefName):null;return a(g,b,i),d.appendChild(g),d}function e(a){var b=a.rect;b[3]-b[1]i;++i){var t=s[i];r.appendChild(document.createTextNode(t)),j-1>i&&r.appendChild(document.createElement("br"))}q.appendChild(r);var u=!1,v=function(a){a&&(u=!0),h.hasAttribute("hidden")&&(d.style.zIndex+=1,h.removeAttribute("hidden"))},w=function(a){a&&(u=!1),h.hasAttribute("hidden")||u||(d.style.zIndex-=1,h.setAttribute("hidden",!0))},x=function(){u?w(!0):v(!0)};e.addEventListener("click",function(){x()},!1),e.addEventListener("mouseover",function(){v()},!1),e.addEventListener("mouseout",function(){w()},!1),h.addEventListener("click",function(){w(!0)},!1)}else h.setAttribute("hidden",!0);return h.appendChild(p),h.appendChild(q),g.appendChild(h),d.appendChild(e),d.appendChild(g),d}function f(a){var b=c(a);b.className="annotLink";var d=document.createElement("a");return d.href=d.title=a.url||"",a.url&&j()&&(d.target=K[PDFJS.externalLinkTarget]),b.appendChild(d),b}function g(a,b){switch(a.annotationType){case E.WIDGET:return d(a,b);case E.TEXT:return e(a);case E.LINK:return f(a);default:throw new Error("Unsupported annotationType: "+a.annotationType)}}return{getHtmlElement:g}}();PDFJS.AnnotationUtils=sa;var ta={fontStyle:"normal",fontWeight:"normal",fillColor:"#000000"},ua=function(){function a(a,b,c){for(var d=-1,e=b;c>e;e++){var f=255&(d^a[e]),h=g[f];d=d>>>8^h}return-1^d}function b(b,c,d,e){var f=e,g=c.length;d[f]=g>>24&255,d[f+1]=g>>16&255,d[f+2]=g>>8&255,d[f+3]=255&g,f+=4,d[f]=255&b.charCodeAt(0),d[f+1]=255&b.charCodeAt(1),d[f+2]=255&b.charCodeAt(2),d[f+3]=255&b.charCodeAt(3),f+=4,d.set(c,f),f+=c.length;var h=a(d,e+4,f);d[f]=h>>24&255,d[f+1]=h>>16&255,d[f+2]=h>>8&255,d[f+3]=255&h}function c(a,b,c){for(var d=1,e=0,f=b;c>f;++f)d=(d+(255&a[f]))%65521,e=(e+d)%65521;return e<<16|d}function d(a,d){var g,h,i,j=a.width,k=a.height,l=a.data;switch(d){case D.GRAYSCALE_1BPP:h=0,g=1,i=j+7>>3;break;case D.RGB_24BPP:h=2,g=8,i=3*j;break;case D.RGBA_32BPP:h=6,g=8,i=4*j;break;default:throw new Error("invalid format")}var m,n,o=new Uint8Array((1+i)*k),p=0,q=0;for(m=0;k>m;++m)o[p++]=0,o.set(l.subarray(q,q+i),p),q+=i,p+=i;if(d===D.GRAYSCALE_1BPP)for(p=0,m=0;k>m;m++)for(p++,n=0;i>n;n++)o[p++]^=255;var r=new Uint8Array([j>>24&255,j>>16&255,j>>8&255,255&j,k>>24&255,k>>16&255,k>>8&255,255&k,g,h,0,0,0]),s=o.length,t=65535,u=Math.ceil(s/t),v=new Uint8Array(2+s+5*u+4),w=0;v[w++]=120,v[w++]=156;for(var x=0;s>t;)v[w++]=0,v[w++]=255,v[w++]=255,v[w++]=0,v[w++]=0,v.set(o.subarray(x,x+t),w),w+=t,x+=t,s-=t;v[w++]=1,v[w++]=255&s,v[w++]=s>>8&255,v[w++]=65535&~s&255,v[w++]=(65535&~s)>>8&255,v.set(o.subarray(x),w),w+=o.length-x;var y=c(o,0,o.length);v[w++]=y>>24&255,v[w++]=y>>16&255,v[w++]=y>>8&255,v[w++]=255&y;var z=e.length+3*f+r.length+v.length,A=new Uint8Array(z),B=0;return A.set(e,B),B+=e.length,b("IHDR",r,A,B),B+=f+r.length,b("IDATA",v,A,B),B+=f+v.length,b("IEND",new Uint8Array(0),A,B),PDFJS.createObjectURL(A,"image/png")}for(var e=new Uint8Array([137,80,78,71,13,10,26,10]),f=12,g=new Int32Array(256),h=0;256>h;h++){for(var i=h,j=0;8>j;j++)i=1&i?3988292384^i>>1&2147483647:i>>1&2147483647;g[h]=i}return function(a){var b=void 0===a.kind?D.GRAYSCALE_1BPP:a.kind;return d(a,b)}}(),va=function(){function a(){this.fontSizeScale=1,this.fontWeight=ta.fontWeight,this.fontSize=0,this.textMatrix=S,this.fontMatrix=B,this.leading=0,this.x=0,this.y=0,this.lineX=0,this.lineY=0,this.charSpacing=0,this.wordSpacing=0,this.textHScale=1,this.textRise=0,this.fillColor=ta.fillColor,this.strokeColor="#000000",this.fillAlpha=1,this.strokeAlpha=1,this.lineWidth=1,this.lineJoin="",this.lineCap="",this.miterLimit=0,this.dashArray=[],this.dashPhase=0,this.dependencies=[],this.clipId="",this.pendingClip=!1,this.maskId=""}return a.prototype={clone:function(){return Object.create(this)},setCurrentPoint:function(a,b){this.x=a,this.y=b}},a}(),wa=function(){function a(a,b){var c="http://www.w3.org/2000/svg",d=document.createElementNS(c,"svg:svg");return d.setAttributeNS(null,"version","1.1"),d.setAttributeNS(null,"width",a+"px"),d.setAttributeNS(null,"height",b+"px"),d.setAttributeNS(null,"viewBox","0 0 "+a+" "+b),d}function c(a){for(var b=[],c=[],d=a.length,e=0;d>e;e++)"save"!==a[e].fn?"restore"===a[e].fn?b=c.pop():b.push(a[e]):(b.push({fnId:92,fn:"group",items:[]}),c.push(b),b=b[b.length-1].items);return b}function d(a){if(a===(0|a))return a.toString();var b=a.toFixed(10),c=b.length-1;if("0"!==b[c])return b;do c--;while("0"===b[c]);return b.substr(0,"."===b[c]?c:c+1)}function e(a){if(0===a[4]&&0===a[5]){if(0===a[1]&&0===a[2])return 1===a[0]&&1===a[3]?"":"scale("+d(a[0])+" "+d(a[3])+")";if(a[0]===a[3]&&a[1]===-a[2]){var b=180*Math.acos(a[0])/Math.PI;return"rotate("+d(b)+")"}}else if(1===a[0]&&0===a[1]&&0===a[2]&&1===a[3])return"translate("+d(a[4])+" "+d(a[5])+")";return"matrix("+d(a[0])+" "+d(a[1])+" "+d(a[2])+" "+d(a[3])+" "+d(a[4])+" "+d(a[5])+")"}function f(a,b){this.current=new va,this.transformMatrix=S,this.transformStack=[],this.extraStack=[],this.commonObjs=a,this.objs=b,this.pendingEOFill=!1,this.embedFonts=!1,this.embeddedFonts={},this.cssStyle=null}var g="http://www.w3.org/2000/svg",h="http://www.w3.org/XML/1998/namespace",i="http://www.w3.org/1999/xlink",j=["butt","round","square"],k=["miter","round","bevel"],l=0,m=0;return f.prototype={save:function(){this.transformStack.push(this.transformMatrix);var a=this.current;this.extraStack.push(a),this.current=a.clone()},restore:function(){this.transformMatrix=this.transformStack.pop(),this.current=this.extraStack.pop(),this.tgrp=document.createElementNS(g,"svg:g"),this.tgrp.setAttributeNS(null,"transform",e(this.transformMatrix)),this.pgrp.appendChild(this.tgrp)},group:function(a){this.save(),this.executeOpTree(a),this.restore()},loadDependencies:function(a){for(var b=a.fnArray,c=b.length,d=a.argsArray,e=this,f=0;c>f;f++)if(G.dependency===b[f])for(var g=d[f],h=0,i=g.length;i>h;h++){var j,k=g[h],l="g_"===k.substring(0,2);j=l?new Promise(function(a){e.commonObjs.get(k,a)}):new Promise(function(a){e.objs.get(k,a)}),this.current.dependencies.push(j)}return Promise.all(this.current.dependencies)},transform:function(a,b,c,d,f,h){var i=[a,b,c,d,f,h];this.transformMatrix=PDFJS.Util.transform(this.transformMatrix,i),this.tgrp=document.createElementNS(g,"svg:g"),this.tgrp.setAttributeNS(null,"transform",e(this.transformMatrix))},getSVG:function(b,c){return this.svg=a(c.width,c.height),this.viewport=c,this.loadDependencies(b).then(function(){this.transformMatrix=S,this.pgrp=document.createElementNS(g,"svg:g"),this.pgrp.setAttributeNS(null,"transform",e(c.transform)),this.tgrp=document.createElementNS(g,"svg:g"),this.tgrp.setAttributeNS(null,"transform",e(this.transformMatrix)),this.defs=document.createElementNS(g,"svg:defs"),this.pgrp.appendChild(this.defs),this.pgrp.appendChild(this.tgrp),this.svg.appendChild(this.pgrp);var a=this.convertOpList(b);return this.executeOpTree(a),this.svg}.bind(this))},convertOpList:function(a){var b=a.argsArray,d=a.fnArray,e=d.length,f=[],g=[];for(var h in G)f[G[h]]=h;for(var i=0;e>i;i++){var j=d[i];g.push({fnId:j,fn:f[j],args:b[i]})}return c(g)},executeOpTree:function(a){for(var c=a.length,d=0;c>d;d++){var e=a[d].fn,f=a[d].fnId,g=a[d].args;switch(0|f){case G.beginText:this.beginText();break;case G.setLeading:this.setLeading(g);break;case G.setLeadingMoveText:this.setLeadingMoveText(g[0],g[1]);break;case G.setFont:this.setFont(g);break;case G.showText:this.showText(g[0]);break;case G.showSpacedText:this.showText(g[0]);break;case G.endText:this.endText();break;case G.moveText:this.moveText(g[0],g[1]);break;case G.setCharSpacing:this.setCharSpacing(g[0]);break;case G.setWordSpacing:this.setWordSpacing(g[0]);break;case G.setHScale:this.setHScale(g[0]);break;case G.setTextMatrix:this.setTextMatrix(g[0],g[1],g[2],g[3],g[4],g[5]);break;case G.setLineWidth:this.setLineWidth(g[0]);break;case G.setLineJoin:this.setLineJoin(g[0]);break;case G.setLineCap:this.setLineCap(g[0]);break;case G.setMiterLimit:this.setMiterLimit(g[0]);break;case G.setFillRGBColor:this.setFillRGBColor(g[0],g[1],g[2]);break;case G.setStrokeRGBColor:this.setStrokeRGBColor(g[0],g[1],g[2]);break;case G.setDash:this.setDash(g[0],g[1]);break;case G.setGState:this.setGState(g[0]);break;case G.fill:this.fill();break;case G.eoFill:this.eoFill();break;case G.stroke:this.stroke();break;case G.fillStroke:this.fillStroke();break;case G.eoFillStroke:this.eoFillStroke();break;case G.clip:this.clip("nonzero");break;case G.eoClip:this.clip("evenodd");break;case G.paintSolidColorImageMask:this.paintSolidColorImageMask();break;case G.paintJpegXObject:this.paintJpegXObject(g[0],g[1],g[2]);break;case G.paintImageXObject:this.paintImageXObject(g[0]);break;case G.paintInlineImageXObject:this.paintInlineImageXObject(g[0]);break;case G.paintImageMaskXObject:this.paintImageMaskXObject(g[0]);break;case G.paintFormXObjectBegin:this.paintFormXObjectBegin(g[0],g[1]);break;case G.paintFormXObjectEnd:this.paintFormXObjectEnd();break;case G.closePath:this.closePath();break;case G.closeStroke:this.closeStroke();break;case G.closeFillStroke:this.closeFillStroke();break;case G.nextLine:this.nextLine();break;case G.transform:this.transform(g[0],g[1],g[2],g[3],g[4],g[5]);break;case G.constructPath:this.constructPath(g[0],g[1]);break;case G.endPath:this.endPath();break;case 92:this.group(a[d].items);break;default:b("Unimplemented method "+e)}}},setWordSpacing:function(a){this.current.wordSpacing=a},setCharSpacing:function(a){this.current.charSpacing=a},nextLine:function(){this.moveText(0,this.current.leading)},setTextMatrix:function(a,b,c,e,f,h){var i=this.current;this.current.textMatrix=this.current.lineMatrix=[a,b,c,e,f,h],this.current.x=this.current.lineX=0,this.current.y=this.current.lineY=0,i.xcoords=[],i.tspan=document.createElementNS(g,"svg:tspan"),i.tspan.setAttributeNS(null,"font-family",i.fontFamily),i.tspan.setAttributeNS(null,"font-size",d(i.fontSize)+"px"),i.tspan.setAttributeNS(null,"y",d(-i.y)),i.txtElement=document.createElementNS(g,"svg:text"),i.txtElement.appendChild(i.tspan)},beginText:function(){this.current.x=this.current.lineX=0,this.current.y=this.current.lineY=0,this.current.textMatrix=S,this.current.lineMatrix=S,this.current.tspan=document.createElementNS(g,"svg:tspan"),this.current.txtElement=document.createElementNS(g,"svg:text"),this.current.txtgrp=document.createElementNS(g,"svg:g"),this.current.xcoords=[]},moveText:function(a,b){var c=this.current;this.current.x=this.current.lineX+=a,this.current.y=this.current.lineY+=b,c.xcoords=[],c.tspan=document.createElementNS(g,"svg:tspan"),c.tspan.setAttributeNS(null,"font-family",c.fontFamily),c.tspan.setAttributeNS(null,"font-size",d(c.fontSize)+"px"),c.tspan.setAttributeNS(null,"y",d(-c.y))},showText:function(a){var b=this.current,c=b.font,f=b.fontSize;if(0!==f){var g,i=b.charSpacing,j=b.wordSpacing,k=b.fontDirection,l=b.textHScale*k,m=a.length,n=c.vertical,o=f*b.fontMatrix[0],q=0;for(g=0;m>g;++g){var r=a[g];if(null!==r)if(p(r))q+=-r*f*.001;else{b.xcoords.push(b.x+q*l);var s=r.width,t=r.fontChar,u=s*o+i*k;q+=u,b.tspan.textContent+=t}else q+=k*j}n?b.y-=q*l:b.x+=q*l,b.tspan.setAttributeNS(null,"x",b.xcoords.map(d).join(" ")),b.tspan.setAttributeNS(null,"y",d(-b.y)),b.tspan.setAttributeNS(null,"font-family",b.fontFamily),b.tspan.setAttributeNS(null,"font-size",d(b.fontSize)+"px"),b.fontStyle!==ta.fontStyle&&b.tspan.setAttributeNS(null,"font-style",b.fontStyle),b.fontWeight!==ta.fontWeight&&b.tspan.setAttributeNS(null,"font-weight",b.fontWeight),b.fillColor!==ta.fillColor&&b.tspan.setAttributeNS(null,"fill",b.fillColor),b.txtElement.setAttributeNS(null,"transform",e(b.textMatrix)+" scale(1, -1)"),b.txtElement.setAttributeNS(h,"xml:space","preserve"),b.txtElement.appendChild(b.tspan),b.txtgrp.appendChild(b.txtElement),this.tgrp.appendChild(b.txtElement)}},setLeadingMoveText:function(a,b){this.setLeading(-b),this.moveText(a,b)},addFontStyle:function(a){this.cssStyle||(this.cssStyle=document.createElementNS(g,"svg:style"),this.cssStyle.setAttributeNS(null,"type","text/css"),this.defs.appendChild(this.cssStyle));var b=PDFJS.createObjectURL(a.data,a.mimetype);this.cssStyle.textContent+='@font-face { font-family: "'+a.loadedName+'"; src: url('+b+"); }\n"},setFont:function(a){var b=this.current,c=this.commonObjs.get(a[0]),e=a[1];this.current.font=c,this.embedFonts&&c.data&&!this.embeddedFonts[c.loadedName]&&(this.addFontStyle(c),this.embeddedFonts[c.loadedName]=c),b.fontMatrix=c.fontMatrix?c.fontMatrix:B;var f=c.black?c.bold?"bolder":"bold":c.bold?"bold":"normal",h=c.italic?"italic":"normal";0>e?(e=-e,b.fontDirection=-1):b.fontDirection=1,b.fontSize=e,b.fontFamily=c.loadedName,b.fontWeight=f,b.fontStyle=h,b.tspan=document.createElementNS(g,"svg:tspan"),b.tspan.setAttributeNS(null,"y",d(-b.y)),b.xcoords=[]},endText:function(){this.current.pendingClip?(this.cgrp.appendChild(this.tgrp),this.pgrp.appendChild(this.cgrp)):this.pgrp.appendChild(this.tgrp),this.tgrp=document.createElementNS(g,"svg:g"),this.tgrp.setAttributeNS(null,"transform",e(this.transformMatrix))},setLineWidth:function(a){this.current.lineWidth=a},setLineCap:function(a){this.current.lineCap=j[a]},setLineJoin:function(a){this.current.lineJoin=k[a]},setMiterLimit:function(a){this.current.miterLimit=a},setStrokeRGBColor:function(a,b,c){var d=T.makeCssRgb(a,b,c);this.current.strokeColor=d},setFillRGBColor:function(a,b,c){var d=T.makeCssRgb(a,b,c);this.current.fillColor=d,this.current.tspan=document.createElementNS(g,"svg:tspan"),this.current.xcoords=[]},setDash:function(a,b){this.current.dashArray=a,this.current.dashPhase=b},constructPath:function(a,b){var c=this.current,e=c.x,f=c.y;c.path=document.createElementNS(g,"svg:path");for(var h=[],i=a.length,j=0,k=0;i>j;j++)switch(0|a[j]){case G.rectangle:e=b[k++],f=b[k++];var l=b[k++],m=b[k++],n=e+l,o=f+m;h.push("M",d(e),d(f),"L",d(n),d(f),"L",d(n),d(o),"L",d(e),d(o),"Z");break;case G.moveTo:e=b[k++],f=b[k++],h.push("M",d(e),d(f));break;case G.lineTo:e=b[k++],f=b[k++],h.push("L",d(e),d(f));break;case G.curveTo:e=b[k+4],f=b[k+5],h.push("C",d(b[k]),d(b[k+1]),d(b[k+2]),d(b[k+3]),d(e),d(f)),k+=6;break;case G.curveTo2:e=b[k+2],f=b[k+3],h.push("C",d(e),d(f),d(b[k]),d(b[k+1]),d(b[k+2]),d(b[k+3])),k+=4;break;case G.curveTo3:e=b[k+2],f=b[k+3],h.push("C",d(b[k]),d(b[k+1]),d(e),d(f),d(e),d(f)),k+=4;break;case G.closePath:h.push("Z")}c.path.setAttributeNS(null,"d",h.join(" ")),c.path.setAttributeNS(null,"stroke-miterlimit",d(c.miterLimit)),c.path.setAttributeNS(null,"stroke-linecap",c.lineCap),c.path.setAttributeNS(null,"stroke-linejoin",c.lineJoin),c.path.setAttributeNS(null,"stroke-width",d(c.lineWidth)+"px"),c.path.setAttributeNS(null,"stroke-dasharray",c.dashArray.map(d).join(" ")),c.path.setAttributeNS(null,"stroke-dashoffset",d(c.dashPhase)+"px"),c.path.setAttributeNS(null,"fill","none"),this.tgrp.appendChild(c.path),c.pendingClip?(this.cgrp.appendChild(this.tgrp),this.pgrp.appendChild(this.cgrp)):this.pgrp.appendChild(this.tgrp),c.element=c.path,c.setCurrentPoint(e,f)},endPath:function(){var a=this.current;a.pendingClip?(this.cgrp.appendChild(this.tgrp),this.pgrp.appendChild(this.cgrp)):this.pgrp.appendChild(this.tgrp),this.tgrp=document.createElementNS(g,"svg:g"),this.tgrp.setAttributeNS(null,"transform",e(this.transformMatrix))},clip:function(a){var b=this.current;b.clipId="clippath"+l,l++,this.clippath=document.createElementNS(g,"svg:clipPath"),this.clippath.setAttributeNS(null,"id",b.clipId);var c=b.element.cloneNode();"evenodd"===a?c.setAttributeNS(null,"clip-rule","evenodd"):c.setAttributeNS(null,"clip-rule","nonzero"),this.clippath.setAttributeNS(null,"transform",e(this.transformMatrix)),this.clippath.appendChild(c),this.defs.appendChild(this.clippath),b.pendingClip=!0,this.cgrp=document.createElementNS(g,"svg:g"),this.cgrp.setAttributeNS(null,"clip-path","url(#"+b.clipId+")"),this.pgrp.appendChild(this.cgrp)},closePath:function(){var a=this.current,b=a.path.getAttributeNS(null,"d");b+="Z",a.path.setAttributeNS(null,"d",b)},setLeading:function(a){this.current.leading=-a},setTextRise:function(a){this.current.textRise=a},setHScale:function(a){this.current.textHScale=a/100},setGState:function(a){for(var b=0,c=a.length;c>b;b++){var d=a[b],e=d[0],f=d[1];switch(e){case"LW":this.setLineWidth(f);break;case"LC":this.setLineCap(f);break;case"LJ":this.setLineJoin(f);break;case"ML":this.setMiterLimit(f);break;case"D":this.setDash(f[0],f[1]);break;case"RI":break;case"FL":break;case"Font":this.setFont(f);break;case"CA":break;case"ca":break;case"BM":break;case"SMask":}}},fill:function(){var a=this.current;a.element.setAttributeNS(null,"fill",a.fillColor)},stroke:function(){var a=this.current;a.element.setAttributeNS(null,"stroke",a.strokeColor),a.element.setAttributeNS(null,"fill","none")},eoFill:function(){var a=this.current;a.element.setAttributeNS(null,"fill",a.fillColor),a.element.setAttributeNS(null,"fill-rule","evenodd")},fillStroke:function(){this.stroke(),this.fill()},eoFillStroke:function(){this.current.element.setAttributeNS(null,"fill-rule","evenodd"),this.fillStroke()},closeStroke:function(){this.closePath(),this.stroke()},closeFillStroke:function(){this.closePath(),this.fillStroke()},paintSolidColorImageMask:function(){var a=this.current,b=document.createElementNS(g,"svg:rect");b.setAttributeNS(null,"x","0"),b.setAttributeNS(null,"y","0"),b.setAttributeNS(null,"width","1px"),b.setAttributeNS(null,"height","1px"),b.setAttributeNS(null,"fill",a.fillColor),this.tgrp.appendChild(b)},paintJpegXObject:function(a,b,c){var e=this.current,f=this.objs.get(a),h=document.createElementNS(g,"svg:image");h.setAttributeNS(i,"xlink:href",f.src),h.setAttributeNS(null,"width",f.width+"px"),h.setAttributeNS(null,"height",f.height+"px"),h.setAttributeNS(null,"x","0"),h.setAttributeNS(null,"y",d(-c)),h.setAttributeNS(null,"transform","scale("+d(1/b)+" "+d(-1/c)+")"),this.tgrp.appendChild(h),e.pendingClip?(this.cgrp.appendChild(this.tgrp),this.pgrp.appendChild(this.cgrp)):this.pgrp.appendChild(this.tgrp)},paintImageXObject:function(a){var c=this.objs.get(a);return c?void this.paintInlineImageXObject(c):void b("Dependent image isn't ready yet")},paintInlineImageXObject:function(a,b){var c=this.current,e=a.width,f=a.height,h=ua(a),j=document.createElementNS(g,"svg:rect"); -j.setAttributeNS(null,"x","0"),j.setAttributeNS(null,"y","0"),j.setAttributeNS(null,"width",d(e)),j.setAttributeNS(null,"height",d(f)),c.element=j,this.clip("nonzero");var k=document.createElementNS(g,"svg:image");k.setAttributeNS(i,"xlink:href",h),k.setAttributeNS(null,"x","0"),k.setAttributeNS(null,"y",d(-f)),k.setAttributeNS(null,"width",d(e)+"px"),k.setAttributeNS(null,"height",d(f)+"px"),k.setAttributeNS(null,"transform","scale("+d(1/e)+" "+d(-1/f)+")"),b?b.appendChild(k):this.tgrp.appendChild(k),c.pendingClip?(this.cgrp.appendChild(this.tgrp),this.pgrp.appendChild(this.cgrp)):this.pgrp.appendChild(this.tgrp)},paintImageMaskXObject:function(a){var b=this.current,c=a.width,e=a.height,f=b.fillColor;b.maskId="mask"+m++;var h=document.createElementNS(g,"svg:mask");h.setAttributeNS(null,"id",b.maskId);var i=document.createElementNS(g,"svg:rect");i.setAttributeNS(null,"x","0"),i.setAttributeNS(null,"y","0"),i.setAttributeNS(null,"width",d(c)),i.setAttributeNS(null,"height",d(e)),i.setAttributeNS(null,"fill",f),i.setAttributeNS(null,"mask","url(#"+b.maskId+")"),this.defs.appendChild(h),this.tgrp.appendChild(i),this.paintInlineImageXObject(a,h)},paintFormXObjectBegin:function(a,b){if(this.save(),q(a)&&6===a.length&&this.transform(a[0],a[1],a[2],a[3],a[4],a[5]),q(b)&&4===b.length){var c=b[2]-b[0],e=b[3]-b[1],f=document.createElementNS(g,"svg:rect");f.setAttributeNS(null,"x",b[0]),f.setAttributeNS(null,"y",b[1]),f.setAttributeNS(null,"width",d(c)),f.setAttributeNS(null,"height",d(e)),this.current.element=f,this.clip("nonzero"),this.endPath()}},paintFormXObjectEnd:function(){this.restore()}},f}();PDFJS.SVGGraphics=wa}.call("undefined"==typeof window?this:window),PDFJS.workerSrc||"undefined"==typeof document||(PDFJS.workerSrc=function(){"use strict";var a=document.body||document.getElementsByTagName("head")[0],b=a.lastChild.src;return b&&b.replace(/\.js$/i,".worker.js")}()),"undefined"==typeof PDFJS&&(("undefined"!=typeof window?window:this).PDFJS={}),PDFJS.version="1.1.551",PDFJS.build="2a5616c",function(){"use strict";function a(a){PDFJS.verbosity>=PDFJS.VERBOSITY_LEVELS.infos&&console.log("Info: "+a)}function b(a){PDFJS.verbosity>=PDFJS.VERBOSITY_LEVELS.warnings&&console.log("Warning: "+a)}function c(a){throw PDFJS.verbosity>=PDFJS.VERBOSITY_LEVELS.errors&&(console.log("Error: "+a),console.log(d())),aa.notify(_.unknown),new Error(a)}function d(){try{throw new Error}catch(a){return a.stack?a.stack.split("\n").slice(2).join("\n"):""}}function e(a,b){a||c(b)}function f(a,b){if(!a)return!1;var c=/^[a-z][a-z0-9+\-.]*(?=:)/i.exec(a);if(!c)return b;switch(c=c[0].toLowerCase()){case"http":case"https":case"ftp":case"mailto":case"tel":return!0;default:return!1}}function g(a,b,c){return Object.defineProperty(a,b,{value:c,enumerable:!0,configurable:!0,writable:!1}),c}function h(){switch(PDFJS.openExternalLinksInNewWindow&&(b("PDFJS.openExternalLinksInNewWindow is deprecated, use PDFJS.externalLinkTarget instead."),PDFJS.externalLinkTarget===ba.NONE&&(PDFJS.externalLinkTarget=ba.BLANK),PDFJS.openExternalLinksInNewWindow=!1),PDFJS.externalLinkTarget){case ba.NONE:return!1;case ba.SELF:case ba.BLANK:case ba.PARENT:case ba.TOP:return!0}return b("PDFJS.externalLinkTarget is invalid: "+PDFJS.externalLinkTarget),PDFJS.externalLinkTarget=ba.NONE,!1}function i(a){e(null!==a&&"object"==typeof a&&void 0!==a.length,"Invalid argument for bytesToString");var b=a.length,c=8192;if(c>b)return String.fromCharCode.apply(null,a);for(var d=[],f=0;b>f;f+=c){var g=Math.min(f+c,b),h=a.subarray(f,g);d.push(String.fromCharCode.apply(null,h))}return d.join("")}function j(a){e("string"==typeof a,"Invalid argument for stringToBytes");for(var b=a.length,c=new Uint8Array(b),d=0;b>d;++d)c[d]=255&a.charCodeAt(d);return c}function k(a){return String.fromCharCode(a>>24&255,a>>16&255,a>>8&255,255&a)}function l(a){for(var b=1,c=0;a>b;)b<<=1,c++;return c}function m(a,b){return a[b]<<24>>24}function n(a,b){return a[b]<<8|a[b+1]}function o(a,b){return(a[b]<<24|a[b+1]<<16|a[b+2]<<8|a[b+3])>>>0}function p(){var a=new Uint8Array(2);a[0]=1;var b=new Uint16Array(a.buffer);return 1===b[0]}function q(){var a=document.createElement("canvas");a.width=a.height=1;var b=a.getContext("2d"),c=b.createImageData(1,1);return"undefined"!=typeof c.data.buffer}function r(a){var b,c=a.length,d=[];if("þ"===a[0]&&"ÿ"===a[1])for(b=2;c>b;b+=2)d.push(String.fromCharCode(a.charCodeAt(b)<<8|a.charCodeAt(b+1)));else for(b=0;c>b;++b){var e=oa[a.charCodeAt(b)];d.push(e?String.fromCharCode(e):a.charAt(b))}return d.join("")}function s(a){return decodeURIComponent(escape(a))}function t(a){return unescape(encodeURIComponent(a))}function u(a){for(var b in a)return!1;return!0}function v(a){return"boolean"==typeof a}function w(a){return"number"==typeof a&&(0|a)===a}function x(a){return"number"==typeof a}function y(a){return"string"==typeof a}function z(a){return a instanceof ya}function A(a,b){return a instanceof za&&(void 0===b||a.cmd===b)}function B(a,b){if(!(a instanceof Aa))return!1;if(!b)return!0;var c=a.get("Type");return z(c)&&c.name===b}function C(a){return a instanceof Array}function D(a){return"object"==typeof a&&null!==a&&void 0!==a.getBytes}function E(a){return"object"==typeof a&&null!==a&&void 0!==a.byteLength}function F(a){return a instanceof Ba}function G(){var a={};return a.promise=new Promise(function(b,c){a.resolve=b,a.reject=c}),a}function H(a,b){this.name=a,this.comObj=b,this.callbackIndex=1,this.postMessageTransfers=!0;var d=this.callbacksCapabilities={},e=this.actionHandler={};e.console_log=[function(a){console.log.apply(console,a)}],e.console_error=[function(a){console.error.apply(console,a)}],e._unsupported_feature=[function(a){aa.notify(a)}],b.onmessage=function(a){var f=a.data;if(f.isReply){var g=f.callbackId;if(f.callbackId in d){var h=d[g];delete d[g],"error"in f?h.reject(f.error):h.resolve(f.data)}else c("Cannot resolve callback "+g)}else if(f.action in e){var i=e[f.action];f.callbackId?Promise.resolve().then(function(){return i[0].call(i[1],f.data)}).then(function(a){b.postMessage({isReply:!0,callbackId:f.callbackId,data:a})},function(a){a instanceof Error&&(a+=""),b.postMessage({isReply:!0,callbackId:f.callbackId,error:a})}):i[0].call(i[1],f.data)}else c("Unknown action from worker: "+f.action)}}function I(){}function J(a,b,c){var d=b.get("Matrix"),e=b.get("BBox"),f=b.get("XStep"),g=b.get("YStep"),h=b.get("PaintType"),i=b.get("TilingType");return["TilingPattern",c,a,d,e,f,g,h,i]}function K(a){return a>=65520&&65535>=a?0:a>=62976&&63743>=a?Wb[a]||a:a}function L(a){for(var b=0,c=Xb.length;c>b;b++){var d=Xb[b];if(a>=d.begin&&a=b.begin&&a=b.begin&&a=b||!M(a.charCodeAt(0)))return a;for(var c="",d=b-1;d>=0;d--)c+=a[d];return c}function O(a){if(a.fontMatrix[0]!==T[0]){var b=.001/a.fontMatrix[0],c=a.widths;for(var d in c)c[d]*=b;a.defaultWidth*=b}}function P(a,b){switch(a){case"Type1":return"Type1C"===b?Z.TYPE1C:Z.TYPE1;case"CIDFontType0":return"CIDFontType0C"===b?Z.CIDFONTTYPE0C:Z.CIDFONTTYPE0;case"OpenType":return Z.OPENTYPE;case"TrueType":return Z.TRUETYPE;case"CIDFontType2":return Z.CIDFONTTYPE2;case"MMType1":return Z.MMTYPE1;case"Type0":return Z.TYPE0;default:return Z.UNKNOWN}}function Q(a,b,c){var d,e,f,g=Object.create(null);if(a.baseEncodingName)for(f=Pb[a.baseEncodingName],e=0;e=0?g[e]=d:g[e]=0;else if(a.flags&Ob.Symbolic)for(e in b)g[e]=b[e];else for(f=Pb.StandardEncoding,e=0;e=0?g[e]=d:g[e]=0;var h=a.differences;if(h)for(e in h){var i=h[e];d=c.indexOf(i),d>=0?g[e]=d:g[e]=0}return g}function R(a){return a===Dc}var S="undefined"==typeof window?this:window,T=("undefined"==typeof window,[.001,0,0,.001,0,0]),U={FILL:0,STROKE:1,FILL_STROKE:2,INVISIBLE:3,FILL_ADD_TO_PATH:4,STROKE_ADD_TO_PATH:5,FILL_STROKE_ADD_TO_PATH:6,ADD_TO_PATH:7,FILL_STROKE_MASK:3,ADD_TO_PATH_FLAG:4},V={GRAYSCALE_1BPP:1,RGB_24BPP:2,RGBA_32BPP:3},W={WIDGET:1,TEXT:2,LINK:3},X={SOLID:1,DASHED:2,BEVELED:3,INSET:4,UNDERLINE:5},Y={UNKNOWN:0,FLATE:1,LZW:2,DCT:3,JPX:4,JBIG:5,A85:6,AHX:7,CCF:8,RL:9},Z={UNKNOWN:0,TYPE1:1,TYPE1C:2,CIDFONTTYPE0:3,CIDFONTTYPE0C:4,TRUETYPE:5,CIDFONTTYPE2:6,TYPE3:7,OPENTYPE:8,TYPE0:9,MMTYPE1:10};S.PDFJS||(S.PDFJS={}),S.PDFJS.pdfBug=!1,PDFJS.VERBOSITY_LEVELS={errors:0,warnings:1,infos:5};var $=PDFJS.OPS={dependency:1,setLineWidth:2,setLineCap:3,setLineJoin:4,setMiterLimit:5,setDash:6,setRenderingIntent:7,setFlatness:8,setGState:9,save:10,restore:11,transform:12,moveTo:13,lineTo:14,curveTo:15,curveTo2:16,curveTo3:17,closePath:18,rectangle:19,stroke:20,closeStroke:21,fill:22,eoFill:23,fillStroke:24,eoFillStroke:25,closeFillStroke:26,closeEOFillStroke:27,endPath:28,clip:29,eoClip:30,beginText:31,endText:32,setCharSpacing:33,setWordSpacing:34,setHScale:35,setLeading:36,setFont:37,setTextRenderingMode:38,setTextRise:39,moveText:40,setLeadingMoveText:41,setTextMatrix:42,nextLine:43,showText:44,showSpacedText:45,nextLineShowText:46,nextLineSetSpacingShowText:47,setCharWidth:48,setCharWidthAndBounds:49,setStrokeColorSpace:50,setFillColorSpace:51,setStrokeColor:52,setStrokeColorN:53,setFillColor:54,setFillColorN:55,setStrokeGray:56,setFillGray:57,setStrokeRGBColor:58,setFillRGBColor:59,setStrokeCMYKColor:60,setFillCMYKColor:61,shadingFill:62,beginInlineImage:63,beginImageData:64,endInlineImage:65,paintXObject:66,markPoint:67,markPointProps:68,beginMarkedContent:69,beginMarkedContentProps:70,endMarkedContent:71,beginCompat:72,endCompat:73,paintFormXObjectBegin:74,paintFormXObjectEnd:75,beginGroup:76,endGroup:77,beginAnnotations:78,endAnnotations:79,beginAnnotation:80,endAnnotation:81,paintJpegXObject:82,paintImageMaskXObject:83,paintImageMaskXObjectGroup:84,paintImageXObject:85,paintInlineImageXObject:86,paintInlineImageXObjectGroup:87,paintImageXObjectRepeat:88,paintImageMaskXObjectRepeat:89,paintSolidColorImageMask:90,constructPath:91},_=PDFJS.UNSUPPORTED_FEATURES={unknown:"unknown",forms:"forms",javaScript:"javaScript",smask:"smask",shadingPattern:"shadingPattern",font:"font"},aa=PDFJS.UnsupportedManager=function(){var a=[];return{listen:function(b){a.push(b)},notify:function(c){b('Unsupported feature "'+c+'"');for(var d=0,e=a.length;e>d;d++)a[d](c)}}}();PDFJS.isValidUrl=f,PDFJS.shadow=g;var ba=PDFJS.LinkTarget={NONE:0,SELF:1,BLANK:2,PARENT:3,TOP:4};PDFJS.isExternalLinkTargetSet=h;var ca=PDFJS.PasswordResponses={NEED_PASSWORD:1,INCORRECT_PASSWORD:2},da=function(){function a(a,b){this.name="PasswordException",this.message=a,this.code=b}return a.prototype=new Error,a.constructor=a,a}();PDFJS.PasswordException=da;var ea=function(){function a(a,b){this.name="UnknownErrorException",this.message=a,this.details=b}return a.prototype=new Error,a.constructor=a,a}();PDFJS.UnknownErrorException=ea;var fa=function(){function a(a){this.name="InvalidPDFException",this.message=a}return a.prototype=new Error,a.constructor=a,a}();PDFJS.InvalidPDFException=fa;var ga=function(){function a(a){this.name="MissingPDFException",this.message=a}return a.prototype=new Error,a.constructor=a,a}();PDFJS.MissingPDFException=ga;var ha=function(){function a(a,b){this.name="UnexpectedResponseException",this.message=a,this.status=b}return a.prototype=new Error,a.constructor=a,a}();PDFJS.UnexpectedResponseException=ha;var ia=function(){function a(a){this.message=a}return a.prototype=new Error,a.prototype.name="NotImplementedException",a.constructor=a,a}(),ja=function(){function a(a,b){this.begin=a,this.end=b,this.message="Missing data ["+a+", "+b+")"}return a.prototype=new Error,a.prototype.name="MissingDataException",a.constructor=a,a}(),ka=function(){function a(a){this.message=a}return a.prototype=new Error,a.prototype.name="XRefParseException",a.constructor=a,a}();Object.defineProperty(PDFJS,"isLittleEndian",{configurable:!0,get:function(){return g(PDFJS,"isLittleEndian",p())}}),Object.defineProperty(PDFJS,"hasCanvasTypedArrays",{configurable:!0,get:function(){return g(PDFJS,"hasCanvasTypedArrays",q())}});var la=function(){function a(a,b){this.buffer=a,this.byteLength=a.length,this.length=void 0===b?this.byteLength>>2:b,c(this.length)}function b(a){return{get:function(){var b=this.buffer,c=a<<2;return(b[c]|b[c+1]<<8|b[c+2]<<16|b[c+3]<<24)>>>0},set:function(b){var c=this.buffer,d=a<<2;c[d]=255&b,c[d+1]=b>>8&255,c[d+2]=b>>16&255,c[d+3]=b>>>24&255}}}function c(c){for(;c>d;)Object.defineProperty(a.prototype,d,b(d)),d++}a.prototype=Object.create(null);var d=0;return a}(),ma=[1,0,0,1,0,0],na=PDFJS.Util=function(){function a(){}var b=["rgb(",0,",",0,",",0,")"];return a.makeCssRgb=function(a,c,d){return b[1]=a,b[3]=c,b[5]=d,b.join("")},a.transform=function(a,b){return[a[0]*b[0]+a[2]*b[1],a[1]*b[0]+a[3]*b[1],a[0]*b[2]+a[2]*b[3],a[1]*b[2]+a[3]*b[3],a[0]*b[4]+a[2]*b[5]+a[4],a[1]*b[4]+a[3]*b[5]+a[5]]},a.applyTransform=function(a,b){var c=a[0]*b[0]+a[1]*b[2]+b[4],d=a[0]*b[1]+a[1]*b[3]+b[5];return[c,d]},a.applyInverseTransform=function(a,b){var c=b[0]*b[3]-b[1]*b[2],d=(a[0]*b[3]-a[1]*b[2]+b[2]*b[5]-b[4]*b[3])/c,e=(-a[0]*b[1]+a[1]*b[0]+b[4]*b[1]-b[5]*b[0])/c;return[d,e]},a.getAxialAlignedBoundingBox=function(b,c){var d=a.applyTransform(b,c),e=a.applyTransform(b.slice(2,4),c),f=a.applyTransform([b[0],b[3]],c),g=a.applyTransform([b[2],b[1]],c);return[Math.min(d[0],e[0],f[0],g[0]),Math.min(d[1],e[1],f[1],g[1]),Math.max(d[0],e[0],f[0],g[0]),Math.max(d[1],e[1],f[1],g[1])]},a.inverseTransform=function(a){var b=a[0]*a[3]-a[1]*a[2];return[a[3]/b,-a[1]/b,-a[2]/b,a[0]/b,(a[2]*a[5]-a[4]*a[3])/b,(a[4]*a[1]-a[5]*a[0])/b]},a.apply3dTransform=function(a,b){return[a[0]*b[0]+a[1]*b[1]+a[2]*b[2],a[3]*b[0]+a[4]*b[1]+a[5]*b[2],a[6]*b[0]+a[7]*b[1]+a[8]*b[2]]},a.singularValueDecompose2dScale=function(a){var b=[a[0],a[2],a[1],a[3]],c=a[0]*b[0]+a[1]*b[2],d=a[0]*b[1]+a[1]*b[3],e=a[2]*b[0]+a[3]*b[2],f=a[2]*b[1]+a[3]*b[3],g=(c+f)/2,h=Math.sqrt((c+f)*(c+f)-4*(c*f-e*d))/2,i=g+h||1,j=g-h||1;return[Math.sqrt(i),Math.sqrt(j)]},a.normalizeRect=function(a){var b=a.slice(0);return a[0]>a[2]&&(b[0]=a[2],b[2]=a[0]),a[1]>a[3]&&(b[1]=a[3],b[3]=a[1]),b},a.intersect=function(b,c){function d(a,b){return a-b}var e=[b[0],b[2],c[0],c[2]].sort(d),f=[b[1],b[3],c[1],c[3]].sort(d),g=[];return b=a.normalizeRect(b),c=a.normalizeRect(c),e[0]===b[0]&&e[1]===c[0]||e[0]===c[0]&&e[1]===b[0]?(g[0]=e[1],g[2]=e[2],f[0]===b[1]&&f[1]===c[1]||f[0]===c[1]&&f[1]===b[1]?(g[1]=f[1],g[3]=f[2],g):!1):!1},a.sign=function(a){return 0>a?-1:1},a.appendToArray=function(a,b){Array.prototype.push.apply(a,b)},a.prependToArray=function(a,b){Array.prototype.unshift.apply(a,b)},a.extendObj=function(a,b){for(var c in b)a[c]=b[c]},a.getInheritableProperty=function(a,b){for(;a&&!a.has(b);)a=a.get("Parent");return a?a.get(b):null},a.inherit=function(a,b,c){a.prototype=Object.create(b.prototype),a.prototype.constructor=a;for(var d in c)a.prototype[d]=c[d]},a.loadScript=function(a,b){var c=document.createElement("script"),d=!1;c.setAttribute("src",a),b&&(c.onload=function(){d||b(),d=!0}),document.getElementsByTagName("head")[0].appendChild(c)},a}(),oa=(PDFJS.PageViewport=function(){function a(a,b,c,d,e,f){this.viewBox=a,this.scale=b,this.rotation=c,this.offsetX=d,this.offsetY=e;var g,h,i,j,k=(a[2]+a[0])/2,l=(a[3]+a[1])/2;switch(c%=360,c=0>c?c+360:c){case 180:g=-1,h=0,i=0,j=1;break;case 90:g=0,h=1,i=1,j=0;break;case 270:g=0,h=-1,i=-1,j=0;break;default:g=1,h=0,i=0,j=-1}f&&(i=-i,j=-j);var m,n,o,p;0===g?(m=Math.abs(l-a[1])*b+d,n=Math.abs(k-a[0])*b+e,o=Math.abs(a[3]-a[1])*b,p=Math.abs(a[2]-a[0])*b):(m=Math.abs(k-a[0])*b+d,n=Math.abs(l-a[1])*b+e,o=Math.abs(a[2]-a[0])*b,p=Math.abs(a[3]-a[1])*b),this.transform=[g*b,h*b,i*b,j*b,m-g*b*k-i*b*l,n-h*b*k-j*b*l],this.width=o,this.height=p,this.fontScale=b}return a.prototype={clone:function(b){b=b||{};var c="scale"in b?b.scale:this.scale,d="rotation"in b?b.rotation:this.rotation;return new a(this.viewBox.slice(),c,d,this.offsetX,this.offsetY,b.dontFlip)},convertToViewportPoint:function(a,b){return na.applyTransform([a,b],this.transform)},convertToViewportRectangle:function(a){var b=na.applyTransform([a[0],a[1]],this.transform),c=na.applyTransform([a[2],a[3]],this.transform);return[b[0],b[1],c[0],c[1]]},convertToPdfPoint:function(a,b){return na.applyInverseTransform([a,b],this.transform)}},a}(),[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,728,711,710,729,733,731,730,732,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,8226,8224,8225,8230,8212,8211,402,8260,8249,8250,8722,8240,8222,8220,8221,8216,8217,8218,8482,64257,64258,321,338,352,376,381,305,322,339,353,382,0,8364]);PDFJS.createPromiseCapability=G,function(){function a(a){this._status=c,this._handlers=[];try{a.call(this,this._resolve.bind(this),this._reject.bind(this))}catch(b){this._reject(b)}}if(S.Promise)return"function"!=typeof S.Promise.all&&(S.Promise.all=function(a){var b,c,d=0,e=[],f=new S.Promise(function(a,d){b=a,c=d});return a.forEach(function(a,f){d++,a.then(function(a){e[f]=a,d--,0===d&&b(e)},c)}),0===d&&b(e),f}),"function"!=typeof S.Promise.resolve&&(S.Promise.resolve=function(a){return new S.Promise(function(b){b(a)})}),"function"!=typeof S.Promise.reject&&(S.Promise.reject=function(a){return new S.Promise(function(b,c){c(a)})}),void("function"!=typeof S.Promise.prototype["catch"]&&(S.Promise.prototype["catch"]=function(a){return S.Promise.prototype.then(void 0,a)}));var c=0,d=1,e=2,f=500,g={handlers:[],running:!1,unhandledRejections:[],pendingRejectionCheck:!1,scheduleHandlers:function(a){a._status!==c&&(this.handlers=this.handlers.concat(a._handlers),a._handlers=[],this.running||(this.running=!0,setTimeout(this.runHandlers.bind(this),0)))},runHandlers:function(){for(var a=1,b=Date.now()+a;this.handlers.length>0;){var c=this.handlers.shift(),f=c.thisPromise._status,g=c.thisPromise._value;try{f===d?"function"==typeof c.onResolve&&(g=c.onResolve(g)):"function"==typeof c.onReject&&(g=c.onReject(g),f=d,c.thisPromise._unhandledRejection&&this.removeUnhandeledRejection(c.thisPromise))}catch(h){f=e,g=h}if(c.nextPromise._updateStatus(f,g),Date.now()>=b)break}return this.handlers.length>0?void setTimeout(this.runHandlers.bind(this),0):void(this.running=!1)},addUnhandledRejection:function(a){this.unhandledRejections.push({promise:a,time:Date.now()}),this.scheduleRejectionCheck()},removeUnhandeledRejection:function(a){a._unhandledRejection=!1;for(var b=0;bf){var d=this.unhandledRejections[c].promise._value,e="Unhandled rejection: "+d;d.stack&&(e+="\n"+d.stack),b(e),this.unhandledRejections.splice(c),c--}this.unhandledRejections.length&&this.scheduleRejectionCheck()}.bind(this),f))}};a.all=function(b){function c(a){g._status!==e&&(i=[],f(a))}var d,f,g=new a(function(a,b){d=a,f=b}),h=b.length,i=[];if(0===h)return d(i),g;for(var j=0,k=b.length;k>j;++j){var l=b[j],m=function(a){return function(b){g._status!==e&&(i[a]=b,h--,0===h&&d(i))}}(j);a.isPromise(l)?l.then(m,c):m(l)}return g},a.isPromise=function(a){return a&&"function"==typeof a.then},a.resolve=function(b){return new a(function(a){a(b)})},a.reject=function(b){return new a(function(a,c){c(b)})},a.prototype={_status:null,_value:null,_handlers:null,_unhandledRejection:null,_updateStatus:function(b,c){if(this._status!==d&&this._status!==e){if(b===d&&a.isPromise(c))return void c.then(this._updateStatus.bind(this,d),this._updateStatus.bind(this,e));this._status=b,this._value=c,b===e&&0===this._handlers.length&&(this._unhandledRejection=!0,g.addUnhandledRejection(this)),g.scheduleHandlers(this)}},_resolve:function(a){this._updateStatus(d,a)},_reject:function(a){this._updateStatus(e,a)},then:function(b,c){var d=new a(function(a,b){this.resolve=a,this.reject=b});return this._handlers.push({thisPromise:this,onResolve:b,onReject:c,nextPromise:d}),g.scheduleHandlers(this),d},"catch":function(a){return this.then(void 0,a)}},S.Promise=a}();(function(){function a(a,b,c){for(;a.lengthb;++b){var g=d[b].name;g.length>f&&(f=g.length)}for(b=0,c=d.length;c>b;++b){var h=d[b],i=h.end-h.start;e+=a(h.name," ",f)+" "+i+"ms\n"}return e}},c})();PDFJS.createBlob=function(a,b){if("undefined"!=typeof Blob)return new Blob([a],{type:b});var c=new MozBlobBuilder;return c.append(a),c.getBlob(b)},PDFJS.createObjectURL=function(){var a="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/=";return function(b,c){if(!PDFJS.disableCreateObjectURL&&"undefined"!=typeof URL&&URL.createObjectURL){var d=PDFJS.createBlob(b,c);return URL.createObjectURL(d)}for(var e="data:"+c+";base64,",f=0,g=b.length;g>f;f+=3){var h=255&b[f],i=255&b[f+1],j=255&b[f+2],k=h>>2,l=(3&h)<<4|i>>4,m=g>f+1?(15&i)<<2|j>>6:64,n=g>f+2?63&j:64;e+=a[k]+a[l]+a[m]+a[n]}return e}}(),H.prototype={on:function(a,b,d){var e=this.actionHandler;e[a]&&c('There is already an actionName called "'+a+'"'),e[a]=[b,d]},send:function(a,b,c){var d={action:a,data:b};this.postMessage(d,c)},sendWithPromise:function(a,b,c){var d=this.callbackIndex++,e={action:a,data:b,callbackId:d},f=G();this.callbacksCapabilities[d]=f;try{this.postMessage(e,c)}catch(g){f.reject(g)}return f.promise},postMessage:function(a,b){b&&this.postMessageTransfers?this.comObj.postMessage(a,b):this.comObj.postMessage(a)}};var pa=function(){function a(a,b){this.url=a,b=b||{},this.isHttp=/^https?:/i.test(a),this.httpHeaders=this.isHttp&&b.httpHeaders||{},this.withCredentials=b.withCredentials||!1,this.getXhr=b.getXhr||function(){return new XMLHttpRequest},this.currXhrId=0,this.pendingRequests={},this.loadedRequests={}}function b(a){var b=a.response;if("string"!=typeof b)return b;for(var c=b.length,d=new Uint8Array(c),e=0;c>e;e++)d[e]=255&b.charCodeAt(e);return d.buffer}var c=200,d=206,e=function(){try{var a=new XMLHttpRequest;return a.open("GET","https://example.com"),a.responseType="moz-chunked-arraybuffer","moz-chunked-arraybuffer"===a.responseType}catch(b){return!1}}();return a.prototype={requestRange:function(a,b,c){var d={begin:a,end:b};for(var e in c)d[e]=c[e];return this.request(d)},requestFull:function(a){return this.request(a)},request:function(a){var b=this.getXhr(),c=this.currXhrId++,d=this.pendingRequests[c]={xhr:b};b.open("GET",this.url),b.withCredentials=this.withCredentials;for(var f in this.httpHeaders){var g=this.httpHeaders[f];"undefined"!=typeof g&&b.setRequestHeader(f,g)}if(this.isHttp&&"begin"in a&&"end"in a){var h=a.begin+"-"+(a.end-1);b.setRequestHeader("Range","bytes="+h),d.expectedStatus=206}else d.expectedStatus=200;var i=e&&!!a.onProgressiveData;return i?(b.responseType="moz-chunked-arraybuffer",d.onProgressiveData=a.onProgressiveData,d.mozChunked=!0):b.responseType="arraybuffer",a.onError&&(b.onerror=function(c){a.onError(b.status)}),b.onreadystatechange=this.onStateChange.bind(this,c),b.onprogress=this.onProgress.bind(this,c),d.onHeadersReceived=a.onHeadersReceived,d.onDone=a.onDone,d.onError=a.onError,d.onProgress=a.onProgress,b.send(null),c},onProgress:function(a,c){var d=this.pendingRequests[a];if(d){if(d.mozChunked){var e=b(d.xhr);d.onProgressiveData(e)}var f=d.onProgress;f&&f(c)}},onStateChange:function(a,e){var f=this.pendingRequests[a];if(f){var g=f.xhr;if(g.readyState>=2&&f.onHeadersReceived&&(f.onHeadersReceived(),delete f.onHeadersReceived),4===g.readyState&&a in this.pendingRequests){if(delete this.pendingRequests[a],0===g.status&&this.isHttp)return void(f.onError&&f.onError(g.status));var h=g.status||c,i=h===c&&f.expectedStatus===d;if(!i&&h!==f.expectedStatus)return void(f.onError&&f.onError(g.status));this.loadedRequests[a]=!0;var j=b(g);if(h===d){var k=g.getResponseHeader("Content-Range"),l=/bytes (\d+)-(\d+)\/(\d+)/.exec(k),m=parseInt(l[1],10);f.onDone({begin:m,chunk:j})}else f.onProgressiveData?f.onDone(null):f.onDone({begin:0,chunk:j})}}},hasPendingRequests:function(){for(var a in this.pendingRequests)return!0;return!1},getRequestXhr:function(a){return this.pendingRequests[a].xhr},isStreamingRequest:function(a){return!!this.pendingRequests[a].onProgressiveData},isPendingRequest:function(a){return a in this.pendingRequests},isLoadedRequest:function(a){return a in this.loadedRequests},abortAllRequests:function(){for(var a in this.pendingRequests)this.abortRequest(0|a)},abortRequest:function(a){var b=this.pendingRequests[a].xhr;delete this.pendingRequests[a],b.abort()}},a}(),qa=function(){function a(a,b,c){this.bytes=new Uint8Array(a),this.start=0,this.pos=0,this.end=a,this.chunkSize=b,this.loadedChunks=[],this.numChunksLoaded=0,this.numChunks=Math.ceil(a/b),this.manager=c,this.progressiveDataLength=0,this.lastSuccessfulEnsureByteChunk=-1}return a.prototype={getMissingChunks:function(){for(var a=[],b=0,c=this.numChunks;c>b;++b)this.loadedChunks[b]||a.push(b);return a},getBaseStreams:function(){return[this]},allChunksLoaded:function(){return this.numChunksLoaded===this.numChunks},onReceiveData:function(a,b){var c=a+b.byteLength;e(a%this.chunkSize===0,"Bad begin offset: "+a);var d=this.bytes.length;e(c%this.chunkSize===0||c===d,"Bad end offset: "+c),this.bytes.set(new Uint8Array(b),a);var f,g=this.chunkSize,h=Math.floor(a/g),i=Math.floor((c-1)/g)+1;for(f=h;i>f;++f)this.loadedChunks[f]||(this.loadedChunks[f]=!0,++this.numChunksLoaded)},onReceiveProgressiveData:function(a){var b=this.progressiveDataLength,c=Math.floor(b/this.chunkSize);this.bytes.set(new Uint8Array(a),b),b+=a.byteLength,this.progressiveDataLength=b;var d,e=b>=this.end?this.numChunks:Math.floor(b/this.chunkSize);for(d=c;e>d;++d)this.loadedChunks[d]||(this.loadedChunks[d]=!0,++this.numChunksLoaded)},ensureByte:function(a){var b=Math.floor(a/this.chunkSize);if(b!==this.lastSuccessfulEnsureByteChunk){if(!this.loadedChunks[b])throw new ja(a,a+1);this.lastSuccessfulEnsureByteChunk=b}},ensureRange:function(a,b){if(!(a>=b||b<=this.progressiveDataLength))for(var c=this.chunkSize,d=Math.floor(a/c),e=Math.floor((b-1)/c)+1,f=d;e>f;++f)if(!this.loadedChunks[f])throw new ja(a,b)},nextEmptyChunk:function(a){for(var b,c=this.numChunks,d=0;c>d;++d)if(b=(a+d)%c,!this.loadedChunks[b])return b;return null},hasChunk:function(a){return!!this.loadedChunks[a]},get length(){return this.end-this.start},get isEmpty(){return 0===this.length},getByte:function(){var a=this.pos;return a>=this.end?-1:(this.ensureByte(a),this.bytes[this.pos++])},getUint16:function(){var a=this.getByte(),b=this.getByte();return-1===a||-1===b?-1:(a<<8)+b},getInt32:function(){var a=this.getByte(),b=this.getByte(),c=this.getByte(),d=this.getByte();return(a<<24)+(b<<16)+(c<<8)+d},getBytes:function(a){var b=this.bytes,c=this.pos,d=this.end;if(!a)return this.ensureRange(c,d),b.subarray(c,d);var e=c+a;return e>d&&(e=d),this.ensureRange(c,e),this.pos=e,b.subarray(c,e)},peekByte:function(){var a=this.getByte();return this.pos--,a},peekBytes:function(a){var b=this.getBytes(a);return this.pos-=b.length,b},getByteRange:function(a,b){return this.ensureRange(a,b),this.bytes.subarray(a,b)},skip:function(a){a||(a=1),this.pos+=a},reset:function(){this.pos=this.start},moveStart:function(){this.start=this.pos},makeSubStream:function(a,b,c){function d(){}this.ensureRange(a,a+b),d.prototype=Object.create(this),d.prototype.getMissingChunks=function(){for(var a=this.chunkSize,b=Math.floor(this.start/a),c=Math.floor((this.end-1)/a)+1,d=[],e=b;c>e;++e)this.loadedChunks[e]||d.push(e);return d};var e=new d;return e.pos=e.start=a,e.end=a+b||this.end,e.dict=c,e},isStream:!0},a}(),ra=function(){function a(a,b,c,d){this.stream=new qa(a,b,this),this.length=a,this.chunkSize=b,this.url=c,this.disableAutoFetch=d.disableAutoFetch;var e=this.msgHandler=d.msgHandler;if(d.chunkedViewerLoading)e.on("OnDataRange",this.onReceiveData.bind(this)),e.on("OnDataProgress",this.onProgress.bind(this)),this.sendRequest=function(a,b){e.send("RequestDataRange",{begin:a,end:b})};else{var f=function(){return new XMLHttpRequest};this.networkManager=new pa(this.url,{getXhr:f,httpHeaders:d.httpHeaders,withCredentials:d.withCredentials}),this.sendRequest=function(a,b){this.networkManager.requestRange(a,b,{onDone:this.onReceiveData.bind(this),onProgress:this.onProgress.bind(this)})}}this.currRequestId=0,this.chunksNeededByRequest={},this.requestsByChunk={},this.promisesByRequest={},this.progressiveDataLength=0,this._loadedStreamCapability=G(),d.initialData&&this.onReceiveData({chunk:d.initialData})}return a.prototype={onLoadedStream:function(){return this._loadedStreamCapability.promise},requestAllChunks:function(){var a=this.stream.getMissingChunks();return this._requestChunks(a),this._loadedStreamCapability.promise},_requestChunks:function(a){var b,c,d,e=this.currRequestId++;for(this.chunksNeededByRequest[e]=b={},c=0,d=a.length;d>c;c++)this.stream.hasChunk(a[c])||(b[a[c]]=!0);if(u(b))return Promise.resolve();var f=G();this.promisesByRequest[e]=f;var g=[];for(var h in b)h=0|h,h in this.requestsByChunk||(this.requestsByChunk[h]=[],g.push(h)),this.requestsByChunk[h].push(e);if(!g.length)return f.promise;var i=this.groupChunks(g);for(c=0;cf;++f)e.push(f);return this._requestChunks(e)},requestRanges:function(a){a=a||[];for(var b=[],c=0;cf;++f)b.indexOf(f)<0&&b.push(f);return b.sort(function(a,b){return a-b}),this._requestChunks(b)},groupChunks:function(a){for(var b=[],c=-1,d=-1,e=0;ec&&(c=f),d>=0&&d+1!==f&&(b.push({beginChunk:c,endChunk:d+1}),c=f),e+1===a.length&&b.push({beginChunk:c,endChunk:f+1}),d=f}return b},onProgress:function(a){var b=this.stream.numChunksLoaded*this.chunkSize+a.loaded;this.msgHandler.send("DocProgress",{loaded:b,total:this.length})},onReceiveData:function(a){var b=a.chunk,c=void 0===a.begin,d=c?this.progressiveDataLength:a.begin,e=d+b.byteLength,f=Math.floor(d/this.chunkSize),g=eb;++b){var k=this.requestsByChunk[b]||[];for(delete this.requestsByChunk[b],h=0;hf){b("Page_getInheritedPageProp: maximum loop count exceeded.");break}c=c.get("Parent")}return d?1===d.length||!B(d[0])||e>f?d[0]:Aa.merge(this.xref,d):Aa.empty},get content(){return this.getPageProp("Contents")},get resources(){return g(this,"resources",this.getInheritedPageProp("Resources"))},get mediaBox(){var a=this.getInheritedPageProp("MediaBox");return C(a)&&4===a.length||(a=c),g(this,"mediaBox",a)},get view(){var a=this.mediaBox,b=this.getInheritedPageProp("CropBox");return C(b)&&4===b.length?(b=na.intersect(b,a),b?g(this,"view",b):g(this,"view",a)):g(this,"view",a)},get rotate(){var a=this.getInheritedPageProp("Rotate")||0;return a%90!==0?a=0:a>=360?a%=360:0>a&&(a=(a%360+360)%360),g(this,"rotate",a)},getContentStream:function(){var a,b=this.content;if(C(b)){var c,d=this.xref,e=b.length,f=[];for(c=0;e>c;++c)f.push(d.fetchIfRef(b[c]));a=new Pc(f)}else a=D(b)?b:new _c;return a},loadResources:function(a){return this.resourcesPromise||(this.resourcesPromise=this.pdfManager.ensure(this,"resources")),this.resourcesPromise.then(function(){var b=new Ia(this.resources.map,a,this.xref);return b.load()}.bind(this))},getOperatorList:function(a,b,c){var d=this,e=this.pdfManager,f=e.ensure(this,"getContentStream",[]),g=this.loadResources(["ExtGState","ColorSpace","Pattern","Shading","XObject","Font"]),h=new vb(e,this.xref,a,this.pageIndex,"p"+this.pageIndex+"_",this.idCounters,this.fontCache),i=Promise.all([f,g]),j=i.then(function(e){var f=e[0],g=new xb(c,a,d.pageIndex);return a.send("StartRenderPage",{transparency:h.hasBlendModes(d.resources),pageIndex:d.pageIndex,intent:c}),h.getOperatorList(f,b,d.resources,g).then(function(){return g})}),k=e.ensure(this,"annotations");return Promise.all([j,k]).then(function(a){var d=a[0],f=a[1];if(0===f.length)return d.flush(!0),d;var g=Na.appendToOperatorList(f,d,e,h,b,c);return g.then(function(){return d.flush(!0),d})})},extractTextContent:function(a){var b={on:function(){},send:function(){}},c=this,d=this.pdfManager,e=d.ensure(this,"getContentStream",[]),f=this.loadResources(["ExtGState","XObject","Font"]),g=Promise.all([e,f]);return g.then(function(e){var f=e[0],g=new vb(d,c.xref,b,c.pageIndex,"p"+c.pageIndex+"_",c.idCounters,c.fontCache);return g.getTextContent(f,a,c.resources)})},getAnnotationsData:function(){for(var a=this.annotations,b=[],c=0,d=a.length;d>c;++c)b.push(a[c].data);return b},get annotations(){for(var a=[],b=this.getInheritedPageProp("Annots")||[],c=new I,d=0,e=b.length;e>d;++d){var f=b[d],h=c.create(this.xref,f);h&&(h.isViewable()||h.isPrintable())&&a.push(h)}return g(this,"annotations",a)}},a}(),xa=function(){function b(a,b,e){D(b)?d.call(this,a,b,e):E(b)?d.call(this,a,new Mc(b),e):c("PDFDocument: Unknown argument type")}function d(a,b,c){e(b.length>0,"stream must have data"),this.pdfManager=a,this.stream=b;var d=new Fa(this.stream,c,a);this.xref=d}function f(a,b,c,d){var e=a.pos,f=a.end,g=[];e+c>f&&(c=f-e);for(var h=0;c>h;++h)g.push(String.fromCharCode(a.getByte()));var i=g.join("");a.pos=e;var j=d?i.lastIndexOf(b):i.indexOf(b);return-1===j?!1:(a.pos+=j,!0)}var h=1024,i="\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00",k={get entries(){return g(this,"entries",{Title:y,Author:y,Subject:y,Keywords:y,Creator:y,Producer:y,CreationDate:y,ModDate:y,Trapped:z})}};return b.prototype={parse:function(b){this.setup(b);var c=this.catalog.catDict.get("Version");z(c)&&(this.pdfFormatVersion=c.name);try{if(this.acroForm=this.catalog.catDict.get("AcroForm"),this.acroForm){this.xfa=this.acroForm.get("XFA");var d=this.acroForm.get("Fields");d&&C(d)&&0!==d.length||this.xfa||(this.acroForm=null)}}catch(e){a("Something wrong with AcroForm entry"),this.acroForm=null}},get linearization(){var b=null;if(this.stream.length)try{b=Hc.create(this.stream)}catch(c){if(c instanceof ja)throw c;a(c)}return g(this,"linearization",b)},get startXRef(){var a=this.stream,b=0,c=this.linearization;if(c)a.reset(),f(a,"endobj",1024)&&(b=a.pos+6);else{for(var d=1024,e=!1,h=a.end;!e&&h>0;)h-=d-"startxref".length,0>h&&(h=0),a.pos=h,e=f(a,"startxref",d,!0);if(e){a.skip(9);var i;do i=a.getByte();while(Gc.isSpace(i));for(var j="";i>=32&&57>=i;)j+=String.fromCharCode(i),i=a.getByte();b=parseInt(j,10),isNaN(b)&&(b=0)}}return g(this,"startXRef",b)},get mainXRefEntriesOffset(){var a=0,b=this.linearization;return b&&(a=b.mainXRefEntriesOffset),g(this,"mainXRefEntriesOffset",a)},checkHeader:function(){var a=this.stream;if(a.reset(),f(a,"%PDF-",1024)){a.moveStart();for(var b,c=12,d="";(b=a.getByte())>32&&!(d.length>=c);)d+=String.fromCharCode(b);return void(this.pdfFormatVersion||(this.pdfFormatVersion=d.substring(5)))}},parseStartXRef:function(){var a=this.startXRef;this.xref.setStartXRef(a)},setup:function(a){this.xref.parse(a),this.catalog=new Ea(this.pdfManager,this.xref)},get numPages(){var a=this.linearization,b=a?a.numPages:this.catalog.numPages;return g(this,"numPages",b)},get documentInfo(){var b,c={PDFFormatVersion:this.pdfFormatVersion,IsAcroFormPresent:!!this.acroForm,IsXFAPresent:!!this.xfa};try{b=this.xref.trailer.get("Info")}catch(d){a("The document information dictionary is invalid.")}if(b){var e=k.entries;for(var f in e)if(b.has(f)){var h=b.get(f);e[f](h)?c[f]="string"!=typeof h?h:r(h):a('Bad value in document info for "'+f+'"')}}return g(this,"documentInfo",c)},get fingerprint(){var a,b=this.xref,c="",d=b.trailer.get("ID");d&&C(d)&&d[0]&&y(d[0])&&d[0]!==i?a=j(d[0]):(this.stream.ensureRange&&this.stream.ensureRange(0,Math.min(h,this.stream.end)),a=gb(this.stream.bytes.subarray(0,h),0,h));for(var e=0,f=a.length;f>e;e++){var k=a[e].toString(16);c+=1===k.length?"0"+k:k}return g(this,"fingerprint",c)},getPage:function(a){return this.catalog.getPage(a)},cleanup:function(){return this.catalog.cleanup()}},b}(),ya=function(){function a(a){this.name=a}a.prototype={};var b={};return a.get=function(c){var d=b[c];return d?d:b[c]=new a(c)},a}(),za=function(){function a(a){this.cmd=a}a.prototype={};var b={};return a.get=function(c){var d=b[c];return d?d:b[c]=new a(c)},a}(),Aa=function(){function a(a){if(!z(a.Type))return!0;var b=a.Type.name;return d[b]===!0}function b(a){this.map=Object.create(null),this.xref=a,this.objId=null,this.__nonSerializable__=c}var c=function(){return c},d={Background:!0,ExtGState:!0,Halftone:!0,Layout:!0,Mask:!0,Pagination:!0,Printing:!0};return b.prototype={assignXref:function(a){this.xref=a},get:function(a,b,c){var d,e=this.xref;return"undefined"!=typeof(d=this.map[a])||a in this.map||"undefined"==typeof b?e?e.fetchIfRef(d):d:"undefined"!=typeof(d=this.map[b])||b in this.map||"undefined"==typeof c?e?e.fetchIfRef(d):d:(d=this.map[c]||null,e?e.fetchIfRef(d):d)},getAsync:function(a,b,c){var d,e=this.xref;return"undefined"!=typeof(d=this.map[a])||a in this.map||"undefined"==typeof b?e?e.fetchIfRefAsync(d):Promise.resolve(d):"undefined"!=typeof(d=this.map[b])||b in this.map||"undefined"==typeof c?e?e.fetchIfRefAsync(d):Promise.resolve(d):(d=this.map[c]||null,e?e.fetchIfRefAsync(d):Promise.resolve(d))},getArray:function(a,b,c){var d=this.get(a,b,c),e=this.xref;if(!C(d)||!e)return d;d=d.slice();for(var f=0,g=d.length;g>f;f++)F(d[f])&&(d[f]=e.fetch(d[f]));return d},getRaw:function(a){return this.map[a]},getAll:function(){var c,d,e=Object.create(null),f=null;for(c in this.map)d=this.get(c),d instanceof b?a(d)?(f||(f=[])).push({target:e,key:c,obj:d}):e[c]=this.getRaw(c):e[c]=d;if(!f)return e;for(var g=Object.create(null);f.length>0;){var h=f.shift(),i=h.obj,j=i.objId;if(j&&j in g)h.target[h.key]=g[j];else{var k=Object.create(null);for(c in i.map)d=i.get(c),d instanceof b?a(d)?f.push({target:k,key:c,obj:d}):k[c]=i.getRaw(c):k[c]=d;j&&(g[j]=k),h.target[h.key]=k}}return e},getKeys:function(){return Object.keys(this.map)},set:function(a,b){this.map[a]=b},has:function(a){return a in this.map},forEach:function(a){for(var b in this.map)a(b,this.get(b))}},b.empty=new b(null),b.merge=function(a,c){for(var d=new b(a),e=0,f=c.length;f>e;e++){var g=c[e];if(B(g))for(var h in g.map)d.map[h]||(d.map[h]=g.map[h])}return d},b}(),Ba=function(){function a(a,b){this.num=a,this.gen=b}return a.prototype={toString:function(){var a=this.num+"R";return 0!==this.gen&&(a+=this.gen),a}},a}(),Ca=function(){function a(){this.dict={}}return a.prototype={has:function(a){return a.toString()in this.dict},put:function(a){this.dict[a.toString()]=!0},remove:function(a){delete this.dict[a.toString()]}},a}(),Da=function(){function a(){this.dict=Object.create(null)}return a.prototype={get:function(a){return this.dict[a.toString()]},has:function(a){return a.toString()in this.dict},put:function(a,b){this.dict[a.toString()]=b},putAlias:function(a,b){this.dict[a.toString()]=this.get(b)},forEach:function(a,b){for(var c in this.dict)a.call(b,this.dict[c])},clear:function(){this.dict=Object.create(null)}},a}(),Ea=function(){function d(a,b){this.pdfManager=a,this.xref=b,this.catDict=b.getCatalogObj(),this.fontCache=new Da,e(B(this.catDict),"catalog object is not a dictionary"),this.pagePromises=[]}return d.prototype={get metadata(){var b=this.catDict.getRaw("Metadata");if(!F(b))return g(this,"metadata",null);var c,d=this.xref.encrypt?this.xref.encrypt.encryptMetadata:!1,e=this.xref.fetch(b,!d);if(e&&B(e.dict)){var f=e.dict.get("Type"),h=e.dict.get("Subtype");if(z(f)&&z(h)&&"Metadata"===f.name&&"XML"===h.name)try{c=s(i(e.getBytes()))}catch(j){a("Skipping invalid metadata.")}}return g(this,"metadata",c)},get toplevelPagesDict(){var a=this.catDict.get("Pages");return e(B(a),"invalid top-level pages dictionary"),g(this,"toplevelPagesDict",a)},get documentOutline(){var a=null;try{a=this.readDocumentOutline()}catch(c){if(c instanceof ja)throw c;b("Unable to read document outline")}return g(this,"documentOutline",a)},readDocumentOutline:function(){var a=this.xref,b=this.catDict.get("Outlines"),d={items:[]};if(B(b)){b=b.getRaw("First");var e=new Ca;if(F(b)){var f=[{obj:b,parent:d}];for(e.put(b);f.length>0;){var g=f.shift(),h=a.fetchIfRef(g.obj);if(null!==h){h.has("Title")||c("Invalid outline item");var i=h.get("A");i?i=i.get("D"):h.has("Dest")&&(i=h.getRaw("Dest"),z(i)&&(i=i.name));var j=h.get("Title"),k={dest:i,title:r(j),color:h.get("C")||[0,0,0],count:h.get("Count"),bold:!!(2&h.get("F")),italic:!!(1&h.get("F")),items:[]};g.parent.items.push(k),b=h.getRaw("First"),F(b)&&!e.has(b)&&(f.push({obj:b,parent:k}),e.put(b)),b=h.getRaw("Next"),F(b)&&!e.has(b)&&(f.push({obj:b,parent:g.parent}),e.put(b))}}}}return d.items.length>0?d.items:null},get numPages(){var a=this.toplevelPagesDict.get("Count");return e(w(a),"page count in top level pages object is not an integer"),g(this,"num",a)},get destinations(){function a(a){return B(a)?a.get("D"):a}var b,c,d=this.xref,e={},f=this.catDict.get("Names");if(f&&f.has("Dests")?b=f.getRaw("Dests"):this.catDict.has("Dests")&&(c=this.catDict.get("Dests")),c&&(f=c,f.forEach(function(b,c){c&&(e[b]=a(c))})),b){var h=new Ga(b,d),i=h.getAll();for(var j in i)i.hasOwnProperty(j)&&(e[j]=a(i[j]))}return g(this,"destinations",e)},getDestination:function(a){function b(a){return B(a)?a.get("D"):a}var c,d,e=this.xref,f=null,g=this.catDict.get("Names");if(g&&g.has("Dests")?c=g.getRaw("Dests"):this.catDict.has("Dests")&&(d=this.catDict.get("Dests")),d){var h=d.get(a);h&&(f=b(h))}if(c){var i=new Ga(c,e);f=b(i.get(a))}return f},get attachments(){var a,b=this.xref,c=null,d=this.catDict.get("Names");if(d&&(a=d.getRaw("EmbeddedFiles")),a){var e=new Ga(a,b),f=e.getAll();for(var h in f)if(f.hasOwnProperty(h)){var i=new Ha(f[h],b);c||(c={}),c[r(h)]=i.serializable}}return g(this,"attachments",c)},get javaScript(){function a(a){var b=a.get("S");if(z(b)&&"JavaScript"===b.name){var c=a.get("JS");if(D(c))c=i(c.getBytes());else if(!y(c))return;d.push(r(c))}}var b=this.xref,c=this.catDict.get("Names"),d=[];if(c&&c.has("JavaScript")){var e=new Ga(c.getRaw("JavaScript"),b),f=e.getAll();for(var h in f)if(f.hasOwnProperty(h)){var j=f[h];B(j)&&a(j)}}var k=this.catDict.get("OpenAction");if(B(k,"Action")){var l=k.get("S");if(z(l)&&"Named"===l.name){var m=k.get("N");z(m)&&"Print"===m.name&&d.push("print({});")}else a(k)}return g(this,"javaScript",d)},cleanup:function(){var a=[];return this.fontCache.forEach(function(b){a.push(b)}),Promise.all(a).then(function(a){for(var b=0,c=a.length;c>b;b++){var d=a[b].dict;delete d.translated}this.fontCache.clear()}.bind(this))},getPage:function(a){return a in this.pagePromises||(this.pagePromises[a]=this.getPageDict(a).then(function(b){var c=b[0],d=b[1];return new wa(this.pdfManager,this.xref,a,c,d,this.fontCache)}.bind(this))),this.pagePromises[a]},getPageDict:function(a){function b(){for(;d.length;){var i=d.pop();if(F(i))return void g.fetchAsync(i).then(function(e){return B(e,"Page")||B(e)&&!e.has("Kids")?void(a===f?c.resolve([e,i]):(f++,b())):(d.push(e),void b())},c.reject);e(B(i),"page dictionary kid reference points to wrong type of object");var j=i.get("Count");if(0===j&&(h=!0),a>=f+j)f+=j;else{var k=i.get("Kids");if(e(C(k),"page dictionary kids object is not an array"),h||j!==k.length)for(var l=k.length-1;l>=0;l--)d.push(k[l]);else d=[k[a-f]],f=a}}c.reject("Page index "+a+" not found.")}var c=G(),d=[this.catDict.getRaw("Pages")],f=0,g=this.xref,h=!1;return b(),c.promise},getPageIndex:function(a){function b(a){var b,d=0;return f.fetchAsync(a).then(function(a){return a?(b=a.getRaw("Parent"),a.getAsync("Parent")):null}).then(function(a){return a?a.getAsync("Kids"):null}).then(function(g){if(!g)return null;for(var h=[],i=!1,j=0;jh;h++){d.streamPos=b.pos,d.entryNum=h,d.parserBuf1=a.buf1,d.parserBuf2=a.buf2;var i={};i.offset=a.getObj(),i.gen=a.getObj();var j=a.getObj();A(j,"f")?i.free=!0:A(j,"n")&&(i.uncompressed=!0),w(i.offset)&&w(i.gen)&&(i.free||i.uncompressed)||c("Invalid entry in XRef subsection: "+f+", "+g),this.entries[h+f]||(this.entries[h+f]=i)}d.entryNum=0,d.streamPos=b.pos,d.parserBuf1=a.buf1,d.parserBuf2=a.buf2,delete d.firstEntryNum,delete d.entryCount}return 1===f&&this.entries[1]&&this.entries[1].free&&this.entries.shift(),this.entries[0]&&!this.entries[0].free&&c("Invalid XRef table: unexpected first object"),e},processXRefStream:function(a){if(!("streamState"in this)){var b=a.dict,c=b.get("W"),d=b.get("Index");d||(d=[0,b.get("Size")]),this.streamState={entryRanges:d,byteWidths:c,entryNum:0,streamPos:a.pos}}return this.readXRefStream(a),delete this.streamState,a.dict},readXRefStream:function(a){var b,d,e=this.streamState;a.pos=e.streamPos;for(var f=e.byteWidths,g=f[0],h=f[1],i=f[2],j=e.entryRanges;j.length>0;){var k=j[0],l=j[1];for(w(k)&&w(l)||c("Invalid XRef range fields: "+k+", "+l),w(g)&&w(h)&&w(i)||c("Invalid XRef entry fields length: "+k+", "+l),b=e.entryNum;l>b;++b){e.entryNum=b,e.streamPos=a.pos;var m=0,n=0,o=0;for(d=0;g>d;++d)m=m<<8|a.getByte();for(0===g&&(m=1),d=0;h>d;++d)n=n<<8|a.getByte();for(d=0;i>d;++d)o=o<<8|a.getByte();var p={};switch(p.offset=n,p.gen=o,m){case 0:p.free=!0;break;case 1:p.uncompressed=!0;break;case 2:break;default:c("Invalid XRef entry type: "+m)}this.entries[k+b]||(this.entries[k+b]=p)}e.entryNum=0,e.streamPos=a.pos,j.splice(0,2)}},indexObjects:function(){function a(a,b){for(var c="",f=a[b];f!==d&&f!==e&&f!==h&&!(++b>=a.length);)c+=String.fromCharCode(f),f=a[b];return c}function b(a,b,c){for(var d=c.length,e=a.length,f=0;e>b;){for(var g=0;d>g&&a[b+g]===c[g];)++g;if(g>=d)break;b++,f++}return f}var c=9,d=10,e=13,f=32,g=37,h=60,i=/^(\d+)\s+(\d+)\s+obj\b/,j=new Uint8Array([116,114,97,105,108,101,114]),k=new Uint8Array([115,116,97,114,116,120,114,101,102]),l=new Uint8Array([101,110,100,111,98,106]),m=new Uint8Array([47,88,82,101,102]);this.entries.length=0;var n=this.stream;n.pos=0;for(var o=n.getBytes(),p=n.start,q=o.length,r=[],s=[];q>p;){var t=o[p];if(t!==c&&t!==d&&t!==e&&t!==f)if(t!==g){var u,v=a(o,p);if(0!==v.indexOf("xref")||4!==v.length&&!/\s/.test(v[4]))if(u=i.exec(v)){"undefined"==typeof this.entries[u[1]]&&(this.entries[u[1]]={offset:p-n.start,gen:0|u[2],uncompressed:!0});var w=b(o,p,l)+7,x=o.subarray(p,p+w),y=b(x,0,m);w>y&&x[y+5]<64&&(s.push(p-n.start),this.xrefstms[p-n.start]=1),p+=w}else 0!==v.indexOf("trailer")||7!==v.length&&!/\s/.test(v[7])?p+=v.length+1:(r.push(p),p+=b(o,p,k));else p+=b(o,p,j),r.push(p),p+=b(o,p,k)}else do{if(++p,p>=q)break;t=o[p]}while(t!==d&&t!==e);else++p}var z,C;for(z=0,C=s.length;C>z;++z)this.startXRefQueue.push(s[z]),this.readXRef(!0);var D;for(z=0,C=r.length;C>z;++z){n.pos=r[z];var E=new Fc(new Gc(n),!0,this),F=E.getObj();if(A(F,"trailer")&&B(D=E.getObj())&&D.has("ID"))return D}if(D)return D;throw new fa("Invalid PDF structure")},readXRef:function(b){var d=this.stream;try{for(;this.startXRefQueue.length;){var e=this.startXRefQueue[0];d.pos=e+d.start;var f,g=new Fc(new Gc(d),!0,this),h=g.getObj();if(A(h,"xref")){if(f=this.processXRefTable(g),this.topDict||(this.topDict=f),h=f.get("XRefStm"),w(h)){var i=h;i in this.xrefstms||(this.xrefstms[i]=1,this.startXRefQueue.push(i))}}else w(h)?(w(g.getObj())&&A(g.getObj(),"obj")&&D(h=g.getObj())||c("Invalid XRef stream"),f=this.processXRefStream(h),this.topDict||(this.topDict=f),f||c("Failed to read XRef stream")):c("Invalid XRef stream header");h=f.get("Prev"),w(h)?this.startXRefQueue.push(h):F(h)&&this.startXRefQueue.push(h.num),this.startXRefQueue.shift()}return this.topDict}catch(j){if(j instanceof ja)throw j;a("(while reading XRef): "+j)}if(!b)throw new ka},getEntry:function(a){var b=this.entries[a];return b&&!b.free&&b.offset?b:null},fetchIfRef:function(a){return F(a)?this.fetch(a):a},fetch:function(a,b){e(F(a),"ref object is not a reference");var c=a.num;if(c in this.cache){var d=this.cache[c];return d}var f=this.getEntry(c);return null===f?this.cache[c]=null:(f=f.uncompressed?this.fetchUncompressed(a,f,b):this.fetchCompressed(f,b),B(f)?f.objId=a.toString():D(f)&&(f.dict.objId=a.toString()),f)},fetchUncompressed:function(a,b,d){var e=a.gen,f=a.num;b.gen!==e&&c("inconsistent generation in XRef");var g=this.stream.makeSubStream(b.offset+this.stream.start),h=new Fc(new Gc(g),!0,this),i=h.getObj(),j=h.getObj(),k=h.getObj();if(w(i)&&parseInt(i,10)===f&&w(j)&&parseInt(j,10)===e&&A(k)||c("bad XRef entry"),!A(k,"obj")){if(0===k.cmd.indexOf("obj")&&(f=parseInt(k.cmd.substring(3),10),!isNaN(f)))return f;c("bad XRef entry")}return b=this.encrypt&&!d?h.getObj(this.encrypt.createCipherTransform(f,e)):h.getObj(),D(b)||(this.cache[f]=b),b},fetchCompressed:function(a,b){var d=a.offset,e=this.fetch(new Ba(d,0));D(e)||c("bad ObjStm stream");var f=e.dict.get("First"),g=e.dict.get("N");w(f)&&w(g)||c("invalid first and n parameters for ObjStm stream");var h=new Fc(new Gc(e),!1,this);h.allowStreams=!0;var i,j,k=[],l=[];for(i=0;g>i;++i){j=h.getObj(),w(j)||c("invalid object number in the ObjStm stream: "+j),l.push(j);var m=h.getObj();w(m)||c("invalid object offset in the ObjStm stream: "+m)}for(i=0;g>i;++i){k.push(h.getObj()),j=l[i];var n=this.entries[j];n&&n.offset===d&&n.gen===i&&(this.cache[j]=k[i])}return a=k[a.gen],void 0===a&&c("bad XRef entry for compressed object"),a},fetchIfRefAsync:function(a){return F(a)?this.fetchAsync(a):Promise.resolve(a)},fetchAsync:function(a,b){var c=this.stream.manager,d=this;return new Promise(function e(f,g){try{f(d.fetch(a,b))}catch(h){if(h instanceof ja)return void c.requestRange(h.begin,h.end).then(function(){e(f,g)},g);g(h)}})},getCatalogObj:function(){return this.root}},d}(),Ga=function(){function a(a,b){this.root=a,this.xref=b}return a.prototype={getAll:function(){var a={};if(!this.root)return a;var b=this.xref,d=new Ca;d.put(this.root);for(var e=[this.root];e.length>0;){var f,g,h=b.fetchIfRef(e.shift());if(B(h))if(h.has("Kids")){var i=h.get("Kids");for(f=0,g=i.length;g>f;f++){var j=i[f];d.has(j)&&c("invalid destinations"),e.push(j),d.put(j)}}else{var k=h.get("Names");if(k)for(f=0,g=k.length;g>f;f+=2)a[b.fetchIfRef(k[f])]=b.fetchIfRef(k[f+1])}}return a},get:function(a){if(!this.root)return null;for(var c,d,e,f=this.xref,g=f.fetchIfRef(this.root),h=0,i=10;g.has("Kids");){if(h++,h>i)return b("Search depth limit for named destionations has been reached."),null;var j=g.get("Kids");if(!C(j))return null;for(c=0,d=j.length-1;d>=c;){e=c+d>>1;var k=f.fetchIfRef(j[e]),l=k.get("Limits");if(af.fetchIfRef(l[1]))){g=f.fetchIfRef(j[e]);break}c=e+1}}if(c>d)return null}var m=g.get("Names");if(C(m))for(c=0,d=m.length-2;d>=c;)if(e=c+d&-2,af.fetchIfRef(m[e])))return f.fetchIfRef(m[e+1]);c=e+2}return null}},a}(),Ha=function(){function a(a,c){a&&B(a)&&(this.xref=c,this.root=a,a.has("FS")&&(this.fs=a.get("FS")),this.description=a.has("Desc")?r(a.get("Desc")):"",a.has("RF")&&b("Related file specifications are not supported"),this.contentAvailable=!0,a.has("EF")||(this.contentAvailable=!1,b("Non-embedded file specifications are not supported")))}function c(a){return a.has("UF")?a.get("UF"):a.has("F")?a.get("F"):a.has("Unix")?a.get("Unix"):a.has("Mac")?a.get("Mac"):a.has("DOS")?a.get("DOS"):null}return a.prototype={get filename(){if(!this._filename&&this.root){var a=c(this.root)||"unnamed";this._filename=r(a).replace(/\\\\/g,"\\").replace(/\\\//g,"/").replace(/\\/g,"/")}return this._filename},get content(){if(!this.contentAvailable)return null;!this.contentRef&&this.root&&(this.contentRef=c(this.root.get("EF")));var a=null;if(this.contentRef){var d=this.xref,e=d.fetchIfRef(this.contentRef);e&&D(e)?a=e.getBytes():b("Embedded file specification points to non-existing/invalid content")}else b("Embedded file specification does not have a content");return a},get serializable(){return{filename:this.filename,content:this.content}}},a}(),Ia=function(){function a(a){return F(a)||B(a)||C(a)||D(a)}function b(b,c){var d;if(B(b)||D(b)){var e;e=B(b)?b.map:b.dict.map;for(var f in e)d=e[f],a(d)&&c.push(d)}else if(C(b))for(var g=0,h=b.length;h>g;g++)d=b[g],a(d)&&c.push(d)}function c(a,b,c){this.obj=a,this.keys=b,this.xref=c,this.refSet=null,this.capability=null}return c.prototype={load:function(){var a=this.keys;if(this.capability=G(),!(this.xref.stream instanceof qa)||0===this.xref.stream.getMissingChunks().length)return this.capability.resolve(),this.capability.promise;this.refSet=new Ca;for(var b=[],c=0;c=3&&(this.borderStyle.setHorizontalCornerRadius(d[0]),this.borderStyle.setVerticalCornerRadius(d[1]),this.borderStyle.setWidth(d[2]),4===d.length&&this.borderStyle.setDashArray(d[3]))}else this.borderStyle.setWidth(0)},isInvisible:function(){var a=this.data;return!!(a&&a.annotationFlags&&1&a.annotationFlags)},isViewable:function(){var a=this.data;return!(this.isInvisible()||!a||a.annotationFlags&&34&a.annotationFlags||!a.rect)},isPrintable:function(){var a=this.data;return!(!(!this.isInvisible()&&a&&a.annotationFlags&&4&a.annotationFlags)||2&a.annotationFlags||!a.rect)},loadResources:function(a){return new Promise(function(b,c){this.appearance.dict.getAsync("Resources").then(function(d){if(!d)return void b();var e=new Ia(d.map,a,d.xref);e.load().then(function(){b(d)},c)},c)}.bind(this))},getOperatorList:function(b,c){if(!this.appearance)return Promise.resolve(new xb);var d=this.data,e=this.appearance.dict,f=this.loadResources(["ExtGState","ColorSpace","Pattern","Shading","XObject","Font"]),g=e.get("BBox")||[0,0,1,1],h=e.get("Matrix")||[1,0,0,1,0,0],i=a(d.rect,g,h),j=this;return f.then(function(a){var e=new xb;return e.addOp($.beginAnnotation,[d.rect,i,h]),b.getOperatorList(j.appearance,c,a,e).then(function(){return e.addOp($.endAnnotation,[]),j.appearance.reset(),e})})}},c.appendToOperatorList=function(a,b,c,d,e,f){function g(a){h.reject(a)}for(var h=G(),i=[],j=0,k=a.length;k>j;++j)("display"===f&&a[j].isViewable()||"print"===f&&a[j].isPrintable())&&i.push(a[j].getOperatorList(d,e));return Promise.all(i).then(function(a){b.addOp($.beginAnnotations,[]);for(var c=0,d=a.length;d>c;++c){var e=a[c];b.addOpList(e)}b.addOp($.endAnnotations,[]),h.resolve()},g),h.promise},c}(),Oa=function(){function a(){this.width=1,this.style=X.SOLID,this.dashArray=[3],this.horizontalCornerRadius=0,this.verticalCornerRadius=0}return a.prototype={setWidth:function(a){a===(0|a)&&(this.width=a)},setStyle:function(a){if(a)switch(a.name){case"S":this.style=X.SOLID;break;case"D":this.style=X.DASHED;break;case"B":this.style=X.BEVELED;break;case"I":this.style=X.INSET;break;case"U":this.style=X.UNDERLINE}},setDashArray:function(a){if(C(a)&&a.length>0){for(var b=!0,c=!0,d=0,e=a.length;e>d;d++){var f=a[d],g=+f>=0;if(!g){b=!1;break}f>0&&(c=!1)}b&&!c?this.dashArray=a:this.width=0}else a&&(this.width=0)},setHorizontalCornerRadius:function(a){a===(0|a)&&(this.horizontalCornerRadius=a)},setVerticalCornerRadius:function(a){a===(0|a)&&(this.verticalCornerRadius=a)}},a}(),Pa=function(){function a(a){Na.call(this,a);var b=a.dict,c=this.data;c.fieldValue=r(na.getInheritableProperty(b,"V")||""),c.alternativeText=r(b.get("TU")||""),c.defaultAppearance=na.getInheritableProperty(b,"DA")||"";var d=na.getInheritableProperty(b,"FT");c.fieldType=z(d)?d.name:"",c.fieldFlags=na.getInheritableProperty(b,"Ff")||0,this.fieldResources=na.getInheritableProperty(b,"DR")||Aa.empty;for(var e=[],f=b,g=a.ref;f;){var h=f.get("Parent"),i=f.getRaw("Parent"),j=f.get("T");if(j)e.unshift(r(j));else if(h&&g){var k,l,m=h.get("Kids");for(k=0,l=m.length;l>k;k++){var n=m[k];if(n.num===g.num&&n.gen===g.gen)break}e.unshift("`"+k)}f=h,g=i}c.fullName=e.join(".")}var c=Na.prototype;return na.inherit(a,Na,{isViewable:function(){return"Sig"===this.data.fieldType?(b("unimplemented annotation type: Widget signature"),!1):c.isViewable.call(this)}}),a}(),Qa=function(){function a(a){Pa.call(this,a),this.data.textAlignment=na.getInheritableProperty(a.dict,"Q"),this.data.annotationType=W.WIDGET,this.data.hasHtml=!this.data.hasAppearance&&!!this.data.fieldValue}return na.inherit(a,Pa,{getOperatorList:function(a,b){if(this.appearance)return Na.prototype.getOperatorList.call(this,a,b);var c=new xb,d=this.data;if(!d.defaultAppearance)return Promise.resolve(c);var e=new Mc(j(d.defaultAppearance));return a.getOperatorList(e,b,this.fieldResources,c).then(function(){return c})}}),a}(),Ra=function(){function a(a){Na.call(this,a);var b=a.dict,c=this.data,d=b.get("Contents"),e=b.get("T");c.annotationType=W.TEXT,c.content=r(d||""),c.title=r(e||""),c.hasHtml=!0,c.hasAppearance?c.name="NoIcon":(c.rect[1]=c.rect[3]-Ma,c.rect[2]=c.rect[0]+Ma,c.name=b.has("Name")?b.get("Name").name:"Note"),b.has("C")&&(c.hasBgColor=!0)}return na.inherit(a,Na,{}),a}(),Sa=function(){function a(a){Na.call(this,a);var d=a.dict,e=this.data;e.annotationType=W.LINK,e.hasHtml=!0;var g=d.get("A");if(g&&B(g)){var h=g.get("S").name;if("URI"===h){var i=g.get("URI");z(i)?i="/"+i.name:i&&(i=c(i)),f(i,!1)||(i="");try{e.url=s(i)}catch(j){e.url=i}}else if("GoTo"===h)e.dest=g.get("D");else if("GoToR"===h){var k=g.get("F");B(k)&&(i=k.get("F")||""),f(i,!1)||(i=""),e.url=i,e.dest=g.get("D")}else"Named"===h?e.action=g.get("N").name:b("unrecognized link type: "+h)}else if(d.has("Dest")){var l=d.get("Dest");e.dest=z(l)?l.name:l}}function c(a){return a&&0===a.indexOf("www.")?"http://"+a:a}return na.inherit(a,Na,{}),a}(),Ta=function(){var b=0,d=2,e=3,f=4;return{getSampleArray:function(a,b,c,d){var e,f,g=1;for(e=0,f=a.length;f>e;e++)g*=a[e];g*=b;var h=new Array(g),i=0,j=0,k=1/(Math.pow(2,c)-1),l=d.getBytes((g*c+7)/8),m=0;for(e=0;g>e;e++){for(;c>i;)j<<=8,j|=l[m++],i+=8;i-=c,h[e]=(j>>i)*k,j&=(1<d;d++){var f=a.fetchIfRef(b[d]);c.push(Ta.parse(a,f))}return function(a,b,d,e){for(var f=0,g=c.length;g>f;f++)c[f](a,b,d,e+f)}},constructSampled:function(d,e){function f(a){for(var b=a.length,c=[],d=0,e=0;b>e;e+=2)c[d]=[a[e],a[e+1]],++d;return c}var g=e.get("Domain"),h=e.get("Range");g&&h||c("No domain or range");var i=g.length/2,j=h.length/2;g=f(g),h=f(h);var k=e.get("Size"),l=e.get("BitsPerSample"),m=e.get("Order")||1;1!==m&&a("No support for cubic spline interpolation: "+m);var n=e.get("Encode");if(!n){n=[];for(var o=0;i>o;++o)n.push(0),n.push(k[o]-1)}n=f(n);var p=e.get("Decode");p=p?f(p):h;var q=this.getSampleArray(k,j,l,d);return[b,i,g,n,p,q,k,j,Math.pow(2,l)-1,h]},constructSampledFromIR:function(a){function b(a,b,c,d,e){return d+(a-b)*((e-d)/(c-b))}return function(c,d,e,f){var g,h,i=a[1],j=a[2],k=a[3],l=a[4],m=a[5],n=a[6],o=a[7],p=a[9],q=1<h;h++)r[h]=1;var t=o,u=1;for(g=0;i>g;++g){var v=j[g][0],w=j[g][1],x=Math.min(Math.max(c[d+g],v),w),y=b(x,v,w,k[g][0],k[g][1]),z=n[g];y=Math.min(Math.max(y,0),z-1);var A=z-1>y?Math.floor(y):y-1,B=A+1-y,C=y-A,D=A*t,E=D+t;for(h=0;q>h;h++)h&u?(r[h]*=C,s[h]+=E):(r[h]*=B,s[h]+=D);t*=z,u<<=1}for(h=0;o>h;++h){var F=0;for(g=0;q>g;g++)F+=m[s[g]+h]*r[g];F=b(F,0,1,l[h][0],l[h][1]),e[f+h]=Math.min(Math.max(F,p[h][0]),p[h][1])}}},constructInterpolated:function(a,b){var e=b.get("C0")||[0],f=b.get("C1")||[1],g=b.get("N");C(e)&&C(f)||c("Illegal dictionary for interpolated function");for(var h=e.length,i=[],j=0;h>j;++j)i.push(f[j]-e[j]);return[d,e,i,g]},constructInterpolatedFromIR:function(a){var b=a[1],c=a[2],d=a[3],e=c.length;return function(a,f,g,h){for(var i=1===d?a[f]:Math.pow(a[f],d),j=0;e>j;++j)g[h+j]=b[j]+i*c[j]}},constructStiched:function(a,b,d){var f=b.get("Domain");f||c("No domain");var g=f.length/2;1!==g&&c("Bad domain for stiched function");for(var h=b.get("Functions"),i=[],j=0,k=h.length;k>j;++j)i.push(Ta.getIR(d,d.fetchIfRef(h[j])));var l=b.get("Bounds"),m=b.get("Encode");return[e,f,l,m,i]},constructStichedFromIR:function(a){for(var b=a[1],c=a[2],d=a[3],e=a[4],f=[],g=new Float32Array(1),h=0,i=e.length;i>h;h++)f.push(Ta.fromIR(e[h]));return function(a,e,h,i){for(var j=function(a,b,c){return a>c?a=c:b>a&&(a=b),a},k=j(a[e],b[0],b[1]),l=0,m=c.length;m>l&&!(k0&&(n=c[l-1]);var o=b[1];l>1,h=c.length>>1,i=new Va(e),j={},k=8192,l=k,m=new Float32Array(h);return function(a,b,c,e){var f,k,n="",o=m;for(f=0;h>f;f++)k=a[b+f],o[f]=k,n+=k+"_";var p=j[n];if(void 0!==p)return void c.set(p,e);var q=new Float32Array(g),r=i.execute(o),s=r.length-g;for(f=0;g>f;f++){k=r[s+f];var t=d[2*f];t>k?k=t:(t=d[2*f+1],k>t&&(k=t)),q[f]=k}l>0&&(l--,j[n]=q),c.set(q,e)}}}}(),Ua=function(){function a(a){this.stack=a?Array.prototype.slice.call(a,0):[]}var b=100;return a.prototype={push:function(a){this.stack.length>=b&&c("PostScript function stack overflow."),this.stack.push(a)},pop:function(){return this.stack.length<=0&&c("PostScript function stack underflow."),this.stack.pop()},copy:function(a){this.stack.length+a>=b&&c("PostScript function stack overflow.");for(var d=this.stack,e=d.length-a,f=a-1;f>=0;f--,e++)d.push(d[e])},index:function(a){this.push(this.stack[this.stack.length-a-1])},roll:function(a,b){var c,d,e,f=this.stack,g=f.length-a,h=f.length-1,i=g+(b-Math.floor(b/a)*a);for(c=g,d=h;d>c;c++,d--)e=f[c],f[c]=f[d],f[d]=e;for(c=g,d=i-1;d>c;c++,d--)e=f[c],f[c]=f[d],f[d]=e;for(c=i,d=h;d>c;c++,d--)e=f[c],f[c]=f[d],f[d]=e}},a}(),Va=function(){function a(a){this.operators=a}return a.prototype={execute:function(a){for(var b,d,e,f=new Ua(a),g=0,h=this.operators,i=h.length;i>g;)if(b=h[g++],"number"!=typeof b)switch(b){case"jz":e=f.pop(),d=f.pop(),d||(g=e);break;case"j":d=f.pop(),g=d;break;case"abs":d=f.pop(),f.push(Math.abs(d));break;case"add":e=f.pop(),d=f.pop(),f.push(d+e);break;case"and":e=f.pop(),d=f.pop(),v(d)&&v(e)?f.push(d&&e):f.push(d&e);break;case"atan":d=f.pop(),f.push(Math.atan(d));break;case"bitshift":e=f.pop(),d=f.pop(),d>0?f.push(d<>e);break;case"ceiling":d=f.pop(),f.push(Math.ceil(d));break;case"copy":d=f.pop(),f.copy(d);break;case"cos":d=f.pop(),f.push(Math.cos(d));break;case"cvi":d=0|f.pop(),f.push(d);break;case"cvr":break;case"div":e=f.pop(),d=f.pop(),f.push(d/e);break;case"dup":f.copy(1);break;case"eq":e=f.pop(),d=f.pop(),f.push(d===e);break;case"exch":f.roll(2,1);break;case"exp":e=f.pop(),d=f.pop(),f.push(Math.pow(d,e));break;case"false":f.push(!1);break;case"floor":d=f.pop(),f.push(Math.floor(d));break;case"ge":e=f.pop(),d=f.pop(),f.push(d>=e);break;case"gt":e=f.pop(),d=f.pop(),f.push(d>e);break;case"idiv":e=f.pop(),d=f.pop(),f.push(d/e|0);break;case"index":d=f.pop(),f.index(d);break;case"le":e=f.pop(),d=f.pop(),f.push(e>=d);break;case"ln":d=f.pop(),f.push(Math.log(d));break;case"log":d=f.pop(),f.push(Math.log(d)/Math.LN10);break;case"lt":e=f.pop(),d=f.pop(),f.push(e>d);break;case"mod":e=f.pop(),d=f.pop(),f.push(d%e);break;case"mul":e=f.pop(),d=f.pop(),f.push(d*e);break;case"ne":e=f.pop(),d=f.pop(),f.push(d!==e);break;case"neg":d=f.pop(),f.push(-d);break;case"not":d=f.pop(),v(d)?f.push(!d):f.push(~d);break;case"or":e=f.pop(),d=f.pop(),v(d)&&v(e)?f.push(d||e):f.push(d|e);break;case"pop":f.pop();break;case"roll":e=f.pop(),d=f.pop(),f.roll(d,e);break;case"round":d=f.pop(),f.push(Math.round(d));break;case"sin":d=f.pop(),f.push(Math.sin(d));break;case"sqrt":d=f.pop(),f.push(Math.sqrt(d));break;case"sub":e=f.pop(),d=f.pop(),f.push(d-e);break;case"true":f.push(!0);break;case"truncate":d=f.pop(),d=0>d?Math.ceil(d):Math.floor(d),f.push(d);break;case"xor":e=f.pop(),d=f.pop(),v(d)&&v(e)?f.push(d!==e):f.push(d^e);break;default:c("Unknown operator "+b)}else f.push(b);return f.stack}},a}(),Wa=function(){function a(a){this.type=a}function b(b,c,d){a.call(this,"args"),this.index=b,this.min=c,this.max=d}function c(b){a.call(this,"literal"),this.number=b,this.min=b,this.max=b}function d(b,c,d,e,f){a.call(this,"binary"),this.op=b,this.arg1=c,this.arg2=d,this.min=e,this.max=f}function e(b,c){a.call(this,"max"),this.arg=b,this.min=b.min,this.max=c}function f(b,c,d){a.call(this,"var"),this.index=b,this.min=c,this.max=d}function g(b,c){a.call(this,"definition"),this.variable=b,this.arg=c}function h(){this.parts=[]}function i(a,b){return"literal"===b.type&&0===b.number?a:"literal"===a.type&&0===a.number?b:"literal"===b.type&&"literal"===a.type?new c(a.number+b.number):new d("+",a,b,a.min+b.min,a.max+b.max)}function j(a,b){if("literal"===b.type){if(0===b.number)return new c(0);if(1===b.number)return a;if("literal"===a.type)return new c(a.number*b.number)}if("literal"===a.type){if(0===a.number)return new c(0);if(1===a.number)return b}var e=Math.min(a.min*b.min,a.min*b.max,a.max*b.min,a.max*b.max),f=Math.max(a.min*b.min,a.min*b.max,a.max*b.min,a.max*b.max);return new d("*",a,b,e,f)}function k(a,b){if("literal"===b.type){if(0===b.number)return a;if("literal"===a.type)return new c(a.number-b.number)}return"binary"===b.type&&"-"===b.op&&"literal"===a.type&&1===a.number&&"literal"===b.arg1.type&&1===b.arg1.number?b.arg2:new d("-",a,b,a.min-b.max,a.max-b.min)}function l(a,b){return a.min>=b?new c(b):a.max<=b?a:new e(a,b)}function m(){}return a.prototype.visit=function(a){throw new Error("abstract method")},b.prototype=Object.create(a.prototype),b.prototype.visit=function(a){a.visitArgument(this)},c.prototype=Object.create(a.prototype),c.prototype.visit=function(a){a.visitLiteral(this)},d.prototype=Object.create(a.prototype),d.prototype.visit=function(a){a.visitBinaryOperation(this)},e.prototype=Object.create(a.prototype),e.prototype.visit=function(a){a.visitMin(this)},f.prototype=Object.create(a.prototype),f.prototype.visit=function(a){a.visitVariable(this)},g.prototype=Object.create(a.prototype),g.prototype.visit=function(a){a.visitVariableDefinition(this)},h.prototype={visitArgument:function(a){this.parts.push("Math.max(",a.min,", Math.min(",a.max,", src[srcOffset + ",a.index,"]))")},visitVariable:function(a){this.parts.push("v",a.index)},visitLiteral:function(a){this.parts.push(a.number)},visitBinaryOperation:function(a){this.parts.push("("),a.arg1.visit(this),this.parts.push(" ",a.op," "),a.arg2.visit(this),this.parts.push(")")},visitVariableDefinition:function(a){this.parts.push("var "),a.variable.visit(this),this.parts.push(" = "),a.arg.visit(this),this.parts.push(";")},visitMin:function(a){this.parts.push("Math.min("),a.arg.visit(this),this.parts.push(", ",a.max,")")},toString:function(){return this.parts.join("")}},m.prototype={compile:function(a,d,e){var m,n,o,p,q,r,s,t,u,v,w=[],x=[],y=d.length>>1,z=e.length>>1,A=0;for(m=0;y>m;m++)w.push(new b(m,d[2*m],d[2*m+1]));for(m=0,n=a.length;n>m;m++)if(v=a[m],"number"!=typeof v)switch(v){case"add":if(w.length<2)return null;r=w.pop(),q=w.pop(),w.push(i(q,r));break;case"cvr":if(w.length<1)return null;break;case"mul":if(w.length<2)return null;r=w.pop(),q=w.pop(),w.push(j(q,r));break;case"sub":if(w.length<2)return null;r=w.pop(),q=w.pop(),w.push(k(q,r));break;case"exch":if(w.length<2)return null;s=w.pop(),t=w.pop(),w.push(s,t);break;case"pop":if(w.length<1)return null;w.pop();break;case"index":if(w.length<1)return null;if(q=w.pop(),"literal"!==q.type)return null;if(o=q.number,0>o||(0|o)!==o||w.length=o||(0|o)!==o||(0|p)!==p||w.lengtha.min&&(g.unshift("Math.max(",d,", "),g.push(")")),fn&&"DeviceGray"!==this.name&&"DeviceRGB"!==this.name){var p,q=8>=g?new Uint8Array(n):new Uint16Array(n);for(j=0;n>j;j++)q[j]=j;var r=new Uint8Array(3*n);this.getRgbBuffer(q,0,n,r,0,g,0);var s,t;if(o)for(m=new Uint8Array(3*l),t=0,j=0;l>j;++j)p=3*h[j],m[t++]=r[p],m[t++]=r[p+1],m[t++]=r[p+2];else for(s=0,j=0;l>j;++j)p=3*h[j],a[s++]=r[p],a[s++]=r[p+1],a[s++]=r[p+2],s+=i}else o?(m=new Uint8Array(3*l),this.getRgbBuffer(h,0,l,m,0,g,0)):this.getRgbBuffer(h,0,d*f,a,0,g,i);if(m)if(o)Bc.resize(m,g,3,b,c,d,e,a,i);else for(t=0,s=0,j=0,k=d*f;k>j;j++)a[s++]=m[t++],a[s++]=m[t++],a[s++]=m[t++],s+=i},usesZeroToOneRange:!0},a.parse=function(b,c,d){var e=a.parseToIR(b,c,d);return e instanceof Ya?e:a.fromIR(e)},a.fromIR=function(b){var d,e,f,g=C(b)?b[0]:b;switch(g){case"DeviceGrayCS":return this.singletons.gray;case"DeviceRgbCS":return this.singletons.rgb;case"DeviceCmykCS":return this.singletons.cmyk;case"CalGrayCS":return d=b[1].WhitePoint,e=b[1].BlackPoint,f=b[1].Gamma,new cb(d,e,f);case"CalRGBCS":d=b[1].WhitePoint,e=b[1].BlackPoint,f=b[1].Gamma;var h=b[1].Matrix;return new db(d,e,f,h);case"PatternCS":var i=b[1];return i&&(i=a.fromIR(i)),new Za(i);case"IndexedCS":var j=b[1],k=b[2],l=b[3];return new $a(a.fromIR(j),k,l);case"AlternateCS":var m=b[1],n=b[2],o=b[3];return new Ya(m,a.fromIR(n),Ta.fromIR(o));case"LabCS":d=b[1].WhitePoint,e=b[1].BlackPoint;var p=b[1].Range;return new eb(d,e,p);default:c("Unknown name "+g)}return null},a.parseToIR=function(d,e,f){if(z(d)){var g=f.get("ColorSpace");if(B(g)){var h=g.get(d.name);h&&(d=h)}}d=e.fetchIfRef(d);var i;if(z(d))switch(i=d.name,this.mode=i,i){case"DeviceGray":case"G":return"DeviceGrayCS";case"DeviceRGB":case"RGB":return"DeviceRgbCS";case"DeviceCMYK":case"CMYK":return"DeviceCmykCS";case"Pattern":return["PatternCS",null];default:c("unrecognized colorspace "+i)}else if(C(d)){i=e.fetchIfRef(d[0]).name,this.mode=i;var j,k,l;switch(i){case"DeviceGray":case"G":return"DeviceGrayCS";case"DeviceRGB":case"RGB":return"DeviceRgbCS";case"DeviceCMYK":case"CMYK":return"DeviceCmykCS";case"CalGray":return k=e.fetchIfRef(d[1]).getAll(),["CalGrayCS",k];case"CalRGB":return k=e.fetchIfRef(d[1]).getAll(),["CalRGBCS",k];case"ICCBased":var m=e.fetchIfRef(d[1]),n=m.dict;if(j=n.get("N"),l=n.get("Alternate")){var o=a.parseToIR(l,e,f),p=a.fromIR(o);if(p.numComps===j)return o;b("ICCBased color space: Ignoring incorrect /Alternate entry.")}if(1===j)return"DeviceGrayCS";if(3===j)return"DeviceRgbCS";if(4===j)return"DeviceCmykCS";break;case"Pattern":var q=d[1]||null;return q&&(q=a.parseToIR(q,e,f)),["PatternCS",q];case"Indexed":case"I":var r=a.parseToIR(d[1],e,f),s=e.fetchIfRef(d[2])+1,t=e.fetchIfRef(d[3]);return D(t)&&(t=t.getBytes()),["IndexedCS",r,s,t];case"Separation":case"DeviceN":var u=e.fetchIfRef(d[1]);j=1,z(u)?j=1:C(u)&&(j=u.length),l=a.parseToIR(d[2],e,f);var v=Ta.getIR(e,e.fetchIfRef(d[3]));return["AlternateCS",j,l,v];case"Lab":return k=e.fetchIfRef(d[1]).getAll(),["LabCS",k];default:c('unimplemented color space object "'+i+'"')}}else c('unrecognized color space object: "'+d+'"');return null},a.isDefaultDecode=function(a,c){if(!C(a))return!0;if(2*c!==a.length)return b("The decode map is not the correct length"),!0;for(var d=0,e=a.length;e>d;d+=2)if(0!==a[d]||1!==a[d+1])return!1;return!0},a.singletons={get gray(){return g(this,"gray",new _a)},get rgb(){return g(this,"rgb",new ab)},get cmyk(){return g(this,"cmyk",new bb)}},a}(),Ya=function(){function a(a,b,c){this.name="Alternate",this.numComps=a,this.defaultColor=new Float32Array(a);for(var d=0;a>d;++d)this.defaultColor[d]=1;this.base=b,this.tintFn=c,this.tmpBuf=new Float32Array(b.numComps)}return a.prototype={getRgb:Xa.prototype.getRgb,getRgbItem:function(a,b,c,d){var e=this.tmpBuf;this.tintFn(a,b,e,0),this.base.getRgbItem(e,0,c,d)},getRgbBuffer:function(a,b,c,d,e,f,g){var h,i,j=this.tintFn,k=this.base,l=1/((1<h;h++){for(i=0;r>i;i++)s[i]=a[b++]*l;for(j(s,0,t,0),i=0;m>i;i++)q[p++]=255*t[i]}else for(h=0;c>h;h++){for(i=0;r>i;i++)s[i]=a[b++]*l;j(s,0,t,0),k.getRgbItem(t,0,q,p),p+=m}o||k.getRgbBuffer(q,0,c,d,e,8,g)},getOutputLength:function(a,b){return this.base.getOutputLength(a*this.base.numComps/this.numComps,b)},isPassthrough:Xa.prototype.isPassthrough,fillRgb:Xa.prototype.fillRgb,isDefaultDecode:function(a){return Xa.isDefaultDecode(a,this.numComps)},usesZeroToOneRange:!0},a}(),Za=function(){function a(a){this.name="Pattern",this.base=a}return a.prototype={},a}(),$a=function(){function a(a,b,d){this.name="Indexed",this.numComps=1,this.defaultColor=new Uint8Array([0]),this.base=a,this.highVal=b;var e,f=a.numComps,g=f*b;if(D(d)){e=new Uint8Array(g);var h=d.getBytes(g);e.set(h)}else if(y(d)){e=new Uint8Array(g);for(var i=0;g>i;++i)e[i]=d.charCodeAt(i)}else d instanceof Uint8Array||d instanceof Array?e=d:c("Unrecognized lookup table: "+d);this.lookup=e}return a.prototype={getRgb:Xa.prototype.getRgb,getRgbItem:function(a,b,c,d){var e=this.base.numComps,f=a[b]*e;this.base.getRgbItem(this.lookup,f,c,d)},getRgbBuffer:function(a,b,c,d,e,f,g){for(var h=this.base,i=h.numComps,j=h.getOutputLength(i,g),k=this.lookup,l=0;c>l;++l){var m=a[b++]*i;h.getRgbBuffer(k,m,1,d,e,8,g),e+=j}},getOutputLength:function(a,b){return this.base.getOutputLength(a*this.base.numComps,b)},isPassthrough:Xa.prototype.isPassthrough,fillRgb:Xa.prototype.fillRgb,isDefaultDecode:function(a){return!0},usesZeroToOneRange:!0},a}(),_a=function(){function a(){this.name="DeviceGray",this.numComps=1,this.defaultColor=new Float32Array([0])}return a.prototype={getRgb:Xa.prototype.getRgb,getRgbItem:function(a,b,c,d){var e=255*a[b]|0;e=0>e?0:e>255?255:e,c[d]=c[d+1]=c[d+2]=e},getRgbBuffer:function(a,b,c,d,e,f,g){for(var h=255/((1<k;++k){var l=h*a[i++]|0;d[j++]=l,d[j++]=l,d[j++]=l,j+=g}},getOutputLength:function(a,b){return a*(3+b)},isPassthrough:Xa.prototype.isPassthrough,fillRgb:Xa.prototype.fillRgb,isDefaultDecode:function(a){return Xa.isDefaultDecode(a,this.numComps)},usesZeroToOneRange:!0},a}(),ab=function(){function a(){this.name="DeviceRGB",this.numComps=3,this.defaultColor=new Float32Array([0,0,0])}return a.prototype={getRgb:Xa.prototype.getRgb,getRgbItem:function(a,b,c,d){var e=255*a[b]|0,f=255*a[b+1]|0,g=255*a[b+2]|0;c[d]=0>e?0:e>255?255:e,c[d+1]=0>f?0:f>255?255:f,c[d+2]=0>g?0:g>255?255:g},getRgbBuffer:function(a,b,c,d,e,f,g){if(8===f&&0===g)return void d.set(a.subarray(b,b+3*c),e);for(var h=255/((1<k;++k)d[j++]=h*a[i++]|0,d[j++]=h*a[i++]|0,d[j++]=h*a[i++]|0,j+=g},getOutputLength:function(a,b){return a*(3+b)/3|0},isPassthrough:function(a){return 8===a},fillRgb:Xa.prototype.fillRgb,isDefaultDecode:function(a){return Xa.isDefaultDecode(a,this.numComps)},usesZeroToOneRange:!0},a}(),bb=function(){function a(a,b,c,d,e){var f=a[b+0]*c,g=a[b+1]*c,h=a[b+2]*c,i=a[b+3]*c,j=f*(-4.387332384609988*f+54.48615194189176*g+18.82290502165302*h+212.25662451639585*i+-285.2331026137004)+g*(1.7149763477362134*g-5.6096736904047315*h+-17.873870861415444*i-5.497006427196366)+h*(-2.5217340131683033*h-21.248923337353073*i+17.5119270841813)+i*(-21.86122147463605*i-189.48180835922747)+255|0,k=f*(8.841041422036149*f+60.118027045597366*g+6.871425592049007*h+31.159100130055922*i+-79.2970844816548)+g*(-15.310361306967817*g+17.575251261109482*h+131.35250912493976*i-190.9453302588951)+h*(4.444339102852739*h+9.8632861493405*i-24.86741582555878)+i*(-20.737325471181034*i-187.80453709719578)+255|0,l=f*(.8842522430003296*f+8.078677503112928*g+30.89978309703729*h-.23883238689178934*i+-14.183576799673286)+g*(10.49593273432072*g+63.02378494754052*h+50.606957656360734*i-112.23884253719248)+h*(.03296041114873217*h+115.60384449646641*i+-193.58209356861505)+i*(-22.33816807309886*i-180.12613974708367)+255|0;d[e]=j>255?255:0>j?0:j,d[e+1]=k>255?255:0>k?0:k,d[e+2]=l>255?255:0>l?0:l}function b(){this.name="DeviceCMYK",this.numComps=4,this.defaultColor=new Float32Array([0,0,0,1])}return b.prototype={getRgb:Xa.prototype.getRgb,getRgbItem:function(b,c,d,e){a(b,c,1,d,e)},getRgbBuffer:function(b,c,d,e,f,g,h){for(var i=1/((1<j;j++)a(b,c,i,e,f),c+=4,f+=3+h},getOutputLength:function(a,b){return a/4*(3+b)|0},isPassthrough:Xa.prototype.isPassthrough,fillRgb:Xa.prototype.fillRgb,isDefaultDecode:function(a){return Xa.isDefaultDecode(a,this.numComps)},usesZeroToOneRange:!0},b}(),cb=function(){function d(d,e,f){this.name="CalGray",this.numComps=1,this.defaultColor=new Float32Array([0]),d||c("WhitePoint missing - required for color space CalGray"),e=e||[0,0,0],f=f||1,this.XW=d[0],this.YW=d[1],this.ZW=d[2],this.XB=e[0],this.YB=e[1],this.ZB=e[2],this.G=f,(this.XW<0||this.ZW<0||1!==this.YW)&&c("Invalid WhitePoint components for "+this.name+", no fallback available"),(this.XB<0||this.YB<0||this.ZB<0)&&(a("Invalid BlackPoint for "+this.name+", falling back to default"),this.XB=this.YB=this.ZB=0),0===this.XB&&0===this.YB&&0===this.ZB||b(this.name+", BlackPoint: XB: "+this.XB+", YB: "+this.YB+", ZB: "+this.ZB+", only default values are supported."),this.G<1&&(a("Invalid Gamma: "+this.G+" for "+this.name+", falling back to default"),this.G=1)}function e(a,b,c,d,e,f){var g=b[c]*f,h=Math.pow(g,a.G),i=a.YW*h,j=0|Math.max(295.8*Math.pow(i,.3333333333333333)-40.8,0);d[e]=j,d[e+1]=j,d[e+2]=j}return d.prototype={getRgb:Xa.prototype.getRgb,getRgbItem:function(a,b,c,d){e(this,a,b,c,d,1)},getRgbBuffer:function(a,b,c,d,f,g,h){for(var i=1/((1<j;++j)e(this,a,b,d,f,i),b+=1,f+=3+h},getOutputLength:function(a,b){return a*(3+b)},isPassthrough:Xa.prototype.isPassthrough,fillRgb:Xa.prototype.fillRgb,isDefaultDecode:function(a){return Xa.isDefaultDecode(a,this.numComps)},usesZeroToOneRange:!0},d}(),db=function(){function b(b,d,e,f){this.name="CalRGB",this.numComps=3,this.defaultColor=new Float32Array(3),b||c("WhitePoint missing - required for color space CalRGB"),d=d||new Float32Array(3),e=e||new Float32Array([1,1,1]),f=f||new Float32Array([1,0,0,0,1,0,0,0,1]);var g=b[0],h=b[1],i=b[2];this.whitePoint=b;var j=d[0],k=d[1],l=d[2];this.blackPoint=d,this.GR=e[0],this.GG=e[1],this.GB=e[2],this.MXA=f[0],this.MYA=f[1],this.MZA=f[2],this.MXB=f[3],this.MYB=f[4],this.MZB=f[5],this.MXC=f[6],this.MYC=f[7],this.MZC=f[8],(0>g||0>i||1!==h)&&c("Invalid WhitePoint components for "+this.name+", no fallback available"),(0>j||0>k||0>l)&&(a("Invalid BlackPoint for "+this.name+" ["+j+", "+k+", "+l+"], falling back to default"),this.blackPoint=new Float32Array(3)),(this.GR<0||this.GG<0||this.GB<0)&&(a("Invalid Gamma ["+this.GR+", "+this.GG+", "+this.GB+"] for "+this.name+", falling back to default"),this.GR=this.GG=this.GB=1),(this.MXA<0||this.MYA<0||this.MZA<0||this.MXB<0||this.MYB<0||this.MZB<0||this.MXC<0||this.MYC<0||this.MZC<0)&&(a("Invalid Matrix for "+this.name+" ["+this.MXA+", "+this.MYA+", "+this.MZA+this.MXB+", "+this.MYB+", "+this.MZB+this.MXC+", "+this.MYC+", "+this.MZC+"], falling back to default"),this.MXA=this.MYB=this.MZC=1,this.MXB=this.MYA=this.MZA=this.MXC=this.MYC=this.MZB=0)}function d(a,b,c){c[0]=a[0]*b[0]+a[1]*b[1]+a[2]*b[2],c[1]=a[3]*b[0]+a[4]*b[1]+a[5]*b[2],c[2]=a[6]*b[0]+a[7]*b[1]+a[8]*b[2]}function e(a,b,c){c[0]=1*b[0]/a[0],c[1]=1*b[1]/a[1],c[2]=1*b[2]/a[2]}function f(a,b,c){var d=.95047,e=1,f=1.08883;c[0]=b[0]*d/a[0],c[1]=b[1]*e/a[1],c[2]=b[2]*f/a[2]}function g(a){return.0031308>=a?h(0,1,12.92*a):h(0,1,1.055*Math.pow(a,1/2.4)-.055)}function h(a,b,c){return Math.max(a,Math.min(b,c))}function i(a){return 0>a?-i(-a):a>8?Math.pow((a+16)/116,3):a*u}function j(a,b,c){if(0===a[0]&&0===a[1]&&0===a[2])return c[0]=b[0],c[1]=b[1],void(c[2]=b[2]);var d=i(0),e=d,f=i(a[0]),g=d,h=i(a[1]),j=d,k=i(a[2]),l=(1-e)/(1-f),m=1-l,n=(1-g)/(1-h),o=1-n,p=(1-j)/(1-k),q=1-p;c[0]=b[0]*l+m,c[1]=b[1]*n+o,c[2]=b[2]*p+q}function k(a,b,c){if(1===a[0]&&1===a[2])return c[0]=b[0],c[1]=b[1],void(c[2]=b[2]);var f=c;d(n,b,f);var g=r;e(a,f,g),d(o,g,c)}function l(a,b,c){var e=c;d(n,b,e);var g=r;f(a,e,g),d(o,g,c)}function m(a,b,c,e,f,i){var m=h(0,1,b[c]*i),n=h(0,1,b[c+1]*i),o=h(0,1,b[c+2]*i),r=Math.pow(m,a.GR),u=Math.pow(n,a.GG),v=Math.pow(o,a.GB),w=a.MXA*r+a.MXB*u+a.MXC*v,x=a.MYA*r+a.MYB*u+a.MYC*v,y=a.MZA*r+a.MZB*u+a.MZC*v,z=s;z[0]=w,z[1]=x,z[2]=y;var A=t;k(a.whitePoint,z,A);var B=s;j(a.blackPoint,A,B);var C=t;l(q,B,C);var D=s;d(p,C,D);var E=g(D[0]),F=g(D[1]),G=g(D[2]);e[f]=Math.round(255*E),e[f+1]=Math.round(255*F),e[f+2]=Math.round(255*G)}var n=new Float32Array([.8951,.2664,-.1614,-.7502,1.7135,.0367,.0389,-.0685,1.0296]),o=new Float32Array([.9869929,-.1470543,.1599627,.4323053,.5183603,.0492912,-.0085287,.0400428,.9684867]),p=new Float32Array([3.2404542,-1.5371385,-.4985314,-.969266,1.8760108,.041556,.0556434,-.2040259,1.0572252]),q=new Float32Array([1,1,1]),r=new Float32Array(3),s=new Float32Array(3),t=new Float32Array(3),u=Math.pow(24/116,3)/8;return b.prototype={getRgb:function(a,b){var c=new Uint8Array(3); -return this.getRgbItem(a,b,c,0),c},getRgbItem:function(a,b,c,d){m(this,a,b,c,d,1)},getRgbBuffer:function(a,b,c,d,e,f,g){for(var h=1/((1<i;++i)m(this,a,b,d,e,h),b+=3,e+=3+g},getOutputLength:function(a,b){return a*(3+b)/3|0},isPassthrough:Xa.prototype.isPassthrough,fillRgb:Xa.prototype.fillRgb,isDefaultDecode:function(a){return Xa.isDefaultDecode(a,this.numComps)},usesZeroToOneRange:!0},b}(),eb=function(){function b(b,d,e){this.name="Lab",this.numComps=3,this.defaultColor=new Float32Array([0,0,0]),b||c("WhitePoint missing - required for color space Lab"),d=d||[0,0,0],e=e||[-100,100,-100,100],this.XW=b[0],this.YW=b[1],this.ZW=b[2],this.amin=e[0],this.amax=e[1],this.bmin=e[2],this.bmax=e[3],this.XB=d[0],this.YB=d[1],this.ZB=d[2],(this.XW<0||this.ZW<0||1!==this.YW)&&c("Invalid WhitePoint components, no fallback available"),(this.XB<0||this.YB<0||this.ZB<0)&&(a("Invalid BlackPoint, falling back to default"),this.XB=this.YB=this.ZB=0),(this.amin>this.amax||this.bmin>this.bmax)&&(a("Invalid Range, falling back to defaults"),this.amin=-100,this.amax=100,this.bmin=-100,this.bmax=100)}function d(a){return a>=6/29?a*a*a:108/841*(a-4/29)}function e(a,b,c,d){return c+a*(d-c)/b}function f(a,b,c,f,g,h){var i=b[c],j=b[c+1],k=b[c+2];f!==!1&&(i=e(i,f,0,100),j=e(j,f,a.amin,a.amax),k=e(k,f,a.bmin,a.bmax)),j=j>a.amax?a.amax:ja.bmax?a.bmax:k=l?0:l>=1?255:255*Math.sqrt(l)|0,g[h+1]=0>=m?0:m>=1?255:255*Math.sqrt(m)|0,g[h+2]=0>=n?0:n>=1?255:255*Math.sqrt(n)|0}return b.prototype={getRgb:Xa.prototype.getRgb,getRgbItem:function(a,b,c,d){f(this,a,b,!1,c,d)},getRgbBuffer:function(a,b,c,d,e,g,h){for(var i=(1<j;j++)f(this,a,b,i,d,e),b+=3,e+=3+h},getOutputLength:function(a,b){return a*(3+b)/3|0},isPassthrough:Xa.prototype.isPassthrough,fillRgb:Xa.prototype.fillRgb,isDefaultDecode:function(a){return!0},usesZeroToOneRange:!1},b}(),fb=function(){function a(a){this.a=0,this.b=0;var b,c,d=new Uint8Array(256),e=0,f=a.length;for(b=0;256>b;++b)d[b]=b;for(b=0;256>b;++b)c=d[b],e=e+c+a[b%f]&255,d[b]=d[e],d[e]=c;this.s=d}return a.prototype={encryptBlock:function(a){var b,c,d,e=a.length,f=this.a,g=this.b,h=this.s,i=new Uint8Array(e);for(b=0;e>b;++b)f=f+1&255,c=h[f],g=g+c&255,d=h[g],h[f]=d,h[g]=c,i[b]=a[b]^h[c+d&255];return this.a=f,this.b=g,i}},a.prototype.decryptBlock=a.prototype.encryptBlock,a}(),gb=function(){function a(a,d,e){var f,g,h,i=1732584193,j=-271733879,k=-1732584194,l=271733878,m=e+72&-64,n=new Uint8Array(m);for(f=0;e>f;++f)n[f]=a[d++];for(n[f++]=128,h=m-8;h>f;)n[f++]=0;n[f++]=e<<3&255,n[f++]=e>>5&255,n[f++]=e>>13&255,n[f++]=e>>21&255,n[f++]=e>>>29&255,n[f++]=0,n[f++]=0,n[f++]=0;var o=new Int32Array(16);for(f=0;m>f;){for(g=0;16>g;++g,f+=4)o[g]=n[f]|n[f+1]<<8|n[f+2]<<16|n[f+3]<<24;var p,q,r=i,s=j,t=k,u=l;for(g=0;64>g;++g){16>g?(p=s&t|~s&u,q=g):32>g?(p=u&s|~u&t,q=5*g+1&15):48>g?(p=s^t^u,q=3*g+5&15):(p=t^(s|~u),q=7*g&15);var v=u,w=r+p+c[g]+o[q]|0,x=b[g];u=t,t=s,s=s+(w<>>32-x)|0,r=v}i=i+r|0,j=j+s|0,k=k+t|0,l=l+u|0}return new Uint8Array([255&i,i>>8&255,i>>16&255,i>>>24&255,255&j,j>>8&255,j>>16&255,j>>>24&255,255&k,k>>8&255,k>>16&255,k>>>24&255,255&l,l>>8&255,l>>16&255,l>>>24&255])}var b=new Uint8Array([7,12,17,22,7,12,17,22,7,12,17,22,7,12,17,22,5,9,14,20,5,9,14,20,5,9,14,20,5,9,14,20,4,11,16,23,4,11,16,23,4,11,16,23,4,11,16,23,6,10,15,21,6,10,15,21,6,10,15,21,6,10,15,21]),c=new Int32Array([-680876936,-389564586,606105819,-1044525330,-176418897,1200080426,-1473231341,-45705983,1770035416,-1958414417,-42063,-1990404162,1804603682,-40341101,-1502002290,1236535329,-165796510,-1069501632,643717713,-373897302,-701558691,38016083,-660478335,-405537848,568446438,-1019803690,-187363961,1163531501,-1444681467,-51403784,1735328473,-1926607734,-378558,-2022574463,1839030562,-35309556,-1530992060,1272893353,-155497632,-1094730640,681279174,-358537222,-722521979,76029189,-640364487,-421815835,530742520,-995338651,-198630844,1126891415,-1416354905,-57434055,1700485571,-1894986606,-1051523,-2054922799,1873313359,-30611744,-1560198380,1309151649,-145523070,-1120210379,718787259,-343485551]);return a}(),hb=function(){function a(a,b){this.high=0|a,this.low=0|b}return a.prototype={and:function(a){this.high&=a.high,this.low&=a.low},xor:function(a){this.high^=a.high,this.low^=a.low},or:function(a){this.high|=a.high,this.low|=a.low},shiftRight:function(a){a>=32?(this.low=this.high>>>a-32|0,this.high=0):(this.low=this.low>>>a|this.high<<32-a,this.high=this.high>>>a|0)},shiftLeft:function(a){a>=32?(this.high=this.low<>>32-a,this.low=this.low<>>a|c<<32-a,this.high=c>>>a|b<<32-a},not:function(){this.high=~this.high,this.low=~this.low},add:function(a){var b=(this.low>>>0)+(a.low>>>0),c=(this.high>>>0)+(a.high>>>0);b>4294967295&&(c+=1),this.low=0|b,this.high=0|c},copyTo:function(a,b){a[b]=this.high>>>24&255,a[b+1]=this.high>>16&255,a[b+2]=this.high>>8&255,a[b+3]=255&this.high,a[b+4]=this.low>>>24&255,a[b+5]=this.low>>16&255,a[b+6]=this.low>>8&255,a[b+7]=255&this.low},assign:function(a){this.high=a.high,this.low=a.low}},a}(),ib=function(){function a(a,b){return a>>>b|a<<32-b}function b(a,b,c){return a&b^~a&c}function c(a,b,c){return a&b^a&c^b&c}function d(b){return a(b,2)^a(b,13)^a(b,22)}function e(b){return a(b,6)^a(b,11)^a(b,25)}function f(b){return a(b,7)^a(b,18)^b>>>3}function g(b){return a(b,17)^a(b,19)^b>>>10}function h(a,h,j){var k,l,m,n=1779033703,o=3144134277,p=1013904242,q=2773480762,r=1359893119,s=2600822924,t=528734635,u=1541459225,v=64*Math.ceil((j+9)/64),w=new Uint8Array(v);for(k=0;j>k;++k)w[k]=a[h++];for(w[k++]=128,m=v-8;m>k;)w[k++]=0;w[k++]=0,w[k++]=0,w[k++]=0,w[k++]=j>>>29&255,w[k++]=j>>21&255,w[k++]=j>>13&255,w[k++]=j>>5&255,w[k++]=j<<3&255;var x=new Uint32Array(64);for(k=0;v>k;){for(l=0;16>l;++l)x[l]=w[k]<<24|w[k+1]<<16|w[k+2]<<8|w[k+3],k+=4;for(l=16;64>l;++l)x[l]=g(x[l-2])+x[l-7]+f(x[l-15])+x[l-16]|0;var y,z,A=n,B=o,C=p,D=q,E=r,F=s,G=t,H=u;for(l=0;64>l;++l)y=H+e(E)+b(E,F,G)+i[l]+x[l],z=d(A)+c(A,B,C),H=G,G=F,F=E,E=D+y|0,D=C,C=B,B=A,A=y+z|0;n=n+A|0,o=o+B|0,p=p+C|0,q=q+D|0,r=r+E|0,s=s+F|0,t=t+G|0,u=u+H|0}return new Uint8Array([n>>24&255,n>>16&255,n>>8&255,255&n,o>>24&255,o>>16&255,o>>8&255,255&o,p>>24&255,p>>16&255,p>>8&255,255&p,q>>24&255,q>>16&255,q>>8&255,255&q,r>>24&255,r>>16&255,r>>8&255,255&r,s>>24&255,s>>16&255,s>>8&255,255&s,t>>24&255,t>>16&255,t>>8&255,255&t,u>>24&255,u>>16&255,u>>8&255,255&u])}var i=[1116352408,1899447441,3049323471,3921009573,961987163,1508970993,2453635748,2870763221,3624381080,310598401,607225278,1426881987,1925078388,2162078206,2614888103,3248222580,3835390401,4022224774,264347078,604807628,770255983,1249150122,1555081692,1996064986,2554220882,2821834349,2952996808,3210313671,3336571891,3584528711,113926993,338241895,666307205,773529912,1294757372,1396182291,1695183700,1986661051,2177026350,2456956037,2730485921,2820302411,3259730800,3345764771,3516065817,3600352804,4094571909,275423344,430227734,506948616,659060556,883997877,958139571,1322822218,1537002063,1747873779,1955562222,2024104815,2227730452,2361852424,2428436474,2756734187,3204031479,3329325298];return h}(),jb=function(){function a(a,b,c,d,e){a.assign(b),a.and(c),e.assign(b),e.not(),e.and(d),a.xor(e)}function b(a,b,c,d,e){a.assign(b),a.and(c),e.assign(b),e.and(d),a.xor(e),e.assign(c),e.and(d),a.xor(e)}function c(a,b,c){a.assign(b),a.rotateRight(28),c.assign(b),c.rotateRight(34),a.xor(c),c.assign(b),c.rotateRight(39),a.xor(c)}function d(a,b,c){a.assign(b),a.rotateRight(14),c.assign(b),c.rotateRight(18),a.xor(c),c.assign(b),c.rotateRight(41),a.xor(c)}function e(a,b,c){a.assign(b),a.rotateRight(1),c.assign(b),c.rotateRight(8),a.xor(c),c.assign(b),c.shiftRight(7),a.xor(c)}function f(a,b,c){a.assign(b),a.rotateRight(19),c.assign(b),c.rotateRight(61),a.xor(c),c.assign(b),c.shiftRight(6),a.xor(c)}function g(g,i,j,k){k=!!k;var l,m,n,o,p,q,r,s;k?(l=new hb(3418070365,3238371032),m=new hb(1654270250,914150663),n=new hb(2438529370,812702999),o=new hb(355462360,4144912697),p=new hb(1731405415,4290775857),q=new hb(2394180231,1750603025),r=new hb(3675008525,1694076839),s=new hb(1203062813,3204075428)):(l=new hb(1779033703,4089235720),m=new hb(3144134277,2227873595),n=new hb(1013904242,4271175723),o=new hb(2773480762,1595750129),p=new hb(1359893119,2917565137),q=new hb(2600822924,725511199),r=new hb(528734635,4215389547),s=new hb(1541459225,327033209));var t,u,v,w=128*Math.ceil((j+17)/128),x=new Uint8Array(w);for(t=0;j>t;++t)x[t]=g[i++];for(x[t++]=128,v=w-16;v>t;)x[t++]=0;x[t++]=0,x[t++]=0,x[t++]=0,x[t++]=0,x[t++]=0,x[t++]=0,x[t++]=0,x[t++]=0,x[t++]=0,x[t++]=0,x[t++]=0,x[t++]=j>>>29&255,x[t++]=j>>21&255,x[t++]=j>>13&255,x[t++]=j>>5&255,x[t++]=j<<3&255;var y=new Array(80);for(t=0;80>t;t++)y[t]=new hb(0,0);var z,A=new hb(0,0),B=new hb(0,0),C=new hb(0,0),D=new hb(0,0),E=new hb(0,0),F=new hb(0,0),G=new hb(0,0),H=new hb(0,0),I=new hb(0,0),J=new hb(0,0),K=new hb(0,0),L=new hb(0,0);for(t=0;w>t;){for(u=0;16>u;++u)y[u].high=x[t]<<24|x[t+1]<<16|x[t+2]<<8|x[t+3],y[u].low=x[t+4]<<24|x[t+5]<<16|x[t+6]<<8|x[t+7],t+=8;for(u=16;80>u;++u)z=y[u],f(z,y[u-2],L),z.add(y[u-7]),e(K,y[u-15],L),z.add(K),z.add(y[u-16]);for(A.assign(l),B.assign(m),C.assign(n),D.assign(o),E.assign(p),F.assign(q),G.assign(r),H.assign(s),u=0;80>u;++u)I.assign(H),d(K,E,L),I.add(K),a(K,E,F,G,L),I.add(K),I.add(h[u]),I.add(y[u]),c(J,A,L),b(K,A,B,C,L),J.add(K),z=H,H=G,G=F,F=E,D.add(I),E=D,D=C,C=B,B=A,z.assign(I),z.add(J),A=z;l.add(A),m.add(B),n.add(C),o.add(D),p.add(E),q.add(F),r.add(G),s.add(H)}var M;return k?(M=new Uint8Array(48),l.copyTo(M,0),m.copyTo(M,8),n.copyTo(M,16),o.copyTo(M,24),p.copyTo(M,32),q.copyTo(M,40)):(M=new Uint8Array(64),l.copyTo(M,0),m.copyTo(M,8),n.copyTo(M,16),o.copyTo(M,24),p.copyTo(M,32),q.copyTo(M,40),r.copyTo(M,48),s.copyTo(M,56)),M}var h=[new hb(1116352408,3609767458),new hb(1899447441,602891725),new hb(3049323471,3964484399),new hb(3921009573,2173295548),new hb(961987163,4081628472),new hb(1508970993,3053834265),new hb(2453635748,2937671579),new hb(2870763221,3664609560),new hb(3624381080,2734883394),new hb(310598401,1164996542),new hb(607225278,1323610764),new hb(1426881987,3590304994),new hb(1925078388,4068182383),new hb(2162078206,991336113),new hb(2614888103,633803317),new hb(3248222580,3479774868),new hb(3835390401,2666613458),new hb(4022224774,944711139),new hb(264347078,2341262773),new hb(604807628,2007800933),new hb(770255983,1495990901),new hb(1249150122,1856431235),new hb(1555081692,3175218132),new hb(1996064986,2198950837),new hb(2554220882,3999719339),new hb(2821834349,766784016),new hb(2952996808,2566594879),new hb(3210313671,3203337956),new hb(3336571891,1034457026),new hb(3584528711,2466948901),new hb(113926993,3758326383),new hb(338241895,168717936),new hb(666307205,1188179964),new hb(773529912,1546045734),new hb(1294757372,1522805485),new hb(1396182291,2643833823),new hb(1695183700,2343527390),new hb(1986661051,1014477480),new hb(2177026350,1206759142),new hb(2456956037,344077627),new hb(2730485921,1290863460),new hb(2820302411,3158454273),new hb(3259730800,3505952657),new hb(3345764771,106217008),new hb(3516065817,3606008344),new hb(3600352804,1432725776),new hb(4094571909,1467031594),new hb(275423344,851169720),new hb(430227734,3100823752),new hb(506948616,1363258195),new hb(659060556,3750685593),new hb(883997877,3785050280),new hb(958139571,3318307427),new hb(1322822218,3812723403),new hb(1537002063,2003034995),new hb(1747873779,3602036899),new hb(1955562222,1575990012),new hb(2024104815,1125592928),new hb(2227730452,2716904306),new hb(2361852424,442776044),new hb(2428436474,593698344),new hb(2756734187,3733110249),new hb(3204031479,2999351573),new hb(3329325298,3815920427),new hb(3391569614,3928383900),new hb(3515267271,566280711),new hb(3940187606,3454069534),new hb(4118630271,4000239992),new hb(116418474,1914138554),new hb(174292421,2731055270),new hb(289380356,3203993006),new hb(460393269,320620315),new hb(685471733,587496836),new hb(852142971,1086792851),new hb(1017036298,365543100),new hb(1126000580,2618297676),new hb(1288033470,3409855158),new hb(1501505948,4234509866),new hb(1607167915,987167468),new hb(1816402316,1246189591)];return g}(),kb=function(){function a(a,b,c){return jb(a,b,c,!0)}return a}(),lb=function(){function a(){}return a.prototype={decryptBlock:function(a){return a}},a}(),mb=function(){function a(a){var b=176,c=new Uint8Array(b);c.set(a);for(var d=16,e=1;b>d;++e){var h=c[d-3],i=c[d-2],j=c[d-1],k=c[d-4];h=g[h],i=g[i],j=g[j],k=g[k],h^=f[e];for(var l=0;4>l;++l)c[d]=h^=c[d-16],d++,c[d]=i^=c[d-16],d++,c[d]=j^=c[d-16],d++,c[d]=k^=c[d-16],d++}return c}function b(a,b){var c=new Uint8Array(16);c.set(a);var d,e,f,g,i,j;for(e=0,f=160;16>e;++e,++f)c[e]^=b[f];for(d=9;d>=1;--d){for(g=c[13],c[13]=c[9],c[9]=c[5],c[5]=c[1],c[1]=g,g=c[14],i=c[10],c[14]=c[6],c[10]=c[2],c[6]=g,c[2]=i,g=c[15],i=c[11],j=c[7],c[15]=c[3],c[11]=g,c[7]=i,c[3]=j,e=0;16>e;++e)c[e]=h[c[e]];for(e=0,f=16*d;16>e;++e,++f)c[e]^=b[f];for(e=0;16>e;e+=4){var l=k[c[e]],m=k[c[e+1]],n=k[c[e+2]],o=k[c[e+3]];g=l^m>>>8^m<<24^n>>>16^n<<16^o>>>24^o<<8,c[e]=g>>>24&255,c[e+1]=g>>16&255,c[e+2]=g>>8&255,c[e+3]=255&g}}for(g=c[13],c[13]=c[9],c[9]=c[5],c[5]=c[1],c[1]=g,g=c[14],i=c[10],c[14]=c[6],c[10]=c[2],c[6]=g,c[2]=i,g=c[15],i=c[11],j=c[7],c[15]=c[3],c[11]=g,c[7]=i,c[3]=j,e=0;16>e;++e)c[e]=h[c[e]],c[e]^=b[e];return c}function c(a,b){var c,d,e,f,h=new Uint8Array(16);for(h.set(a),k=0;16>k;++k)h[k]^=b[k];for(j=1;10>j;j++){for(k=0;16>k;++k)h[k]=g[h[k]];e=h[1],h[1]=h[5],h[5]=h[9],h[9]=h[13],h[13]=e,e=h[2],d=h[6],h[2]=h[10],h[6]=h[14],h[10]=e,h[14]=d,e=h[3],d=h[7],c=h[11],h[3]=h[15],h[7]=e,h[11]=d,h[15]=c;for(var k=0;16>k;k+=4){var l=h[k+0],m=h[k+1],n=h[k+2],o=h[k+3];c=l^m^n^o,h[k+0]^=c^i[l^m],h[k+1]^=c^i[m^n],h[k+2]^=c^i[n^o],h[k+3]^=c^i[o^l]}for(k=0,f=16*j;16>k;++k,++f)h[k]^=b[f]}for(k=0;16>k;++k)h[k]=g[h[k]];for(e=h[1],h[1]=h[5],h[5]=h[9],h[9]=h[13],h[13]=e,e=h[2],d=h[6],h[2]=h[10],h[6]=h[14],h[10]=e,h[14]=d,e=h[3],d=h[7],c=h[11],h[3]=h[15],h[7]=e,h[11]=d,h[15]=c,k=0,f=160;16>k;++k,++f)h[k]^=b[f];return h}function d(b){this.key=a(b),this.buffer=new Uint8Array(16),this.bufferPosition=0}function e(a,c){var d,e,f,g=a.length,h=this.buffer,i=this.bufferPosition,j=[],k=this.iv;for(d=0;g>d;++d)if(h[i]=a[d],++i,!(16>i)){var l=b(h,this.key);for(e=0;16>e;++e)l[e]^=k[e];k=h,j.push(l),h=new Uint8Array(16),i=0}if(this.buffer=h,this.bufferLength=i,this.iv=k,0===j.length)return new Uint8Array([]);var m=16*j.length;if(c){var n=j[j.length-1],o=n[15];if(16>=o){for(d=15,f=16-o;d>=f;--d)if(n[d]!==o){o=0;break}m-=o,j[j.length-1]=n.subarray(0,16-o)}}var p=new Uint8Array(m);for(d=0,e=0,f=j.length;f>d;++d,e+=16)p.set(j[d],e);return p}for(var f=new Uint8Array([141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141]),g=new Uint8Array([99,124,119,123,242,107,111,197,48,1,103,43,254,215,171,118,202,130,201,125,250,89,71,240,173,212,162,175,156,164,114,192,183,253,147,38,54,63,247,204,52,165,229,241,113,216,49,21,4,199,35,195,24,150,5,154,7,18,128,226,235,39,178,117,9,131,44,26,27,110,90,160,82,59,214,179,41,227,47,132,83,209,0,237,32,252,177,91,106,203,190,57,74,76,88,207,208,239,170,251,67,77,51,133,69,249,2,127,80,60,159,168,81,163,64,143,146,157,56,245,188,182,218,33,16,255,243,210,205,12,19,236,95,151,68,23,196,167,126,61,100,93,25,115,96,129,79,220,34,42,144,136,70,238,184,20,222,94,11,219,224,50,58,10,73,6,36,92,194,211,172,98,145,149,228,121,231,200,55,109,141,213,78,169,108,86,244,234,101,122,174,8,186,120,37,46,28,166,180,198,232,221,116,31,75,189,139,138,112,62,181,102,72,3,246,14,97,53,87,185,134,193,29,158,225,248,152,17,105,217,142,148,155,30,135,233,206,85,40,223,140,161,137,13,191,230,66,104,65,153,45,15,176,84,187,22]),h=new Uint8Array([82,9,106,213,48,54,165,56,191,64,163,158,129,243,215,251,124,227,57,130,155,47,255,135,52,142,67,68,196,222,233,203,84,123,148,50,166,194,35,61,238,76,149,11,66,250,195,78,8,46,161,102,40,217,36,178,118,91,162,73,109,139,209,37,114,248,246,100,134,104,152,22,212,164,92,204,93,101,182,146,108,112,72,80,253,237,185,218,94,21,70,87,167,141,157,132,144,216,171,0,140,188,211,10,247,228,88,5,184,179,69,6,208,44,30,143,202,63,15,2,193,175,189,3,1,19,138,107,58,145,17,65,79,103,220,234,151,242,207,206,240,180,230,115,150,172,116,34,231,173,53,133,226,249,55,232,28,117,223,110,71,241,26,113,29,41,197,137,111,183,98,14,170,24,190,27,252,86,62,75,198,210,121,32,154,219,192,254,120,205,90,244,31,221,168,51,136,7,199,49,177,18,16,89,39,128,236,95,96,81,127,169,25,181,74,13,45,229,122,159,147,201,156,239,160,224,59,77,174,42,245,176,200,235,187,60,131,83,153,97,23,43,4,126,186,119,214,38,225,105,20,99,85,33,12,125]),i=new Uint8Array(256),j=0;256>j;j++)128>j?i[j]=j<<1:i[j]=j<<1^27;var k=new Uint32Array([0,235474187,470948374,303765277,941896748,908933415,607530554,708780849,1883793496,2118214995,1817866830,1649639237,1215061108,1181045119,1417561698,1517767529,3767586992,4003061179,4236429990,4069246893,3635733660,3602770327,3299278474,3400528769,2430122216,2664543715,2362090238,2193862645,2835123396,2801107407,3035535058,3135740889,3678124923,3576870512,3341394285,3374361702,3810496343,3977675356,4279080257,4043610186,2876494627,2776292904,3076639029,3110650942,2472011535,2640243204,2403728665,2169303058,1001089995,899835584,666464733,699432150,59727847,226906860,530400753,294930682,1273168787,1172967064,1475418501,1509430414,1942435775,2110667444,1876241833,1641816226,2910219766,2743034109,2976151520,3211623147,2505202138,2606453969,2302690252,2269728455,3711829422,3543599269,3240894392,3475313331,3843699074,3943906441,4178062228,4144047775,1306967366,1139781709,1374988112,1610459739,1975683434,2076935265,1775276924,1742315127,1034867998,866637845,566021896,800440835,92987698,193195065,429456164,395441711,1984812685,2017778566,1784663195,1683407248,1315562145,1080094634,1383856311,1551037884,101039829,135050206,437757123,337553864,1042385657,807962610,573804783,742039012,2531067453,2564033334,2328828971,2227573024,2935566865,2700099354,3001755655,3168937228,3868552805,3902563182,4203181171,4102977912,3736164937,3501741890,3265478751,3433712980,1106041591,1340463100,1576976609,1408749034,2043211483,2009195472,1708848333,1809054150,832877231,1068351396,766945465,599762354,159417987,126454664,361929877,463180190,2709260871,2943682380,3178106961,3009879386,2572697195,2538681184,2236228733,2336434550,3509871135,3745345300,3441850377,3274667266,3910161971,3877198648,4110568485,4211818798,2597806476,2497604743,2261089178,2295101073,2733856160,2902087851,3202437046,2968011453,3936291284,3835036895,4136440770,4169408201,3535486456,3702665459,3467192302,3231722213,2051518780,1951317047,1716890410,1750902305,1113818384,1282050075,1584504582,1350078989,168810852,67556463,371049330,404016761,841739592,1008918595,775550814,540080725,3969562369,3801332234,4035489047,4269907996,3569255213,3669462566,3366754619,3332740144,2631065433,2463879762,2160117071,2395588676,2767645557,2868897406,3102011747,3069049960,202008497,33778362,270040487,504459436,875451293,975658646,675039627,641025152,2084704233,1917518562,1615861247,1851332852,1147550661,1248802510,1484005843,1451044056,933301370,967311729,733156972,632953703,260388950,25965917,328671808,496906059,1206477858,1239443753,1543208500,1441952575,2144161806,1908694277,1675577880,1842759443,3610369226,3644379585,3408119516,3307916247,4011190502,3776767469,4077384432,4245618683,2809771154,2842737049,3144396420,3043140495,2673705150,2438237621,2203032232,2370213795]);return d.prototype={decryptBlock:function(a,b){var c,d=a.length,f=this.buffer,g=this.bufferPosition;for(c=0;16>g&&d>c;++c,++g)f[g]=a[c];return 16>g?(this.bufferLength=g,new Uint8Array([])):(this.iv=f,this.buffer=new Uint8Array(16),this.bufferLength=0,this.decryptBlock=e,this.decryptBlock(a.subarray(16),b))},encrypt:function(a,b){var d,e,f,g=a.length,h=this.buffer,i=this.bufferPosition,j=[];for(b||(b=new Uint8Array(16)),d=0;g>d;++d)if(h[i]=a[d],++i,!(16>i)){for(e=0;16>e;++e)h[e]^=b[e];var k=c(h,this.key);b=k,j.push(k),h=new Uint8Array(16),i=0}if(this.buffer=h,this.bufferLength=i,this.iv=b,0===j.length)return new Uint8Array([]);var l=16*j.length,m=new Uint8Array(l);for(d=0,e=0,f=j.length;f>d;++d,e+=16)m.set(j[d],e);return m}},d}(),nb=function(){function a(a){var b=240,c=new Uint8Array(b),d=1;c.set(a);for(var e=32,g=1;b>e;++g){if(e%32===16)h=f[h],i=f[i],j=f[j],k=f[k];else if(e%32===0){var h=c[e-3],i=c[e-2],j=c[e-1],k=c[e-4];h=f[h],i=f[i],j=f[j],k=f[k],h^=d,(d<<=1)>=256&&(d=255&(27^d))}for(var l=0;4>l;++l)c[e]=h^=c[e-32],e++,c[e]=i^=c[e-32],e++,c[e]=j^=c[e-32],e++,c[e]=k^=c[e-32],e++}return c}function b(a,b){var c=new Uint8Array(16);c.set(a);var d,e,f,h,i,k;for(e=0,f=224;16>e;++e,++f)c[e]^=b[f];for(d=13;d>=1;--d){for(h=c[13],c[13]=c[9],c[9]=c[5],c[5]=c[1],c[1]=h,h=c[14],i=c[10],c[14]=c[6],c[10]=c[2],c[6]=h,c[2]=i,h=c[15],i=c[11],k=c[7],c[15]=c[3],c[11]=h,c[7]=i,c[3]=k,e=0;16>e;++e)c[e]=g[c[e]];for(e=0,f=16*d;16>e;++e,++f)c[e]^=b[f];for(e=0;16>e;e+=4){var l=j[c[e]],m=j[c[e+1]],n=j[c[e+2]],o=j[c[e+3]];h=l^m>>>8^m<<24^n>>>16^n<<16^o>>>24^o<<8,c[e]=h>>>24&255,c[e+1]=h>>16&255,c[e+2]=h>>8&255,c[e+3]=255&h}}for(h=c[13],c[13]=c[9],c[9]=c[5],c[5]=c[1],c[1]=h,h=c[14],i=c[10],c[14]=c[6],c[10]=c[2],c[6]=h,c[2]=i,h=c[15],i=c[11],k=c[7],c[15]=c[3],c[11]=h,c[7]=i,c[3]=k,e=0;16>e;++e)c[e]=g[c[e]],c[e]^=b[e];return c}function c(a,b){var c,d,e,g,j=new Uint8Array(16);for(j.set(a),k=0;16>k;++k)j[k]^=b[k];for(i=1;14>i;i++){for(k=0;16>k;++k)j[k]=f[j[k]];e=j[1],j[1]=j[5],j[5]=j[9],j[9]=j[13],j[13]=e,e=j[2],d=j[6],j[2]=j[10],j[6]=j[14],j[10]=e,j[14]=d,e=j[3],d=j[7],c=j[11],j[3]=j[15],j[7]=e,j[11]=d,j[15]=c;for(var k=0;16>k;k+=4){var l=j[k+0],m=j[k+1],n=j[k+2],o=j[k+3];c=l^m^n^o,j[k+0]^=c^h[l^m],j[k+1]^=c^h[m^n],j[k+2]^=c^h[n^o],j[k+3]^=c^h[o^l]}for(k=0,g=16*i;16>k;++k,++g)j[k]^=b[g]}for(k=0;16>k;++k)j[k]=f[j[k]];for(e=j[1],j[1]=j[5],j[5]=j[9],j[9]=j[13],j[13]=e,e=j[2],d=j[6],j[2]=j[10],j[6]=j[14],j[10]=e,j[14]=d,e=j[3],d=j[7],c=j[11],j[3]=j[15],j[7]=e,j[11]=d,j[15]=c,k=0,g=224;16>k;++k,++g)j[k]^=b[g];return j}function d(b){this.key=a(b),this.buffer=new Uint8Array(16),this.bufferPosition=0}function e(a,c){var d,e,f,g=a.length,h=this.buffer,i=this.bufferPosition,j=[],k=this.iv;for(d=0;g>d;++d)if(h[i]=a[d],++i,!(16>i)){var l=b(h,this.key);for(e=0;16>e;++e)l[e]^=k[e];k=h,j.push(l),h=new Uint8Array(16),i=0}if(this.buffer=h,this.bufferLength=i,this.iv=k,0===j.length)return new Uint8Array([]);var m=16*j.length;if(c){var n=j[j.length-1],o=n[15];if(16>=o){for(d=15,f=16-o;d>=f;--d)if(n[d]!==o){o=0;break}m-=o,j[j.length-1]=n.subarray(0,16-o)}}var p=new Uint8Array(m);for(d=0,e=0,f=j.length;f>d;++d,e+=16)p.set(j[d],e);return p}for(var f=(new Uint8Array([141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141]),new Uint8Array([99,124,119,123,242,107,111,197,48,1,103,43,254,215,171,118,202,130,201,125,250,89,71,240,173,212,162,175,156,164,114,192,183,253,147,38,54,63,247,204,52,165,229,241,113,216,49,21,4,199,35,195,24,150,5,154,7,18,128,226,235,39,178,117,9,131,44,26,27,110,90,160,82,59,214,179,41,227,47,132,83,209,0,237,32,252,177,91,106,203,190,57,74,76,88,207,208,239,170,251,67,77,51,133,69,249,2,127,80,60,159,168,81,163,64,143,146,157,56,245,188,182,218,33,16,255,243,210,205,12,19,236,95,151,68,23,196,167,126,61,100,93,25,115,96,129,79,220,34,42,144,136,70,238,184,20,222,94,11,219,224,50,58,10,73,6,36,92,194,211,172,98,145,149,228,121,231,200,55,109,141,213,78,169,108,86,244,234,101,122,174,8,186,120,37,46,28,166,180,198,232,221,116,31,75,189,139,138,112,62,181,102,72,3,246,14,97,53,87,185,134,193,29,158,225,248,152,17,105,217,142,148,155,30,135,233,206,85,40,223,140,161,137,13,191,230,66,104,65,153,45,15,176,84,187,22])),g=new Uint8Array([82,9,106,213,48,54,165,56,191,64,163,158,129,243,215,251,124,227,57,130,155,47,255,135,52,142,67,68,196,222,233,203,84,123,148,50,166,194,35,61,238,76,149,11,66,250,195,78,8,46,161,102,40,217,36,178,118,91,162,73,109,139,209,37,114,248,246,100,134,104,152,22,212,164,92,204,93,101,182,146,108,112,72,80,253,237,185,218,94,21,70,87,167,141,157,132,144,216,171,0,140,188,211,10,247,228,88,5,184,179,69,6,208,44,30,143,202,63,15,2,193,175,189,3,1,19,138,107,58,145,17,65,79,103,220,234,151,242,207,206,240,180,230,115,150,172,116,34,231,173,53,133,226,249,55,232,28,117,223,110,71,241,26,113,29,41,197,137,111,183,98,14,170,24,190,27,252,86,62,75,198,210,121,32,154,219,192,254,120,205,90,244,31,221,168,51,136,7,199,49,177,18,16,89,39,128,236,95,96,81,127,169,25,181,74,13,45,229,122,159,147,201,156,239,160,224,59,77,174,42,245,176,200,235,187,60,131,83,153,97,23,43,4,126,186,119,214,38,225,105,20,99,85,33,12,125]),h=new Uint8Array(256),i=0;256>i;i++)128>i?h[i]=i<<1:h[i]=i<<1^27;var j=new Uint32Array([0,235474187,470948374,303765277,941896748,908933415,607530554,708780849,1883793496,2118214995,1817866830,1649639237,1215061108,1181045119,1417561698,1517767529,3767586992,4003061179,4236429990,4069246893,3635733660,3602770327,3299278474,3400528769,2430122216,2664543715,2362090238,2193862645,2835123396,2801107407,3035535058,3135740889,3678124923,3576870512,3341394285,3374361702,3810496343,3977675356,4279080257,4043610186,2876494627,2776292904,3076639029,3110650942,2472011535,2640243204,2403728665,2169303058,1001089995,899835584,666464733,699432150,59727847,226906860,530400753,294930682,1273168787,1172967064,1475418501,1509430414,1942435775,2110667444,1876241833,1641816226,2910219766,2743034109,2976151520,3211623147,2505202138,2606453969,2302690252,2269728455,3711829422,3543599269,3240894392,3475313331,3843699074,3943906441,4178062228,4144047775,1306967366,1139781709,1374988112,1610459739,1975683434,2076935265,1775276924,1742315127,1034867998,866637845,566021896,800440835,92987698,193195065,429456164,395441711,1984812685,2017778566,1784663195,1683407248,1315562145,1080094634,1383856311,1551037884,101039829,135050206,437757123,337553864,1042385657,807962610,573804783,742039012,2531067453,2564033334,2328828971,2227573024,2935566865,2700099354,3001755655,3168937228,3868552805,3902563182,4203181171,4102977912,3736164937,3501741890,3265478751,3433712980,1106041591,1340463100,1576976609,1408749034,2043211483,2009195472,1708848333,1809054150,832877231,1068351396,766945465,599762354,159417987,126454664,361929877,463180190,2709260871,2943682380,3178106961,3009879386,2572697195,2538681184,2236228733,2336434550,3509871135,3745345300,3441850377,3274667266,3910161971,3877198648,4110568485,4211818798,2597806476,2497604743,2261089178,2295101073,2733856160,2902087851,3202437046,2968011453,3936291284,3835036895,4136440770,4169408201,3535486456,3702665459,3467192302,3231722213,2051518780,1951317047,1716890410,1750902305,1113818384,1282050075,1584504582,1350078989,168810852,67556463,371049330,404016761,841739592,1008918595,775550814,540080725,3969562369,3801332234,4035489047,4269907996,3569255213,3669462566,3366754619,3332740144,2631065433,2463879762,2160117071,2395588676,2767645557,2868897406,3102011747,3069049960,202008497,33778362,270040487,504459436,875451293,975658646,675039627,641025152,2084704233,1917518562,1615861247,1851332852,1147550661,1248802510,1484005843,1451044056,933301370,967311729,733156972,632953703,260388950,25965917,328671808,496906059,1206477858,1239443753,1543208500,1441952575,2144161806,1908694277,1675577880,1842759443,3610369226,3644379585,3408119516,3307916247,4011190502,3776767469,4077384432,4245618683,2809771154,2842737049,3144396420,3043140495,2673705150,2438237621,2203032232,2370213795]);return d.prototype={decryptBlock:function(a,b,c){var d,f=a.length,g=this.buffer,h=this.bufferPosition;if(c)this.iv=c;else{for(d=0;16>h&&f>d;++d,++h)g[h]=a[d];if(16>h)return this.bufferLength=h,new Uint8Array([]);this.iv=g,a=a.subarray(16)}return this.buffer=new Uint8Array(16),this.bufferLength=0,this.decryptBlock=e,this.decryptBlock(a,b)},encrypt:function(a,b){var d,e,f,g=a.length,h=this.buffer,i=this.bufferPosition,j=[];for(b||(b=new Uint8Array(16)),d=0;g>d;++d)if(h[i]=a[d],++i,!(16>i)){for(e=0;16>e;++e)h[e]^=b[e];var k=c(h,this.key);this.iv=k,j.push(k),h=new Uint8Array(16),i=0}if(this.buffer=h,this.bufferLength=i,this.iv=b,0===j.length)return new Uint8Array([]);var l=16*j.length,m=new Uint8Array(l);for(d=0,e=0,f=j.length;f>d;++d,e+=16)m.set(j[d],e);return m}},d}(),ob=function(){function a(a,b){if(a.length!==b.length)return!1;for(var c=0;cg||f[f.length-1]>g-32;){var h=b.length+e.length+d.length,i=new Uint8Array(64*h),j=a(b,e);j=a(j,d);for(var k=0,l=0;64>k;k++,l+=h)i.set(j,l);var m=new mb(e.subarray(0,16));f=m.encrypt(i,e.subarray(16,32));for(var n=0,o=0;16>o;o++)n*=1,n%=3,n+=(f[o]>>>0)%3,n%=3;0===n?e=ib(f,0,f.length):1===n?e=kb(f,0,f.length):2===n&&(e=jb(f,0,f.length)),g++}return e.subarray(0,32)}function c(){}function d(a,b){if(a.length!==b.length)return!1;for(var c=0;cn;++n)m[n]=b[n];for(j=0;32>n;)m[n++]=i[j++];for(j=0,k=c.length;k>j;++j)m[n++]=c[j];for(m[n++]=255&e,m[n++]=e>>8&255,m[n++]=e>>16&255,m[n++]=e>>>24&255,j=0,k=a.length;k>j;++j)m[n++]=a[j];f>=4&&!h&&(m[n++]=255,m[n++]=255,m[n++]=255,m[n++]=255);var o=gb(m,0,n),p=g>>3;if(f>=3)for(j=0;50>j;++j)o=gb(o,0,p);var q,r,s=o.subarray(0,p);if(f>=3){for(n=0;32>n;++n)m[n]=i[n];for(j=0,k=a.length;k>j;++j)m[n++]=a[j];q=new fb(s),r=q.encryptBlock(gb(m,0,n)),k=s.length;var t,u=new Uint8Array(k);for(j=1;19>=j;++j){for(t=0;k>t;++t)u[t]=s[t]^j;q=new fb(u),r=q.encryptBlock(r)}for(j=0,k=r.length;k>j;++j)if(d[j]!==r[j])return null}else for(q=new fb(s),r=q.encryptBlock(i),j=0,k=r.length;k>j;++j)if(d[j]!==r[j])return null;return s}function e(a,b,c,d){var e,f,g=new Uint8Array(32),h=0;for(f=Math.min(32,a.length);f>h;++h)g[h]=a[h];for(e=0;32>h;)g[h++]=i[e++];var j=gb(g,0,h),k=d>>3;if(c>=3)for(e=0;50>e;++e)j=gb(j,0,j.length);var l,m;if(c>=3){m=b;var n,o=new Uint8Array(k);for(e=19;e>=0;e--){for(n=0;k>n;++n)o[n]=j[n]^e;l=new fb(o),m=l.encryptBlock(m)}}else l=new fb(j.subarray(0,k)),m=l.encryptBlock(b);return m}function f(f,g,h){var i=f.get("Filter");z(i)&&"Standard"===i.name||c("unknown encryption method"),this.dict=f;var l=f.get("V");(!w(l)||1!==l&&2!==l&&4!==l&&5!==l)&&c("unsupported encryption algorithm"),this.algorithm=l;var m=f.get("Length")||40;(!w(m)||40>m||m%8!==0)&&c("invalid key length");var n=j(f.get("O")).subarray(0,32),o=j(f.get("U")).subarray(0,32),p=f.get("P"),q=f.get("R"),r=(4===l||5===l)&&f.get("EncryptMetadata")!==!1;this.encryptMetadata=r;var s,u=j(g);if(h){if(6===q)try{h=t(h)}catch(v){b("CipherTransformFactory: Unable to convert UTF8 encoded password.")}s=j(h)}var x;if(5!==l)x=d(u,s,n,o,p,q,m,r);else{var y=j(f.get("O")).subarray(32,40),A=j(f.get("O")).subarray(40,48),B=j(f.get("U")).subarray(0,48),C=j(f.get("U")).subarray(32,40),D=j(f.get("U")).subarray(40,48),E=j(f.get("OE")),F=j(f.get("UE")),G=j(f.get("Perms"));x=a(q,s,n,y,A,B,o,C,D,E,F,G)}if(!x&&!h)throw new da("No password given",ca.NEED_PASSWORD);if(!x&&h){var H=e(s,n,q,m);x=d(u,H,n,o,p,q,m,r)}if(!x)throw new da("Incorrect Password",ca.INCORRECT_PASSWORD);this.encryptionKey=x,l>=4&&(this.cf=f.get("CF"),this.stmf=f.get("StmF")||k,this.strf=f.get("StrF")||k,this.eff=f.get("EFF")||this.stmf)}function g(a,b,c,d){var e,f,g=new Uint8Array(c.length+9);for(e=0,f=c.length;f>e;++e)g[e]=c[e];g[e++]=255&a,g[e++]=a>>8&255,g[e++]=a>>16&255,g[e++]=255&b,g[e++]=b>>8&255,d&&(g[e++]=115,g[e++]=65,g[e++]=108,g[e++]=84);var h=gb(g,0,e);return h.subarray(0,Math.min(c.length+5,16))}function h(a,b,d,e,f){var h,i=a.get(b.name);return null!==i&&void 0!==i&&(h=i.get("CFM")),h&&"None"!==h.name?"V2"===h.name?function(){return new fb(g(d,e,f,!1))}:"AESV2"===h.name?function(){return new mb(g(d,e,f,!0))}:"AESV3"===h.name?function(){return new nb(f)}:void c("Unknown crypto method"):function(){return new lb}}var i=new Uint8Array([40,191,78,94,78,117,138,65,100,0,78,86,255,250,1,8,46,46,0,182,208,104,62,128,47,12,169,254,100,83,105,122]),k=ya.get("Identity");return f.prototype={createCipherTransform:function(a,b){if(4===this.algorithm||5===this.algorithm)return new qb(h(this.cf,this.stmf,a,b,this.encryptionKey),h(this.cf,this.strf,a,b,this.encryptionKey));var c=g(a,b,this.encryptionKey,!1),d=function(){return new fb(c)};return new qb(d,d)}},f}(),sb={FUNCTION_BASED:1,AXIAL:2,RADIAL:3,FREE_FORM_MESH:4,LATTICE_FORM_MESH:5,COONS_PATCH_MESH:6,TENSOR_PATCH_MESH:7},tb=function(){function a(){c("should not call Pattern constructor")}return a.prototype={getPattern:function(a){c("Should not call Pattern.getStyle: "+a)}},a.parseShading=function(a,c,d,e){var f=D(a)?a.dict:a,g=f.get("ShadingType");try{switch(g){case sb.AXIAL:case sb.RADIAL:return new ub.RadialAxial(f,c,d,e);case sb.FREE_FORM_MESH:case sb.LATTICE_FORM_MESH:case sb.COONS_PATCH_MESH:case sb.TENSOR_PATCH_MESH:return new ub.Mesh(a,c,d,e);default:throw new Error("Unsupported ShadingType: "+g)}}catch(h){if(h instanceof ja)throw h;return aa.notify(_.shadingPattern),b(h),new ub.Dummy}},a}(),ub={};ub.SMALL_NUMBER=.01,ub.RadialAxial=function(){function d(c,d,e,f){this.matrix=d,this.coordsArr=c.get("Coords"),this.shadingType=c.get("ShadingType"),this.type="Pattern";var g=c.get("ColorSpace","CS");g=Xa.parse(g,e,f),this.cs=g;var h=0,i=1;if(c.has("Domain")){var j=c.get("Domain");h=j[0],i=j[1]}var k=!1,l=!1;if(c.has("Extend")){var m=c.get("Extend");k=m[0],l=m[1]}if(!(this.shadingType!==sb.RADIAL||k&&l)){var n=this.coordsArr[0],o=this.coordsArr[1],p=this.coordsArr[2],q=this.coordsArr[3],r=this.coordsArr[4],s=this.coordsArr[5],t=Math.sqrt((n-q)*(n-q)+(o-r)*(o-r));s+t>=p&&p+t>=s&&b("Unsupported radial gradient.")}this.extendStart=k,this.extendEnd=l;var u=c.get("Function"),v=Ta.parseArray(e,u),w=i-h,x=w/10,y=this.colorStops=[];if(h>=i||0>=x)return void a("Bad shading domain.");for(var z,A=new Float32Array(g.numComps),B=new Float32Array(1),C=h;i>=C;C+=x){B[0]=C,v(B,0,A,0),z=g.getRgb(A,0);var D=na.makeCssRgb(z[0],z[1],z[2]);y.push([(C-h)/w,D])}var E="transparent";c.has("Background")&&(z=g.getRgb(c.get("Background"),0),E=na.makeCssRgb(z[0],z[1],z[2])),k||(y.unshift([0,E]),y[1][0]+=ub.SMALL_NUMBER),l||(y[y.length-1][0]-=ub.SMALL_NUMBER,y.push([1,E])),this.colorStops=y}return d.prototype={getIR:function(){var a,b,d,e,f,g=this.coordsArr,h=this.shadingType;h===sb.AXIAL?(b=[g[0],g[1]],d=[g[2],g[3]],e=null,f=null,a="axial"):h===sb.RADIAL?(b=[g[0],g[1]],d=[g[3],g[4]],e=g[2],f=g[5],a="radial"):c("getPattern type unknown: "+h);var i=this.matrix;return i&&(b=na.applyTransform(b,i),d=na.applyTransform(d,i)),["RadialAxial",a,this.colorStops,b,d,e,f]}},d}(),ub.Mesh=function(){function a(a,b){this.stream=a,this.context=b,this.buffer=0,this.bufferLength=0;var c=b.numComps;this.tmpCompsBuf=new Float32Array(c);var d=b.colorSpace.numComps;this.tmpCsCompsBuf=b.colorFn?new Float32Array(d):this.tmpCompsBuf}function b(a,b){for(var c=a.coords,d=a.colors,f=[],g=[],h=0;b.hasData;){var i=b.readFlag(),j=b.readCoordinate(),k=b.readComponents();if(0===h){switch(e(i>=0&&2>=i,"Unknown type4 flag"),i){case 0:h=3;break;case 1:g.push(g[g.length-2],g[g.length-1]),h=1;break;case 2:g.push(g[g.length-3],g[g.length-1]),h=1}f.push(i)}g.push(c.length),c.push(j),d.push(k),h--,b.align()}a.figures.push({type:"triangles",coords:new Int32Array(g),colors:new Int32Array(g)})}function d(a,b,c){for(var d=a.coords,e=a.colors,f=[];b.hasData;){var g=b.readCoordinate(),h=b.readComponents();f.push(d.length),d.push(g),e.push(h)}a.figures.push({type:"lattice",coords:new Int32Array(f),colors:new Int32Array(f),verticesPerRow:c})}function f(a,b){var c=a.figures[b];e("patch"===c.type,"Unexpected patch mesh figure");var d=a.coords,f=a.colors,g=c.coords,h=c.colors,i=Math.min(d[g[0]][0],d[g[3]][0],d[g[12]][0],d[g[15]][0]),j=Math.min(d[g[0]][1],d[g[3]][1],d[g[12]][1],d[g[15]][1]),k=Math.max(d[g[0]][0],d[g[3]][0],d[g[12]][0],d[g[15]][0]),p=Math.max(d[g[0]][1],d[g[3]][1],d[g[12]][1],d[g[15]][1]),q=Math.ceil((k-i)*n/(a.bounds[2]-a.bounds[0]));q=Math.max(l,Math.min(m,q));var r=Math.ceil((p-j)*n/(a.bounds[3]-a.bounds[1]));r=Math.max(l,Math.min(m,r));for(var s=q+1,t=new Int32Array((r+1)*s),u=new Int32Array((r+1)*s),v=0,w=new Uint8Array(3),x=new Uint8Array(3),y=f[h[0]],z=f[h[1]],A=f[h[2]],B=f[h[3]],C=o(r),D=o(q),E=0;r>=E;E++){w[0]=(y[0]*(r-E)+A[0]*E)/r|0,w[1]=(y[1]*(r-E)+A[1]*E)/r|0,w[2]=(y[2]*(r-E)+A[2]*E)/r|0,x[0]=(z[0]*(r-E)+B[0]*E)/r|0,x[1]=(z[1]*(r-E)+B[1]*E)/r|0,x[2]=(z[2]*(r-E)+B[2]*E)/r|0;for(var F=0;q>=F;F++,v++)if(0!==E&&E!==r||0!==F&&F!==q){for(var G=0,H=0,I=0,J=0;3>=J;J++)for(var K=0;3>=K;K++,I++){var L=C[E][J]*D[F][K];G+=d[g[I]][0]*L,H+=d[g[I]][1]*L}t[v]=d.length,d.push([G,H]),u[v]=f.length;var M=new Uint8Array(3);M[0]=(w[0]*(q-F)+x[0]*F)/q|0,M[1]=(w[1]*(q-F)+x[1]*F)/q|0,M[2]=(w[2]*(q-F)+x[2]*F)/q|0,f.push(M)}}t[0]=g[0],u[0]=h[0],t[q]=g[3],u[q]=h[1],t[s*r]=g[12],u[s*r]=h[2],t[s*r+q]=g[15],u[s*r+q]=h[3],a.figures[b]={type:"lattice",coords:t,colors:u,verticesPerRow:s}}function g(a,b){for(var c=a.coords,d=a.colors,f=new Int32Array(16),g=new Int32Array(4);b.hasData;){var h=b.readFlag();e(h>=0&&3>=h,"Unknown type6 flag");var i,j,k=c.length;for(i=0,j=0!==h?8:12;j>i;i++)c.push(b.readCoordinate());var l=d.length;for(i=0,j=0!==h?2:4;j>i;i++)d.push(b.readComponents());var m,n,o,p;switch(h){case 0:f[12]=k+3,f[13]=k+4,f[14]=k+5,f[15]=k+6,f[8]=k+2,f[11]=k+7,f[4]=k+1,f[7]=k+8,f[0]=k,f[1]=k+11,f[2]=k+10,f[3]=k+9,g[2]=l+1,g[3]=l+2,g[0]=l,g[1]=l+3;break;case 1:m=f[12],n=f[13],o=f[14],p=f[15],f[12]=p,f[13]=k+0,f[14]=k+1,f[15]=k+2,f[8]=o,f[11]=k+3,f[4]=n,f[7]=k+4,f[0]=m,f[1]=k+7,f[2]=k+6,f[3]=k+5,m=g[2],n=g[3],g[2]=n,g[3]=l,g[0]=m,g[1]=l+1;break;case 2:m=f[15],n=f[11],f[12]=f[3],f[13]=k+0,f[14]=k+1,f[15]=k+2,f[8]=f[7],f[11]=k+3,f[4]=n,f[7]=k+4,f[0]=m,f[1]=k+7,f[2]=k+6,f[3]=k+5,m=g[3],g[2]=g[1],g[3]=l,g[0]=m,g[1]=l+1;break;case 3:f[12]=f[0],f[13]=k+0,f[14]=k+1,f[15]=k+2,f[8]=f[1],f[11]=k+3,f[4]=f[2],f[7]=k+4,f[0]=f[3],f[1]=k+7,f[2]=k+6,f[3]=k+5,g[2]=g[0],g[3]=l,g[0]=g[1],g[1]=l+1}f[5]=c.length,c.push([(-4*c[f[0]][0]-c[f[15]][0]+6*(c[f[4]][0]+c[f[1]][0])-2*(c[f[12]][0]+c[f[3]][0])+3*(c[f[13]][0]+c[f[7]][0]))/9,(-4*c[f[0]][1]-c[f[15]][1]+6*(c[f[4]][1]+c[f[1]][1])-2*(c[f[12]][1]+c[f[3]][1])+3*(c[f[13]][1]+c[f[7]][1]))/9]),f[6]=c.length,c.push([(-4*c[f[3]][0]-c[f[12]][0]+6*(c[f[2]][0]+c[f[7]][0])-2*(c[f[0]][0]+c[f[15]][0])+3*(c[f[4]][0]+c[f[14]][0]))/9,(-4*c[f[3]][1]-c[f[12]][1]+6*(c[f[2]][1]+c[f[7]][1])-2*(c[f[0]][1]+c[f[15]][1])+3*(c[f[4]][1]+c[f[14]][1]))/9]),f[9]=c.length,c.push([(-4*c[f[12]][0]-c[f[3]][0]+6*(c[f[8]][0]+c[f[13]][0])-2*(c[f[0]][0]+c[f[15]][0])+3*(c[f[11]][0]+c[f[1]][0]))/9,(-4*c[f[12]][1]-c[f[3]][1]+6*(c[f[8]][1]+c[f[13]][1])-2*(c[f[0]][1]+c[f[15]][1])+3*(c[f[11]][1]+c[f[1]][1]))/9]),f[10]=c.length,c.push([(-4*c[f[15]][0]-c[f[0]][0]+6*(c[f[11]][0]+c[f[14]][0])-2*(c[f[12]][0]+c[f[3]][0])+3*(c[f[2]][0]+c[f[8]][0]))/9,(-4*c[f[15]][1]-c[f[0]][1]+6*(c[f[11]][1]+c[f[14]][1])-2*(c[f[12]][1]+c[f[3]][1])+3*(c[f[2]][1]+c[f[8]][1]))/9]),a.figures.push({type:"patch",coords:new Int32Array(f),colors:new Int32Array(g)})}}function h(a,b){for(var c=a.coords,d=a.colors,f=new Int32Array(16),g=new Int32Array(4);b.hasData;){var h=b.readFlag();e(h>=0&&3>=h,"Unknown type7 flag");var i,j,k=c.length;for(i=0,j=0!==h?12:16;j>i;i++)c.push(b.readCoordinate());var l=d.length;for(i=0,j=0!==h?2:4;j>i;i++)d.push(b.readComponents());var m,n,o,p;switch(h){case 0:f[12]=k+3,f[13]=k+4,f[14]=k+5,f[15]=k+6,f[8]=k+2,f[9]=k+13,f[10]=k+14,f[11]=k+7,f[4]=k+1,f[5]=k+12,f[6]=k+15,f[7]=k+8,f[0]=k,f[1]=k+11,f[2]=k+10,f[3]=k+9,g[2]=l+1,g[3]=l+2,g[0]=l,g[1]=l+3;break;case 1:m=f[12],n=f[13],o=f[14],p=f[15],f[12]=p,f[13]=k+0,f[14]=k+1,f[15]=k+2,f[8]=o,f[9]=k+9,f[10]=k+10,f[11]=k+3,f[4]=n,f[5]=k+8,f[6]=k+11,f[7]=k+4,f[0]=m,f[1]=k+7,f[2]=k+6,f[3]=k+5,m=g[2],n=g[3],g[2]=n,g[3]=l,g[0]=m,g[1]=l+1;break;case 2:m=f[15],n=f[11],f[12]=f[3],f[13]=k+0,f[14]=k+1,f[15]=k+2,f[8]=f[7],f[9]=k+9,f[10]=k+10,f[11]=k+3,f[4]=n,f[5]=k+8,f[6]=k+11,f[7]=k+4,f[0]=m,f[1]=k+7,f[2]=k+6,f[3]=k+5,m=g[3],g[2]=g[1],g[3]=l,g[0]=m,g[1]=l+1;break;case 3:f[12]=f[0],f[13]=k+0,f[14]=k+1,f[15]=k+2,f[8]=f[1],f[9]=k+9,f[10]=k+10,f[11]=k+3,f[4]=f[2],f[5]=k+8,f[6]=k+11,f[7]=k+4,f[0]=f[3],f[1]=k+7,f[2]=k+6,f[3]=k+5,g[2]=g[0],g[3]=l,g[0]=g[1],g[1]=l+1}a.figures.push({type:"patch",coords:new Int32Array(f),colors:new Int32Array(g)})}}function i(a){for(var b=a.coords[0][0],c=a.coords[0][1],d=b,e=c,f=1,g=a.coords.length;g>f;f++){var h=a.coords[f][0],i=a.coords[f][1];b=b>h?h:b,c=c>i?i:c,d=h>d?h:d,e=i>e?i:e}a.bounds=[b,c,d,e]}function j(a){var b,c,d,e,f=a.coords,g=new Float32Array(2*f.length);for(b=0,d=0,c=f.length;c>b;b++){var h=f[b];g[d++]=h[0],g[d++]=h[1]}a.coords=g;var i=a.colors,j=new Uint8Array(3*i.length);for(b=0,d=0,c=i.length;c>b;b++){var k=i[b];j[d++]=k[0],j[d++]=k[1],j[d++]=k[2]}a.colors=j;var l=a.figures;for(b=0,c=l.length;c>b;b++){var m=l[b],n=m.coords,o=m.colors;for(d=0,e=n.length;e>d;d++)n[d]*=2,o[d]*=3}}function k(k,l,m,n){e(D(k),"Mesh data is not a stream");var o=k.dict;this.matrix=l,this.shadingType=o.get("ShadingType"),this.type="Pattern",this.bbox=o.get("BBox");var p=o.get("ColorSpace","CS");p=Xa.parse(p,m,n),this.cs=p,this.background=o.has("Background")?p.getRgb(o.get("Background"),0):null;var q=o.get("Function"),r=q?Ta.parseArray(m,q):null;this.coords=[],this.colors=[],this.figures=[];var s={bitsPerCoordinate:o.get("BitsPerCoordinate"),bitsPerComponent:o.get("BitsPerComponent"),bitsPerFlag:o.get("BitsPerFlag"),decode:o.get("Decode"),colorFn:r,colorSpace:p,numComps:r?1:p.numComps},t=new a(k,s),u=!1;switch(this.shadingType){case sb.FREE_FORM_MESH:b(this,t);break;case sb.LATTICE_FORM_MESH:var v=0|o.get("VerticesPerRow");e(v>=2,"Invalid VerticesPerRow"),d(this,t,v);break;case sb.COONS_PATCH_MESH:g(this,t),u=!0;break;case sb.TENSOR_PATCH_MESH:h(this,t),u=!0;break;default:c("Unsupported mesh type.")}if(u){i(this);for(var w=0,x=this.figures.length;x>w;w++)f(this,w)}i(this),j(this)}a.prototype={get hasData(){if(this.stream.end)return this.stream.pos0)return!0;var a=this.stream.getByte();return 0>a?!1:(this.buffer=a,this.bufferLength=8,!0)},readBits:function(a){var b=this.buffer,c=this.bufferLength;if(32===a){if(0===c)return(this.stream.getByte()<<24|this.stream.getByte()<<16|this.stream.getByte()<<8|this.stream.getByte())>>>0;b=b<<24|this.stream.getByte()<<16|this.stream.getByte()<<8|this.stream.getByte();var d=this.stream.getByte();return this.buffer=d&(1<>c)>>>0}if(8===a&&0===c)return this.stream.getByte();for(;a>c;)b=b<<8|this.stream.getByte(),c+=8;return c-=a,this.bufferLength=c,this.buffer=b&(1<>c},align:function(){this.buffer=0,this.bufferLength=0},readFlag:function(){return this.readBits(this.context.bitsPerFlag)},readCoordinate:function(){var a=this.context.bitsPerCoordinate,b=this.readBits(a),c=this.readBits(a),d=this.context.decode,e=32>a?1/((1<b?1/((1<f;f++,g+=2){var h=this.readBits(b);e[f]=h*c*(d[g+1]-d[g])+d[g]}var i=this.tmpCsCompsBuf;return this.context.colorFn&&this.context.colorFn(e,0,i,0),this.context.colorSpace.getRgb(i,0)}};var l=3,m=20,n=20,o=function(){function a(a){for(var b=[],c=0;a>=c;c++){var d=c/a,e=1-d;b.push(new Float32Array([e*e*e,3*d*e*e,3*d*d*e,d*d*d]))}return b}var b=[];return function(c){return b[c]||(b[c]=a(c)),b[c]}}();return k.prototype={getIR:function(){return["Mesh",this.shadingType,this.coords,this.colors,this.figures,this.bounds,this.matrix,this.bbox,this.background]}},k}(),ub.Dummy=function(){function a(){this.type="Pattern"}return a.prototype={getIR:function(){return["Dummy"]}},a}();var vb=function(){function d(a,b,c,d,e,f,g){this.pdfManager=a,this.xref=b,this.handler=c,this.pageIndex=d,this.uniquePrefix=e,this.idCounters=f,this.fontCache=g}function f(){this.reset()}var g=20,h=100;f.prototype={check:function(){return++this.checkedPDFJS.maxImageSize)return void b("Image exceeded maximum allowed size and was removed.");var l,m,n=i.get("ImageMask","IM")||!1;if(n){var o=i.get("Width","W"),p=i.get("Height","H"),q=o+7>>3,r=c.getBytes(q*p),s=i.get("Decode","D"),t=!!s&&s[0]>0;return l=Bc.createMask(r,o,p,c instanceof Oc,t),l.cached=!0,m=[l],e.addOp($.paintImageMaskXObject,m),void(f&&(g[f]={fn:$.paintImageMaskXObject,args:m}))}var u=i.get("SMask","SM")||!1,v=i.get("Mask")||!1,w=200;if(d&&!u&&!v&&!(c instanceof Sc)&&w>j+k){var y=new Bc(this.xref,a,c,d,null,null);return l=y.createImageData(!0),void e.addOp($.paintInlineImageXObject,[l])}var z=this.uniquePrefix||"",A="img_"+z+ ++this.idCounters.obj;return e.addDependency(A),m=[A,j,k],!u&&!v&&c instanceof Sc&&c.isNativelySupported(this.xref,a)?(e.addOp($.paintJpegXObject,m),void this.handler.send("obj",[A,this.pageIndex,"JpegStream",c.getIR()])):(Bc.buildImage(h.handler,h.xref,a,c,d).then(function(a){var b=a.createImageData(!1);h.handler.send("obj",[A,h.pageIndex,"Image",b],[b.data.buffer])}).then(void 0,function(a){b("Unable to decode image: "+a),h.handler.send("obj",[A,h.pageIndex,"Image",null])}),e.addOp($.paintImageXObject,m),void(f&&(g[f]={fn:$.paintImageXObject,args:m})))},handleSMask:function(a,b,c,d,e){var f=a.get("G"),g={subtype:a.get("S").name,backdrop:a.get("BC")};return this.buildFormXObject(b,f,g,c,d,e.state.clone())},handleTilingType:function(a,b,c,d,e,f,g){var h=new xb,i=[e.get("Resources"),c],j=Aa.merge(this.xref,i);return this.getOperatorList(d,g,j,h).then(function(){f.addDependencies(h.dependencies),f.addOp(a,J({fnArray:h.fnArray,argsArray:h.argsArray},e,b))})},handleSetFont:function(a,b,c,d,e,f){var g;b&&(b=b.slice(),g=b[0].name);var h=this;return this.loadFont(g,c,this.xref,a).then(function(b){return b.font.isType3Font?b.loadType3Data(h,a,d,e).then(function(){return b}):b}).then(function(a){return f.font=a.font,a.send(h.handler),a.loadedName})},handleText:function(a,b){var c=b.font,d=c.charsToGlyphs(a),e=!!(b.textRenderingMode&U.ADD_TO_PATH_FLAG);if(c.data&&(e||PDFJS.disableFontFace))for(var f=function(a){if(!c.renderer.hasBuiltPath(a)){var b=c.renderer.getPathJs(a);this.handler.send("commonobj",[c.loadedName+"_path_"+a,"FontPath",b])}}.bind(this),g=0,h=d.length;h>g;g++){var i=d[g];if(null!==i){f(i.fontChar);var j=i.accent;j&&j.fontChar&&f(j.fontChar)}}return d},setGState:function(c,d,e,f,g,h){var i=[],j=d.map,k=this,l=Promise.resolve();for(var m in j){var n=j[m];switch(m){case"Type":break;case"LW":case"LC":case"LJ":case"ML":case"D":case"RI":case"FL":case"CA":case"ca":i.push([m,n]);break;case"Font":l=l.then(function(){return k.handleSetFont(c,null,n[0],e,f,h.state).then(function(a){e.addDependency(a),i.push([m,[a,n[1]]])})});break;case"BM":i.push([m,n]);break;case"SMask":if(z(n)&&"None"===n.name){i.push([m,!1]);break}var o=g.fetchIfRef(n);B(o)?(l=l.then(function(){return k.handleSMask(o,c,e,f,h)}),i.push([m,!0])):b("Unsupported SMask type");break;case"OP":case"op":case"OPM":case"BG":case"BG2":case"UCR":case"UCR2":case"TR":case"TR2":case"HT":case"SM":case"SA":case"AIS":case"TK":a("graphic state operator "+m);break;default:a("Unknown graphic state operator "+m)}}return l.then(function(){i.length>=0&&e.addOp($.setGState,[i])})},loadFont:function(a,c,d,f){function g(){return Promise.resolve(new wb("g_font_error",new ec("Font "+a+" is not available"),c))}var h;if(c)e(F(c)),h=c;else{var i=f.get("Font");if(!i)return b("fontRes not available"),g();h=i.getRaw(a)}if(!h)return b("fontRef not available"),g();if(this.fontCache.has(h))return this.fontCache.get(h);if(c=d.fetchIfRef(h),!B(c))return g();if(c.translated)return c.translated;var j=G(),k=this.preEvaluateFont(c,d),l=k.descriptor,m=h.num+"_"+h.gen;if(B(l)){l.fontAliases||(l.fontAliases=Object.create(null));var n=l.fontAliases,o=k.hash;if(n[o]){var p=n[o].aliasRef;if(p&&this.fontCache.has(p))return this.fontCache.putAlias(h,p),this.fontCache.get(h)}n[o]||(n[o]={fontID:dc.getFontID()}),n[o].aliasRef=h,m=n[o].fontID}var q=B(h);q||this.fontCache.put(h,j.promise),c.loadedName="g_font_"+(q?a.replace(/\W/g,""):m),c.translated=j.promise;var r;try{r=Promise.resolve(this.translateFont(k,d))}catch(s){r=Promise.reject(s)}return r.then(function(a){if(void 0!==a.fontType){var b=d.stats.fontTypes;b[a.fontType]=!0}j.resolve(new wb(c.loadedName,a,c))},function(a){aa.notify(_.font);try{var b=k.descriptor,e=b&&b.get("FontFile3"),f=e&&e.get("Subtype"),g=P(k.type,f&&f.name),h=d.stats.fontTypes;h[g]=!0}catch(i){}j.resolve(new wb(c.loadedName,new ec(a instanceof Error?a.message:a),c))}),j.promise},buildPath:function(a,b,c){var d=a.length-1;if(c||(c=[]),0>d||a.fnArray[d]!==$.constructPath)a.addOp($.constructPath,[[b],c]);else{var e=a.argsArray[d];e[0].push(b),Array.prototype.push.apply(e[1],c)}},handleColorN:function(a,b,c,d,e,f,g,h){var i,l=c[c.length-1];if(z(l)&&(i=e.get(l.name))){var m=D(i)?i.dict:i,n=m.get("PatternType");if(n===j){var o=d.base?d.base.getRgb(c,0):null;return this.handleTilingType(b,o,f,i,m,a,g)}if(n===k){var p=m.get("Shading"),q=m.get("Matrix");return i=tb.parseShading(p,q,h,f),a.addOp(b,i.getIR()),Promise.resolve()}return Promise.reject("Unknown PatternType: "+n)}return a.addOp(b,c),Promise.resolve()},getOperatorList:function(d,g,h,j,k){var l=this,m=this.xref,n={};e(j),h=h||Aa.empty;var o=h.get("XObject")||Aa.empty,p=h.get("Pattern")||Aa.empty,q=new yb(k||new Ab),r=new Bb(d,m,q),s=new f;return new Promise(function t(d,f){g.ensureNotTerminated(),s.reset();for(var k,u,v,w,A={};!(k=s.check())&&(A.args=null,r.read(A));){var C=A.args,E=A.fn;switch(0|E){case $.paintXObject:if(C[0].code)break;var F=C[0].name;if(!F){b("XObject must be referred to by name.");continue}if(void 0!==n[F]){j.addOp(n[F].fn,n[F].args),C=null;continue}var G=o.get(F);if(G){e(D(G),"XObject should be a stream");var H=G.dict.get("Subtype");if(e(z(H),"XObject should have a Name subtype"),"Form"===H.name)return q.save(),l.buildFormXObject(h,G,null,j,g,q.state.clone()).then(function(){q.restore(),t(d,f)},f);if("Image"===H.name){l.buildPaintImageXObject(h,G,!1,j,F,n),C=null;continue}if("PS"===H.name){a("Ignored XObject subtype PS");continue}c("Unhandled XObject subtype "+H.name)}break;case $.setFont:var I=C[1];return l.handleSetFont(h,C,null,j,g,q.state).then(function(a){j.addDependency(a),j.addOp($.setFont,[a,I]),t(d,f)},f);case $.endInlineImage:var J=C[0].cacheKey;if(J){var K=n[J];if(void 0!==K){j.addOp(K.fn,K.args),C=null;continue}}l.buildPaintImageXObject(h,C[0],!0,j,J,n),C=null;continue;case $.showText:C[0]=l.handleText(C[0],q.state);break;case $.showSpacedText:var L=C[0],M=[],N=L.length,O=q.state;for(u=0;N>u;++u){var P=L[u];y(P)?Array.prototype.push.apply(M,l.handleText(P,O)):x(P)&&M.push(P)}C[0]=M,E=$.showText;break;case $.nextLineShowText:j.addOp($.nextLine),C[0]=l.handleText(C[0],q.state),E=$.showText;break;case $.nextLineSetSpacingShowText:j.addOp($.nextLine),j.addOp($.setWordSpacing,[C.shift()]),j.addOp($.setCharSpacing,[C.shift()]),C[0]=l.handleText(C[0],q.state),E=$.showText;break;case $.setTextRenderingMode:q.state.textRenderingMode=C[0];break;case $.setFillColorSpace:q.state.fillColorSpace=Xa.parse(C[0],m,h);continue;case $.setStrokeColorSpace:q.state.strokeColorSpace=Xa.parse(C[0],m,h);continue;case $.setFillColor:w=q.state.fillColorSpace,C=w.getRgb(C,0),E=$.setFillRGBColor;break;case $.setStrokeColor:w=q.state.strokeColorSpace,C=w.getRgb(C,0),E=$.setStrokeRGBColor;break;case $.setFillGray:q.state.fillColorSpace=Xa.singletons.gray,C=Xa.singletons.gray.getRgb(C,0),E=$.setFillRGBColor;break;case $.setStrokeGray:q.state.strokeColorSpace=Xa.singletons.gray,C=Xa.singletons.gray.getRgb(C,0),E=$.setStrokeRGBColor;break;case $.setFillCMYKColor:q.state.fillColorSpace=Xa.singletons.cmyk,C=Xa.singletons.cmyk.getRgb(C,0),E=$.setFillRGBColor;break;case $.setStrokeCMYKColor:q.state.strokeColorSpace=Xa.singletons.cmyk,C=Xa.singletons.cmyk.getRgb(C,0),E=$.setStrokeRGBColor;break;case $.setFillRGBColor:q.state.fillColorSpace=Xa.singletons.rgb,C=Xa.singletons.rgb.getRgb(C,0);break;case $.setStrokeRGBColor:q.state.strokeColorSpace=Xa.singletons.rgb,C=Xa.singletons.rgb.getRgb(C,0);break;case $.setFillColorN:if(w=q.state.fillColorSpace,"Pattern"===w.name)return l.handleColorN(j,$.setFillColorN,C,w,p,h,g,m).then(function(){t(d,f)},f);C=w.getRgb(C,0),E=$.setFillRGBColor;break;case $.setStrokeColorN:if(w=q.state.strokeColorSpace,"Pattern"===w.name)return l.handleColorN(j,$.setStrokeColorN,C,w,p,h,g,m).then(function(){t(d,f)},f);C=w.getRgb(C,0),E=$.setStrokeRGBColor;break;case $.shadingFill:var Q=h.get("Shading");Q||c("No shading resource found");var R=Q.get(C[0].name);R||c("No shading object found");var S=tb.parseShading(R,null,m,h),T=S.getIR();C=[T],E=$.shadingFill;break;case $.setGState:var U=C[0],V=h.get("ExtGState");if(!B(V)||!V.has(U.name))break;var W=V.get(U.name);return l.setGState(h,W,j,g,m,q).then(function(){t(d,f)},f);case $.moveTo:case $.lineTo:case $.curveTo:case $.curveTo2:case $.curveTo3:case $.closePath:l.buildPath(j,E,C);continue;case $.rectangle:l.buildPath(j,E,C);continue;case $.markPoint:case $.markPointProps:case $.beginMarkedContent:case $.beginMarkedContentProps:case $.endMarkedContent:case $.beginCompat:case $.endCompat:continue}j.addOp(E,C)}if(k)return void i.then(function(){t(d,f)},f);for(u=0,v=r.savedStatesDepth;v>u;u++)j.addOp($.restore,[]);d()})},getTextContent:function(a,b,c,d){function g(){var a=r.font;return a.loadedName in l.styles||(l.styles[a.loadedName]={fontFamily:a.fallbackName,ascent:a.ascent,descent:a.descent,vertical:a.vertical}),{str:[],dir:null,width:0,height:0,transform:null,fontName:a.loadedName}}function h(a){var b=a.str.join(""),c=PDFJS.bidi(b,-1,r.font.vertical);return a.str=c.str,a.dir=c.dir,a}function j(a,b){return p.loadFont(a,b,q,c).then(function(a){r.font=a.font,r.fontMatrix=a.font.fontMatrix||T})}function k(a,b){var c=r.font;if(b=b||g(),!b.transform){var d=[r.fontSize*r.textHScale,0,0,r.fontSize,0,r.textRise];if(c.isType3Font&&r.fontMatrix!==T&&1===r.fontSize){var e=c.bbox[3]-c.bbox[1];e>0&&(e*=r.fontMatrix[3],d[3]*=e)}var f=b.transform=na.transform(r.ctm,na.transform(r.textMatrix,d));c.vertical?b.width=Math.sqrt(f[0]*f[0]+f[1]*f[1]):b.height=Math.sqrt(f[2]*f[2]+f[3]*f[3])}for(var h=0,i=0,j=c.charsToGlyphs(a),k=c.defaultVMetrics,l=0;l0&&(s=r.charSpacing);var t=0,u=0;if(c.vertical){var v=p*r.fontMatrix[0];u=v*r.fontSize+s,i+=u}else{var w=p*r.fontMatrix[0];t=(w*r.fontSize+s)*r.textHScale,h+=t}r.translateTextMatrix(t,u),b.str.push(q)}else h+=r.wordSpacing*r.textHScale}var x=r.textLineMatrix[0],y=r.textLineMatrix[1],z=Math.sqrt(x*x+y*y);x=r.ctm[0],y=r.ctm[1];var A=Math.sqrt(x*x+y*y);return c.vertical?b.height+=Math.abs(i*A*z):b.width+=h*A*z,b}d=d||new yb(new zb);var l={items:[],styles:Object.create(null)},m=l.items,n=.3,o=1.5,p=this,q=this.xref;c=q.fetchIfRef(c)||Aa.empty;var r,s=null,t={},u=new Bb(a,q,d),v=new f;return new Promise(function w(a,f){b.ensureNotTerminated(),v.reset();for(var q,x={},y=[];!(q=v.check())&&(y.length=0,x.args=y,u.read(x));){r=d.state;var A=x.fn;switch(y=x.args,0|A){case $.setFont:return r.fontSize=y[1],j(y[0].name).then(function(){w(a,f)},f);case $.setTextRise:r.textRise=y[0];break;case $.setHScale:r.textHScale=y[0]/100;break;case $.setLeading:r.leading=y[0];break;case $.moveText:r.translateTextLineMatrix(y[0],y[1]),r.textMatrix=r.textLineMatrix.slice();break;case $.setLeadingMoveText:r.leading=-y[1],r.translateTextLineMatrix(y[0],y[1]),r.textMatrix=r.textLineMatrix.slice();break;case $.nextLine:r.carriageReturn();break;case $.setTextMatrix:r.setTextMatrix(y[0],y[1],y[2],y[3],y[4],y[5]),r.setTextLineMatrix(y[0],y[1],y[2],y[3],y[4],y[5]);break;case $.setCharSpacing:r.charSpacing=y[0];break;case $.setWordSpacing:r.wordSpacing=y[0];break;case $.beginText:r.textMatrix=ma.slice(),r.textLineMatrix=ma.slice();break;case $.showSpacedText:for(var E,F=y[0],G=g(),H=0,I=F.length;I>H;H++)if("string"==typeof F[H])k(F[H],G);else{var J=F[H]*r.fontSize/1e3;if(r.font.vertical?(E=J*r.textMatrix[3],r.translateTextMatrix(0,E),G.height+=E):(E=J*r.textHScale*r.textMatrix[0],r.translateTextMatrix(E,0),G.width-=E),F[H]<0&&r.font.spaceWidth>0){var K=-F[H]/r.font.spaceWidth;if(K>o)for(K=Math.round(K);K--;)G.str.push(" ");else K>n&&G.str.push(" ")}}m.push(h(G));break;case $.showText:m.push(h(k(y[0])));break;case $.nextLineShowText:r.carriageReturn(),m.push(h(k(y[0])));break;case $.nextLineSetSpacingShowText:r.wordSpacing=y[0],r.charSpacing=y[1],r.carriageReturn(),m.push(h(k(y[2])));break;case $.paintXObject:if(y[0].code)break;s||(s=c.get("XObject")||Aa.empty);var L=y[0].name;if(t.key===L){t.texts&&(na.appendToArray(m,t.texts.items),na.extendObj(l.styles,t.texts.styles));break}var M=s.get(L);if(!M)break;e(D(M),"XObject should be a stream");var N=M.dict.get("Subtype");if(e(z(N),"XObject should have a Name subtype"),"Form"!==N.name){t.key=L,t.texts=null;break}d.save();var O=M.dict.get("Matrix");return C(O)&&6===O.length&&d.transform(O),p.getTextContent(M,b,M.dict.get("Resources")||c,d).then(function(b){na.appendToArray(m,b.items),na.extendObj(l.styles,b.styles),d.restore(),t.key=L,t.texts=b,w(a,f)},f);case $.setGState:var P=y[0],Q=c.get("ExtGState");if(!B(Q)||!Q.has(P.name))break;var R=Q.get(P.name),S=null;for(var T in R)"Font"===T&&(e(!S),S=R[T]);if(S)return r.fontSize=S[1],j(S[0]).then(function(){w(a,f)},f)}}return q?void i.then(function(){w(a,f)},f):void a(l)})},extractDataStructures:function(a,b,d,e){var f=a.get("ToUnicode")||b.get("ToUnicode");if(f&&(e.toUnicode=this.readToUnicode(f)),e.composite){var g=a.get("CIDSystemInfo");B(g)&&(e.cidSystemInfo={registry:g.get("Registry"),ordering:g.get("Ordering"),supplement:g.get("Supplement")});var h=a.get("CIDToGIDMap");D(h)&&(e.cidToGidMap=this.readCidToGidMap(h))}var i,j=[],k=null;if(a.has("Encoding")){if(i=a.get("Encoding"),B(i)){if(k=i.get("BaseEncoding"),k=z(k)?k.name:null,i.has("Differences"))for(var l=i.get("Differences"),m=0,n=0,o=l.length;o>n;n++){var p=l[n];if(x(p))m=p;else if(z(p))j[m++]=p.name;else{if(F(p)){l[n--]=d.fetch(p);continue}c("Invalid entry in 'Differences' array: "+p)}}}else z(i)?k=i.name:c("Encoding is not a Name nor a Dict");"MacRomanEncoding"!==k&&"MacExpertEncoding"!==k&&"WinAnsiEncoding"!==k&&(k=null)}k?e.defaultEncoding=Pb[k].slice():(i="TrueType"===e.type?Pb.WinAnsiEncoding:Pb.StandardEncoding, -e.flags&Ob.Symbolic&&(i=Pb.MacRomanEncoding,e.file||(/Symbol/i.test(e.name)?i=Pb.SymbolSetEncoding:/Dingbats/i.test(e.name)&&(i=Pb.ZapfDingbatsEncoding))),e.defaultEncoding=i),e.differences=j,e.baseEncodingName=k,e.dict=a},readToUnicode:function(a){var b,c=a;if(z(c))return b=Hb.create(c,{url:PDFJS.cMapUrl,packed:PDFJS.cMapPacked},null),b instanceof Fb?new ac(0,65535):new _b(b.getMap());if(D(c)){if(b=Hb.create(c,{url:PDFJS.cMapUrl,packed:PDFJS.cMapPacked},null),b instanceof Fb)return new ac(0,65535);var d=new Array(b.length);return b.forEach(function(a,b){for(var c=[],e=0;ed;d++){var f=b[d++]<<8|b[d];if(0!==f){var g=d>>1;c[g]=f}}return c},extractWidths:function(a,b,c,d){var e,f,g,h,i,j,k,l,m=[],n=0,o=[];if(d.composite){if(n=a.get("DW")||1e3,l=a.get("W"))for(f=0,g=l.length;g>f;f++)if(j=l[f++],k=b.fetchIfRef(l[f]),C(k))for(h=0,i=k.length;i>h;h++)m[j++]=k[h];else{var p=l[++f];for(h=j;k>=h;h++)m[h]=p}if(d.vertical){var q=a.get("DW2")||[880,-1e3];if(e=[q[1],.5*n,q[0]],q=a.get("W2"))for(f=0,g=q.length;g>f;f++)if(j=q[f++],k=b.fetchIfRef(q[f]),C(k))for(h=0,i=k.length;i>h;h++)o[j++]=[k[h++],k[h++],k[h]];else{var r=[q[++f],q[++f],q[++f]];for(h=j;k>=h;h++)o[h]=r}}}else{var s=d.firstChar;if(l=a.get("Widths")){for(h=s,f=0,g=l.length;g>f;f++)m[h++]=l[f];n=parseFloat(c.get("MissingWidth"))||0}else{var t=a.get("BaseFont");if(z(t)){var u=this.getBaseFontMetrics(t.name);m=this.buildCharCodeToWidth(u.widths,d),n=u.defaultWidth}}}var v=!0,w=n;for(var x in m){var y=m[x];if(y)if(w){if(w!==y){v=!1;break}}else w=y}v&&(d.flags|=Ob.FixedPitch),d.defaultWidth=n,d.widths=m,d.defaultVMetrics=e,d.vmetrics=o},isSerifFont:function(a){var b=a.split("-")[0];return b in Sb||-1!==b.search(/serif/gi)},getBaseFontMetrics:function(a){var b=0,c=[],d=!1,e=Qb[a]||a;e in Cc||(e=this.isSerifFont(a)?"Times-Roman":"Helvetica");var f=Cc[e];return x(f)?(b=f,d=!0):c=f,{defaultWidth:b,monospace:d,widths:c}},buildCharCodeToWidth:function(a,b){for(var c=Object.create(null),d=b.differences,e=b.defaultEncoding,f=0;256>f;f++)f in d&&a[d[f]]?c[f]=a[d[f]]:f in e&&a[e[f]]&&(c[f]=a[e[f]]);return c},preEvaluateFont:function(a,b){var d=a,f=a.get("Subtype");e(z(f),"invalid font Subtype");var g,h=!1;if("Type0"===f.name){var i=a.get("DescendantFonts");i||c("Descendant fonts are not specified"),a=C(i)?b.fetchIfRef(i[0]):i,f=a.get("Subtype"),e(z(f),"invalid font Subtype"),h=!0}var j=a.get("FontDescriptor");if(j){var k=new jd,l=d.getRaw("Encoding");if(z(l))k.update(l.name);else if(F(l))k.update(l.num+"_"+l.gen);else if(B(l))for(var m=l.getKeys(),n=0,o=m.length;o>n;n++){var p=l.getRaw(m[n]);z(p)?k.update(p.name):F(p)?k.update(p.num+"_"+p.gen):C(p)&&k.update(p.length.toString())}var q=a.get("ToUnicode")||d.get("ToUnicode");if(D(q)){var r=q.str||q;g=r.buffer?new Uint8Array(r.buffer.buffer,0,r.bufferLength):new Uint8Array(r.bytes.buffer,r.start,r.end-r.start),k.update(g)}else z(q)&&k.update(q.name);var s=a.get("Widths")||d.get("Widths");s&&(g=new Uint8Array(new Uint32Array(s).buffer),k.update(g))}return{descriptor:j,dict:a,baseDict:d,composite:h,type:f.name,hash:k?k.hexdigest():""}},translateFont:function(b,d){var f,g=b.baseDict,h=b.dict,i=b.composite,j=b.descriptor,k=b.type,l=i?65535:255;if(!j){if("Type3"!==k){var m=h.get("BaseFont");z(m)||c("Base font is not specified"),m=m.name.replace(/[,_]/g,"-");var n=this.getBaseFontMetrics(m),o=m.split("-")[0],p=(this.isSerifFont(o)?Ob.Serif:0)|(n.monospace?Ob.FixedPitch:0)|(Tb[o]?Ob.Symbolic:Ob.Nonsymbolic);return f={type:k,name:m,widths:n.widths,defaultWidth:n.defaultWidth,flags:p,firstChar:0,lastChar:l},this.extractDataStructures(h,h,d,f),f.widths=this.buildCharCodeToWidth(n.widths,f),new dc(m,null,f)}j=new Aa(null),j.set("FontName",ya.get(k)),j.set("FontBBox",h.get("FontBBox"))}var q=h.get("FirstChar")||0,r=h.get("LastChar")||l,s=j.get("FontName"),t=h.get("BaseFont");if(y(s)&&(s=ya.get(s)),y(t)&&(t=ya.get(t)),"Type3"!==k){var u=s&&s.name,v=t&&t.name;u!==v&&(a("The FontDescriptor's FontName is \""+u+'" but should be the same as the Font\'s BaseFont "'+v+'"'),u&&v&&0===v.indexOf(u)&&(s=t))}s=s||t,e(z(s),"invalid font name");var w=j.get("FontFile","FontFile2","FontFile3");if(w&&w.dict){var x=w.dict.get("Subtype");x&&(x=x.name);var A=w.dict.get("Length1"),B=w.dict.get("Length2")}if(f={type:k,name:s.name,subtype:x,file:w,length1:A,length2:B,loadedName:g.loadedName,composite:i,wideChars:i,fixedPitch:!1,fontMatrix:h.get("FontMatrix")||T,firstChar:q||0,lastChar:r||l,bbox:j.get("FontBBox"),ascent:j.get("Ascent"),descent:j.get("Descent"),xHeight:j.get("XHeight"),capHeight:j.get("CapHeight"),flags:j.get("Flags"),italicAngle:j.get("ItalicAngle"),coded:!1},i){var C=g.get("Encoding");z(C)&&(f.cidEncoding=C.name),f.cMap=Hb.create(C,{url:PDFJS.cMapUrl,packed:PDFJS.cMapPacked},null),f.vertical=f.cMap.vertical}return this.extractDataStructures(h,g,d,f),this.extractWidths(h,d,j,f),"Type3"===k&&(f.isType3Font=!0),new dc(s.name,w,f)}},d}(),wb=function(){function a(a,b,c){this.loadedName=a,this.font=b,this.dict=c,this.type3Loaded=null,this.sent=!1}return a.prototype={send:function(a){if(!this.sent){var b=this.font.exportData();a.send("commonobj",[this.loadedName,"Font",b]),this.sent=!0}},loadType3Data:function(a,c,d,f){if(e(this.font.isType3Font),this.type3Loaded)return this.type3Loaded;for(var g=this.font,h=Promise.resolve(),i=this.dict.get("CharProcs").getAll(),j=this.dict.get("Resources")||c,k=Object.keys(i),l={},m=0,n=k.length;n>m;++m)h=h.then(function(c){var e=i[c],g=new xb;return a.getOperatorList(e,f,j,g).then(function(){l[c]=g.getIR(),d.addDependencies(g.dependencies)},function(a){b('Type3 font resource "'+c+'" is not available');var d=new xb;l[c]=d.getIR()})}.bind(this,k[m]));return this.type3Loaded=h.then(function(){g.charProcOperatorList=l}),this.type3Loaded}},a}(),xb=function(){function a(a){for(var b=[],c=a.fnArray,d=a.argsArray,e=0,f=a.length;f>e;e++)switch(c[e]){case $.paintInlineImageXObject:case $.paintInlineImageXObjectGroup:case $.paintImageMaskXObject:var g=d[e][0];g.cached||b.push(g.data.buffer)}return b}function b(a,b,c){this.messageHandler=b,this.fnArray=[],this.argsArray=[],this.dependencies={},this.pageIndex=c,this.intent=a}var c=1e3,d=c-5;return b.prototype={get length(){return this.argsArray.length},addOp:function(a,b){this.fnArray.push(a),this.argsArray.push(b),this.messageHandler&&(this.fnArray.length>=c?this.flush():this.fnArray.length>=d&&(a===$.restore||a===$.endText)&&this.flush())},addDependency:function(a){a in this.dependencies||(this.dependencies[a]=!0,this.addOp($.dependency,[a]))},addDependencies:function(a){for(var b in a)this.addDependency(b)},addOpList:function(a){na.extendObj(this.dependencies,a.dependencies);for(var b=0,c=a.length;c>b;b++)this.addOp(a.fnArray[b],a.argsArray[b])},getIR:function(){return{fnArray:this.fnArray,argsArray:this.argsArray,length:this.length}},flush:function(b){"oplist"!==this.intent&&(new Cb).optimize(this);var c=a(this);this.messageHandler.send("RenderPageChunk",{operatorList:{fnArray:this.fnArray,argsArray:this.argsArray,lastChunk:b,length:this.length},pageIndex:this.pageIndex,intent:this.intent},c),this.dependencies={},this.fnArray.length=0,this.argsArray.length=0}},b}(),yb=function(){function a(a){this.state=a,this.stateStack=[]}return a.prototype={save:function(){var a=this.state;this.stateStack.push(this.state),this.state=a.clone()},restore:function(){var a=this.stateStack.pop();a&&(this.state=a)},transform:function(a){this.state.ctm=na.transform(this.state.ctm,a)}},a}(),zb=function(){function a(){this.ctm=new Float32Array(ma),this.fontSize=0,this.font=null,this.fontMatrix=T,this.textMatrix=ma.slice(),this.textLineMatrix=ma.slice(),this.charSpacing=0,this.wordSpacing=0,this.leading=0,this.textHScale=1,this.textRise=0}return a.prototype={setTextMatrix:function(a,b,c,d,e,f){var g=this.textMatrix;g[0]=a,g[1]=b,g[2]=c,g[3]=d,g[4]=e,g[5]=f},setTextLineMatrix:function(a,b,c,d,e,f){var g=this.textLineMatrix;g[0]=a,g[1]=b,g[2]=c,g[3]=d,g[4]=e,g[5]=f},translateTextMatrix:function(a,b){var c=this.textMatrix;c[4]=c[0]*a+c[2]*b+c[4],c[5]=c[1]*a+c[3]*b+c[5]},translateTextLineMatrix:function(a,b){var c=this.textLineMatrix;c[4]=c[0]*a+c[2]*b+c[4],c[5]=c[1]*a+c[3]*b+c[5]},calcRenderMatrix:function(a){var b=[this.fontSize*this.textHScale,0,0,this.fontSize,0,this.textRise];return na.transform(a,na.transform(this.textMatrix,b))},carriageReturn:function(){this.translateTextLineMatrix(0,-this.leading),this.textMatrix=this.textLineMatrix.slice()},clone:function(){var a=Object.create(this);return a.textMatrix=this.textMatrix.slice(),a.textLineMatrix=this.textLineMatrix.slice(),a.fontMatrix=this.fontMatrix.slice(),a}},a}(),Ab=function(){function a(){this.ctm=new Float32Array(ma),this.font=null,this.textRenderingMode=U.FILL,this.fillColorSpace=Xa.singletons.gray,this.strokeColorSpace=Xa.singletons.gray}return a.prototype={clone:function(){return Object.create(this)}},a}(),Bb=function(){function c(a,b,c){this.parser=new Fc(new Gc(a,d),!1,b),this.stateManager=c,this.nonProcessedArgs=[]}var d={w:{id:$.setLineWidth,numArgs:1,variableArgs:!1},J:{id:$.setLineCap,numArgs:1,variableArgs:!1},j:{id:$.setLineJoin,numArgs:1,variableArgs:!1},M:{id:$.setMiterLimit,numArgs:1,variableArgs:!1},d:{id:$.setDash,numArgs:2,variableArgs:!1},ri:{id:$.setRenderingIntent,numArgs:1,variableArgs:!1},i:{id:$.setFlatness,numArgs:1,variableArgs:!1},gs:{id:$.setGState,numArgs:1,variableArgs:!1},q:{id:$.save,numArgs:0,variableArgs:!1},Q:{id:$.restore,numArgs:0,variableArgs:!1},cm:{id:$.transform,numArgs:6,variableArgs:!1},m:{id:$.moveTo,numArgs:2,variableArgs:!1},l:{id:$.lineTo,numArgs:2,variableArgs:!1},c:{id:$.curveTo,numArgs:6,variableArgs:!1},v:{id:$.curveTo2,numArgs:4,variableArgs:!1},y:{id:$.curveTo3,numArgs:4,variableArgs:!1},h:{id:$.closePath,numArgs:0,variableArgs:!1},re:{id:$.rectangle,numArgs:4,variableArgs:!1},S:{id:$.stroke,numArgs:0,variableArgs:!1},s:{id:$.closeStroke,numArgs:0,variableArgs:!1},f:{id:$.fill,numArgs:0,variableArgs:!1},F:{id:$.fill,numArgs:0,variableArgs:!1},"f*":{id:$.eoFill,numArgs:0,variableArgs:!1},B:{id:$.fillStroke,numArgs:0,variableArgs:!1},"B*":{id:$.eoFillStroke,numArgs:0,variableArgs:!1},b:{id:$.closeFillStroke,numArgs:0,variableArgs:!1},"b*":{id:$.closeEOFillStroke,numArgs:0,variableArgs:!1},n:{id:$.endPath,numArgs:0,variableArgs:!1},W:{id:$.clip,numArgs:0,variableArgs:!1},"W*":{id:$.eoClip,numArgs:0,variableArgs:!1},BT:{id:$.beginText,numArgs:0,variableArgs:!1},ET:{id:$.endText,numArgs:0,variableArgs:!1},Tc:{id:$.setCharSpacing,numArgs:1,variableArgs:!1},Tw:{id:$.setWordSpacing,numArgs:1,variableArgs:!1},Tz:{id:$.setHScale,numArgs:1,variableArgs:!1},TL:{id:$.setLeading,numArgs:1,variableArgs:!1},Tf:{id:$.setFont,numArgs:2,variableArgs:!1},Tr:{id:$.setTextRenderingMode,numArgs:1,variableArgs:!1},Ts:{id:$.setTextRise,numArgs:1,variableArgs:!1},Td:{id:$.moveText,numArgs:2,variableArgs:!1},TD:{id:$.setLeadingMoveText,numArgs:2,variableArgs:!1},Tm:{id:$.setTextMatrix,numArgs:6,variableArgs:!1},"T*":{id:$.nextLine,numArgs:0,variableArgs:!1},Tj:{id:$.showText,numArgs:1,variableArgs:!1},TJ:{id:$.showSpacedText,numArgs:1,variableArgs:!1},"'":{id:$.nextLineShowText,numArgs:1,variableArgs:!1},'"':{id:$.nextLineSetSpacingShowText,numArgs:3,variableArgs:!1},d0:{id:$.setCharWidth,numArgs:2,variableArgs:!1},d1:{id:$.setCharWidthAndBounds,numArgs:6,variableArgs:!1},CS:{id:$.setStrokeColorSpace,numArgs:1,variableArgs:!1},cs:{id:$.setFillColorSpace,numArgs:1,variableArgs:!1},SC:{id:$.setStrokeColor,numArgs:4,variableArgs:!0},SCN:{id:$.setStrokeColorN,numArgs:33,variableArgs:!0},sc:{id:$.setFillColor,numArgs:4,variableArgs:!0},scn:{id:$.setFillColorN,numArgs:33,variableArgs:!0},G:{id:$.setStrokeGray,numArgs:1,variableArgs:!1},g:{id:$.setFillGray,numArgs:1,variableArgs:!1},RG:{id:$.setStrokeRGBColor,numArgs:3,variableArgs:!1},rg:{id:$.setFillRGBColor,numArgs:3,variableArgs:!1},K:{id:$.setStrokeCMYKColor,numArgs:4,variableArgs:!1},k:{id:$.setFillCMYKColor,numArgs:4,variableArgs:!1},sh:{id:$.shadingFill,numArgs:1,variableArgs:!1},BI:{id:$.beginInlineImage,numArgs:0,variableArgs:!1},ID:{id:$.beginImageData,numArgs:0,variableArgs:!1},EI:{id:$.endInlineImage,numArgs:1,variableArgs:!1},Do:{id:$.paintXObject,numArgs:1,variableArgs:!1},MP:{id:$.markPoint,numArgs:1,variableArgs:!1},DP:{id:$.markPointProps,numArgs:2,variableArgs:!1},BMC:{id:$.beginMarkedContent,numArgs:1,variableArgs:!1},BDC:{id:$.beginMarkedContentProps,numArgs:2,variableArgs:!1},EMC:{id:$.endMarkedContent,numArgs:0,variableArgs:!1},BX:{id:$.beginCompat,numArgs:0,variableArgs:!1},EX:{id:$.endCompat,numArgs:0,variableArgs:!1},BM:null,BD:null,"true":null,fa:null,fal:null,fals:null,"false":null,nu:null,nul:null,"null":null};return c.prototype={get savedStatesDepth(){return this.stateManager.stateStack.length},read:function(c){for(var f=c.args;;){var g=this.parser.getObj();if(A(g)){var h=g.cmd,i=d[h];if(!i){b('Unknown command "'+h+'"');continue}var j=i.id,k=i.numArgs,l=null!==f?f.length:0;if(i.variableArgs)l>k&&a("Command "+j+": expected [0,"+k+"] args, but received "+l+" args");else{if(l!==k){for(var m=this.nonProcessedArgs;l>k;)m.push(f.shift()),l--;for(;k>l&&0!==m.length;)f||(f=[]),f.unshift(m.pop()),l++}if(k>l){a("Command "+j+": because expected "+k+" args, but received "+l+" args; skipping"),f=null;continue}}return this.preprocessCommand(j,f),c.fn=j,c.args=f,!0}if(R(g))return!1;null!==g&&(f||(f=[]),f.push(g instanceof Aa?g.getAll():g),e(f.length<=33,"Too many arguments"))}},preprocessCommand:function(a,b){switch(0|a){case $.save:this.stateManager.save();break;case $.restore:this.stateManager.restore();break;case $.transform:this.stateManager.transform(b)}}},c}(),Cb=function(){function a(a,b,c){for(var d=a,e=0,f=b.length-1;f>e;e++){var g=b[e];d=d[g]||(d[g]=[])}d[b[b.length-1]]=c}function b(a,b,c,d){for(var e=a+2,f=0;b>f;f++){var g=d[e+4*f],h=1===g.length&&g[0];if(!h||1!==h.width||1!==h.height||h.data.length&&(1!==h.data.length||0!==h.data[0]))break;c[e+4*f]=$.paintSolidColorImageMask}return b-f}function c(){}var d=[];return a(d,[$.save,$.transform,$.paintInlineImageXObject,$.restore],function(a){for(var b=10,c=200,d=1e3,e=1,f=a.fnArray,g=a.argsArray,h=a.iCurr,i=h-3,j=h-2,k=h-1,l=i+4,m=f.length;m>l+3&&f[l]===$.save&&f[l+1]===$.transform&&f[l+2]===$.paintInlineImageXObject&&f[l+3]===$.restore;)l+=4;var n=Math.min((l-i)/4,c);if(b>n)return l;var o,p=0,q=[],r=0,s=e,t=e;for(o=0;n>o;o++){var u=g[j+(o<<2)],v=g[k+(o<<2)][0];s+v.width>d&&(p=Math.max(p,s),t+=r+2*e,s=0,r=0),q.push({transform:u,x:s,y:t,w:v.width,h:v.height}),s+=v.width+2*e,r=Math.max(r,v.height)}var w=Math.max(p,s)+e,x=t+r+e,y=new Uint8Array(w*x*4),z=w<<2;for(o=0;n>o;o++){var A=g[k+(o<<2)][0].data,B=q[o].w<<2,C=0,D=q[o].x+q[o].y*w<<2;y.set(A.subarray(0,B),D-z);for(var E=0,F=q[o].h;F>E;E++)y.set(A.subarray(C,C+B),D),C+=B,D+=z;for(y.set(A.subarray(C-B,C),D);D>=0;)A[D-4]=A[D],A[D-3]=A[D+1],A[D-2]=A[D+2],A[D-1]=A[D+3],A[D+B]=A[D+B-4],A[D+B+1]=A[D+B-3],A[D+B+2]=A[D+B-2],A[D+B+3]=A[D+B-1],D-=z}return f.splice(i,4*n,$.paintInlineImageXObjectGroup),g.splice(i,4*n,[{width:w,height:x,kind:V.RGBA_32BPP,data:y},q]),i+1}),a(d,[$.save,$.transform,$.paintImageMaskXObject,$.restore],function(a){for(var c=10,d=100,e=1e3,f=a.fnArray,g=a.argsArray,h=a.iCurr,i=h-3,j=h-2,k=h-1,l=i+4,m=f.length;m>l+3&&f[l]===$.save&&f[l+1]===$.transform&&f[l+2]===$.paintImageMaskXObject&&f[l+3]===$.restore;)l+=4;var n=(l-i)/4;if(n=b(i,n,f,g),c>n)return l;var o,p,q,r=!1,s=g[k][0];if(0===g[j][1]&&0===g[j][2]){r=!0;var t=g[j][0],u=g[j][3];p=j+4;var v=k+4;for(o=1;n>o;o++,p+=4,v+=4)if(q=g[p],g[v][0]!==s||q[0]!==t||0!==q[1]||0!==q[2]||q[3]!==u){c>o?r=!1:n=o;break}}if(r){n=Math.min(n,e);var w=new Float32Array(2*n);for(p=j,o=0;n>o;o++,p+=4)q=g[p],w[o<<1]=q[4],w[(o<<1)+1]=q[5];f.splice(i,4*n,$.paintImageMaskXObjectRepeat),g.splice(i,4*n,[s,t,u,w])}else{n=Math.min(n,d);var x=[];for(o=0;n>o;o++){q=g[j+(o<<2)];var y=g[k+(o<<2)][0];x.push({data:y.data,width:y.width,height:y.height,transform:q})}f.splice(i,4*n,$.paintImageMaskXObjectGroup),g.splice(i,4*n,[x])}return i+1}),a(d,[$.save,$.transform,$.paintImageXObject,$.restore],function(a){var b=3,c=1e3,d=a.fnArray,e=a.argsArray,f=a.iCurr,g=f-3,h=f-2,i=f-1,j=f;if(0!==e[h][1]||0!==e[h][2])return j+1;for(var k=e[i][0],l=e[h][0],m=e[h][3],n=g+4,o=d.length;o>n+3&&d[n]===$.save&&d[n+1]===$.transform&&d[n+2]===$.paintImageXObject&&d[n+3]===$.restore&&e[n+1][0]===l&&0===e[n+1][1]&&0===e[n+1][2]&&e[n+1][3]===m&&e[n+2][0]===k;)n+=4;var p=Math.min((n-g)/4,c);if(b>p)return n;for(var q=new Float32Array(2*p),r=h,s=0;p>s;s++,r+=4){var t=e[r];q[s<<1]=t[4],q[(s<<1)+1]=t[5]}var u=[k,l,m,q];return d.splice(g,4*p,$.paintImageXObjectRepeat),e.splice(g,4*p,u),g+1}),a(d,[$.beginText,$.setFont,$.setTextMatrix,$.showText,$.endText],function(a){for(var b=3,c=1e3,d=a.fnArray,e=a.argsArray,f=a.iCurr,g=f-4,h=f-3,i=f-2,j=f-1,k=f,l=e[h][0],m=e[h][1],n=g+5,o=d.length;o>n+4&&d[n]===$.beginText&&d[n+1]===$.setFont&&d[n+2]===$.setTextMatrix&&d[n+3]===$.showText&&d[n+4]===$.endText&&e[n+1][0]===l&&e[n+1][1]===m;)n+=5;var p=Math.min((n-g)/5,c);if(b>p)return n;var q=g;g>=4&&d[g-4]===d[h]&&d[g-3]===d[i]&&d[g-2]===d[j]&&d[g-1]===d[k]&&e[g-4][0]===l&&e[g-4][1]===m&&(p++,q-=5);for(var r=q+4,s=1;p>s;s++)d.splice(r,3),e.splice(r,3),r+=2;return r+1}),c.prototype={optimize:function(a){for(var b,c=a.fnArray,e=a.argsArray,f={iCurr:0,fnArray:c,argsArray:e},g=0,h=c.length;h>g;)b=(b||d)[c[g]],"function"==typeof b?(f.iCurr=g,g=b(f),b=void 0,h=f.fnArray.length):g++}},c}(),Db=["Adobe-GB1-UCS2","Adobe-CNS1-UCS2","Adobe-Japan1-UCS2","Adobe-Korea1-UCS2","78-EUC-H","78-EUC-V","78-H","78-RKSJ-H","78-RKSJ-V","78-V","78ms-RKSJ-H","78ms-RKSJ-V","83pv-RKSJ-H","90ms-RKSJ-H","90ms-RKSJ-V","90msp-RKSJ-H","90msp-RKSJ-V","90pv-RKSJ-H","90pv-RKSJ-V","Add-H","Add-RKSJ-H","Add-RKSJ-V","Add-V","Adobe-CNS1-0","Adobe-CNS1-1","Adobe-CNS1-2","Adobe-CNS1-3","Adobe-CNS1-4","Adobe-CNS1-5","Adobe-CNS1-6","Adobe-GB1-0","Adobe-GB1-1","Adobe-GB1-2","Adobe-GB1-3","Adobe-GB1-4","Adobe-GB1-5","Adobe-Japan1-0","Adobe-Japan1-1","Adobe-Japan1-2","Adobe-Japan1-3","Adobe-Japan1-4","Adobe-Japan1-5","Adobe-Japan1-6","Adobe-Korea1-0","Adobe-Korea1-1","Adobe-Korea1-2","B5-H","B5-V","B5pc-H","B5pc-V","CNS-EUC-H","CNS-EUC-V","CNS1-H","CNS1-V","CNS2-H","CNS2-V","ETHK-B5-H","ETHK-B5-V","ETen-B5-H","ETen-B5-V","ETenms-B5-H","ETenms-B5-V","EUC-H","EUC-V","Ext-H","Ext-RKSJ-H","Ext-RKSJ-V","Ext-V","GB-EUC-H","GB-EUC-V","GB-H","GB-V","GBK-EUC-H","GBK-EUC-V","GBK2K-H","GBK2K-V","GBKp-EUC-H","GBKp-EUC-V","GBT-EUC-H","GBT-EUC-V","GBT-H","GBT-V","GBTpc-EUC-H","GBTpc-EUC-V","GBpc-EUC-H","GBpc-EUC-V","H","HKdla-B5-H","HKdla-B5-V","HKdlb-B5-H","HKdlb-B5-V","HKgccs-B5-H","HKgccs-B5-V","HKm314-B5-H","HKm314-B5-V","HKm471-B5-H","HKm471-B5-V","HKscs-B5-H","HKscs-B5-V","Hankaku","Hiragana","KSC-EUC-H","KSC-EUC-V","KSC-H","KSC-Johab-H","KSC-Johab-V","KSC-V","KSCms-UHC-H","KSCms-UHC-HW-H","KSCms-UHC-HW-V","KSCms-UHC-V","KSCpc-EUC-H","KSCpc-EUC-V","Katakana","NWP-H","NWP-V","RKSJ-H","RKSJ-V","Roman","UniCNS-UCS2-H","UniCNS-UCS2-V","UniCNS-UTF16-H","UniCNS-UTF16-V","UniCNS-UTF32-H","UniCNS-UTF32-V","UniCNS-UTF8-H","UniCNS-UTF8-V","UniGB-UCS2-H","UniGB-UCS2-V","UniGB-UTF16-H","UniGB-UTF16-V","UniGB-UTF32-H","UniGB-UTF32-V","UniGB-UTF8-H","UniGB-UTF8-V","UniJIS-UCS2-H","UniJIS-UCS2-HW-H","UniJIS-UCS2-HW-V","UniJIS-UCS2-V","UniJIS-UTF16-H","UniJIS-UTF16-V","UniJIS-UTF32-H","UniJIS-UTF32-V","UniJIS-UTF8-H","UniJIS-UTF8-V","UniJIS2004-UTF16-H","UniJIS2004-UTF16-V","UniJIS2004-UTF32-H","UniJIS2004-UTF32-V","UniJIS2004-UTF8-H","UniJIS2004-UTF8-V","UniJISPro-UCS2-HW-V","UniJISPro-UCS2-V","UniJISPro-UTF8-V","UniJISX0213-UTF32-H","UniJISX0213-UTF32-V","UniJISX02132004-UTF32-H","UniJISX02132004-UTF32-V","UniKS-UCS2-H","UniKS-UCS2-V","UniKS-UTF16-H","UniKS-UTF16-V","UniKS-UTF32-H","UniKS-UTF32-V","UniKS-UTF8-H","UniKS-UTF8-V","V","WP-Symbol"],Eb=function(){function a(a){this.codespaceRanges=[[],[],[],[]],this.numCodespaceRanges=0,this._map=[],this.name="",this.vertical=!1,this.useCMap=null,this.builtInCMap=a}return a.prototype={addCodespaceRange:function(a,b,c){this.codespaceRanges[a-1].push(b,c),this.numCodespaceRanges++},mapCidRange:function(a,b,c){for(;b>=a;)this._map[a++]=c++},mapBfRange:function(a,b,c){for(var d=c.length-1;b>=a;)this._map[a++]=c,c=c.substr(0,d)+String.fromCharCode(c.charCodeAt(d)+1)},mapBfRangeToArray:function(a,b,c){for(var d=0,e=c.length;b>=a&&e>d;)this._map[a]=c[d++],++a},mapOne:function(a,b){this._map[a]=b},lookup:function(a){return this._map[a]},contains:function(a){return void 0!==this._map[a]},forEach:function(a){var b,c=this._map,d=c.length;if(65536>=d)for(b=0;d>b;b++)void 0!==c[b]&&a(b,c[b]);else for(b in this._map)a(b,c[b])},charCodeOf:function(a){return this._map.indexOf(a)},getMap:function(){return this._map},readCharCode:function(a,b,c){for(var d=0,e=this.codespaceRanges,f=this.codespaceRanges.length,g=0;f>g;g++){d=(d<<8|a.charCodeAt(b+g))>>>0;for(var h=e[g],i=0,j=h.length;j>i;){var k=h[i++],l=h[i++];if(d>=k&&l>=d)return c.charcode=d,void(c.length=g+1)}}c.charcode=0,c.length=1},get length(){return this._map.length},get isIdentityCMap(){if("Identity-H"!==this.name&&"Identity-V"!==this.name)return!1;if(65536!==this._map.length)return!1;for(var a=0;65536>a;a++)if(this._map[a]!==a)return!1;return!0}},a}(),Fb=function(){function a(a,b){Eb.call(this),this.vertical=a,this.addCodespaceRange(b,0,65535)}return na.inherit(a,Eb,{}),a.prototype={addCodespaceRange:Eb.prototype.addCodespaceRange,mapCidRange:function(a,b,d){c("should not call mapCidRange")},mapBfRange:function(a,b,d){c("should not call mapBfRange")},mapBfRangeToArray:function(a,b,d){c("should not call mapBfRangeToArray")},mapOne:function(a,b){c("should not call mapCidOne")},lookup:function(a){return w(a)&&65535>=a?a:void 0},contains:function(a){return w(a)&&65535>=a},forEach:function(a){for(var b=0;65535>=b;b++)a(b,b)},charCodeOf:function(a){return w(a)&&65535>=a?a:-1},getMap:function(){for(var a=new Array(65536),b=0;65535>=b;b++)a[b]=b;return a},readCharCode:Eb.prototype.readCharCode,get length(){return 65536},get isIdentityCMap(){c("should not access .isIdentityCMap")}},a}(),Gb=function(){function a(a){var b=PDFJS.disableWorker,d=new XMLHttpRequest;if(d.open("GET",a,!1),!b)try{d.responseType="arraybuffer",b="arraybuffer"!==d.responseType}catch(e){b=!0}if(b&&d.overrideMimeType&&d.overrideMimeType("text/plain; charset=x-user-defined"),d.send(null),(b?d.responseText:d.response)||c("Unable to get binary cMap at: "+a),b){var f=Array.prototype.map.call(d.responseText,function(a){return 255&a.charCodeAt(0)});return new Uint8Array(f)}return new Uint8Array(d.response)}function b(a,b){for(var c=0,d=0;b>=d;d++)c=c<<8|a[d];return c>>>0}function d(a,b){return 1===b?String.fromCharCode(a[0],a[1]):3===b?String.fromCharCode(a[0],a[1],a[2],a[3]):String.fromCharCode.apply(null,a.subarray(0,b+1))}function f(a,b,c){for(var d=0,e=c;e>=0;e--)d+=a[e]+b[e],a[e]=255&d,d>>=8}function g(a,b){for(var c=1,d=b;d>=0&&c>0;d--)c+=a[d],a[d]=255&c,c>>=8}function h(a){this.buffer=a,this.pos=0,this.end=a.length,this.tmpBuf=new Uint8Array(l)}function i(i,j,l){var m=a(i),n=new h(m),o=n.readByte();j.vertical=!!(1&o);for(var p,q,r=null,s=new Uint8Array(k),t=new Uint8Array(k),u=new Uint8Array(k),v=new Uint8Array(k),w=new Uint8Array(k);(q=n.readByte())>=0;){var x=q>>5;if(7!==x){var y=!!(16&q),z=15&q;e(k>=z+1);var A,B=1,C=n.readNumber();switch(x){case 0:for(n.readHex(s,z),n.readHexNumber(t,z),f(t,s,z),j.addCodespaceRange(z+1,b(s,z),b(t,z)),A=1;C>A;A++)g(t,z),n.readHexNumber(s,z),f(s,t,z),n.readHexNumber(t,z),f(t,s,z),j.addCodespaceRange(z+1,b(s,z),b(t,z));break;case 1:for(n.readHex(s,z),n.readHexNumber(t,z),f(t,s,z),p=n.readNumber(),A=1;C>A;A++)g(t,z),n.readHexNumber(s,z),f(s,t,z),n.readHexNumber(t,z),f(t,s,z),p=n.readNumber();break;case 2:for(n.readHex(u,z),p=n.readNumber(),j.mapOne(b(u,z),p),A=1;C>A;A++)g(u,z),y||(n.readHexNumber(w,z),f(u,w,z)),p=n.readSigned()+(p+1),j.mapOne(b(u,z),p);break;case 3:for(n.readHex(s,z),n.readHexNumber(t,z),f(t,s,z),p=n.readNumber(),j.mapCidRange(b(s,z),b(t,z),p),A=1;C>A;A++)g(t,z),y?s.set(t):(n.readHexNumber(s,z),f(s,t,z)),n.readHexNumber(t,z),f(t,s,z),p=n.readNumber(),j.mapCidRange(b(s,z),b(t,z),p);break;case 4:for(n.readHex(u,B),n.readHex(v,z),j.mapOne(b(u,B),d(v,z)),A=1;C>A;A++)g(u,B),y||(n.readHexNumber(w,B),f(u,w,B)),g(v,z),n.readHexSigned(w,z),f(v,w,z),j.mapOne(b(u,B),d(v,z));break;case 5:for(n.readHex(s,B),n.readHexNumber(t,B),f(t,s,B),n.readHex(v,z),j.mapBfRange(b(s,B),b(t,B),d(v,z)),A=1;C>A;A++)g(t,B),y?s.set(t):(n.readHexNumber(s,B),f(s,t,B)),n.readHexNumber(t,B),f(t,s,B),n.readHex(v,z),j.mapBfRange(b(s,B),b(t,B),d(v,z));break;default:c("Unknown type: "+x)}}else switch(31&q){case 0:n.readString();break;case 1:r=n.readString()}}return r&&l(r),j}function j(){}var k=16,l=19;return h.prototype={readByte:function(){return this.pos>=this.end?-1:this.buffer[this.pos++]},readNumber:function(){var a,b=0;do{var d=this.readByte();0>d&&c("unexpected EOF in bcmap"),a=!(128&d),b=b<<7|127&d}while(!a);return b},readSigned:function(){var a=this.readNumber();return 1&a?~(a>>>1):a>>>1},readHex:function(a,b){a.set(this.buffer.subarray(this.pos,this.pos+b+1)),this.pos+=b+1},readHexNumber:function(a,b){var d,e=this.tmpBuf,f=0;do{var g=this.readByte();0>g&&c("unexpected EOF in bcmap"),d=!(128&g),e[f++]=127&g}while(!d);for(var h=b,i=0,j=0;h>=0;){for(;8>j&&e.length>0;)i=e[--f]<>=8,j-=8}},readHexSigned:function(a,b){this.readHexNumber(a,b);for(var c=1&a[b]?255:0,d=0,e=0;b>=e;e++)d=(1&d)<<8|a[e],a[e]=d>>1^c},readString:function(){for(var a=this.readNumber(),b="",c=0;a>c;c++)b+=String.fromCharCode(this.readNumber());return b}},j.prototype={read:i},j}(),Hb=function(){function a(a){for(var b=0,c=0;c>>0}function d(a){y(a)||c("Malformed CMap: expected string.")}function f(a){w(a)||c("Malformed CMap: expected int.")}function g(b,c){for(;;){var e=c.getObj();if(R(e))break;if(A(e,"endbfchar"))return;d(e);var f=a(e);e=c.getObj(),d(e);var g=e;b.mapOne(f,g)}}function h(b,e){for(;;){var f=e.getObj();if(R(f))break;if(A(f,"endbfrange"))return;d(f);var g=a(f);f=e.getObj(),d(f);var h=a(f);if(f=e.getObj(),w(f)||y(f)){var i=w(f)?String.fromCharCode(f):f;b.mapBfRange(g,h,i)}else{if(!A(f,"["))break;f=e.getObj();for(var j=[];!A(f,"]")&&!R(f);)j.push(f),f=e.getObj();b.mapBfRangeToArray(g,h,j)}}c("Invalid bf range.")}function i(b,c){for(;;){var e=c.getObj();if(R(e))break;if(A(e,"endcidchar"))return;d(e);var g=a(e);e=c.getObj(),f(e);var h=e;b.mapOne(g,h)}}function j(b,c){for(;;){var e=c.getObj();if(R(e))break;if(A(e,"endcidrange"))return;d(e);var g=a(e);e=c.getObj(),d(e);var h=a(e);e=c.getObj(),f(e);var i=e;b.mapCidRange(g,h,i)}}function k(b,d){for(;;){var e=d.getObj();if(R(e))break;if(A(e,"endcodespacerange"))return;if(!y(e))break;var f=a(e);if(e=d.getObj(),!y(e))break;var g=a(e);b.addCodespaceRange(e.length,f,g)}c("Invalid codespace range.")}function l(a,b){var c=b.getObj();w(c)&&(a.vertical=!!c)}function m(a,b){var c=b.getObj();z(c)&&y(c.name)&&(a.name=c.name)}function n(a,b,c,d){var e,f;a:for(;;){var n=b.getObj();if(R(n))break;if(z(n))"WMode"===n.name?l(a,b):"CMapName"===n.name&&m(a,b),e=n;else if(A(n))switch(n.cmd){case"endcmap":break a;case"usecmap":z(e)&&(f=e.name);break;case"begincodespacerange":k(a,b);break;case"beginbfchar":g(a,b);break;case"begincidchar":i(a,b);break;case"beginbfrange":h(a,b);break;case"begincidrange":j(a,b)}}!d&&f&&(d=f),d&&o(a,c,d)}function o(a,b,c){if(a.useCMap=q(c,b),0===a.numCodespaceRanges){for(var d=a.useCMap.codespaceRanges,e=0;e=b;b++)a(b,b)},has:function(a){return this.firstChar<=a&&a<=this.lastChar},get:function(a){return this.firstChar<=a&&a<=this.lastChar?String.fromCharCode(a):void 0},charCodeOf:function(a){c("should not call .charCodeOf")}},a}(),bc=function(){function a(a,b,c){a[b]=c>>8&255,a[b+1]=255&c}function b(a,b,c){a[b]=c>>24&255,a[b+1]=c>>16&255,a[b+2]=c>>8&255,a[b+3]=255&c}function c(a,b,c){var d,e;if(c instanceof Uint8Array)a.set(c,b);else if("string"==typeof c)for(d=0,e=c.length;e>d;d++)a[b++]=255&c.charCodeAt(d);else for(d=0,e=c.length;e>d;d++)a[b++]=255&c[d]}function d(a){this.sfnt=a,this.tables=Object.create(null)}d.getSearchParams=function(a,b){for(var c=1,d=0;(c^a)>c;)c<<=1,d++;var e=c*b;return{range:e,entry:d,rangeShift:b*a-e}};var e=12,f=16;return d.prototype={toArray:function(){var g=this.sfnt,h=this.tables,i=Object.keys(h);i.sort();var j,l,m,n,o,p=i.length,q=e+p*f,r=[q];for(j=0;p>j;j++){n=h[i[j]];var s=(n.length+3&-4)>>>0;q+=s,r.push(q)}var t=new Uint8Array(q);for(j=0;p>j;j++)n=h[i[j]],c(t,r[j],n);"true"===g&&(g=k(65536)),t[0]=255&g.charCodeAt(0),t[1]=255&g.charCodeAt(1),t[2]=255&g.charCodeAt(2),t[3]=255&g.charCodeAt(3),a(t,4,p);var u=d.getSearchParams(p,16);for(a(t,6,u.range),a(t,8,u.entry),a(t,10,u.rangeShift),q=e,j=0;p>j;j++){o=i[j],t[q]=255&o.charCodeAt(0),t[q+1]=255&o.charCodeAt(1),t[q+2]=255&o.charCodeAt(2),t[q+3]=255&o.charCodeAt(3);var v=0;for(l=r[j],m=r[j+1];m>l;l+=4){var w=(t[l]<<24)+(t[l+1]<<16)+(t[l+2]<<8)+t[l+3];v=v+w|0}b(t,q+4,v),b(t,q+8,r[j]),b(t,q+12,h[o].length),q+=f}return t},addTable:function(a,b){if(a in this.tables)throw new Error("Table "+a+" already exists");this.tables[a]=b}},d}(),cc=new Int32Array([0,32,127,161,173,174,1536,1920,2208,4256,6016,6144,8192,8208,8209,8210,8232,8240,8287,8304,9676,9677,43616,43648,65520,65536]),dc=function(){function d(d,e,f){var g,h,i;this.name=d,this.loadedName=f.loadedName,this.isType3Font=f.isType3Font,this.sizes=[],this.glyphCache={};var j=d.split("+");j=j.length>1?j[1]:j[0],j=j.split(/[-,_]/g)[0],this.isSerifFont=!!(f.flags&Ob.Serif),this.isSymbolicFont=!!(f.flags&Ob.Symbolic),this.isMonospace=!!(f.flags&Ob.FixedPitch);var k=f.type,l=f.subtype;if(this.type=k,this.fallbackName=this.isMonospace?"monospace":this.isSerifFont?"serif":"sans-serif",this.differences=f.differences,this.widths=f.widths,this.defaultWidth=f.defaultWidth,this.composite=f.composite,this.wideChars=f.wideChars,this.cMap=f.cMap,this.ascent=f.ascent/Lb,this.descent=f.descent/Lb,this.fontMatrix=f.fontMatrix,this.bbox=f.bbox,this.toUnicode=f.toUnicode=this.buildToUnicode(f),this.toFontChar=[],"Type3"===f.type){for(g=0;256>g;g++)this.toFontChar[g]=this.differences[g]||f.defaultEncoding[g];return void(this.fontType=Z.TYPE3)}if(this.cidEncoding=f.cidEncoding,this.vertical=f.vertical,this.vertical&&(this.vmetrics=f.vmetrics,this.defaultVMetrics=f.defaultVMetrics),!e||e.isEmpty){e&&b('Font file is empty in "'+d+'" ('+this.loadedName+")"),this.missingFile=!0;var o=d.replace(/[,_]/g,"-"),p=!!Qb[o]||!(!Rb[o]||!Qb[Rb[o]]);if(o=Qb[o]||Rb[o]||o,this.bold=-1!==o.search(/bold/gi),this.italic=-1!==o.search(/oblique/gi)||-1!==o.search(/italic/gi),this.black=-1!==d.search(/Black/g),this.remeasure=Object.keys(this.widths).length>0,p&&"CIDFontType2"===k&&0===f.cidEncoding.indexOf("Identity-")){var q=[];for(g in Ub)q[+g]=Ub[g];if(/ArialBlack/i.test(d))for(g in Vb)q[+g]=Vb[g];var r=this.toUnicode instanceof ac;r||this.toUnicode.forEach(function(a,b){q[+a]=b}),this.toFontChar=q,this.toUnicode=new _b(q)}else if(/Symbol/i.test(o)){var s=Pb.SymbolSetEncoding;for(g in s)i=zc[s[g]],i&&(this.toFontChar[g]=i);for(g in f.differences)i=zc[f.differences[g]],i&&(this.toFontChar[g]=i)}else if(/Dingbats/i.test(o)){/Wingdings/i.test(d)&&b("Wingdings font without embedded font file, falling back to the ZapfDingbats encoding.");var t=Pb.ZapfDingbatsEncoding;for(g in t)i=Ac[t[g]],i&&(this.toFontChar[g]=i);for(g in f.differences)i=Ac[f.differences[g]],i&&(this.toFontChar[g]=i)}else if(p){this.toFontChar=[];for(g in f.defaultEncoding)h=f.differences[g]||f.defaultEncoding[g],this.toFontChar[g]=zc[h]}else{var u=-1===k.indexOf("CIDFontType");this.toUnicode.forEach(function(a,b){u&&(h=f.differences[a]||f.defaultEncoding[a],b=zc[h]||b),this.toFontChar[a]=b}.bind(this))}return this.loadedName=o.split("-")[0],this.loading=!1,void(this.fontType=P(k,l))}"Type1C"===l&&"Type1"!==k&&"MMType1"!==k&&(m(e)?l="TrueType":k="Type1"),"CIDFontType0C"===l&&"CIDFontType0"!==k&&(k="CIDFontType0"),"OpenType"===l&&(k="OpenType"),"CIDFontType0"===k&&(l=n(e)?"CIDFontType0":"CIDFontType0C");var v;switch(k){case"MMType1":a("MMType1 font ("+d+"), falling back to Type1.");case"Type1":case"CIDFontType0":this.mimetype="font/opentype";var w="Type1C"===l||"CIDFontType0C"===l?new jc(e,f):new ic(d,e,f);O(f),v=this.convert(d,w,f);break;case"OpenType":case"TrueType":case"CIDFontType2":this.mimetype="font/opentype",v=this.checkAndRepair(d,e,f),this.isOpenType&&(k="OpenType");break;default:c("Font "+k+" is not supported")}this.data=v,this.fontType=P(k,l),this.fontMatrix=f.fontMatrix,this.widths=f.widths,this.defaultWidth=f.defaultWidth,this.encoding=f.baseEncoding,this.seacMap=f.seacMap,this.loading=!0}function f(a,b){return(a<<8)+b}function h(a,b,c,d){return(a<<24)+(b<<16)+(c<<8)+d}function j(a){return String.fromCharCode(a>>8&255,255&a)}function l(a){return a=a>32767?32767:-32768>a?-32768:a,String.fromCharCode(a>>8&255,255&a)}function m(a){var b=a.peekBytes(4);return 65536===o(b,0)}function n(a){var b=a.peekBytes(2);return 37===b[0]&&33===b[1]?!0:128===b[0]&&1===b[1]}function p(a){for(var b=0,c=cc.length-1;c>b;){var d=b+c+1>>1;a=i)do l=i++,Kb&&61440===l&&(l=61472,i=l+1);while(void 0!==h[l]&&Jb>=i);f[l]=k,g[j]=l,h[l]=!0}return{toFontChar:g,charCodeToGlyphId:f,nextAvailableFontCharCode:i}}function r(a){var b=[];for(var c in a)b.push({fontCharCode:0|c,glyphId:a[c]});b.sort(function(a,b){return a.fontCharCode-b.fontCharCode});for(var d=[],e=b.length,f=0;e>f;){var g=b[f].fontCharCode,h=[b[f].glyphId];++f;for(var i=g;e>f&&i+1===b[f].fontCharCode&&(h.push(b[f].glyphId),++i,++f,65535!==i););d.push([g,i,h])}return d}function s(a){var b,c,d,e,f=r(a),g=f[f.length-1][1]>65535?2:1,h="\x00\x00"+j(g)+"\x00\x00"+k(4+8*g);for(b=f.length-1;b>=0&&!(f[b][0]<=65535);--b);var i=b+1;f[b][0]<65535&&65535===f[b][1]&&(f[b][1]=65534);var l,m,n,o,p=f[b][1]<65535?1:0,q=i+p,s=bc.getSearchParams(q,2),t="",u="",v="",w="",x="",y=0;for(b=0,c=i;c>b;b++){l=f[b],m=l[0],n=l[1],t+=j(m),u+=j(n),o=l[2];var z=!0;for(d=1,e=o.length;e>d;++d)if(o[d]!==o[d-1]+1){z=!1;break}if(z){var A=o[0];v+=j(A-m&65535),w+=j(0)}else{var B=2*(q-b)+2*y;for(y+=n-m+1,v+=j(0),w+=j(B),d=0,e=o.length;e>d;++d)x+=j(o[d])}}p>0&&(u+="ÿÿ",t+="ÿÿ",v+="\x00",w+="\x00\x00");var C="\x00\x00"+j(2*q)+j(s.range)+j(s.entry)+j(s.rangeShift)+u+"\x00\x00"+t+v+w+x,D="",E="";if(g>1){for(h+="\x00\x00\n"+k(4+8*g+4+C.length),D="",b=0,c=f.length;c>b;b++){l=f[b],m=l[0],o=l[2];var F=o[0];for(d=1,e=o.length;e>d;++d)o[d]!==o[d-1]+1&&(n=l[0]+d-1,D+=k(m)+k(n)+k(F),m=n+1,F=o[d]);D+=k(m)+k(l[1])+k(F)}E="\x00\f\x00\x00"+k(D.length+16)+"\x00\x00\x00\x00"+k(D.length/12)}return h+"\x00"+j(C.length+4)+C+E+D}function t(a){var b=new Mc(a.data),c=b.getUint16();b.getBytes(60);var d=b.getUint16();if(4>c&&768&d)return!1;var e=b.getUint16(),f=b.getUint16();if(e>f)return!1;b.getBytes(6);var g=b.getUint16();return 0===g?!1:(a.data[8]=a.data[9]=0,!0)}function u(a,b,d){d=d||{unitsPerEm:0,yMax:0,yMin:0,ascent:0,descent:0};var e=0,f=0,g=0,h=0,i=null,l=0;if(b)for(var m in b){m|=0,(i>m||!i)&&(i=m),m>l&&(l=m);var n=L(m);32>n?e|=1<n?f|=1<n?g|=1<n?h|=1< 123 are reserved for internal usage")}else i=0,l=255;var o=a.bbox||[0,0,0,0],p=d.unitsPerEm||1/(a.fontMatrix||T)[0],q=a.ascentScaled?1:p/Lb,r=d.ascent||Math.round(q*(a.ascent||o[3])),s=d.descent||Math.round(q*(a.descent||o[1]));s>0&&a.descent>0&&o[1]<0&&(s=-s);var t=d.yMax||r,u=-d.yMin||-s;return"\x00$ô\x00\x00\x00Š»\x00\x00\x00ŒŠ»\x00\x00ß\x001\x00\x00\x00\x00"+String.fromCharCode(a.fixedPitch?9:0)+"\x00\x00\x00\x00\x00\x00"+k(e)+k(f)+k(g)+k(h)+"*21*"+j(a.italicAngle?1:0)+j(i||a.firstChar)+j(l||a.lastChar)+j(r)+j(s)+"\x00d"+j(t)+j(u)+"\x00\x00\x00\x00\x00\x00\x00\x00"+j(a.xHeight)+j(a.capHeight)+j(0)+j(i||a.firstChar)+"\x00"}function v(a){var b=Math.floor(a.italicAngle*Math.pow(2,16));return"\x00\x00\x00"+k(b)+"\x00\x00\x00\x00"+k(a.fixedPitch)+"\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00"}function w(a,b){b||(b=[[],[]]);var c,d,e,f,g,h=[b[0][0]||"Original licence",b[0][1]||a,b[0][2]||"Unknown",b[0][3]||"uniqueID",b[0][4]||a,b[0][5]||"Version 0.11",b[0][6]||"",b[0][7]||"Unknown",b[0][8]||"Unknown",b[0][9]||"Unknown"],i=[];for(c=0,d=h.length;d>c;c++){g=b[1][c]||h[c];var k=[];for(e=0,f=g.length;f>e;e++)k.push(j(g.charCodeAt(e)));i.push(k.join(""))}var l=[h,i],m=["\x00","\x00"],n=["\x00\x00","\x00"],o=["\x00\x00"," "],p=h.length*m.length,q="\x00\x00"+j(p)+j(12*p+6),r=0;for(c=0,d=m.length;d>c;c++){var s=l[c];for(e=0,f=s.length;f>e;e++){g=s[e];var t=m[c]+n[c]+o[c]+j(e)+j(g.length)+j(r);q+=t,r+=g.length}}return q+=h.join("")+i.join("")}return d.getFontID=function(){var a=1;return function(){return String(a++)}}(),d.prototype={name:null,font:null,mimetype:null,encoding:null,get renderer(){var a=yc.create(this);return g(this,"renderer",a)},exportData:function(){var a={};for(var b in this)this.hasOwnProperty(b)&&(a[b]=this[b]);return a},checkAndRepair:function(d,g,j){function k(a){var b=i(a.getBytes(4)),c=a.getInt32(),d=a.getInt32()>>>0,e=a.getInt32()>>>0,f=a.pos;a.pos=a.start?a.start:0,a.skip(d);var g=a.getBytes(e);return a.pos=f,"head"===b&&(g[8]=g[9]=g[10]=g[11]=0,g[17]|=32),{tag:b,checksum:c,length:e,offset:d,data:g}}function l(a){return{version:i(a.getBytes(4)),numTables:a.getUint16(),searchRange:a.getUint16(),entrySelector:a.getUint16(),rangeShift:a.getUint16()}}function m(a,c,d,e){var f,g=(c.start?c.start:0)+a.offset;c.pos=g;for(var h,i=(c.getUint16(),c.getUint16()),j=!1,k=0;i>k;k++){var l=c.getUint16(),m=c.getUint16(),n=c.getInt32()>>>0,o=!1;if(0===l&&0===m?o=!0:1===l&&0===m?o=!0:3!==l||1!==m||(d||!e)&&h?d&&3===l&&0===m&&(o=!0,j=!0):(o=!0,d||(j=!0)),o&&(h={platformId:l,encodingId:m,offset:n}),j)break}if(h&&(c.pos=g+h.offset),!h||-1===c.peekByte())return b("Could not find a preferred cmap table."),{platformId:-1,encodingId:-1,mappings:[],hasShortCmap:!1};var p,q,r=c.getUint16(),s=(c.getUint16(),c.getUint16(),!1),t=[];if(0===r){for(p=0;256>p;p++){var u=c.getByte();u&&t.push({charCode:p,glyphId:u})}s=!0}else if(4===r){var v=c.getUint16()>>1;c.getBytes(6);var w,x=[];for(w=0;v>w;w++)x.push({end:c.getUint16()});for(c.getUint16(),w=0;v>w;w++)x[w].start=c.getUint16();for(w=0;v>w;w++)x[w].delta=c.getUint16();var y=0;for(w=0;v>w;w++){f=x[w];var z=c.getUint16();if(z){var A=(z>>1)-(v-w);f.offsetIndex=A,y=Math.max(y,A+f.end-f.start+1)}else f.offsetIndex=-1}var B=[];for(p=0;y>p;p++)B.push(c.getUint16());for(w=0;v>w;w++){f=x[w],g=f.start;var C=f.end,D=f.delta;for(A=f.offsetIndex,p=g;C>=p;p++)65535!==p&&(q=0>A?p:B[A+p-g],q=q+D&65535,0!==q&&t.push({charCode:p,glyphId:q}))}}else{if(6!==r)return b("cmap table has unsupported format: "+r),{platformId:-1,encodingId:-1,mappings:[],hasShortCmap:!1};var E=c.getUint16(),F=c.getUint16();for(p=0;F>p;p++){q=c.getUint16();var G=E+p;t.push({charCode:G,glyphId:q})}}for(t.sort(function(a,b){return a.charCode-b.charCode}),k=1;ke&&(a("The numOfMetrics ("+f+") should not be greater than the numGlyphs ("+e+")"),f=e,c.data[34]=(65280&f)>>8,c.data[35]=255&f);var g=e-f,h=g-(d.length-4*f>>1);if(h>0){var i=new Uint8Array(d.length+2*h);i.set(d.data),d.data=i}}function o(a,b,c,d,e,f){if(12>=c-b)return 0;var g=a.subarray(b,c),h=g[0]<<8|g[1];if(32768&h)return d.set(g,e),g.length;var i,j=10,k=0;for(i=0;h>i;i++){var l=g[j]<<8|g[j+1];k=l+1,j+=2}var m=j,n=g[j]<<8|g[j+1];j+=2+n;var o=j,p=0;for(i=0;k>i;i++){var q=g[j++];192&q&&(g[j-1]=63&q);var r=(2&q?1:16&q?0:2)+(4&q?1:32&q?0:2);if(p+=r,8&q){var s=g[j++];i+=s,p+=s*r}}if(0===p)return 0;var t=j+p;return t>g.length?0:!f&&n>0?(d.set(g.subarray(0,m),e),d.set([0,0],e+m),d.set(g.subarray(o,t),e+m+2),t-=n,g.length-t>3&&(t=t+3&-4),t):g.length-t>3?(t=t+3&-4,d.set(g.subarray(0,t),e),t):(d.set(g,e),g.length)}function p(c,d,e){var g=c.data,i=h(g[0],g[1],g[2],g[3]);i>>16!==1&&(a("Attempting to fix invalid version in head table: "+i),g[0]=0,g[1]=1,g[2]=0,g[3]=0);var j=f(g[50],g[51]);if(0>j||j>1){a("Attempting to fix invalid indexToLocFormat in head table: "+j);var k=d+1;e===k<<1?(g[50]=0,g[51]=0):e===k<<2?(g[50]=0,g[51]=1):b("Could not fix indexToLocFormat: "+j)}}function r(a,b,c,d,e,f){var g,h,i;d?(g=4,h=function(a,b){return a[b]<<24|a[b+1]<<16|a[b+2]<<8|a[b+3]},i=function(a,b,c){a[b]=c>>>24&255,a[b+1]=c>>16&255,a[b+2]=c>>8&255,a[b+3]=255&c}):(g=2,h=function(a,b){return a[b]<<9|a[b+1]<<1},i=function(a,b,c){a[b]=c>>9&255,a[b+1]=c>>1&255});var j=a.data,k=g*(1+c);j.length!==k&&(j=new Uint8Array(k),j.set(a.data.subarray(0,k)),a.data=j);var l=b.data,m=l.length,n=new Uint8Array(m),p=h(j,0),q=0,r={};i(j,0,q);var s,t;for(s=0,t=g;c>s;s++,t+=g){var u=h(j,t);if(u>m&&(m+3&-4)===u&&(u=m),u>m)i(j,t,q),p=u;else{p===u&&(r[s]=!0);var v=o(l,p,u,n,q,e);q+=v,i(j,t,q),p=u}}if(0===q){var w=new Uint8Array([0,1,0,0,0,0,0,0,0,0,0,0,0,0,49,0]);for(s=0,t=g;c>s;s++,t+=g)i(j,t,w.length);return b.data=w,r}if(f){var x=h(j,g);n.length>x+q?b.data=n.subarray(0,x+q):(b.data=new Uint8Array(x+q),b.data.set(n.subarray(0,q))),b.data.set(n.subarray(0,x),q),i(a.data,j.length-g,q+x)}else b.data=n.subarray(0,q);return r}function y(a,c,d){var e=(g.start?g.start:0)+a.offset;g.pos=e;var f=a.length,h=e+f,i=g.getInt32();g.getBytes(28);var j,k,l=!0;switch(i){case 65536:j=Yb;break;case 131072:var m=g.getUint16();if(m!==d){l=!1;break}var n=[];for(k=0;m>k;++k){var o=g.getUint16();if(o>=32768){l=!1;break}n.push(o)}if(!l)break;for(var p=[],q=[];g.posk;++k)q[k]=String.fromCharCode(g.getByte());p.push(q.join(""))}for(j=[],k=0;m>k;++k){var s=n[k];258>s?j.push(Yb[s]):j.push(p[s-258])}break;case 196608:break;default:b("Unknown/unsupported post table version "+i),l=!1,c.defaultEncoding&&(j=c.defaultEncoding)}return c.glyphNames=j,l}function z(a){var b=(g.start?g.start:0)+a.offset;g.pos=b;var c=[[],[]],d=a.length,e=b+d,f=g.getUint16(),h=6;if(0!==f||h>d)return c;var j,k,l=g.getUint16(),m=g.getUint16(),n=[],o=12;for(j=0;l>j&&g.pos+o<=e;j++){var p={platform:g.getUint16(),encoding:g.getUint16(),language:g.getUint16(),name:g.getUint16(),length:g.getUint16(),offset:g.getUint16()};(1===p.platform&&0===p.encoding&&0===p.language||3===p.platform&&1===p.encoding&&1033===p.language)&&n.push(p)}for(j=0,k=n.length;k>j;j++){var q=n[j],r=b+m+q.offset;if(!(r+q.length>e)){g.pos=r;var s=q.name;if(q.encoding){for(var t="",u=0,v=q.length;v>u;u+=2)t+=String.fromCharCode(g.getUint16());c[1][s]=t}else c[0][s]=i(g.getBytes(q.length))}}return c}function A(a,c){for(var d,e,f,g,h,i=a.data,j=0,k=0,l=0,m=[],n=[],o=[],p=c.tooComplexToFollowFunctions,q=!1,r=0,s=0,t=i.length;t>j;){var u=i[j++];if(64===u)if(e=i[j++],q||s)j+=e;else for(d=0;e>d;d++)m.push(i[j++]);else if(65===u)if(e=i[j++],q||s)j+=2*e;else for(d=0;e>d;d++)f=i[j++],m.push(f<<8|i[j++]);else if(176===(248&u))if(e=u-176+1,q||s)j+=e;else for(d=0;e>d;d++)m.push(i[j++]);else if(184===(248&u))if(e=u-184+1,q||s)j+=2*e;else for(d=0;e>d;d++)f=i[j++],m.push(f<<8|i[j++]);else if(43!==u||p)if(44!==u||p){if(45===u)if(q)q=!1,k=j;else{if(h=n.pop(),!h)return b("TT: ENDF bad stack"),void(c.hintsValid=!1);g=o.pop(),i=h.data,j=h.i,c.functionsStackDeltas[g]=m.length-h.stackTop}else if(137===u)(q||s)&&(b("TT: nested IDEFs not allowed"),p=!0),q=!0,l=j;else if(88===u)++r;else if(27===u)s=r;else if(89===u)s===r&&(s=0),--r;else if(28===u&&!q&&!s){var v=m[m.length-1];v>0&&(j+=v-1)}}else(q||s)&&(b("TT: nested FDEFs not allowed"),p=!0),q=!0,l=j,g=m.pop(),c.functionsDefined[g]={data:i,i:j};else if(!q&&!s)if(g=m[m.length-1],c.functionsUsed[g]=!0,g in c.functionsStackDeltas)m.length+=c.functionsStackDeltas[g];else if(g in c.functionsDefined&&o.indexOf(g)<0){if(n.push({data:i,i:j,stackTop:m.length-1}),o.push(g),h=c.functionsDefined[g],!h)return b("TT: CALL non-existent function"),void(c.hintsValid=!1);i=h.data,j=h.i}if(!q&&!s){var w=142>=u?F[u]:u>=192&&223>=u?-1:u>=224?-2:0;for(u>=113&&117>=u&&(e=m.pop(),e===e&&(w=2*-e));0>w&&m.length>0;)m.pop(),w++;for(;w>0;)m.push(NaN),w--}}c.tooComplexToFollowFunctions=p;var x=[i];j>i.length&&x.push(new Uint8Array(j-i.length)),l>k&&(b("TT: complementing a missing function tail"),x.push(new Uint8Array([34,45]))),C(a,x)}function B(a,c){if(!a.tooComplexToFollowFunctions){if(a.functionsDefined.length>c)return b("TT: more functions defined than expected"),void(a.hintsValid=!1);for(var d=0,e=a.functionsUsed.length;e>d;d++){if(d>c)return b("TT: invalid function id: "+d),void(a.hintsValid=!1);if(a.functionsUsed[d]&&!a.functionsDefined[d])return b("TT: undefined function: "+d),void(a.hintsValid=!1)}}}function C(a,b){if(b.length>1){var c,d,e=0;for(c=0,d=b.length;d>c;c++)e+=b[c].length;e=e+3&-4;var f=new Uint8Array(e),g=0;for(c=0,d=b.length;d>c;c++)f.set(b[c],g),g+=b[c].length;a.data=f,a.length=e}}function D(a,b,c){var d={functionsDefined:[],functionsUsed:[],functionsStackDeltas:[],tooComplexToFollowFunctions:!1,hintsValid:!0};if(a&&A(a,d),b&&A(b,d),a&&B(d,R),c&&1&c.length){var e=new Uint8Array(c.length+1);e.set(c.data),c.data=e}return d.hintsValid}function E(a,b,c){return V[a]?!aa&&b>=0&&$.has(b)?!0:!!(_&&c>=0&&x(_[c])):!0}var F=[0,0,0,0,0,0,0,0,-2,-2,-2,-2,0,0,-2,-5,-1,-1,-1,-1,-1,-1,-1,-1,0,0,-1,0,-1,-1,-1,-1,1,-1,-999,0,1,0,-1,-2,0,-1,-2,-1,-1,0,-1,-1,0,0,-999,-999,-1,-1,-1,-1,-2,-999,-2,-2,-999,0,-2,-2,0,0,-2,0,-2,0,0,0,-2,-1,-1,1,1,0,0,-1,-1,-1,-1,-1,-1,-1,0,0,-1,0,-1,-1,0,-999,-1,-1,-1,-1,-1,-1,0,0,0,0,0,0,0,0,0,0,0,0,-2,-999,-999,-999,-999,-999,-1,-1,-2,-2,0,0,0,0,-1,-1,-999,-2,-2,0,0,-1,-2,-2,0,0,0,-1,-1,-1,-2];g=new Mc(new Uint8Array(g.getBytes()));for(var G,H,I,J=["OS/2","cmap","head","hhea","hmtx","maxp","name","post","loca","glyf","fpgm","prep","cvt ","CFF "],K=l(g),L=K.numTables,M={"OS/2":null,cmap:null,head:null,hhea:null,hmtx:null,maxp:null,name:null,post:null},N=0;L>N;N++)I=k(g),J.indexOf(I.tag)<0||0!==I.length&&(M[I.tag]=I);var O=!M["CFF "];if(O)M.glyf&&M.loca||c('Required "glyf" or "loca" tables are not found'),this.isOpenType=!1;else{if("OTTO"===K.version&&"CIDFontType2"!==j.type||!M.head||!M.hhea||!M.maxp||!M.post)return H=new Mc(M["CFF "].data),G=new jc(H,j),this.convert(d,G,j);delete M.glyf,delete M.loca,delete M.fpgm,delete M.prep,delete M["cvt "],this.isOpenType=!0}M.maxp||c('Required "maxp" table is not found'),g.pos=(g.start||0)+M.maxp.offset;var P=g.getInt32(),Q=g.getUint16(),R=0;if(P>=65536&&M.maxp.length>=22){g.pos+=8;var S=g.getUint16();S>2&&(M.maxp.data[14]=0,M.maxp.data[15]=2),g.pos+=4,R=g.getUint16()}var T=!1;"CIDFontType2"===j.type&&j.toUnicode&&j.toUnicode.get(0)>"\x00"&&(T=!0,Q++,M.maxp.data[4]=Q>>8,M.maxp.data[5]=255&Q);var U=D(M.fpgm,M.prep,M["cvt "],R);U||(delete M.fpgm,delete M.prep,delete M["cvt "]),n(g,M.hhea,M.hmtx,Q),M.head||c('Required "head" table is not found'),p(M.head,Q,O?M.loca.length:0);var V={};if(O){var W=f(M.head.data[50],M.head.data[51]);V=r(M.loca,M.glyf,Q,W,U,T)}if(M.hhea||c('Required "hhea" table is not found'),0===M.hhea.data[10]&&0===M.hhea.data[11]&&(M.hhea.data[10]=255,M.hhea.data[11]=255),M.post){var X=y(M.post,j,Q);X||(M.post=null)}var Y,Z=[],$=j.toUnicode,_=j.widths,aa=$ instanceof ac||65536===$.length;if("CIDFontType2"===j.type){var ba=j.cidToGidMap||[],ca=0===ba.length;j.cMap.forEach(function(a,b){e(65535>=b,"Max size of CID is 65,535");var c=-1;ca?c=a:void 0!==ba[b]&&(c=ba[b]),c>=0&&Q>c&&E(c,a,b)&&(Z[a]=c)}),T&&(Z[0]=Q-1)}else{var da=j.differences.length>0||!!j.baseEncodingName,ea=m(M.cmap,g,this.isSymbolicFont,da),fa=ea.platformId,ga=ea.encodingId,ha=ea.mappings,ia=ha.length;if(da&&(3===fa&&1===ga||1===fa&&0===ga)||-1===fa&&-1===ga&&Pb[j.baseEncodingName]){var ja=[];for("MacRomanEncoding"!==j.baseEncodingName&&"WinAnsiEncoding"!==j.baseEncodingName||(ja=Pb[j.baseEncodingName]),Y=0;256>Y;Y++){var ka;if(ka=this.differences&&Y in this.differences?this.differences[Y]:Y in ja&&""!==ja[Y]?ja[Y]:Pb.StandardEncoding[Y]){var la,ma=!1;3===fa&&1===ga?(la=zc[ka],ma=!0):1===fa&&0===ga&&(la=Pb.MacRomanEncoding.indexOf(ka));var na=!1;for(N=0;ia>N;++N)if(ha[N].charCode===la){var oa=ma?Y:la;if(E(ha[N].glyphId,oa,-1)){Z[Y]=ha[N].glyphId,na=!0;break}}if(!na&&j.glyphNames){var pa=j.glyphNames.indexOf(ka);pa>0&&E(pa,-1,-1)?Z[Y]=pa:Z[Y]=0}}}}else if(0===fa&&0===ga)for(N=0;ia>N;++N)Z[ha[N].charCode]=ha[N].glyphId;else for(N=0;ia>N;++N)Y=255&ha[N].charCode,Z[Y]=ha[N].glyphId}0===Z.length&&(Z[0]=0);var qa=q(Z,j);if(this.toFontChar=qa.toFontChar,M.cmap={tag:"cmap",data:s(qa.charCodeToGlyphId)},!M["OS/2"]||!t(M["OS/2"])){var ra={unitsPerEm:f(M.head.data[18],M.head.data[19]),yMax:f(M.head.data[42],M.head.data[43]),yMin:f(M.head.data[38],M.head.data[39])-65536,ascent:f(M.hhea.data[4],M.hhea.data[5]),descent:f(M.hhea.data[6],M.hhea.data[7])-65536};M["OS/2"]={tag:"OS/2",data:u(j,qa.charCodeToGlyphId,ra)}}if(M.post||(M.post={tag:"post",data:v(j)}),!O)try{H=new Mc(M["CFF "].data);var sa=new kc(H,j);G=sa.parse();var ta=new xc(G);M["CFF "].data=ta.compile()}catch(ua){b("Failed to compile font "+j.loadedName)}if(M.name){var va=z(M.name);M.name.data=w(d,va)}else M.name={tag:"name",data:w(this.name)};var wa=new bc(K.version);for(var xa in M)wa.addTable(xa,M[xa].data);return wa.toArray()},convert:function(a,b,c){function d(a,b){var c=null;for(var d in a)b===a[d]&&(c||(c=[]),c.push(0|d));return c}function e(a,b){for(var c in a)if(b===a[c])return 0|c;return g.charCodeToGlyphId[g.nextAvailableFontCharCode]=b,g.nextAvailableFontCharCode++}c.fixedPitch=!1;var f=b.getGlyphMapping(c),g=q(f,c);this.toFontChar=g.toFontChar;var h=b.numGlyphs,i=b.seacs;if(Nb&&i&&i.length){var k=c.fontMatrix||T,m=b.getCharset(),n=Object.create(null);for(var o in i){o|=0;var p=i[o],r=Pb.StandardEncoding[p[2]],t=Pb.StandardEncoding[p[3]],x=m.indexOf(r),y=m.indexOf(t);if(!(0>x||0>y)){var z={x:p[0]*k[0]+p[1]*k[2]+k[4],y:p[0]*k[1]+p[1]*k[3]+k[5]},A=d(f,o);if(A)for(var B=0,C=A.length;C>B;B++){var D=A[B],E=g.charCodeToGlyphId,F=e(E,x),G=e(E,y);n[D]={baseFontCharCode:F,accentFontCharCode:G,accentOffset:z}}}}c.seacMap=n}var H=1/(c.fontMatrix||T)[0],I=new bc("OTTO");return I.addTable("CFF ",b.data),I.addTable("OS/2",u(c,g.charCodeToGlyphId)),I.addTable("cmap",s(g.charCodeToGlyphId)),I.addTable("head","\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00_<õ\x00\x00"+l(H)+"\x00\x00\x00\x00ž\x0B~'\x00\x00\x00\x00ž\x0B~'\x00\x00"+l(c.descent)+"ÿ"+l(c.ascent)+j(c.italicAngle?2:0)+"\x00\x00\x00\x00\x00\x00\x00"),I.addTable("hhea","\x00\x00\x00"+l(c.ascent)+l(c.descent)+"\x00\x00ÿÿ\x00\x00\x00\x00\x00\x00"+l(c.capHeight)+l(Math.tan(c.italicAngle)*c.xHeight)+"\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00"+j(h)),I.addTable("hmtx",function(){for(var a=b.charstrings,c=b.cff?b.cff.widths:null,d="\x00\x00\x00\x00",e=1,f=h;f>e;e++){var g=0;if(a){var i=a[e-1];g="width"in i?i.width:0}else c&&(g=Math.ceil(c[e]||0));d+=j(g)+j(0)}return d}()),I.addTable("maxp","\x00\x00P\x00"+j(h)),I.addTable("name",w(a)),I.addTable("post",v(c)),I.toArray()},buildToUnicode:function(a){if(a.toUnicode&&0!==a.toUnicode.length)return a.toUnicode;var b,c;if(!a.composite){b=[];var d=a.defaultEncoding.slice(),f=a.baseEncodingName,g=a.differences;for(c in g)d[c]=g[c];for(c in d){var h=d[c];if(""!==h)if(void 0!==zc[h])b[c]=String.fromCharCode(zc[h]);else{var i=0;switch(h[0]){case"G":3===h.length&&(i=parseInt(h.substr(1),16));break;case"g":5===h.length&&(i=parseInt(h.substr(1),16));break;case"C":case"c":h.length>=3&&(i=+h.substr(1))}if(i){if(f&&i===+c){var j=Pb[f];if(j&&(h=j[c])){b[c]=String.fromCharCode(zc[h]);continue}}b[c]=String.fromCharCode(i)}}}return new _b(b)}if(a.composite&&(a.cMap.builtInCMap&&!(a.cMap instanceof Fb)||"Adobe"===a.cidSystemInfo.registry&&("GB1"===a.cidSystemInfo.ordering||"CNS1"===a.cidSystemInfo.ordering||"Japan1"===a.cidSystemInfo.ordering||"Korea1"===a.cidSystemInfo.ordering))){var k=a.cidSystemInfo.registry,l=a.cidSystemInfo.ordering,m=new ya(k+"-"+l+"-UCS2"),n=Hb.create(m,{url:PDFJS.cMapUrl,packed:PDFJS.cMapPacked},null),o=a.cMap;return b=[],o.forEach(function(a,c){e(65535>=c,"Max size of CID is 65,535");var d=n.lookup(c);d&&(b[a]=String.fromCharCode((d.charCodeAt(0)<<8)+d.charCodeAt(1)))}),new _b(b)}return new ac(a.firstChar,a.lastChar)},get spaceWidth(){if("_shadowWidth"in this)return this._shadowWidth;for(var a,b=["space","minus","one","i"],c=0,d=b.length;d>c;c++){var e=b[c];if(e in this.widths){a=this.widths[e];break}var f=zc[e],g=0;if(this.composite&&this.cMap.contains(f)&&(g=this.cMap.lookup(f)),!g&&"toUnicode"in this&&(g=this.toUnicode.charCodeOf(f)),0>=g&&(g=f),a=this.widths[g])break}return a=a||this.defaultWidth,this._shadowWidth=a,a},charToGlyph:function(a){var b,c,d,e=a;this.cMap&&this.cMap.contains(a)&&(e=this.cMap.lookup(a)),c=this.widths[e],c=x(c)?c:this.defaultWidth;var f=this.vmetrics&&this.vmetrics[e],g=this.toUnicode.get(a)||a;"number"==typeof g&&(g=String.fromCharCode(g)),b=this.toFontChar[a]||a,this.missingFile&&(b=K(b)),this.isType3Font&&(d=b);var h=null;if(this.seacMap&&this.seacMap[a]){var i=this.seacMap[a];b=i.baseFontCharCode,h={fontChar:String.fromCharCode(i.accentFontCharCode),offset:i.accentOffset}}var j=String.fromCharCode(b),k=this.glyphCache[a];return k&&k.matchesForCache(j,g,h,c,f,d)||(k=new $b(j,g,h,c,f,d),this.glyphCache[a]=k),k},charsToGlyphs:function(a){var b,c,d,e=this.charsCache;if(e&&(b=e[a]))return b;e||(e=this.charsCache=Object.create(null)),b=[];var f,g=a,h=0;if(this.cMap)for(var i={};hh;++h)d=a.charCodeAt(h),c=this.charToGlyph(d),b.push(c),32===d&&b.push(null);return e[g]=b}},d}(),ec=function(){function a(a){this.error=a,this.loadedName="g_font_error",this.loading=!1}return a.prototype={charsToGlyphs:function(){return[]},exportData:function(){return{error:this.error}}},a}(),fc=function(){function a(){this.width=0,this.lsb=0,this.flexing=!1,this.output=[],this.stack=[]}var c={hstem:[1],vstem:[3],vmoveto:[4],rlineto:[5],hlineto:[6],vlineto:[7],rrcurveto:[8],callsubr:[10],flex:[12,35],drop:[12,18],endchar:[14],rmoveto:[21],hmoveto:[22],vhcurveto:[30],hvcurveto:[31]};return a.prototype={convert:function(a,d){for(var e,f,g,h=a.length,i=!1,j=0;h>j;j++){var k=a[j];if(32>k){switch(12===k&&(k=(k<<8)+a[++j]),k){case 1:if(!Mb){this.stack=[];break}i=this.executeCommand(2,c.hstem);break;case 3:if(!Mb){this.stack=[];break}i=this.executeCommand(2,c.vstem);break;case 4:if(this.flexing){if(this.stack.length<1){i=!0;break}var l=this.stack.pop();this.stack.push(0,l);break}i=this.executeCommand(1,c.vmoveto);break;case 5:i=this.executeCommand(2,c.rlineto);break;case 6:i=this.executeCommand(1,c.hlineto);break;case 7:i=this.executeCommand(1,c.vlineto);break;case 8:i=this.executeCommand(6,c.rrcurveto);break;case 9:this.stack=[];break;case 10:if(this.stack.length<1){i=!0;break}g=this.stack.pop(),i=this.convert(d[g],d);break;case 11:return i;case 13:if(this.stack.length<2){i=!0;break}e=this.stack.pop(),f=this.stack.pop(),this.lsb=f,this.width=e,this.stack.push(e,f),i=this.executeCommand(2,c.hmoveto);break;case 14:this.output.push(c.endchar[0]);break;case 21:if(this.flexing)break; -i=this.executeCommand(2,c.rmoveto);break;case 22:if(this.flexing){this.stack.push(0);break}i=this.executeCommand(1,c.hmoveto);break;case 30:i=this.executeCommand(4,c.vhcurveto);break;case 31:i=this.executeCommand(4,c.hvcurveto);break;case 3072:this.stack=[];break;case 3073:if(!Mb){this.stack=[];break}i=this.executeCommand(2,c.vstem);break;case 3074:if(!Mb){this.stack=[];break}i=this.executeCommand(2,c.hstem);break;case 3078:Nb?(this.seac=this.stack.splice(-4,4),i=this.executeCommand(0,c.endchar)):i=this.executeCommand(4,c.endchar);break;case 3079:if(this.stack.length<4){i=!0;break}this.stack.pop();e=this.stack.pop();var m=this.stack.pop();f=this.stack.pop(),this.lsb=f,this.width=e,this.stack.push(e,f,m),i=this.executeCommand(3,c.rmoveto);break;case 3084:if(this.stack.length<2){i=!0;break}var n=this.stack.pop(),o=this.stack.pop();this.stack.push(o/n);break;case 3088:if(this.stack.length<2){i=!0;break}g=this.stack.pop();var p=this.stack.pop();if(0===g&&3===p){var q=this.stack.splice(this.stack.length-17,17);this.stack.push(q[2]+q[0],q[3]+q[1],q[4],q[5],q[6],q[7],q[8],q[9],q[10],q[11],q[12],q[13],q[14]),i=this.executeCommand(13,c.flex,!0),this.flexing=!1,this.stack.push(q[15],q[16])}else 1===g&&0===p&&(this.flexing=!0);break;case 3089:break;case 3105:this.stack=[];break;default:b('Unknown type 1 charstring command of "'+k+'"')}if(i)break}else 246>=k?k-=139:k=250>=k?256*(k-247)+a[++j]+108:254>=k?-(256*(k-251))-a[++j]-108:(255&a[++j])<<24|(255&a[++j])<<16|(255&a[++j])<<8|(255&a[++j])<<0,this.stack.push(k)}return i},executeCommand:function(a,b,c){var d=this.stack.length;if(a>d)return!0;for(var e=d-a,f=e;d>f;f++){var g=this.stack[f];g===(0|g)?this.output.push(28,g>>8&255,255&g):(g=65536*g|0,this.output.push(255,g>>24&255,g>>16&255,g>>8&255,255&g))}return this.output.push.apply(this.output,b),c?this.stack.splice(e,a):this.stack.length=0,!1}},a}(),gc=function(){function a(a){return a>=48&&57>=a||a>=65&&70>=a||a>=97&&102>=a}function b(a,b,c){for(var d=0|b,e=52845,f=22719,g=a.length,h=new Uint8Array(g),i=0;g>i;i++){var j=a[i];h[i]=j^d>>8,d=(j+d)*e+f&65535}return Array.prototype.slice.call(h,c)}function c(b,c,d){var e,f,g=0|c,h=52845,i=22719,j=b.length,k=j>>>1,l=new Uint8Array(k);for(e=0,f=0;j>e;e++){var m=b[e];if(a(m)){e++;for(var n;j>e&&!a(n=b[e]);)e++;if(j>e){var o=parseInt(String.fromCharCode(m,n),16);l[f++]=o^g>>8,g=(o+g)*h+i&65535}}}return Array.prototype.slice.call(l,d,f)}function d(a){return 47===a||91===a||93===a||123===a||125===a||40===a||41===a}function e(d,e){if(e){var g=d.getBytes(),h=!(a(g[0])&&a(g[1])&&a(g[2])&&a(g[3]));d=new Mc(h?b(g,f,4):c(g,f,4))}this.stream=d,this.nextChar()}var f=55665,g=4330;return e.prototype={readNumberArray:function(){this.getToken();for(var a=[];;){var b=this.getToken();if(null===b||"]"===b||"}"===b)break;a.push(parseFloat(b||0))}return a},readNumber:function(){var a=this.getToken();return parseFloat(a||0)},readInt:function(){var a=this.getToken();return 0|parseInt(a||0,10)},readBoolean:function(){var a=this.getToken();return"true"===a?1:0},nextChar:function(){return this.currentChar=this.stream.getByte()},getToken:function(){for(var a=!1,b=this.currentChar;;){if(-1===b)return null;if(a)10!==b&&13!==b||(a=!1);else if(37===b)a=!0;else if(!Gc.isSpace(b))break;b=this.nextChar()}if(d(b))return this.nextChar(),String.fromCharCode(b);var c="";do c+=String.fromCharCode(b),b=this.nextChar();while(b>=0&&!Gc.isSpace(b)&&!d(b));return c},extractFontProgram:function(){for(var a,c,d,e,f,h=this.stream,i=[],j=[],k={subrs:[],charstrings:[],properties:{privateData:{lenIV:4}}};null!==(a=this.getToken());)if("/"===a)switch(a=this.getToken()){case"CharStrings":for(this.getToken(),this.getToken(),this.getToken(),this.getToken();;){if(a=this.getToken(),null===a||"end"===a)break;if("/"===a){var l=this.getToken();c=this.readInt(),this.getToken(),d=h.makeSubStream(h.pos,c),e=k.properties.privateData.lenIV,f=b(d.getBytes(),g,e),h.skip(c),this.nextChar(),a=this.getToken(),"noaccess"===a&&this.getToken(),j.push({glyph:l,encoded:f})}}break;case"Subrs":this.readInt();for(this.getToken();"dup"===(a=this.getToken());){var m=this.readInt();c=this.readInt(),this.getToken(),d=h.makeSubStream(h.pos,c),e=k.properties.privateData.lenIV,f=b(d.getBytes(),g,e),h.skip(c),this.nextChar(),a=this.getToken(),"noaccess"===a&&this.getToken(),i[m]=f}break;case"BlueValues":case"OtherBlues":case"FamilyBlues":case"FamilyOtherBlues":var n=this.readNumberArray();n.length>0&&n.length%2===0&&Mb&&(k.properties.privateData[a]=n);break;case"StemSnapH":case"StemSnapV":k.properties.privateData[a]=this.readNumberArray();break;case"StdHW":case"StdVW":k.properties.privateData[a]=this.readNumberArray()[0];break;case"BlueShift":case"lenIV":case"BlueFuzz":case"BlueScale":case"LanguageGroup":case"ExpansionFactor":k.properties.privateData[a]=this.readNumber();break;case"ForceBold":k.properties.privateData[a]=this.readBoolean()}for(var o=0;og;g++){for(b=this.getToken();"dup"!==b&&"def"!==b;)if(b=this.getToken(),null===b)return;if("def"===b)break;var h=this.readInt();this.getToken();var i=this.getToken();d[h]=i,this.getToken()}}else d=Pb[e];a.builtInEncoding=d;break;case"FontBBox":var j=this.readNumberArray();a.ascent=j[3],a.descent=j[1],a.ascentScaled=!0}}},e}(),hc=[".notdef","space","exclam","quotedbl","numbersign","dollar","percent","ampersand","quoteright","parenleft","parenright","asterisk","plus","comma","hyphen","period","slash","zero","one","two","three","four","five","six","seven","eight","nine","colon","semicolon","less","equal","greater","question","at","A","B","C","D","E","F","G","H","I","J","K","L","M","N","O","P","Q","R","S","T","U","V","W","X","Y","Z","bracketleft","backslash","bracketright","asciicircum","underscore","quoteleft","a","b","c","d","e","f","g","h","i","j","k","l","m","n","o","p","q","r","s","t","u","v","w","x","y","z","braceleft","bar","braceright","asciitilde","exclamdown","cent","sterling","fraction","yen","florin","section","currency","quotesingle","quotedblleft","guillemotleft","guilsinglleft","guilsinglright","fi","fl","endash","dagger","daggerdbl","periodcentered","paragraph","bullet","quotesinglbase","quotedblbase","quotedblright","guillemotright","ellipsis","perthousand","questiondown","grave","acute","circumflex","tilde","macron","breve","dotaccent","dieresis","ring","cedilla","hungarumlaut","ogonek","caron","emdash","AE","ordfeminine","Lslash","Oslash","OE","ordmasculine","ae","dotlessi","lslash","oslash","oe","germandbls","onesuperior","logicalnot","mu","trademark","Eth","onehalf","plusminus","Thorn","onequarter","divide","brokenbar","degree","thorn","threequarters","twosuperior","registered","minus","eth","multiply","threesuperior","copyright","Aacute","Acircumflex","Adieresis","Agrave","Aring","Atilde","Ccedilla","Eacute","Ecircumflex","Edieresis","Egrave","Iacute","Icircumflex","Idieresis","Igrave","Ntilde","Oacute","Ocircumflex","Odieresis","Ograve","Otilde","Scaron","Uacute","Ucircumflex","Udieresis","Ugrave","Yacute","Ydieresis","Zcaron","aacute","acircumflex","adieresis","agrave","aring","atilde","ccedilla","eacute","ecircumflex","edieresis","egrave","iacute","icircumflex","idieresis","igrave","ntilde","oacute","ocircumflex","odieresis","ograve","otilde","scaron","uacute","ucircumflex","udieresis","ugrave","yacute","ydieresis","zcaron","exclamsmall","Hungarumlautsmall","dollaroldstyle","dollarsuperior","ampersandsmall","Acutesmall","parenleftsuperior","parenrightsuperior","twodotenleader","onedotenleader","zerooldstyle","oneoldstyle","twooldstyle","threeoldstyle","fouroldstyle","fiveoldstyle","sixoldstyle","sevenoldstyle","eightoldstyle","nineoldstyle","commasuperior","threequartersemdash","periodsuperior","questionsmall","asuperior","bsuperior","centsuperior","dsuperior","esuperior","isuperior","lsuperior","msuperior","nsuperior","osuperior","rsuperior","ssuperior","tsuperior","ff","ffi","ffl","parenleftinferior","parenrightinferior","Circumflexsmall","hyphensuperior","Gravesmall","Asmall","Bsmall","Csmall","Dsmall","Esmall","Fsmall","Gsmall","Hsmall","Ismall","Jsmall","Ksmall","Lsmall","Msmall","Nsmall","Osmall","Psmall","Qsmall","Rsmall","Ssmall","Tsmall","Usmall","Vsmall","Wsmall","Xsmall","Ysmall","Zsmall","colonmonetary","onefitted","rupiah","Tildesmall","exclamdownsmall","centoldstyle","Lslashsmall","Scaronsmall","Zcaronsmall","Dieresissmall","Brevesmall","Caronsmall","Dotaccentsmall","Macronsmall","figuredash","hypheninferior","Ogoneksmall","Ringsmall","Cedillasmall","questiondownsmall","oneeighth","threeeighths","fiveeighths","seveneighths","onethird","twothirds","zerosuperior","foursuperior","fivesuperior","sixsuperior","sevensuperior","eightsuperior","ninesuperior","zeroinferior","oneinferior","twoinferior","threeinferior","fourinferior","fiveinferior","sixinferior","seveninferior","eightinferior","nineinferior","centinferior","dollarinferior","periodinferior","commainferior","Agravesmall","Aacutesmall","Acircumflexsmall","Atildesmall","Adieresissmall","Aringsmall","AEsmall","Ccedillasmall","Egravesmall","Eacutesmall","Ecircumflexsmall","Edieresissmall","Igravesmall","Iacutesmall","Icircumflexsmall","Idieresissmall","Ethsmall","Ntildesmall","Ogravesmall","Oacutesmall","Ocircumflexsmall","Otildesmall","Odieresissmall","OEsmall","Oslashsmall","Ugravesmall","Uacutesmall","Ucircumflexsmall","Udieresissmall","Yacutesmall","Thornsmall","Ydieresissmall","001.000","001.001","001.002","001.003","Black","Bold","Book","Light","Medium","Regular","Roman","Semibold"],ic=function(a,b,c){var d=6,e=c.length1,f=c.length2,g=b.peekBytes(d),h=128===g[0]&&1===g[1];h&&(b.skip(d),e=g[5]<<24|g[4]<<16|g[3]<<8|g[2]);var i=new Mc(b.getBytes(e)),j=new gc(i);j.extractFontHeader(c),h&&(g=b.getBytes(d),f=g[5]<<24|g[4]<<16|g[3]<<8|g[2]);var k=new Mc(b.getBytes(f)),l=new gc(k,!0),m=l.extractFontProgram();for(var n in m.properties)c[n]=m.properties[n];var o=m.charstrings,p=this.getType2Charstrings(o),q=this.getType2Subrs(m.subrs);this.charstrings=o,this.data=this.wrap(a,p,this.charstrings,q,c),this.seacs=this.getSeacs(m.charstrings)};ic.prototype={get numGlyphs(){return this.charstrings.length+1},getCharset:function(){for(var a=[".notdef"],b=this.charstrings,c=0;c=0&&(f[g]=b)}return Q(a,f,d)},getSeacs:function(a){var b,c,d=[];for(b=0,c=a.length;c>b;b++){var e=a[b];e.seac&&(d[b+1]=e.seac)}return d},getType2Charstrings:function(a){for(var b=[],c=0,d=a.length;d>c;c++)b.push(a[c].charstring);return b},getType2Subrs:function(a){var b=0,c=a.length;b=1133>c?107:33769>c?1131:32768;var d,e=[];for(d=0;b>d;d++)e.push([11]);for(d=0;c>d;d++)e.push(a[d]);return e},wrap:function(a,b,c,d,e){var f=new lc;f.header=new mc(1,0,4,4),f.names=[a];var g=new qc;g.setByName("version",391),g.setByName("Notice",392),g.setByName("FullName",393),g.setByName("FamilyName",394),g.setByName("Weight",395),g.setByName("Encoding",null),g.setByName("FontMatrix",e.fontMatrix),g.setByName("FontBBox",e.bbox),g.setByName("charset",null),g.setByName("CharStrings",null),g.setByName("Private",null),f.topDict=g;var h=new nc;h.add("Version 0.11"),h.add("See original notice"),h.add(a),h.add(a),h.add("Medium"),f.strings=h,f.globalSubrIndex=new oc;var i,j,k=b.length,l=[0];for(i=0;k>i;i++){var m=hc.indexOf(c[i].glyphName);-1===m&&(m=0),l.push(m>>8&255,255&m)}f.charset=new tc(!1,0,[],l);var n=new oc;for(n.add([139,14]),i=0;k>i;i++)n.add(b[i]);f.charStrings=n;var o=new rc;o.setByName("Subrs",null);var p=["BlueValues","OtherBlues","FamilyBlues","FamilyOtherBlues","StemSnapH","StemSnapV","BlueShift","BlueFuzz","BlueScale","LanguageGroup","ExpansionFactor","ForceBold","StdHW","StdVW"];for(i=0,j=p.length;j>i;i++){var q=p[i];if(e.privateData.hasOwnProperty(q)){var r=e.privateData[q];if(C(r))for(var s=r.length-1;s>0;s--)r[s]-=r[s-1];o.setByName(q,r)}}f.topDict.privateDict=o;var t=new oc;for(i=0,j=d.length;j>i;i++)t.add(d[i]);o.subrsIndex=t;var u=new xc(f);return u.compile()}};var jc=function(){function a(a,c){this.properties=c;var d=new kc(a,c);this.cff=d.parse();var e=new xc(this.cff);this.seacs=this.cff.seacs;try{this.data=e.compile()}catch(f){b("Failed to compile font "+c.loadedName),this.data=a}}return a.prototype={get numGlyphs(){return this.cff.charStrings.count},getCharset:function(){return this.cff.charset.charset},getGlyphMapping:function(){var a,b,c=this.cff,d=this.properties,e=c.charset.charset;if(d.composite){if(a=Object.create(null),c.isCIDFont)for(b=0;bq;++q){var s=p.get(q),t=this.createDict(qc,this.parseDict(s),b.strings);this.parsePrivateDict(t),b.fdArray.push(t)}o=null,n=this.parseCharsets(i.getByName("charset"),b.charStrings.count,b.strings,!0),b.fdSelect=this.parseFDSelect(i.getByName("FDSelect"),b.charStrings.count)}else n=this.parseCharsets(i.getByName("charset"),b.charStrings.count,b.strings,!1),o=this.parseEncoding(i.getByName("Encoding"),a,b.strings,n.charset);return b.charset=n,b.encoding=o,b},parseHeader:function(){for(var b=this.bytes,d=b.length,e=0;d>e&&1!==b[e];)++e;e>=d?c("Invalid CFF header"):0!==e&&(a("cff data is shifted"),b=b.subarray(e),this.bytes=b);var f=b[0],g=b[1],h=b[2],i=b[3],j=new mc(f,g,h,i);return{obj:j,endPos:h}},parseDict:function(a){function b(){var b=a[e++];return 30===b?d(e):28===b?(b=a[e++],b=(b<<24|a[e++]<<16)>>16):29===b?(b=a[e++],b=b<<8|a[e++],b=b<<8|a[e++],b=b<<8|a[e++]):b>=32&&246>=b?b-139:b>=247&&250>=b?256*(b-247)+a[e++]+108:b>=251&&254>=b?-(256*(b-251))-a[e++]-108:(c("255 is not a valid DICT command"),-1)}function d(){for(var b="",c=15,d=["0","1","2","3","4","5","6","7","8","9",".","E","E-",null,"-"],f=a.length;f>e;){var g=a[e++],h=g>>4,i=15&g;if(h===c)break;if(b+=d[h],i===c)break;b+=d[i]}return parseFloat(b)}var e=0,f=[],g=[];e=0;for(var h=a.length;h>e;){var i=a[e];21>=i?(12===i&&(i=i<<8|a[++e]),g.push([i,f]),f=[],++e):f.push(b())}return g},parseIndex:function(a){var b,c,d=new oc,e=this.bytes,f=e[a++]<<8|e[a++],g=[],h=a;if(0!==f){var i=e[a++],j=a+(f+1)*i-1;for(b=0,c=f+1;c>b;++b){for(var k=0,l=0;i>l;++l)k<<=8,k+=e[a++];g.push(j+k)}h=g[f]}for(b=0,c=g.length-1;c>b;++b){var m=g[b],n=g[b+1];d.add(e.subarray(m,n))}return{obj:d,endPos:h}},parseNameIndex:function(a){for(var b=[],c=0,d=a.count;d>c;++c){for(var e=a.get(c),f=Math.min(e.length,127),g=[],h=0;f>h;++h){var j=e[h];(0!==h||0!==j)&&(33>j||j>126||91===j||93===j||40===j||41===j||123===j||125===j||60===j||62===j||47===j||37===j||35===j)?g[h]=95:g[h]=j}b.push(i(g))}return b},parseStringIndex:function(a){for(var b=new nc,c=0,d=a.count;d>c;++c){var e=a.get(c);b.add(i(e))}return b},createDict:function(a,b,c){for(var d=new a(c),e=0,f=b.length;f>e;++e){var g=b[e],h=g[0],i=g[1];d.setByKey(h,i)}return d},parseCharStrings:function(a){for(var c=this.parseIndex(a).obj,d=[],g=[],h=c.count,i=0;h>i;i++){for(var j=c.get(i),k=0,l=[],m=!0,n=0,o=!0,p=j,q=p.length,r=!0,s=0;q>s;){var t=p[s++],u=null;if(12===t){var v=p[s++];0===v?(p[s-2]=139,p[s-1]=22,k=0):u=f[v]}else 28===t?(l[k]=(p[s]<<24|p[s+1]<<16)>>16,s+=2,k++):14===t?(k>=4&&(k-=4,Nb&&(d[i]=l.slice(k,k+4),o=!1)),u=e[t]):t>=32&&246>=t?(l[k]=t-139,k++):t>=247&&254>=t?(l[k]=251>t?(t-247<<8)+p[s]+108:-(t-251<<8)-p[s]-108,s++,k++):255===t?(l[k]=(p[s]<<24|p[s+1]<<16|p[s+2]<<8|p[s+3])/65536,s+=4,k++):19===t||20===t?(n+=k>>1,s+=n+7>>3,k%=2,u=e[t]):u=e[t];if(u){if(u.stem&&(n+=k>>1),"min"in u&&!m&&k=2&&u.stem?k%=2:k>1&&b("Found too many parameters for stack-clearing command"),k>0&&l[k-1]>=0&&(g[i]=l[k-1])),"stackDelta"in u?("stackFn"in u&&u.stackFn(l,k),k+=u.stackDelta):u.stackClearing?k=0:u.resetStack?(k=0,m=!1):u.undefStack&&(k=0,m=!0,r=!1)}}o||c.set(i,new Uint8Array([14]))}return{charStrings:c,seacs:d,widths:g}},emptyPrivateDictionary:function(a){var b=this.createDict(rc,[],a.strings);a.setByKey(18,[0,0]),a.privateDict=b},parsePrivateDict:function(a){if(!a.hasName("Private"))return void this.emptyPrivateDictionary(a);var b=a.getByName("Private");if(!C(b)||2!==b.length)return void a.removeByName("Private");var c=b[0],d=b[1];if(0===c||d>=this.bytes.length)return void this.emptyPrivateDictionary(a);var e=d+c,f=this.bytes.subarray(d,e),g=this.parseDict(f),h=this.createDict(rc,g,a.strings);if(a.privateDict=h,h.getByName("Subrs")){var i=h.getByName("Subrs"),j=d+i;if(0===i||j>=this.bytes.length)return void this.emptyPrivateDictionary(a);var k=this.parseIndex(j);h.subrsIndex=k.obj}},parseCharsets:function(a,b,d,e){if(0===a)return new tc(!0,sc.ISO_ADOBE,Ja);if(1===a)return new tc(!0,sc.EXPERT,Ka);if(2===a)return new tc(!0,sc.EXPERT_SUBSET,La);var f,g,h,i=this.bytes,j=a,k=i[a++],l=[".notdef"];switch(b-=1,k){case 0:for(h=0;b>h;h++)f=i[a++]<<8|i[a++],l.push(e?f:d.get(f));break;case 1:for(;l.length<=b;)for(f=i[a++]<<8|i[a++],g=i[a++],h=0;g>=h;h++)l.push(e?f++:d.get(f++));break;case 2:for(;l.length<=b;)for(f=i[a++]<<8|i[a++],g=i[a++]<<8|i[a++],h=0;g>=h;h++)l.push(e?f++:d.get(f++));break;default:c("Unknown charset format")}var m=a,n=i.subarray(j,m);return new tc(!1,k,l,n)},parseEncoding:function(a,b,d,e){function f(){var b=k[a++];for(h=0;b>h;h++){var c=k[a++],f=(k[a++]<<8)+(255&k[a++]);j[c]=e.indexOf(d.get(f))}}var g,h,i,j={},k=this.bytes,l=!1,m=!1,n=null;if(0===a||1===a){l=!0,g=a;var o=a?Pb.ExpertEncoding:Pb.StandardEncoding;for(h=0,i=e.length;i>h;h++){var p=o.indexOf(e[h]);-1!==p&&(j[p]=h)}}else{var q=a;switch(g=k[a++],127&g){case 0:var r=k[a++];for(h=1;r>=h;h++)j[k[a++]]=h;break;case 1:var s=k[a++],t=1;for(h=0;s>h;h++)for(var u=k[a++],v=k[a++],w=u;u+v>=w;w++)j[w]=t++;break;default:c("Unknow encoding format: "+g+" in CFF")}var x=a;128&g&&(k[q]&=127,f(),m=!0),n=k.subarray(q,x)}return g=127&g,new uc(l,g,j,n)},parseFDSelect:function(a,b){var d,e=a,f=this.bytes,g=f[a++],h=[];switch(g){case 0:for(d=0;b>d;++d){var i=f[a++];h.push(i)}break;case 3:var j=f[a++]<<8|f[a++];for(d=0;j>d;++d)for(var k=f[a++]<<8|f[a++],l=f[a++],m=f[a]<<8|f[a+1],n=k;m>n;++n)h.push(l);a+=2;break;default:c("Unknown fdselect format "+g)}var o=a;return new vc(h,f.subarray(e,o))}},d}(),lc=function(){function a(){this.header=null,this.names=[],this.topDict=null,this.strings=new nc,this.globalSubrIndex=null,this.encoding=null,this.charset=null,this.charStrings=null,this.fdArray=[],this.fdSelect=null,this.isCIDFont=!1}return a}(),mc=function(){function a(a,b,c,d){this.major=a,this.minor=b,this.hdrSize=c,this.offSize=d}return a}(),nc=function(){function a(){this.strings=[]}return a.prototype={get:function(a){return a>=0&&390>=a?hc[a]:a-391<=this.strings.length?this.strings[a-391]:hc[0]},add:function(a){this.strings.push(a)},get count(){return this.strings.length}},a}(),oc=function(){function a(){this.objects=[],this.length=0}return a.prototype={add:function(a){this.length+=a.length,this.objects.push(a)},set:function(a,b){this.length+=b.length-this.objects[a].length,this.objects[a]=b},get:function(a){return this.objects[a]},get count(){return this.objects.length}},a}(),pc=function(){function a(a,b){this.keyToNameMap=a.keyToNameMap,this.nameToKeyMap=a.nameToKeyMap,this.defaults=a.defaults,this.types=a.types,this.opcodes=a.opcodes,this.order=a.order,this.strings=b,this.values={}}return a.prototype={setByKey:function(a,b){if(!(a in this.keyToNameMap))return!1;if(0===b.length)return!0;var c=this.types[a];return"num"!==c&&"sid"!==c&&"offset"!==c||(b=b[0]),this.values[a]=b,!0},setByName:function(a,b){a in this.nameToKeyMap||c('Invalid dictionary name "'+a+'"'),this.values[this.nameToKeyMap[a]]=b},hasName:function(a){return this.nameToKeyMap[a]in this.values},getByName:function(a){a in this.nameToKeyMap||c('Invalid dictionary name "'+a+'"');var b=this.nameToKeyMap[a];return b in this.values?this.values[b]:this.defaults[b]},removeByName:function(a){delete this.values[this.nameToKeyMap[a]]}},a.createTables=function(a){for(var b={keyToNameMap:{},nameToKeyMap:{},defaults:{},types:{},opcodes:{},order:[]},c=0,d=a.length;d>c;++c){var e=a[c],f=C(e[0])?(e[0][0]<<8)+e[0][1]:e[0];b.keyToNameMap[f]=e[1],b.nameToKeyMap[e[1]]=f,b.types[f]=e[2],b.defaults[f]=e[3],b.opcodes[f]=C(e[0])?e[0]:[e[0]],b.order.push(f)}return b},a}(),qc=function(){function a(a){null===c&&(c=pc.createTables(b)),pc.call(this,c,a),this.privateDict=null}var b=[[[12,30],"ROS",["sid","sid","num"],null],[[12,20],"SyntheticBase","num",null],[0,"version","sid",null],[1,"Notice","sid",null],[[12,0],"Copyright","sid",null],[2,"FullName","sid",null],[3,"FamilyName","sid",null],[4,"Weight","sid",null],[[12,1],"isFixedPitch","num",0],[[12,2],"ItalicAngle","num",0],[[12,3],"UnderlinePosition","num",-100],[[12,4],"UnderlineThickness","num",50],[[12,5],"PaintType","num",0],[[12,6],"CharstringType","num",2],[[12,7],"FontMatrix",["num","num","num","num","num","num"],[.001,0,0,.001,0,0]],[13,"UniqueID","num",null],[5,"FontBBox",["num","num","num","num"],[0,0,0,0]],[[12,8],"StrokeWidth","num",0],[14,"XUID","array",null],[15,"charset","offset",0],[16,"Encoding","offset",0],[17,"CharStrings","offset",0],[18,"Private",["offset","offset"],null],[[12,21],"PostScript","sid",null],[[12,22],"BaseFontName","sid",null],[[12,23],"BaseFontBlend","delta",null],[[12,31],"CIDFontVersion","num",0],[[12,32],"CIDFontRevision","num",0],[[12,33],"CIDFontType","num",0],[[12,34],"CIDCount","num",8720],[[12,35],"UIDBase","num",null],[[12,37],"FDSelect","offset",null],[[12,36],"FDArray","offset",null],[[12,38],"FontName","sid",null]],c=null;return a.prototype=Object.create(pc.prototype),a}(),rc=function(){function a(a){null===c&&(c=pc.createTables(b)),pc.call(this,c,a),this.subrsIndex=null}var b=[[6,"BlueValues","delta",null],[7,"OtherBlues","delta",null],[8,"FamilyBlues","delta",null],[9,"FamilyOtherBlues","delta",null],[[12,9],"BlueScale","num",.039625],[[12,10],"BlueShift","num",7],[[12,11],"BlueFuzz","num",1],[10,"StdHW","num",null],[11,"StdVW","num",null],[[12,12],"StemSnapH","delta",null],[[12,13],"StemSnapV","delta",null],[[12,14],"ForceBold","num",0],[[12,17],"LanguageGroup","num",0],[[12,18],"ExpansionFactor","num",.06],[[12,19],"initialRandomSeed","num",0],[20,"defaultWidthX","num",0],[21,"nominalWidthX","num",0],[19,"Subrs","offset",null]],c=null;return a.prototype=Object.create(pc.prototype),a}(),sc={ISO_ADOBE:0,EXPERT:1,EXPERT_SUBSET:2},tc=function(){function a(a,b,c,d){this.predefined=a,this.format=b,this.charset=c,this.raw=d}return a}(),uc=function(){function a(a,b,c,d){this.predefined=a,this.format=b,this.encoding=c,this.raw=d}return a}(),vc=function(){function a(a,b){this.fdSelect=a,this.raw=b}return a}(),wc=function(){function a(){this.offsets={}}return a.prototype={isTracking:function(a){return a in this.offsets},track:function(a,b){a in this.offsets&&c("Already tracking location of "+a),this.offsets[a]=b},offset:function(a){for(var b in this.offsets)this.offsets[b]+=a},setEntryLocation:function(a,b,d){a in this.offsets||c("Not tracking location of "+a);for(var e=d.data,f=this.offsets[a],g=5,h=0,i=b.length;i>h;++h){var j=h*g+f,k=j+1,l=j+2,m=j+3,n=j+4;29===e[j]&&0===e[k]&&0===e[l]&&0===e[m]&&0===e[n]||c("writing to an offset that is not empty");var o=b[h];e[j]=29,e[k]=o>>24&255,e[l]=o>>16&255,e[m]=o>>8&255,e[n]=255&o}}},a}(),xc=function(){function a(a){this.cff=a}return a.prototype={compile:function(){var a=this.cff,b={data:[],length:0,add:function(a){this.data=this.data.concat(a),this.length=this.data.length}},c=this.compileHeader(a.header);b.add(c);var d=this.compileNameIndex(a.names);if(b.add(d),a.isCIDFont&&a.topDict.hasName("FontMatrix")){var e=a.topDict.getByName("FontMatrix");a.topDict.removeByName("FontMatrix");for(var f=0,g=a.fdArray.length;g>f;f++){var h=a.fdArray[f],i=e.slice(0);h.hasName("FontMatrix")&&(i=na.transform(i,h.getByName("FontMatrix"))),h.setByName("FontMatrix",i)}}var j=this.compileTopDicts([a.topDict],b.length,a.isCIDFont);b.add(j.output);var k=j.trackers[0],l=this.compileStringIndex(a.strings.strings);b.add(l);var m=this.compileIndex(a.globalSubrIndex);if(b.add(m),a.encoding&&a.topDict.hasName("Encoding"))if(a.encoding.predefined)k.setEntryLocation("Encoding",[a.encoding.format],b);else{var n=this.compileEncoding(a.encoding);k.setEntryLocation("Encoding",[b.length],b),b.add(n)}if(a.charset&&a.topDict.hasName("charset"))if(a.charset.predefined)k.setEntryLocation("charset",[a.charset.format],b);else{var o=this.compileCharset(a.charset);k.setEntryLocation("charset",[b.length],b),b.add(o)}var p=this.compileCharStrings(a.charStrings);if(k.setEntryLocation("CharStrings",[b.length],b),b.add(p),a.isCIDFont){k.setEntryLocation("FDSelect",[b.length],b);var q=this.compileFDSelect(a.fdSelect.raw);b.add(q),j=this.compileTopDicts(a.fdArray,b.length,!0),k.setEntryLocation("FDArray",[b.length],b),b.add(j.output);var r=j.trackers;this.compilePrivateDicts(a.fdArray,r,b)}return this.compilePrivateDicts([a.topDict],[k],b),b.add([0]),b.data},encodeNumber:function(a){return parseFloat(a)!==parseInt(a,10)||isNaN(a)?this.encodeFloat(a):this.encodeInteger(a)},encodeFloat:function(a){var b=a.toString(),c=/\.(\d*?)(?:9{5,20}|0{5,20})\d{0,2}(?:e(.+)|$)/.exec(b);if(c){var d=parseFloat("1e"+((c[2]?+c[2]:0)+c[1].length));b=(Math.round(a*d)/d).toString()}var e,f,g="";for(e=0,f=b.length;f>e;++e){var h=b[e];g+="e"===h?"-"===b[++e]?"c":"b":"."===h?"a":"-"===h?"e":h}g+=1&g.length?"f":"ff";var i=[30];for(e=0,f=g.length;f>e;e+=2)i.push(parseInt(g.substr(e,2),16));return i},encodeInteger:function(a){var b;return a>=-107&&107>=a?b=[a+139]:a>=108&&1131>=a?(a=[a-108],b=[(a>>8)+247,255&a]):a>=-1131&&-108>=a?(a=-a-108,b=[(a>>8)+251,255&a]):b=a>=-32768&&32767>=a?[28,a>>8&255,255&a]:[29,a>>24&255,a>>16&255,a>>8&255,255&a],b},compileHeader:function(a){return[a.major,a.minor,a.hdrSize,a.offSize]},compileNameIndex:function(a){for(var b=new oc,c=0,d=a.length;d>c;++c)b.add(j(a[c]));return this.compileIndex(b)},compileTopDicts:function(a,b,c){for(var d=[],e=new oc,f=0,g=a.length;g>f;++f){var h=a[f];c&&(h.removeByName("CIDFontVersion"),h.removeByName("CIDFontRevision"),h.removeByName("CIDFontType"),h.removeByName("CIDCount"),h.removeByName("UIDBase"));var i=new wc,j=this.compileDict(h,i);d.push(i),e.add(j),i.offset(b)}return e=this.compileIndex(e,d),{trackers:d,output:e}},compilePrivateDicts:function(a,b,c){for(var d=0,f=a.length;f>d;++d){var g=a[d];e(g.privateDict&&g.hasName("Private"),"There must be an private dictionary.");var h=g.privateDict,i=new wc,j=this.compileDict(h,i),k=c.length;if(i.offset(k),j.length||(k=0),b[d].setEntryLocation("Private",[j.length,k],c),c.add(j),h.subrsIndex&&h.hasName("Subrs")){var l=this.compileIndex(h.subrsIndex);i.setEntryLocation("Subrs",[j.length],c),c.add(l)}}},compileDict:function(a,b){for(var d=[],e=a.order,f=0;fj;++j){var l=i[j],m=h[j];switch(l){case"num":case"sid":d=d.concat(this.encodeNumber(m));break;case"offset":var n=a.keyToNameMap[g];b.isTracking(n)||b.track(n,d.length),d=d.concat([29,0,0,0,0]);break;case"array":case"delta":d=d.concat(this.encodeNumber(m));for(var o=1,p=h.length;p>o;++o)d=d.concat(this.encodeNumber(h[o]));break;default:c("Unknown data type of "+l)}}d=d.concat(a.opcodes[g])}}}return d},compileStringIndex:function(a){for(var b=new oc,c=0,d=a.length;d>c;++c)b.add(j(a[c]));return this.compileIndex(b)},compileGlobalSubrIndex:function(){var a=this.cff.globalSubrIndex;this.out.writeByteArray(this.compileIndex(a))},compileCharStrings:function(a){return this.compileIndex(a)},compileCharset:function(a){return this.compileTypedArray(a.raw)},compileEncoding:function(a){return this.compileTypedArray(a.raw)},compileFDSelect:function(a){return this.compileTypedArray(a)},compileTypedArray:function(a){for(var b=[],c=0,d=a.length;d>c;++c)b[c]=a[c];return b},compileIndex:function(a,b){b=b||[];var c=a.objects,d=c.length;if(0===d)return[0,0,0];var e,f=[d>>8&255,255&d],g=1;for(e=0;d>e;++e)g+=c[e].length;var h;h=256>g?1:65536>g?2:16777216>g?3:4,f.push(h);var i=1;for(e=0;d+1>e;e++)1===h?f.push(255&i):2===h?f.push(i>>8&255,255&i):3===h?f.push(i>>16&255,i>>8&255,255&i):f.push(i>>>24&255,i>>16&255,i>>8&255,255&i),c[e]&&(i+=c[e].length);for(e=0;d>e;e++){b[e]&&b[e].offset(f.length);for(var j=0,k=c[e].length;k>j;j++)f.push(c[e][j])}return f}},a}();!function(){/Windows/.test(navigator.userAgent)&&(Nb=!0)}(),function(){/Windows.*Chrome/.test(navigator.userAgent)&&(Kb=!0)}();var yc=function(){function a(a,b){return a[b]<<24|a[b+1]<<16|a[b+2]<<8|a[b+3]}function b(a,b){return a[b]<<8|a[b+1]}function d(d,e,f){ -var g,h,i,j,k=1===b(d,e+2)?a(d,e+8):a(d,e+16),l=b(d,e+k);if(4===l){g=b(d,e+k+2);var m=b(d,e+k+6)>>1;for(i=e+k+14,h=[],j=0;m>j;j++,i+=2)h[j]={end:b(d,i)};for(i+=2,j=0;m>j;j++,i+=2)h[j].start=b(d,i);for(j=0;m>j;j++,i+=2)h[j].idDelta=b(d,i);for(j=0;m>j;j++,i+=2){var n=b(d,i);if(0!==n){h[j].ids=[];for(var o=0,p=h[j].end-h[j].start+1;p>o;o++)h[j].ids[o]=b(d,i+n),n+=2}}return h}if(12===l){g=a(d,e+k+4);var q=a(d,e+k+12);for(i=e+k+16,h=[],j=0;q>j;j++)h.push({start:a(d,i),end:a(d,i+4),idDelta:a(d,i+8)-a(d,i)}),i+=12;return h}c("not supported cmap: "+l)}function e(a,b,c){var d={},e=new kc(new Mc(a,b,c-b),d),f=e.parse();return{glyphs:f.charStrings.objects,subrs:f.topDict.privateDict&&f.topDict.privateDict.subrsIndex&&f.topDict.privateDict.subrsIndex.objects,gsubrs:f.globalSubrIndex&&f.globalSubrIndex.objects}}function f(a,b,c){var d,e;c?(d=4,e=function(a,b){return a[b]<<24|a[b+1]<<16|a[b+2]<<8|a[b+3]}):(d=2,e=function(a,b){return a[b]<<9|a[b+1]<<1});for(var f=[],g=e(b,0),h=d;hd;){var f=d+e+1>>1;c>16,k=0,l=0;if(i+=10,0>j){do{g=a[i]<<8|a[i+1];var m=a[i+2]<<8|a[i+3];i+=4;var n,o;1&g?(n=(a[i]<<24|a[i+1]<<16)>>16,o=(a[i+2]<<24|a[i+3]<<16)>>16,i+=4):(n=a[i++],o=a[i++]),2&g?(k=n,l=o):(k=0,l=0);var p=1,q=1,r=0,s=0;8&g?(p=q=(a[i]<<24|a[i+1]<<16)/1073741824,i+=2):64&g?(p=(a[i]<<24|a[i+1]<<16)/1073741824,q=(a[i+2]<<24|a[i+3]<<16)/1073741824,i+=4):128&g&&(p=(a[i]<<24|a[i+1]<<16)/1073741824,r=(a[i+2]<<24|a[i+3]<<16)/1073741824,s=(a[i+4]<<24|a[i+5]<<16)/1073741824,q=(a[i+6]<<24|a[i+7]<<16)/1073741824,i+=8);var t=c.glyphs[m];t&&(b.push({cmd:"save"}),b.push({cmd:"transform",args:[p,r,s,q,k,l]}),h(t,b,c),b.push({cmd:"restore"}))}while(32&g)}else{var u,v,w=[];for(u=0;j>u;u++)w.push(a[i]<<8|a[i+1]),i+=2;var x=a[i]<<8|a[i+1];i+=2+x;for(var y=w[w.length-1]+1,z=[];z.length0;)z.push({flags:g})}for(u=0;y>u;u++){switch(18&z[u].flags){case 0:k+=(a[i]<<24|a[i+1]<<16)>>16,i+=2;break;case 2:k-=a[i++];break;case 18:k+=a[i++]}z[u].x=k}for(u=0;y>u;u++){switch(36&z[u].flags){case 0:l+=(a[i]<<24|a[i+1]<<16)>>16,i+=2;break;case 4:l-=a[i++];break;case 36:l+=a[i++]}z[u].y=l}var B=0;for(i=0;j>i;i++){var C=w[i],D=z.slice(B,C+1);if(1&D[0].flags)D.push(D[0]);else if(1&D[D.length-1].flags)D.unshift(D[D.length-1]);else{var E={flags:1,x:(D[0].x+D[D.length-1].x)/2,y:(D[0].y+D[D.length-1].y)/2};D.unshift(E),D.push(E)}for(d(D[0].x,D[0].y),u=1,v=D.length;v>u;u++)1&D[u].flags?e(D[u].x,D[u].y):1&D[u+1].flags?(f(D[u].x,D[u].y,D[u+1].x,D[u+1].y),u++):f(D[u].x,D[u].y,(D[u].x+D[u+1].x)/2,(D[u].y+D[u+1].y)/2);B=C+1}}}function j(a,b,d){function e(a,c){b.push({cmd:"moveTo",args:[a,c]})}function f(a,c){b.push({cmd:"lineTo",args:[a,c]})}function h(a,c,d,e,f,g){b.push({cmd:"bezierCurveTo",args:[a,c,d,e,f,g]})}function i(a){for(var o=0;o>1,y=!0;break;case 3:n+=k.length>>1,y=!0;break;case 4:m+=k.pop(),e(l,m),y=!0;break;case 5:for(;k.length>0;)l+=k.shift(),m+=k.shift(),f(l,m);break;case 6:for(;k.length>0&&(l+=k.shift(),f(l,m),0!==k.length);)m+=k.shift(),f(l,m);break;case 7:for(;k.length>0&&(m+=k.shift(),f(l,m),0!==k.length);)l+=k.shift(),f(l,m);break;case 8:for(;k.length>0;)p=l+k.shift(),r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q+k.shift(),m=s+k.shift(),h(p,r,q,s,l,m);break;case 10:w=k.pop()+d.subrsBias,x=d.subrs[w],x&&i(x);break;case 11:return;case 12:switch(z=a[o++]){case 34:p=l+k.shift(),q=p+k.shift(),t=m+k.shift(),l=q+k.shift(),h(p,m,q,t,l,t),p=l+k.shift(),q=p+k.shift(),l=q+k.shift(),h(p,t,q,m,l,m);break;case 35:p=l+k.shift(),r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q+k.shift(),m=s+k.shift(),h(p,r,q,s,l,m),p=l+k.shift(),r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q+k.shift(),m=s+k.shift(),h(p,r,q,s,l,m),k.pop();break;case 36:p=l+k.shift(),t=m+k.shift(),q=p+k.shift(),u=t+k.shift(),l=q+k.shift(),h(p,t,q,u,l,u),p=l+k.shift(),q=p+k.shift(),v=u+k.shift(),l=q+k.shift(),h(p,u,q,v,l,m);break;case 37:var A=l,B=m;p=l+k.shift(),r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q+k.shift(),m=s+k.shift(),h(p,r,q,s,l,m),p=l+k.shift(),r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q,m=s,Math.abs(l-A)>Math.abs(m-B)?l+=k.shift():m+=k.shift(),h(p,r,q,s,l,m);break;default:c("unknown operator: 12 "+z)}break;case 14:if(k.length>=4){var C=k.pop(),D=k.pop();m=k.pop(),l=k.pop(),b.push({cmd:"save"}),b.push({cmd:"translate",args:[l,m]});var E=g(d.cmap,String.fromCharCode(d.glyphNameMap[Pb.StandardEncoding[C]]));j(d.glyphs[E],b,d),b.push({cmd:"restore"}),E=g(d.cmap,String.fromCharCode(d.glyphNameMap[Pb.StandardEncoding[D]])),j(d.glyphs[E],b,d)}return;case 18:n+=k.length>>1,y=!0;break;case 19:n+=k.length>>1,o+=n+7>>3,y=!0;break;case 20:n+=k.length>>1,o+=n+7>>3,y=!0;break;case 21:m+=k.pop(),l+=k.pop(),e(l,m),y=!0;break;case 22:l+=k.pop(),e(l,m),y=!0;break;case 23:n+=k.length>>1,y=!0;break;case 24:for(;k.length>2;)p=l+k.shift(),r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q+k.shift(),m=s+k.shift(),h(p,r,q,s,l,m);l+=k.shift(),m+=k.shift(),f(l,m);break;case 25:for(;k.length>6;)l+=k.shift(),m+=k.shift(),f(l,m);p=l+k.shift(),r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q+k.shift(),m=s+k.shift(),h(p,r,q,s,l,m);break;case 26:for(k.length%2&&(l+=k.shift());k.length>0;)p=l,r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q,m=s+k.shift(),h(p,r,q,s,l,m);break;case 27:for(k.length%2&&(m+=k.shift());k.length>0;)p=l+k.shift(),r=m,q=p+k.shift(),s=r+k.shift(),l=q+k.shift(),m=s,h(p,r,q,s,l,m);break;case 28:k.push((a[o]<<24|a[o+1]<<16)>>16),o+=2;break;case 29:w=k.pop()+d.gsubrsBias,x=d.gsubrs[w],x&&i(x);break;case 30:for(;k.length>0&&(p=l,r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q+k.shift(),m=s+(1===k.length?k.shift():0),h(p,r,q,s,l,m),0!==k.length);)p=l+k.shift(),r=m,q=p+k.shift(),s=r+k.shift(),m=s+k.shift(),l=q+(1===k.length?k.shift():0),h(p,r,q,s,l,m);break;case 31:for(;k.length>0&&(p=l+k.shift(),r=m,q=p+k.shift(),s=r+k.shift(),m=s+k.shift(),l=q+(1===k.length?k.shift():0),h(p,r,q,s,l,m),0!==k.length);)p=l,r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q+k.shift(),m=s+(1===k.length?k.shift():0),h(p,r,q,s,l,m);break;default:32>z&&c("unknown operator: "+z),247>z?k.push(z-139):251>z?k.push(256*(z-247)+a[o++]+108):255>z?k.push(256*-(z-251)-a[o++]-108):(k.push((a[o]<<24|a[o+1]<<16|a[o+2]<<8|a[o+3])/65536),o+=4)}y&&(k.length=0)}}var k=[],l=0,m=0,n=0;i(a)}function k(a){this.compiledGlyphs={},this.fontMatrix=a}function l(a,b,c){c=c||[488e-6,0,0,488e-6,0,0],k.call(this,c),this.glyphs=a,this.cmap=b,this.compiledGlyphs=[]}function m(a,b,c,d){c=c||[.001,0,0,.001,0,0],k.call(this,c),this.glyphs=a.glyphs,this.gsubrs=a.gsubrs||[],this.subrs=a.subrs||[],this.cmap=b,this.glyphNameMap=d||zc,this.compiledGlyphs=[],this.gsubrsBias=this.gsubrs.length<1240?107:this.gsubrs.length<33900?1131:32768,this.subrsBias=this.subrs.length<1240?107:this.subrs.length<33900?1131:32768}var n="";return k.prototype={getPathJs:function(a){var b=g(this.cmap,a),c=this.compiledGlyphs[b];return c||(this.compiledGlyphs[b]=c=this.compileGlyph(this.glyphs[b])),c},compileGlyph:function(a){if(!a||0===a.length||14===a[0])return n;var b=[];return b.push({cmd:"save"}),b.push({cmd:"transform",args:this.fontMatrix.slice()}),b.push({cmd:"scale",args:["size","-size"]}),this.compileGlyphImpl(a,b),b.push({cmd:"restore"}),b},compileGlyphImpl:function(){c("Children classes should implement this.")},hasBuiltPath:function(a){var b=g(this.cmap,a);return b in this.compiledGlyphs}},na.inherit(l,k,{compileGlyphImpl:function(a,b){h(a,b,this)}}),na.inherit(m,k,{compileGlyphImpl:function(a,b){j(a,b,this)}}),{create:function(c){for(var g,h,j,k,n,o,p=new Uint8Array(c.data),q=b(p,4),r=0,s=12;q>r;r++,s+=16){var t=i(p.subarray(s,s+4)),u=a(p,s+8),v=a(p,s+12);switch(t){case"cmap":g=d(p,u,u+v);break;case"glyf":h=p.subarray(u,u+v);break;case"loca":j=p.subarray(u,u+v);break;case"head":o=b(p,u+18),n=b(p,u+50);break;case"CFF ":k=e(p,u,u+v)}}if(h){var w=o?[1/o,0,0,1/o,0,0]:c.fontMatrix;return new l(f(h,j,n),g,w)}return new m(k,g,c.fontMatrix,c.glyphNameMap)}}}(),zc={A:65,AE:198,AEacute:508,AEmacron:482,AEsmall:63462,Aacute:193,Aacutesmall:63457,Abreve:258,Abreveacute:7854,Abrevecyrillic:1232,Abrevedotbelow:7862,Abrevegrave:7856,Abrevehookabove:7858,Abrevetilde:7860,Acaron:461,Acircle:9398,Acircumflex:194,Acircumflexacute:7844,Acircumflexdotbelow:7852,Acircumflexgrave:7846,Acircumflexhookabove:7848,Acircumflexsmall:63458,Acircumflextilde:7850,Acute:63177,Acutesmall:63412,Acyrillic:1040,Adblgrave:512,Adieresis:196,Adieresiscyrillic:1234,Adieresismacron:478,Adieresissmall:63460,Adotbelow:7840,Adotmacron:480,Agrave:192,Agravesmall:63456,Ahookabove:7842,Aiecyrillic:1236,Ainvertedbreve:514,Alpha:913,Alphatonos:902,Amacron:256,Amonospace:65313,Aogonek:260,Aring:197,Aringacute:506,Aringbelow:7680,Aringsmall:63461,Asmall:63329,Atilde:195,Atildesmall:63459,Aybarmenian:1329,B:66,Bcircle:9399,Bdotaccent:7682,Bdotbelow:7684,Becyrillic:1041,Benarmenian:1330,Beta:914,Bhook:385,Blinebelow:7686,Bmonospace:65314,Brevesmall:63220,Bsmall:63330,Btopbar:386,C:67,Caarmenian:1342,Cacute:262,Caron:63178,Caronsmall:63221,Ccaron:268,Ccedilla:199,Ccedillaacute:7688,Ccedillasmall:63463,Ccircle:9400,Ccircumflex:264,Cdot:266,Cdotaccent:266,Cedillasmall:63416,Chaarmenian:1353,Cheabkhasiancyrillic:1212,Checyrillic:1063,Chedescenderabkhasiancyrillic:1214,Chedescendercyrillic:1206,Chedieresiscyrillic:1268,Cheharmenian:1347,Chekhakassiancyrillic:1227,Cheverticalstrokecyrillic:1208,Chi:935,Chook:391,Circumflexsmall:63222,Cmonospace:65315,Coarmenian:1361,Csmall:63331,D:68,DZ:497,DZcaron:452,Daarmenian:1332,Dafrican:393,Dcaron:270,Dcedilla:7696,Dcircle:9401,Dcircumflexbelow:7698,Dcroat:272,Ddotaccent:7690,Ddotbelow:7692,Decyrillic:1044,Deicoptic:1006,Delta:8710,Deltagreek:916,Dhook:394,Dieresis:63179,DieresisAcute:63180,DieresisGrave:63181,Dieresissmall:63400,Digammagreek:988,Djecyrillic:1026,Dlinebelow:7694,Dmonospace:65316,Dotaccentsmall:63223,Dslash:272,Dsmall:63332,Dtopbar:395,Dz:498,Dzcaron:453,Dzeabkhasiancyrillic:1248,Dzecyrillic:1029,Dzhecyrillic:1039,E:69,Eacute:201,Eacutesmall:63465,Ebreve:276,Ecaron:282,Ecedillabreve:7708,Echarmenian:1333,Ecircle:9402,Ecircumflex:202,Ecircumflexacute:7870,Ecircumflexbelow:7704,Ecircumflexdotbelow:7878,Ecircumflexgrave:7872,Ecircumflexhookabove:7874,Ecircumflexsmall:63466,Ecircumflextilde:7876,Ecyrillic:1028,Edblgrave:516,Edieresis:203,Edieresissmall:63467,Edot:278,Edotaccent:278,Edotbelow:7864,Efcyrillic:1060,Egrave:200,Egravesmall:63464,Eharmenian:1335,Ehookabove:7866,Eightroman:8551,Einvertedbreve:518,Eiotifiedcyrillic:1124,Elcyrillic:1051,Elevenroman:8554,Emacron:274,Emacronacute:7702,Emacrongrave:7700,Emcyrillic:1052,Emonospace:65317,Encyrillic:1053,Endescendercyrillic:1186,Eng:330,Enghecyrillic:1188,Enhookcyrillic:1223,Eogonek:280,Eopen:400,Epsilon:917,Epsilontonos:904,Ercyrillic:1056,Ereversed:398,Ereversedcyrillic:1069,Escyrillic:1057,Esdescendercyrillic:1194,Esh:425,Esmall:63333,Eta:919,Etarmenian:1336,Etatonos:905,Eth:208,Ethsmall:63472,Etilde:7868,Etildebelow:7706,Euro:8364,Ezh:439,Ezhcaron:494,Ezhreversed:440,F:70,Fcircle:9403,Fdotaccent:7710,Feharmenian:1366,Feicoptic:996,Fhook:401,Fitacyrillic:1138,Fiveroman:8548,Fmonospace:65318,Fourroman:8547,Fsmall:63334,G:71,GBsquare:13191,Gacute:500,Gamma:915,Gammaafrican:404,Gangiacoptic:1002,Gbreve:286,Gcaron:486,Gcedilla:290,Gcircle:9404,Gcircumflex:284,Gcommaaccent:290,Gdot:288,Gdotaccent:288,Gecyrillic:1043,Ghadarmenian:1346,Ghemiddlehookcyrillic:1172,Ghestrokecyrillic:1170,Gheupturncyrillic:1168,Ghook:403,Gimarmenian:1331,Gjecyrillic:1027,Gmacron:7712,Gmonospace:65319,Grave:63182,Gravesmall:63328,Gsmall:63335,Gsmallhook:667,Gstroke:484,H:72,H18533:9679,H18543:9642,H18551:9643,H22073:9633,HPsquare:13259,Haabkhasiancyrillic:1192,Hadescendercyrillic:1202,Hardsigncyrillic:1066,Hbar:294,Hbrevebelow:7722,Hcedilla:7720,Hcircle:9405,Hcircumflex:292,Hdieresis:7718,Hdotaccent:7714,Hdotbelow:7716,Hmonospace:65320,Hoarmenian:1344,Horicoptic:1e3,Hsmall:63336,Hungarumlaut:63183,Hungarumlautsmall:63224,Hzsquare:13200,I:73,IAcyrillic:1071,IJ:306,IUcyrillic:1070,Iacute:205,Iacutesmall:63469,Ibreve:300,Icaron:463,Icircle:9406,Icircumflex:206,Icircumflexsmall:63470,Icyrillic:1030,Idblgrave:520,Idieresis:207,Idieresisacute:7726,Idieresiscyrillic:1252,Idieresissmall:63471,Idot:304,Idotaccent:304,Idotbelow:7882,Iebrevecyrillic:1238,Iecyrillic:1045,Ifraktur:8465,Igrave:204,Igravesmall:63468,Ihookabove:7880,Iicyrillic:1048,Iinvertedbreve:522,Iishortcyrillic:1049,Imacron:298,Imacroncyrillic:1250,Imonospace:65321,Iniarmenian:1339,Iocyrillic:1025,Iogonek:302,Iota:921,Iotaafrican:406,Iotadieresis:938,Iotatonos:906,Ismall:63337,Istroke:407,Itilde:296,Itildebelow:7724,Izhitsacyrillic:1140,Izhitsadblgravecyrillic:1142,J:74,Jaarmenian:1345,Jcircle:9407,Jcircumflex:308,Jecyrillic:1032,Jheharmenian:1355,Jmonospace:65322,Jsmall:63338,K:75,KBsquare:13189,KKsquare:13261,Kabashkircyrillic:1184,Kacute:7728,Kacyrillic:1050,Kadescendercyrillic:1178,Kahookcyrillic:1219,Kappa:922,Kastrokecyrillic:1182,Kaverticalstrokecyrillic:1180,Kcaron:488,Kcedilla:310,Kcircle:9408,Kcommaaccent:310,Kdotbelow:7730,Keharmenian:1364,Kenarmenian:1343,Khacyrillic:1061,Kheicoptic:998,Khook:408,Kjecyrillic:1036,Klinebelow:7732,Kmonospace:65323,Koppacyrillic:1152,Koppagreek:990,Ksicyrillic:1134,Ksmall:63339,L:76,LJ:455,LL:63167,Lacute:313,Lambda:923,Lcaron:317,Lcedilla:315,Lcircle:9409,Lcircumflexbelow:7740,Lcommaaccent:315,Ldot:319,Ldotaccent:319,Ldotbelow:7734,Ldotbelowmacron:7736,Liwnarmenian:1340,Lj:456,Ljecyrillic:1033,Llinebelow:7738,Lmonospace:65324,Lslash:321,Lslashsmall:63225,Lsmall:63340,M:77,MBsquare:13190,Macron:63184,Macronsmall:63407,Macute:7742,Mcircle:9410,Mdotaccent:7744,Mdotbelow:7746,Menarmenian:1348,Mmonospace:65325,Msmall:63341,Mturned:412,Mu:924,N:78,NJ:458,Nacute:323,Ncaron:327,Ncedilla:325,Ncircle:9411,Ncircumflexbelow:7754,Ncommaaccent:325,Ndotaccent:7748,Ndotbelow:7750,Nhookleft:413,Nineroman:8552,Nj:459,Njecyrillic:1034,Nlinebelow:7752,Nmonospace:65326,Nowarmenian:1350,Nsmall:63342,Ntilde:209,Ntildesmall:63473,Nu:925,O:79,OE:338,OEsmall:63226,Oacute:211,Oacutesmall:63475,Obarredcyrillic:1256,Obarreddieresiscyrillic:1258,Obreve:334,Ocaron:465,Ocenteredtilde:415,Ocircle:9412,Ocircumflex:212,Ocircumflexacute:7888,Ocircumflexdotbelow:7896,Ocircumflexgrave:7890,Ocircumflexhookabove:7892,Ocircumflexsmall:63476,Ocircumflextilde:7894,Ocyrillic:1054,Odblacute:336,Odblgrave:524,Odieresis:214,Odieresiscyrillic:1254,Odieresissmall:63478,Odotbelow:7884,Ogoneksmall:63227,Ograve:210,Ogravesmall:63474,Oharmenian:1365,Ohm:8486,Ohookabove:7886,Ohorn:416,Ohornacute:7898,Ohorndotbelow:7906,Ohorngrave:7900,Ohornhookabove:7902,Ohorntilde:7904,Ohungarumlaut:336,Oi:418,Oinvertedbreve:526,Omacron:332,Omacronacute:7762,Omacrongrave:7760,Omega:8486,Omegacyrillic:1120,Omegagreek:937,Omegaroundcyrillic:1146,Omegatitlocyrillic:1148,Omegatonos:911,Omicron:927,Omicrontonos:908,Omonospace:65327,Oneroman:8544,Oogonek:490,Oogonekmacron:492,Oopen:390,Oslash:216,Oslashacute:510,Oslashsmall:63480,Osmall:63343,Ostrokeacute:510,Otcyrillic:1150,Otilde:213,Otildeacute:7756,Otildedieresis:7758,Otildesmall:63477,P:80,Pacute:7764,Pcircle:9413,Pdotaccent:7766,Pecyrillic:1055,Peharmenian:1354,Pemiddlehookcyrillic:1190,Phi:934,Phook:420,Pi:928,Piwrarmenian:1363,Pmonospace:65328,Psi:936,Psicyrillic:1136,Psmall:63344,Q:81,Qcircle:9414,Qmonospace:65329,Qsmall:63345,R:82,Raarmenian:1356,Racute:340,Rcaron:344,Rcedilla:342,Rcircle:9415,Rcommaaccent:342,Rdblgrave:528,Rdotaccent:7768,Rdotbelow:7770,Rdotbelowmacron:7772,Reharmenian:1360,Rfraktur:8476,Rho:929,Ringsmall:63228,Rinvertedbreve:530,Rlinebelow:7774,Rmonospace:65330,Rsmall:63346,Rsmallinverted:641,Rsmallinvertedsuperior:694,S:83,SF010000:9484,SF020000:9492,SF030000:9488,SF040000:9496,SF050000:9532,SF060000:9516,SF070000:9524,SF080000:9500,SF090000:9508,SF100000:9472,SF110000:9474,SF190000:9569,SF200000:9570,SF210000:9558,SF220000:9557,SF230000:9571,SF240000:9553,SF250000:9559,SF260000:9565,SF270000:9564,SF280000:9563,SF360000:9566,SF370000:9567,SF380000:9562,SF390000:9556,SF400000:9577,SF410000:9574,SF420000:9568,SF430000:9552,SF440000:9580,SF450000:9575,SF460000:9576,SF470000:9572,SF480000:9573,SF490000:9561,SF500000:9560,SF510000:9554,SF520000:9555,SF530000:9579,SF540000:9578,Sacute:346,Sacutedotaccent:7780,Sampigreek:992,Scaron:352,Scarondotaccent:7782,Scaronsmall:63229,Scedilla:350,Schwa:399,Schwacyrillic:1240,Schwadieresiscyrillic:1242,Scircle:9416,Scircumflex:348,Scommaaccent:536,Sdotaccent:7776,Sdotbelow:7778,Sdotbelowdotaccent:7784,Seharmenian:1357,Sevenroman:8550,Shaarmenian:1351,Shacyrillic:1064,Shchacyrillic:1065,Sheicoptic:994,Shhacyrillic:1210,Shimacoptic:1004,Sigma:931,Sixroman:8549,Smonospace:65331,Softsigncyrillic:1068,Ssmall:63347,Stigmagreek:986,T:84,Tau:932,Tbar:358,Tcaron:356,Tcedilla:354,Tcircle:9417,Tcircumflexbelow:7792,Tcommaaccent:354,Tdotaccent:7786,Tdotbelow:7788,Tecyrillic:1058,Tedescendercyrillic:1196,Tenroman:8553,Tetsecyrillic:1204,Theta:920,Thook:428,Thorn:222,Thornsmall:63486,Threeroman:8546,Tildesmall:63230,Tiwnarmenian:1359,Tlinebelow:7790,Tmonospace:65332,Toarmenian:1337,Tonefive:444,Tonesix:388,Tonetwo:423,Tretroflexhook:430,Tsecyrillic:1062,Tshecyrillic:1035,Tsmall:63348,Twelveroman:8555,Tworoman:8545,U:85,Uacute:218,Uacutesmall:63482,Ubreve:364,Ucaron:467,Ucircle:9418,Ucircumflex:219,Ucircumflexbelow:7798,Ucircumflexsmall:63483,Ucyrillic:1059,Udblacute:368,Udblgrave:532,Udieresis:220,Udieresisacute:471,Udieresisbelow:7794,Udieresiscaron:473,Udieresiscyrillic:1264,Udieresisgrave:475,Udieresismacron:469,Udieresissmall:63484,Udotbelow:7908,Ugrave:217,Ugravesmall:63481,Uhookabove:7910,Uhorn:431,Uhornacute:7912,Uhorndotbelow:7920,Uhorngrave:7914,Uhornhookabove:7916,Uhorntilde:7918,Uhungarumlaut:368,Uhungarumlautcyrillic:1266,Uinvertedbreve:534,Ukcyrillic:1144,Umacron:362,Umacroncyrillic:1262,Umacrondieresis:7802,Umonospace:65333,Uogonek:370,Upsilon:933,Upsilon1:978,Upsilonacutehooksymbolgreek:979,Upsilonafrican:433,Upsilondieresis:939,Upsilondieresishooksymbolgreek:980,Upsilonhooksymbol:978,Upsilontonos:910,Uring:366,Ushortcyrillic:1038,Usmall:63349,Ustraightcyrillic:1198,Ustraightstrokecyrillic:1200,Utilde:360,Utildeacute:7800,Utildebelow:7796,V:86,Vcircle:9419,Vdotbelow:7806,Vecyrillic:1042,Vewarmenian:1358,Vhook:434,Vmonospace:65334,Voarmenian:1352,Vsmall:63350,Vtilde:7804,W:87,Wacute:7810,Wcircle:9420,Wcircumflex:372,Wdieresis:7812,Wdotaccent:7814,Wdotbelow:7816,Wgrave:7808,Wmonospace:65335,Wsmall:63351,X:88,Xcircle:9421,Xdieresis:7820,Xdotaccent:7818,Xeharmenian:1341,Xi:926,Xmonospace:65336,Xsmall:63352,Y:89,Yacute:221,Yacutesmall:63485,Yatcyrillic:1122,Ycircle:9422,Ycircumflex:374,Ydieresis:376,Ydieresissmall:63487,Ydotaccent:7822,Ydotbelow:7924,Yericyrillic:1067,Yerudieresiscyrillic:1272,Ygrave:7922,Yhook:435,Yhookabove:7926,Yiarmenian:1349,Yicyrillic:1031,Yiwnarmenian:1362,Ymonospace:65337,Ysmall:63353,Ytilde:7928,Yusbigcyrillic:1130,Yusbigiotifiedcyrillic:1132,Yuslittlecyrillic:1126,Yuslittleiotifiedcyrillic:1128,Z:90,Zaarmenian:1334,Zacute:377,Zcaron:381,Zcaronsmall:63231,Zcircle:9423,Zcircumflex:7824,Zdot:379,Zdotaccent:379,Zdotbelow:7826,Zecyrillic:1047,Zedescendercyrillic:1176,Zedieresiscyrillic:1246,Zeta:918,Zhearmenian:1338,Zhebrevecyrillic:1217,Zhecyrillic:1046,Zhedescendercyrillic:1174,Zhedieresiscyrillic:1244,Zlinebelow:7828,Zmonospace:65338,Zsmall:63354,Zstroke:437,a:97,aabengali:2438,aacute:225,aadeva:2310,aagujarati:2694,aagurmukhi:2566,aamatragurmukhi:2622,aarusquare:13059,aavowelsignbengali:2494,aavowelsigndeva:2366,aavowelsigngujarati:2750,abbreviationmarkarmenian:1375,abbreviationsigndeva:2416,abengali:2437,abopomofo:12570,abreve:259,abreveacute:7855,abrevecyrillic:1233,abrevedotbelow:7863,abrevegrave:7857,abrevehookabove:7859,abrevetilde:7861,acaron:462,acircle:9424,acircumflex:226,acircumflexacute:7845,acircumflexdotbelow:7853,acircumflexgrave:7847,acircumflexhookabove:7849,acircumflextilde:7851,acute:180,acutebelowcmb:791,acutecmb:769,acutecomb:769,acutedeva:2388,acutelowmod:719,acutetonecmb:833,acyrillic:1072,adblgrave:513,addakgurmukhi:2673,adeva:2309,adieresis:228,adieresiscyrillic:1235,adieresismacron:479,adotbelow:7841,adotmacron:481,ae:230,aeacute:509,aekorean:12624,aemacron:483,afii00208:8213,afii08941:8356,afii10017:1040,afii10018:1041,afii10019:1042,afii10020:1043,afii10021:1044,afii10022:1045,afii10023:1025,afii10024:1046,afii10025:1047,afii10026:1048,afii10027:1049,afii10028:1050,afii10029:1051,afii10030:1052,afii10031:1053,afii10032:1054,afii10033:1055,afii10034:1056,afii10035:1057,afii10036:1058,afii10037:1059,afii10038:1060,afii10039:1061,afii10040:1062,afii10041:1063,afii10042:1064,afii10043:1065,afii10044:1066,afii10045:1067,afii10046:1068,afii10047:1069,afii10048:1070,afii10049:1071,afii10050:1168,afii10051:1026,afii10052:1027,afii10053:1028,afii10054:1029,afii10055:1030,afii10056:1031,afii10057:1032,afii10058:1033,afii10059:1034,afii10060:1035,afii10061:1036,afii10062:1038,afii10063:63172,afii10064:63173,afii10065:1072,afii10066:1073,afii10067:1074,afii10068:1075,afii10069:1076,afii10070:1077,afii10071:1105,afii10072:1078,afii10073:1079,afii10074:1080,afii10075:1081,afii10076:1082,afii10077:1083,afii10078:1084,afii10079:1085,afii10080:1086,afii10081:1087,afii10082:1088,afii10083:1089,afii10084:1090,afii10085:1091,afii10086:1092,afii10087:1093,afii10088:1094,afii10089:1095,afii10090:1096,afii10091:1097,afii10092:1098,afii10093:1099,afii10094:1100,afii10095:1101,afii10096:1102,afii10097:1103,afii10098:1169,afii10099:1106,afii10100:1107,afii10101:1108,afii10102:1109,afii10103:1110,afii10104:1111,afii10105:1112,afii10106:1113,afii10107:1114,afii10108:1115,afii10109:1116,afii10110:1118,afii10145:1039,afii10146:1122,afii10147:1138,afii10148:1140,afii10192:63174,afii10193:1119,afii10194:1123,afii10195:1139,afii10196:1141,afii10831:63175,afii10832:63176,afii10846:1241,afii299:8206,afii300:8207,afii301:8205,afii57381:1642,afii57388:1548,afii57392:1632,afii57393:1633,afii57394:1634,afii57395:1635,afii57396:1636,afii57397:1637,afii57398:1638,afii57399:1639,afii57400:1640,afii57401:1641,afii57403:1563,afii57407:1567,afii57409:1569,afii57410:1570,afii57411:1571,afii57412:1572,afii57413:1573,afii57414:1574,afii57415:1575,afii57416:1576,afii57417:1577,afii57418:1578,afii57419:1579,afii57420:1580,afii57421:1581,afii57422:1582,afii57423:1583,afii57424:1584,afii57425:1585,afii57426:1586,afii57427:1587,afii57428:1588,afii57429:1589,afii57430:1590,afii57431:1591,afii57432:1592,afii57433:1593,afii57434:1594,afii57440:1600,afii57441:1601,afii57442:1602,afii57443:1603,afii57444:1604,afii57445:1605,afii57446:1606,afii57448:1608,afii57449:1609,afii57450:1610,afii57451:1611,afii57452:1612,afii57453:1613,afii57454:1614,afii57455:1615,afii57456:1616,afii57457:1617,afii57458:1618,afii57470:1607,afii57505:1700,afii57506:1662,afii57507:1670,afii57508:1688,afii57509:1711,afii57511:1657,afii57512:1672,afii57513:1681,afii57514:1722,afii57519:1746,afii57534:1749,afii57636:8362,afii57645:1470,afii57658:1475,afii57664:1488,afii57665:1489,afii57666:1490,afii57667:1491,afii57668:1492,afii57669:1493,afii57670:1494,afii57671:1495,afii57672:1496,afii57673:1497,afii57674:1498,afii57675:1499,afii57676:1500,afii57677:1501,afii57678:1502,afii57679:1503,afii57680:1504,afii57681:1505,afii57682:1506,afii57683:1507,afii57684:1508,afii57685:1509,afii57686:1510,afii57687:1511,afii57688:1512,afii57689:1513,afii57690:1514,afii57694:64298,afii57695:64299,afii57700:64331,afii57705:64287,afii57716:1520,afii57717:1521,afii57718:1522,afii57723:64309,afii57793:1460,afii57794:1461,afii57795:1462,afii57796:1467,afii57797:1464,afii57798:1463,afii57799:1456,afii57800:1458,afii57801:1457,afii57802:1459,afii57803:1474,afii57804:1473,afii57806:1465,afii57807:1468,afii57839:1469,afii57841:1471,afii57842:1472,afii57929:700,afii61248:8453,afii61289:8467,afii61352:8470,afii61573:8236,afii61574:8237,afii61575:8238,afii61664:8204,afii63167:1645,afii64937:701,agrave:224,agujarati:2693,agurmukhi:2565,ahiragana:12354,ahookabove:7843,aibengali:2448,aibopomofo:12574,aideva:2320,aiecyrillic:1237,aigujarati:2704,aigurmukhi:2576,aimatragurmukhi:2632,ainarabic:1593,ainfinalarabic:65226,aininitialarabic:65227,ainmedialarabic:65228,ainvertedbreve:515,aivowelsignbengali:2504,aivowelsigndeva:2376,aivowelsigngujarati:2760,akatakana:12450,akatakanahalfwidth:65393,akorean:12623,alef:1488,alefarabic:1575,alefdageshhebrew:64304,aleffinalarabic:65166,alefhamzaabovearabic:1571,alefhamzaabovefinalarabic:65156,alefhamzabelowarabic:1573,alefhamzabelowfinalarabic:65160,alefhebrew:1488,aleflamedhebrew:64335,alefmaddaabovearabic:1570,alefmaddaabovefinalarabic:65154,alefmaksuraarabic:1609,alefmaksurafinalarabic:65264,alefmaksurainitialarabic:65267,alefmaksuramedialarabic:65268,alefpatahhebrew:64302,alefqamatshebrew:64303,aleph:8501,allequal:8780,alpha:945,alphatonos:940,amacron:257,amonospace:65345,ampersand:38,ampersandmonospace:65286,ampersandsmall:63270,amsquare:13250,anbopomofo:12578,angbopomofo:12580,angbracketleft:12296,angbracketright:12297,angkhankhuthai:3674,angle:8736,anglebracketleft:12296,anglebracketleftvertical:65087,anglebracketright:12297,anglebracketrightvertical:65088,angleleft:9001,angleright:9002,angstrom:8491,anoteleia:903,anudattadeva:2386,anusvarabengali:2434,anusvaradeva:2306,anusvaragujarati:2690,aogonek:261,apaatosquare:13056,aparen:9372,apostrophearmenian:1370,apostrophemod:700,apple:63743,approaches:8784,approxequal:8776,approxequalorimage:8786,approximatelyequal:8773,araeaekorean:12686,araeakorean:12685,arc:8978,arighthalfring:7834,aring:229,aringacute:507,aringbelow:7681,arrowboth:8596,arrowdashdown:8675,arrowdashleft:8672,arrowdashright:8674,arrowdashup:8673,arrowdblboth:8660,arrowdbldown:8659,arrowdblleft:8656,arrowdblright:8658,arrowdblup:8657,arrowdown:8595,arrowdownleft:8601,arrowdownright:8600,arrowdownwhite:8681,arrowheaddownmod:709,arrowheadleftmod:706,arrowheadrightmod:707,arrowheadupmod:708,arrowhorizex:63719,arrowleft:8592,arrowleftdbl:8656,arrowleftdblstroke:8653,arrowleftoverright:8646,arrowleftwhite:8678,arrowright:8594,arrowrightdblstroke:8655,arrowrightheavy:10142,arrowrightoverleft:8644,arrowrightwhite:8680,arrowtableft:8676,arrowtabright:8677,arrowup:8593,arrowupdn:8597,arrowupdnbse:8616,arrowupdownbase:8616,arrowupleft:8598,arrowupleftofdown:8645,arrowupright:8599,arrowupwhite:8679,arrowvertex:63718,asciicircum:94,asciicircummonospace:65342,asciitilde:126,asciitildemonospace:65374,ascript:593,ascriptturned:594,asmallhiragana:12353,asmallkatakana:12449,asmallkatakanahalfwidth:65383,asterisk:42,asteriskaltonearabic:1645,asteriskarabic:1645,asteriskmath:8727,asteriskmonospace:65290,asterisksmall:65121,asterism:8258,asuperior:63209,asymptoticallyequal:8771,at:64,atilde:227,atmonospace:65312,atsmall:65131,aturned:592,aubengali:2452,aubopomofo:12576,audeva:2324,augujarati:2708,augurmukhi:2580,aulengthmarkbengali:2519,aumatragurmukhi:2636,auvowelsignbengali:2508,auvowelsigndeva:2380,auvowelsigngujarati:2764,avagrahadeva:2365,aybarmenian:1377,ayin:1506,ayinaltonehebrew:64288,ayinhebrew:1506,b:98,babengali:2476,backslash:92,backslashmonospace:65340,badeva:2348,bagujarati:2732,bagurmukhi:2604,bahiragana:12400,bahtthai:3647,bakatakana:12496,bar:124,barmonospace:65372,bbopomofo:12549,bcircle:9425,bdotaccent:7683,bdotbelow:7685,beamedsixteenthnotes:9836,because:8757,becyrillic:1073,beharabic:1576,behfinalarabic:65168,behinitialarabic:65169,behiragana:12409,behmedialarabic:65170,behmeeminitialarabic:64671,behmeemisolatedarabic:64520,behnoonfinalarabic:64621,bekatakana:12505,benarmenian:1378,bet:1489,beta:946,betasymbolgreek:976,betdagesh:64305,betdageshhebrew:64305,bethebrew:1489,betrafehebrew:64332,bhabengali:2477,bhadeva:2349,bhagujarati:2733,bhagurmukhi:2605,bhook:595,bihiragana:12403,bikatakana:12499,bilabialclick:664,bindigurmukhi:2562,birusquare:13105,blackcircle:9679,blackdiamond:9670,blackdownpointingtriangle:9660,blackleftpointingpointer:9668,blackleftpointingtriangle:9664,blacklenticularbracketleft:12304,blacklenticularbracketleftvertical:65083,blacklenticularbracketright:12305,blacklenticularbracketrightvertical:65084,blacklowerlefttriangle:9699,blacklowerrighttriangle:9698,blackrectangle:9644,blackrightpointingpointer:9658,blackrightpointingtriangle:9654,blacksmallsquare:9642,blacksmilingface:9787,blacksquare:9632,blackstar:9733,blackupperlefttriangle:9700,blackupperrighttriangle:9701,blackuppointingsmalltriangle:9652,blackuppointingtriangle:9650,blank:9251,blinebelow:7687,block:9608,bmonospace:65346,bobaimaithai:3610,bohiragana:12412,bokatakana:12508,bparen:9373,bqsquare:13251,braceex:63732,braceleft:123,braceleftbt:63731,braceleftmid:63730,braceleftmonospace:65371,braceleftsmall:65115,bracelefttp:63729,braceleftvertical:65079,braceright:125,bracerightbt:63742,bracerightmid:63741,bracerightmonospace:65373,bracerightsmall:65116,bracerighttp:63740,bracerightvertical:65080,bracketleft:91,bracketleftbt:63728,bracketleftex:63727,bracketleftmonospace:65339,bracketlefttp:63726,bracketright:93,bracketrightbt:63739,bracketrightex:63738,bracketrightmonospace:65341,bracketrighttp:63737,breve:728,brevebelowcmb:814,brevecmb:774,breveinvertedbelowcmb:815,breveinvertedcmb:785,breveinverteddoublecmb:865,bridgebelowcmb:810,bridgeinvertedbelowcmb:826,brokenbar:166,bstroke:384,bsuperior:63210,btopbar:387,buhiragana:12406,bukatakana:12502,bullet:8226,bulletinverse:9688,bulletoperator:8729,bullseye:9678,c:99,caarmenian:1390,cabengali:2458,cacute:263,cadeva:2330,cagujarati:2714,cagurmukhi:2586,calsquare:13192,candrabindubengali:2433,candrabinducmb:784,candrabindudeva:2305,candrabindugujarati:2689,capslock:8682,careof:8453,caron:711,caronbelowcmb:812,caroncmb:780,carriagereturn:8629,cbopomofo:12568,ccaron:269,ccedilla:231,ccedillaacute:7689,ccircle:9426,ccircumflex:265,ccurl:597,cdot:267,cdotaccent:267,cdsquare:13253,cedilla:184,cedillacmb:807,cent:162,centigrade:8451,centinferior:63199,centmonospace:65504,centoldstyle:63394,centsuperior:63200,chaarmenian:1401,chabengali:2459,chadeva:2331,chagujarati:2715,chagurmukhi:2587,chbopomofo:12564,cheabkhasiancyrillic:1213,checkmark:10003,checyrillic:1095,chedescenderabkhasiancyrillic:1215,chedescendercyrillic:1207,chedieresiscyrillic:1269,cheharmenian:1395,chekhakassiancyrillic:1228,cheverticalstrokecyrillic:1209,chi:967,chieuchacirclekorean:12919,chieuchaparenkorean:12823,chieuchcirclekorean:12905,chieuchkorean:12618,chieuchparenkorean:12809,chochangthai:3594,chochanthai:3592,chochingthai:3593,chochoethai:3596,chook:392,cieucacirclekorean:12918,cieucaparenkorean:12822,cieuccirclekorean:12904,cieuckorean:12616,cieucparenkorean:12808,cieucuparenkorean:12828,circle:9675,circlecopyrt:169,circlemultiply:8855,circleot:8857,circleplus:8853,circlepostalmark:12342,circlewithlefthalfblack:9680,circlewithrighthalfblack:9681,circumflex:710,circumflexbelowcmb:813,circumflexcmb:770,clear:8999,clickalveolar:450,clickdental:448,clicklateral:449,clickretroflex:451,club:9827,clubsuitblack:9827,clubsuitwhite:9831,cmcubedsquare:13220,cmonospace:65347,cmsquaredsquare:13216,coarmenian:1409,colon:58,colonmonetary:8353,colonmonospace:65306,colonsign:8353,colonsmall:65109,colontriangularhalfmod:721,colontriangularmod:720,comma:44,commaabovecmb:787,commaaboverightcmb:789,commaaccent:63171,commaarabic:1548,commaarmenian:1373,commainferior:63201,commamonospace:65292,commareversedabovecmb:788,commareversedmod:701,commasmall:65104,commasuperior:63202,commaturnedabovecmb:786,commaturnedmod:699,compass:9788,congruent:8773,contourintegral:8750,control:8963,controlACK:6,controlBEL:7,controlBS:8,controlCAN:24,controlCR:13,controlDC1:17,controlDC2:18,controlDC3:19,controlDC4:20,controlDEL:127,controlDLE:16,controlEM:25,controlENQ:5,controlEOT:4,controlESC:27,controlETB:23, -controlETX:3,controlFF:12,controlFS:28,controlGS:29,controlHT:9,controlLF:10,controlNAK:21,controlRS:30,controlSI:15,controlSO:14,controlSOT:2,controlSTX:1,controlSUB:26,controlSYN:22,controlUS:31,controlVT:11,copyright:169,copyrightsans:63721,copyrightserif:63193,cornerbracketleft:12300,cornerbracketlefthalfwidth:65378,cornerbracketleftvertical:65089,cornerbracketright:12301,cornerbracketrighthalfwidth:65379,cornerbracketrightvertical:65090,corporationsquare:13183,cosquare:13255,coverkgsquare:13254,cparen:9374,cruzeiro:8354,cstretched:663,curlyand:8911,curlyor:8910,currency:164,cyrBreve:63185,cyrFlex:63186,cyrbreve:63188,cyrflex:63189,d:100,daarmenian:1380,dabengali:2470,dadarabic:1590,dadeva:2342,dadfinalarabic:65214,dadinitialarabic:65215,dadmedialarabic:65216,dagesh:1468,dageshhebrew:1468,dagger:8224,daggerdbl:8225,dagujarati:2726,dagurmukhi:2598,dahiragana:12384,dakatakana:12480,dalarabic:1583,dalet:1491,daletdagesh:64307,daletdageshhebrew:64307,dalethebrew:1491,dalfinalarabic:65194,dammaarabic:1615,dammalowarabic:1615,dammatanaltonearabic:1612,dammatanarabic:1612,danda:2404,dargahebrew:1447,dargalefthebrew:1447,dasiapneumatacyrilliccmb:1157,dblGrave:63187,dblanglebracketleft:12298,dblanglebracketleftvertical:65085,dblanglebracketright:12299,dblanglebracketrightvertical:65086,dblarchinvertedbelowcmb:811,dblarrowleft:8660,dblarrowright:8658,dbldanda:2405,dblgrave:63190,dblgravecmb:783,dblintegral:8748,dbllowline:8215,dbllowlinecmb:819,dbloverlinecmb:831,dblprimemod:698,dblverticalbar:8214,dblverticallineabovecmb:782,dbopomofo:12553,dbsquare:13256,dcaron:271,dcedilla:7697,dcircle:9427,dcircumflexbelow:7699,dcroat:273,ddabengali:2465,ddadeva:2337,ddagujarati:2721,ddagurmukhi:2593,ddalarabic:1672,ddalfinalarabic:64393,dddhadeva:2396,ddhabengali:2466,ddhadeva:2338,ddhagujarati:2722,ddhagurmukhi:2594,ddotaccent:7691,ddotbelow:7693,decimalseparatorarabic:1643,decimalseparatorpersian:1643,decyrillic:1076,degree:176,dehihebrew:1453,dehiragana:12391,deicoptic:1007,dekatakana:12487,deleteleft:9003,deleteright:8998,delta:948,deltaturned:397,denominatorminusonenumeratorbengali:2552,dezh:676,dhabengali:2471,dhadeva:2343,dhagujarati:2727,dhagurmukhi:2599,dhook:599,dialytikatonos:901,dialytikatonoscmb:836,diamond:9830,diamondsuitwhite:9826,dieresis:168,dieresisacute:63191,dieresisbelowcmb:804,dieresiscmb:776,dieresisgrave:63192,dieresistonos:901,dihiragana:12386,dikatakana:12482,dittomark:12291,divide:247,divides:8739,divisionslash:8725,djecyrillic:1106,dkshade:9619,dlinebelow:7695,dlsquare:13207,dmacron:273,dmonospace:65348,dnblock:9604,dochadathai:3598,dodekthai:3604,dohiragana:12393,dokatakana:12489,dollar:36,dollarinferior:63203,dollarmonospace:65284,dollaroldstyle:63268,dollarsmall:65129,dollarsuperior:63204,dong:8363,dorusquare:13094,dotaccent:729,dotaccentcmb:775,dotbelowcmb:803,dotbelowcomb:803,dotkatakana:12539,dotlessi:305,dotlessj:63166,dotlessjstrokehook:644,dotmath:8901,dottedcircle:9676,doubleyodpatah:64287,doubleyodpatahhebrew:64287,downtackbelowcmb:798,downtackmod:725,dparen:9375,dsuperior:63211,dtail:598,dtopbar:396,duhiragana:12389,dukatakana:12485,dz:499,dzaltone:675,dzcaron:454,dzcurl:677,dzeabkhasiancyrillic:1249,dzecyrillic:1109,dzhecyrillic:1119,e:101,eacute:233,earth:9793,ebengali:2447,ebopomofo:12572,ebreve:277,ecandradeva:2317,ecandragujarati:2701,ecandravowelsigndeva:2373,ecandravowelsigngujarati:2757,ecaron:283,ecedillabreve:7709,echarmenian:1381,echyiwnarmenian:1415,ecircle:9428,ecircumflex:234,ecircumflexacute:7871,ecircumflexbelow:7705,ecircumflexdotbelow:7879,ecircumflexgrave:7873,ecircumflexhookabove:7875,ecircumflextilde:7877,ecyrillic:1108,edblgrave:517,edeva:2319,edieresis:235,edot:279,edotaccent:279,edotbelow:7865,eegurmukhi:2575,eematragurmukhi:2631,efcyrillic:1092,egrave:232,egujarati:2703,eharmenian:1383,ehbopomofo:12573,ehiragana:12360,ehookabove:7867,eibopomofo:12575,eight:56,eightarabic:1640,eightbengali:2542,eightcircle:9319,eightcircleinversesansserif:10129,eightdeva:2414,eighteencircle:9329,eighteenparen:9349,eighteenperiod:9369,eightgujarati:2798,eightgurmukhi:2670,eighthackarabic:1640,eighthangzhou:12328,eighthnotebeamed:9835,eightideographicparen:12839,eightinferior:8328,eightmonospace:65304,eightoldstyle:63288,eightparen:9339,eightperiod:9359,eightpersian:1784,eightroman:8567,eightsuperior:8312,eightthai:3672,einvertedbreve:519,eiotifiedcyrillic:1125,ekatakana:12456,ekatakanahalfwidth:65396,ekonkargurmukhi:2676,ekorean:12628,elcyrillic:1083,element:8712,elevencircle:9322,elevenparen:9342,elevenperiod:9362,elevenroman:8570,ellipsis:8230,ellipsisvertical:8942,emacron:275,emacronacute:7703,emacrongrave:7701,emcyrillic:1084,emdash:8212,emdashvertical:65073,emonospace:65349,emphasismarkarmenian:1371,emptyset:8709,enbopomofo:12579,encyrillic:1085,endash:8211,endashvertical:65074,endescendercyrillic:1187,eng:331,engbopomofo:12581,enghecyrillic:1189,enhookcyrillic:1224,enspace:8194,eogonek:281,eokorean:12627,eopen:603,eopenclosed:666,eopenreversed:604,eopenreversedclosed:606,eopenreversedhook:605,eparen:9376,epsilon:949,epsilontonos:941,equal:61,equalmonospace:65309,equalsmall:65126,equalsuperior:8316,equivalence:8801,erbopomofo:12582,ercyrillic:1088,ereversed:600,ereversedcyrillic:1101,escyrillic:1089,esdescendercyrillic:1195,esh:643,eshcurl:646,eshortdeva:2318,eshortvowelsigndeva:2374,eshreversedloop:426,eshsquatreversed:645,esmallhiragana:12359,esmallkatakana:12455,esmallkatakanahalfwidth:65386,estimated:8494,esuperior:63212,eta:951,etarmenian:1384,etatonos:942,eth:240,etilde:7869,etildebelow:7707,etnahtafoukhhebrew:1425,etnahtafoukhlefthebrew:1425,etnahtahebrew:1425,etnahtalefthebrew:1425,eturned:477,eukorean:12641,euro:8364,evowelsignbengali:2503,evowelsigndeva:2375,evowelsigngujarati:2759,exclam:33,exclamarmenian:1372,exclamdbl:8252,exclamdown:161,exclamdownsmall:63393,exclammonospace:65281,exclamsmall:63265,existential:8707,ezh:658,ezhcaron:495,ezhcurl:659,ezhreversed:441,ezhtail:442,f:102,fadeva:2398,fagurmukhi:2654,fahrenheit:8457,fathaarabic:1614,fathalowarabic:1614,fathatanarabic:1611,fbopomofo:12552,fcircle:9429,fdotaccent:7711,feharabic:1601,feharmenian:1414,fehfinalarabic:65234,fehinitialarabic:65235,fehmedialarabic:65236,feicoptic:997,female:9792,ff:64256,ffi:64259,ffl:64260,fi:64257,fifteencircle:9326,fifteenparen:9346,fifteenperiod:9366,figuredash:8210,filledbox:9632,filledrect:9644,finalkaf:1498,finalkafdagesh:64314,finalkafdageshhebrew:64314,finalkafhebrew:1498,finalmem:1501,finalmemhebrew:1501,finalnun:1503,finalnunhebrew:1503,finalpe:1507,finalpehebrew:1507,finaltsadi:1509,finaltsadihebrew:1509,firsttonechinese:713,fisheye:9673,fitacyrillic:1139,five:53,fivearabic:1637,fivebengali:2539,fivecircle:9316,fivecircleinversesansserif:10126,fivedeva:2411,fiveeighths:8541,fivegujarati:2795,fivegurmukhi:2667,fivehackarabic:1637,fivehangzhou:12325,fiveideographicparen:12836,fiveinferior:8325,fivemonospace:65301,fiveoldstyle:63285,fiveparen:9336,fiveperiod:9356,fivepersian:1781,fiveroman:8564,fivesuperior:8309,fivethai:3669,fl:64258,florin:402,fmonospace:65350,fmsquare:13209,fofanthai:3615,fofathai:3613,fongmanthai:3663,forall:8704,four:52,fourarabic:1636,fourbengali:2538,fourcircle:9315,fourcircleinversesansserif:10125,fourdeva:2410,fourgujarati:2794,fourgurmukhi:2666,fourhackarabic:1636,fourhangzhou:12324,fourideographicparen:12835,fourinferior:8324,fourmonospace:65300,fournumeratorbengali:2551,fouroldstyle:63284,fourparen:9335,fourperiod:9355,fourpersian:1780,fourroman:8563,foursuperior:8308,fourteencircle:9325,fourteenparen:9345,fourteenperiod:9365,fourthai:3668,fourthtonechinese:715,fparen:9377,fraction:8260,franc:8355,g:103,gabengali:2455,gacute:501,gadeva:2327,gafarabic:1711,gaffinalarabic:64403,gafinitialarabic:64404,gafmedialarabic:64405,gagujarati:2711,gagurmukhi:2583,gahiragana:12364,gakatakana:12460,gamma:947,gammalatinsmall:611,gammasuperior:736,gangiacoptic:1003,gbopomofo:12557,gbreve:287,gcaron:487,gcedilla:291,gcircle:9430,gcircumflex:285,gcommaaccent:291,gdot:289,gdotaccent:289,gecyrillic:1075,gehiragana:12370,gekatakana:12466,geometricallyequal:8785,gereshaccenthebrew:1436,gereshhebrew:1523,gereshmuqdamhebrew:1437,germandbls:223,gershayimaccenthebrew:1438,gershayimhebrew:1524,getamark:12307,ghabengali:2456,ghadarmenian:1394,ghadeva:2328,ghagujarati:2712,ghagurmukhi:2584,ghainarabic:1594,ghainfinalarabic:65230,ghaininitialarabic:65231,ghainmedialarabic:65232,ghemiddlehookcyrillic:1173,ghestrokecyrillic:1171,gheupturncyrillic:1169,ghhadeva:2394,ghhagurmukhi:2650,ghook:608,ghzsquare:13203,gihiragana:12366,gikatakana:12462,gimarmenian:1379,gimel:1490,gimeldagesh:64306,gimeldageshhebrew:64306,gimelhebrew:1490,gjecyrillic:1107,glottalinvertedstroke:446,glottalstop:660,glottalstopinverted:662,glottalstopmod:704,glottalstopreversed:661,glottalstopreversedmod:705,glottalstopreversedsuperior:740,glottalstopstroke:673,glottalstopstrokereversed:674,gmacron:7713,gmonospace:65351,gohiragana:12372,gokatakana:12468,gparen:9378,gpasquare:13228,gradient:8711,grave:96,gravebelowcmb:790,gravecmb:768,gravecomb:768,gravedeva:2387,gravelowmod:718,gravemonospace:65344,gravetonecmb:832,greater:62,greaterequal:8805,greaterequalorless:8923,greatermonospace:65310,greaterorequivalent:8819,greaterorless:8823,greateroverequal:8807,greatersmall:65125,gscript:609,gstroke:485,guhiragana:12368,guillemotleft:171,guillemotright:187,guilsinglleft:8249,guilsinglright:8250,gukatakana:12464,guramusquare:13080,gysquare:13257,h:104,haabkhasiancyrillic:1193,haaltonearabic:1729,habengali:2489,hadescendercyrillic:1203,hadeva:2361,hagujarati:2745,hagurmukhi:2617,haharabic:1581,hahfinalarabic:65186,hahinitialarabic:65187,hahiragana:12399,hahmedialarabic:65188,haitusquare:13098,hakatakana:12495,hakatakanahalfwidth:65418,halantgurmukhi:2637,hamzaarabic:1569,hamzalowarabic:1569,hangulfiller:12644,hardsigncyrillic:1098,harpoonleftbarbup:8636,harpoonrightbarbup:8640,hasquare:13258,hatafpatah:1458,hatafpatah16:1458,hatafpatah23:1458,hatafpatah2f:1458,hatafpatahhebrew:1458,hatafpatahnarrowhebrew:1458,hatafpatahquarterhebrew:1458,hatafpatahwidehebrew:1458,hatafqamats:1459,hatafqamats1b:1459,hatafqamats28:1459,hatafqamats34:1459,hatafqamatshebrew:1459,hatafqamatsnarrowhebrew:1459,hatafqamatsquarterhebrew:1459,hatafqamatswidehebrew:1459,hatafsegol:1457,hatafsegol17:1457,hatafsegol24:1457,hatafsegol30:1457,hatafsegolhebrew:1457,hatafsegolnarrowhebrew:1457,hatafsegolquarterhebrew:1457,hatafsegolwidehebrew:1457,hbar:295,hbopomofo:12559,hbrevebelow:7723,hcedilla:7721,hcircle:9431,hcircumflex:293,hdieresis:7719,hdotaccent:7715,hdotbelow:7717,he:1492,heart:9829,heartsuitblack:9829,heartsuitwhite:9825,hedagesh:64308,hedageshhebrew:64308,hehaltonearabic:1729,heharabic:1607,hehebrew:1492,hehfinalaltonearabic:64423,hehfinalalttwoarabic:65258,hehfinalarabic:65258,hehhamzaabovefinalarabic:64421,hehhamzaaboveisolatedarabic:64420,hehinitialaltonearabic:64424,hehinitialarabic:65259,hehiragana:12408,hehmedialaltonearabic:64425,hehmedialarabic:65260,heiseierasquare:13179,hekatakana:12504,hekatakanahalfwidth:65421,hekutaarusquare:13110,henghook:615,herutusquare:13113,het:1495,hethebrew:1495,hhook:614,hhooksuperior:689,hieuhacirclekorean:12923,hieuhaparenkorean:12827,hieuhcirclekorean:12909,hieuhkorean:12622,hieuhparenkorean:12813,hihiragana:12402,hikatakana:12498,hikatakanahalfwidth:65419,hiriq:1460,hiriq14:1460,hiriq21:1460,hiriq2d:1460,hiriqhebrew:1460,hiriqnarrowhebrew:1460,hiriqquarterhebrew:1460,hiriqwidehebrew:1460,hlinebelow:7830,hmonospace:65352,hoarmenian:1392,hohipthai:3627,hohiragana:12411,hokatakana:12507,hokatakanahalfwidth:65422,holam:1465,holam19:1465,holam26:1465,holam32:1465,holamhebrew:1465,holamnarrowhebrew:1465,holamquarterhebrew:1465,holamwidehebrew:1465,honokhukthai:3630,hookabovecomb:777,hookcmb:777,hookpalatalizedbelowcmb:801,hookretroflexbelowcmb:802,hoonsquare:13122,horicoptic:1001,horizontalbar:8213,horncmb:795,hotsprings:9832,house:8962,hparen:9379,hsuperior:688,hturned:613,huhiragana:12405,huiitosquare:13107,hukatakana:12501,hukatakanahalfwidth:65420,hungarumlaut:733,hungarumlautcmb:779,hv:405,hyphen:45,hypheninferior:63205,hyphenmonospace:65293,hyphensmall:65123,hyphensuperior:63206,hyphentwo:8208,i:105,iacute:237,iacyrillic:1103,ibengali:2439,ibopomofo:12583,ibreve:301,icaron:464,icircle:9432,icircumflex:238,icyrillic:1110,idblgrave:521,ideographearthcircle:12943,ideographfirecircle:12939,ideographicallianceparen:12863,ideographiccallparen:12858,ideographiccentrecircle:12965,ideographicclose:12294,ideographiccomma:12289,ideographiccommaleft:65380,ideographiccongratulationparen:12855,ideographiccorrectcircle:12963,ideographicearthparen:12847,ideographicenterpriseparen:12861,ideographicexcellentcircle:12957,ideographicfestivalparen:12864,ideographicfinancialcircle:12950,ideographicfinancialparen:12854,ideographicfireparen:12843,ideographichaveparen:12850,ideographichighcircle:12964,ideographiciterationmark:12293,ideographiclaborcircle:12952,ideographiclaborparen:12856,ideographicleftcircle:12967,ideographiclowcircle:12966,ideographicmedicinecircle:12969,ideographicmetalparen:12846,ideographicmoonparen:12842,ideographicnameparen:12852,ideographicperiod:12290,ideographicprintcircle:12958,ideographicreachparen:12867,ideographicrepresentparen:12857,ideographicresourceparen:12862,ideographicrightcircle:12968,ideographicsecretcircle:12953,ideographicselfparen:12866,ideographicsocietyparen:12851,ideographicspace:12288,ideographicspecialparen:12853,ideographicstockparen:12849,ideographicstudyparen:12859,ideographicsunparen:12848,ideographicsuperviseparen:12860,ideographicwaterparen:12844,ideographicwoodparen:12845,ideographiczero:12295,ideographmetalcircle:12942,ideographmooncircle:12938,ideographnamecircle:12948,ideographsuncircle:12944,ideographwatercircle:12940,ideographwoodcircle:12941,ideva:2311,idieresis:239,idieresisacute:7727,idieresiscyrillic:1253,idotbelow:7883,iebrevecyrillic:1239,iecyrillic:1077,ieungacirclekorean:12917,ieungaparenkorean:12821,ieungcirclekorean:12903,ieungkorean:12615,ieungparenkorean:12807,igrave:236,igujarati:2695,igurmukhi:2567,ihiragana:12356,ihookabove:7881,iibengali:2440,iicyrillic:1080,iideva:2312,iigujarati:2696,iigurmukhi:2568,iimatragurmukhi:2624,iinvertedbreve:523,iishortcyrillic:1081,iivowelsignbengali:2496,iivowelsigndeva:2368,iivowelsigngujarati:2752,ij:307,ikatakana:12452,ikatakanahalfwidth:65394,ikorean:12643,ilde:732,iluyhebrew:1452,imacron:299,imacroncyrillic:1251,imageorapproximatelyequal:8787,imatragurmukhi:2623,imonospace:65353,increment:8710,infinity:8734,iniarmenian:1387,integral:8747,integralbottom:8993,integralbt:8993,integralex:63733,integraltop:8992,integraltp:8992,intersection:8745,intisquare:13061,invbullet:9688,invcircle:9689,invsmileface:9787,iocyrillic:1105,iogonek:303,iota:953,iotadieresis:970,iotadieresistonos:912,iotalatin:617,iotatonos:943,iparen:9380,irigurmukhi:2674,ismallhiragana:12355,ismallkatakana:12451,ismallkatakanahalfwidth:65384,issharbengali:2554,istroke:616,isuperior:63213,iterationhiragana:12445,iterationkatakana:12541,itilde:297,itildebelow:7725,iubopomofo:12585,iucyrillic:1102,ivowelsignbengali:2495,ivowelsigndeva:2367,ivowelsigngujarati:2751,izhitsacyrillic:1141,izhitsadblgravecyrillic:1143,j:106,jaarmenian:1393,jabengali:2460,jadeva:2332,jagujarati:2716,jagurmukhi:2588,jbopomofo:12560,jcaron:496,jcircle:9433,jcircumflex:309,jcrossedtail:669,jdotlessstroke:607,jecyrillic:1112,jeemarabic:1580,jeemfinalarabic:65182,jeeminitialarabic:65183,jeemmedialarabic:65184,jeharabic:1688,jehfinalarabic:64395,jhabengali:2461,jhadeva:2333,jhagujarati:2717,jhagurmukhi:2589,jheharmenian:1403,jis:12292,jmonospace:65354,jparen:9381,jsuperior:690,k:107,kabashkircyrillic:1185,kabengali:2453,kacute:7729,kacyrillic:1082,kadescendercyrillic:1179,kadeva:2325,kaf:1499,kafarabic:1603,kafdagesh:64315,kafdageshhebrew:64315,kaffinalarabic:65242,kafhebrew:1499,kafinitialarabic:65243,kafmedialarabic:65244,kafrafehebrew:64333,kagujarati:2709,kagurmukhi:2581,kahiragana:12363,kahookcyrillic:1220,kakatakana:12459,kakatakanahalfwidth:65398,kappa:954,kappasymbolgreek:1008,kapyeounmieumkorean:12657,kapyeounphieuphkorean:12676,kapyeounpieupkorean:12664,kapyeounssangpieupkorean:12665,karoriisquare:13069,kashidaautoarabic:1600,kashidaautonosidebearingarabic:1600,kasmallkatakana:12533,kasquare:13188,kasraarabic:1616,kasratanarabic:1613,kastrokecyrillic:1183,katahiraprolongmarkhalfwidth:65392,kaverticalstrokecyrillic:1181,kbopomofo:12558,kcalsquare:13193,kcaron:489,kcedilla:311,kcircle:9434,kcommaaccent:311,kdotbelow:7731,keharmenian:1412,kehiragana:12369,kekatakana:12465,kekatakanahalfwidth:65401,kenarmenian:1391,kesmallkatakana:12534,kgreenlandic:312,khabengali:2454,khacyrillic:1093,khadeva:2326,khagujarati:2710,khagurmukhi:2582,khaharabic:1582,khahfinalarabic:65190,khahinitialarabic:65191,khahmedialarabic:65192,kheicoptic:999,khhadeva:2393,khhagurmukhi:2649,khieukhacirclekorean:12920,khieukhaparenkorean:12824,khieukhcirclekorean:12906,khieukhkorean:12619,khieukhparenkorean:12810,khokhaithai:3586,khokhonthai:3589,khokhuatthai:3587,khokhwaithai:3588,khomutthai:3675,khook:409,khorakhangthai:3590,khzsquare:13201,kihiragana:12365,kikatakana:12461,kikatakanahalfwidth:65399,kiroguramusquare:13077,kiromeetorusquare:13078,kirosquare:13076,kiyeokacirclekorean:12910,kiyeokaparenkorean:12814,kiyeokcirclekorean:12896,kiyeokkorean:12593,kiyeokparenkorean:12800,kiyeoksioskorean:12595,kjecyrillic:1116,klinebelow:7733,klsquare:13208,kmcubedsquare:13222,kmonospace:65355,kmsquaredsquare:13218,kohiragana:12371,kohmsquare:13248,kokaithai:3585,kokatakana:12467,kokatakanahalfwidth:65402,kooposquare:13086,koppacyrillic:1153,koreanstandardsymbol:12927,koroniscmb:835,kparen:9382,kpasquare:13226,ksicyrillic:1135,ktsquare:13263,kturned:670,kuhiragana:12367,kukatakana:12463,kukatakanahalfwidth:65400,kvsquare:13240,kwsquare:13246,l:108,labengali:2482,lacute:314,ladeva:2354,lagujarati:2738,lagurmukhi:2610,lakkhangyaothai:3653,lamaleffinalarabic:65276,lamalefhamzaabovefinalarabic:65272,lamalefhamzaaboveisolatedarabic:65271,lamalefhamzabelowfinalarabic:65274,lamalefhamzabelowisolatedarabic:65273,lamalefisolatedarabic:65275,lamalefmaddaabovefinalarabic:65270,lamalefmaddaaboveisolatedarabic:65269,lamarabic:1604,lambda:955,lambdastroke:411,lamed:1500,lameddagesh:64316,lameddageshhebrew:64316,lamedhebrew:1500,lamfinalarabic:65246,lamhahinitialarabic:64714,laminitialarabic:65247,lamjeeminitialarabic:64713,lamkhahinitialarabic:64715,lamlamhehisolatedarabic:65010,lammedialarabic:65248,lammeemhahinitialarabic:64904,lammeeminitialarabic:64716,largecircle:9711,lbar:410,lbelt:620,lbopomofo:12556,lcaron:318,lcedilla:316,lcircle:9435,lcircumflexbelow:7741,lcommaaccent:316,ldot:320,ldotaccent:320,ldotbelow:7735,ldotbelowmacron:7737,leftangleabovecmb:794,lefttackbelowcmb:792,less:60,lessequal:8804,lessequalorgreater:8922,lessmonospace:65308,lessorequivalent:8818,lessorgreater:8822,lessoverequal:8806,lesssmall:65124,lezh:622,lfblock:9612,lhookretroflex:621,lira:8356,liwnarmenian:1388,lj:457,ljecyrillic:1113,ll:63168,lladeva:2355,llagujarati:2739,llinebelow:7739,llladeva:2356,llvocalicbengali:2529,llvocalicdeva:2401,llvocalicvowelsignbengali:2531,llvocalicvowelsigndeva:2403,lmiddletilde:619,lmonospace:65356,lmsquare:13264,lochulathai:3628,logicaland:8743,logicalnot:172,logicalnotreversed:8976,logicalor:8744,lolingthai:3621,longs:383,lowlinecenterline:65102,lowlinecmb:818,lowlinedashed:65101,lozenge:9674,lparen:9383,lslash:322,lsquare:8467,lsuperior:63214,ltshade:9617,luthai:3622,lvocalicbengali:2444,lvocalicdeva:2316,lvocalicvowelsignbengali:2530,lvocalicvowelsigndeva:2402,lxsquare:13267,m:109,mabengali:2478,macron:175,macronbelowcmb:817,macroncmb:772,macronlowmod:717,macronmonospace:65507,macute:7743,madeva:2350,magujarati:2734,magurmukhi:2606,mahapakhhebrew:1444,mahapakhlefthebrew:1444,mahiragana:12414,maichattawalowleftthai:63637,maichattawalowrightthai:63636,maichattawathai:3659,maichattawaupperleftthai:63635,maieklowleftthai:63628,maieklowrightthai:63627,maiekthai:3656,maiekupperleftthai:63626,maihanakatleftthai:63620,maihanakatthai:3633,maitaikhuleftthai:63625,maitaikhuthai:3655,maitholowleftthai:63631,maitholowrightthai:63630,maithothai:3657,maithoupperleftthai:63629,maitrilowleftthai:63634,maitrilowrightthai:63633,maitrithai:3658,maitriupperleftthai:63632,maiyamokthai:3654,makatakana:12510,makatakanahalfwidth:65423,male:9794,mansyonsquare:13127,maqafhebrew:1470,mars:9794,masoracirclehebrew:1455,masquare:13187,mbopomofo:12551,mbsquare:13268,mcircle:9436,mcubedsquare:13221,mdotaccent:7745,mdotbelow:7747,meemarabic:1605,meemfinalarabic:65250,meeminitialarabic:65251,meemmedialarabic:65252,meemmeeminitialarabic:64721,meemmeemisolatedarabic:64584,meetorusquare:13133,mehiragana:12417,meizierasquare:13182,mekatakana:12513,mekatakanahalfwidth:65426,mem:1502,memdagesh:64318,memdageshhebrew:64318,memhebrew:1502,menarmenian:1396,merkhahebrew:1445,merkhakefulahebrew:1446,merkhakefulalefthebrew:1446,merkhalefthebrew:1445,mhook:625,mhzsquare:13202,middledotkatakanahalfwidth:65381,middot:183,mieumacirclekorean:12914,mieumaparenkorean:12818,mieumcirclekorean:12900,mieumkorean:12609,mieumpansioskorean:12656,mieumparenkorean:12804,mieumpieupkorean:12654,mieumsioskorean:12655,mihiragana:12415,mikatakana:12511,mikatakanahalfwidth:65424,minus:8722,minusbelowcmb:800,minuscircle:8854,minusmod:727,minusplus:8723,minute:8242,miribaarusquare:13130,mirisquare:13129,mlonglegturned:624,mlsquare:13206,mmcubedsquare:13219,mmonospace:65357,mmsquaredsquare:13215,mohiragana:12418,mohmsquare:13249,mokatakana:12514,mokatakanahalfwidth:65427,molsquare:13270,momathai:3617,moverssquare:13223,moverssquaredsquare:13224,mparen:9384,mpasquare:13227,mssquare:13235,msuperior:63215,mturned:623,mu:181,mu1:181,muasquare:13186,muchgreater:8811,muchless:8810,mufsquare:13196,mugreek:956,mugsquare:13197,muhiragana:12416,mukatakana:12512,mukatakanahalfwidth:65425,mulsquare:13205,multiply:215,mumsquare:13211,munahhebrew:1443,munahlefthebrew:1443,musicalnote:9834,musicalnotedbl:9835,musicflatsign:9837,musicsharpsign:9839,mussquare:13234,muvsquare:13238,muwsquare:13244,mvmegasquare:13241,mvsquare:13239,mwmegasquare:13247,mwsquare:13245,n:110,nabengali:2472,nabla:8711,nacute:324,nadeva:2344,nagujarati:2728,nagurmukhi:2600,nahiragana:12394,nakatakana:12490,nakatakanahalfwidth:65413,napostrophe:329,nasquare:13185,nbopomofo:12555,nbspace:160,ncaron:328,ncedilla:326,ncircle:9437,ncircumflexbelow:7755,ncommaaccent:326,ndotaccent:7749,ndotbelow:7751,nehiragana:12397,nekatakana:12493,nekatakanahalfwidth:65416,newsheqelsign:8362,nfsquare:13195,ngabengali:2457,ngadeva:2329,ngagujarati:2713,ngagurmukhi:2585,ngonguthai:3591,nhiragana:12435,nhookleft:626,nhookretroflex:627,nieunacirclekorean:12911,nieunaparenkorean:12815,nieuncieuckorean:12597,nieuncirclekorean:12897,nieunhieuhkorean:12598,nieunkorean:12596,nieunpansioskorean:12648,nieunparenkorean:12801,nieunsioskorean:12647,nieuntikeutkorean:12646,nihiragana:12395,nikatakana:12491,nikatakanahalfwidth:65414,nikhahitleftthai:63641,nikhahitthai:3661,nine:57,ninearabic:1641,ninebengali:2543,ninecircle:9320,ninecircleinversesansserif:10130,ninedeva:2415,ninegujarati:2799,ninegurmukhi:2671,ninehackarabic:1641,ninehangzhou:12329,nineideographicparen:12840,nineinferior:8329,ninemonospace:65305,nineoldstyle:63289,nineparen:9340,nineperiod:9360,ninepersian:1785,nineroman:8568,ninesuperior:8313,nineteencircle:9330,nineteenparen:9350,nineteenperiod:9370,ninethai:3673,nj:460,njecyrillic:1114,nkatakana:12531,nkatakanahalfwidth:65437,nlegrightlong:414,nlinebelow:7753,nmonospace:65358,nmsquare:13210,nnabengali:2467,nnadeva:2339,nnagujarati:2723,nnagurmukhi:2595,nnnadeva:2345,nohiragana:12398,nokatakana:12494,nokatakanahalfwidth:65417,nonbreakingspace:160,nonenthai:3603,nonuthai:3609,noonarabic:1606,noonfinalarabic:65254,noonghunnaarabic:1722,noonghunnafinalarabic:64415,nooninitialarabic:65255,noonjeeminitialarabic:64722,noonjeemisolatedarabic:64587,noonmedialarabic:65256,noonmeeminitialarabic:64725,noonmeemisolatedarabic:64590,noonnoonfinalarabic:64653,notcontains:8716,notelement:8713,notelementof:8713,notequal:8800,notgreater:8815,notgreaternorequal:8817,notgreaternorless:8825,notidentical:8802,notless:8814,notlessnorequal:8816,notparallel:8742,notprecedes:8832,notsubset:8836,notsucceeds:8833,notsuperset:8837,nowarmenian:1398,nparen:9385,nssquare:13233,nsuperior:8319,ntilde:241,nu:957,nuhiragana:12396,nukatakana:12492,nukatakanahalfwidth:65415,nuktabengali:2492,nuktadeva:2364,nuktagujarati:2748,nuktagurmukhi:2620,numbersign:35,numbersignmonospace:65283,numbersignsmall:65119,numeralsigngreek:884,numeralsignlowergreek:885,numero:8470,nun:1504,nundagesh:64320,nundageshhebrew:64320,nunhebrew:1504,nvsquare:13237,nwsquare:13243,nyabengali:2462,nyadeva:2334,nyagujarati:2718,nyagurmukhi:2590,o:111,oacute:243,oangthai:3629,obarred:629,obarredcyrillic:1257,obarreddieresiscyrillic:1259,obengali:2451,obopomofo:12571,obreve:335,ocandradeva:2321,ocandragujarati:2705,ocandravowelsigndeva:2377,ocandravowelsigngujarati:2761,ocaron:466,ocircle:9438,ocircumflex:244,ocircumflexacute:7889,ocircumflexdotbelow:7897,ocircumflexgrave:7891,ocircumflexhookabove:7893,ocircumflextilde:7895,ocyrillic:1086,odblacute:337,odblgrave:525,odeva:2323,odieresis:246,odieresiscyrillic:1255,odotbelow:7885,oe:339,oekorean:12634,ogonek:731,ogonekcmb:808,ograve:242,ogujarati:2707,oharmenian:1413,ohiragana:12362,ohookabove:7887,ohorn:417,ohornacute:7899,ohorndotbelow:7907,ohorngrave:7901,ohornhookabove:7903,ohorntilde:7905,ohungarumlaut:337,oi:419,oinvertedbreve:527,okatakana:12458,okatakanahalfwidth:65397,okorean:12631,olehebrew:1451,omacron:333,omacronacute:7763,omacrongrave:7761,omdeva:2384,omega:969,omega1:982,omegacyrillic:1121,omegalatinclosed:631,omegaroundcyrillic:1147,omegatitlocyrillic:1149,omegatonos:974,omgujarati:2768,omicron:959,omicrontonos:972,omonospace:65359,one:49,onearabic:1633,onebengali:2535,onecircle:9312,onecircleinversesansserif:10122,onedeva:2407,onedotenleader:8228,oneeighth:8539,onefitted:63196,onegujarati:2791,onegurmukhi:2663,onehackarabic:1633,onehalf:189,onehangzhou:12321,oneideographicparen:12832,oneinferior:8321,onemonospace:65297,onenumeratorbengali:2548,oneoldstyle:63281,oneparen:9332,oneperiod:9352,onepersian:1777,onequarter:188,oneroman:8560,onesuperior:185,onethai:3665,onethird:8531,oogonek:491,oogonekmacron:493,oogurmukhi:2579,oomatragurmukhi:2635,oopen:596,oparen:9386,openbullet:9702,option:8997,ordfeminine:170,ordmasculine:186,orthogonal:8735,oshortdeva:2322,oshortvowelsigndeva:2378,oslash:248,oslashacute:511,osmallhiragana:12361,osmallkatakana:12457,osmallkatakanahalfwidth:65387,ostrokeacute:511,osuperior:63216,otcyrillic:1151,otilde:245,otildeacute:7757,otildedieresis:7759,oubopomofo:12577,overline:8254,overlinecenterline:65098,overlinecmb:773,overlinedashed:65097,overlinedblwavy:65100,overlinewavy:65099,overscore:175,ovowelsignbengali:2507,ovowelsigndeva:2379,ovowelsigngujarati:2763,p:112,paampssquare:13184,paasentosquare:13099,pabengali:2474,pacute:7765,padeva:2346,pagedown:8671,pageup:8670,pagujarati:2730,pagurmukhi:2602,pahiragana:12401,paiyannoithai:3631,pakatakana:12497,palatalizationcyrilliccmb:1156,palochkacyrillic:1216,pansioskorean:12671,paragraph:182,parallel:8741,parenleft:40,parenleftaltonearabic:64830,parenleftbt:63725,parenleftex:63724,parenleftinferior:8333,parenleftmonospace:65288,parenleftsmall:65113,parenleftsuperior:8317,parenlefttp:63723,parenleftvertical:65077,parenright:41,parenrightaltonearabic:64831,parenrightbt:63736,parenrightex:63735,parenrightinferior:8334,parenrightmonospace:65289,parenrightsmall:65114,parenrightsuperior:8318,parenrighttp:63734,parenrightvertical:65078,partialdiff:8706,paseqhebrew:1472,pashtahebrew:1433,pasquare:13225,patah:1463,patah11:1463,patah1d:1463,patah2a:1463,patahhebrew:1463,patahnarrowhebrew:1463,patahquarterhebrew:1463,patahwidehebrew:1463,pazerhebrew:1441,pbopomofo:12550,pcircle:9439,pdotaccent:7767,pe:1508,pecyrillic:1087,pedagesh:64324,pedageshhebrew:64324,peezisquare:13115,pefinaldageshhebrew:64323,peharabic:1662,peharmenian:1402,pehebrew:1508,pehfinalarabic:64343,pehinitialarabic:64344,pehiragana:12410,pehmedialarabic:64345,pekatakana:12506,pemiddlehookcyrillic:1191,perafehebrew:64334,percent:37,percentarabic:1642,percentmonospace:65285,percentsmall:65130,period:46,periodarmenian:1417,periodcentered:183,periodhalfwidth:65377,periodinferior:63207,periodmonospace:65294,periodsmall:65106,periodsuperior:63208,perispomenigreekcmb:834,perpendicular:8869,perthousand:8240,peseta:8359,pfsquare:13194,phabengali:2475,phadeva:2347,phagujarati:2731,phagurmukhi:2603,phi:966,phi1:981,phieuphacirclekorean:12922,phieuphaparenkorean:12826,phieuphcirclekorean:12908,phieuphkorean:12621,phieuphparenkorean:12812,philatin:632,phinthuthai:3642,phisymbolgreek:981,phook:421,phophanthai:3614,phophungthai:3612,phosamphaothai:3616,pi:960,pieupacirclekorean:12915,pieupaparenkorean:12819,pieupcieuckorean:12662,pieupcirclekorean:12901,pieupkiyeokkorean:12658,pieupkorean:12610,pieupparenkorean:12805,pieupsioskiyeokkorean:12660,pieupsioskorean:12612,pieupsiostikeutkorean:12661,pieupthieuthkorean:12663,pieuptikeutkorean:12659,pihiragana:12404,pikatakana:12500,pisymbolgreek:982,piwrarmenian:1411,plus:43,plusbelowcmb:799,pluscircle:8853,plusminus:177,plusmod:726,plusmonospace:65291,plussmall:65122,plussuperior:8314,pmonospace:65360,pmsquare:13272,pohiragana:12413,pointingindexdownwhite:9759,pointingindexleftwhite:9756,pointingindexrightwhite:9758,pointingindexupwhite:9757,pokatakana:12509,poplathai:3611,postalmark:12306,postalmarkface:12320,pparen:9387,precedes:8826,prescription:8478,primemod:697,primereversed:8245,product:8719,projective:8965,prolongedkana:12540,propellor:8984,propersubset:8834,propersuperset:8835,proportion:8759,proportional:8733,psi:968,psicyrillic:1137,psilipneumatacyrilliccmb:1158,pssquare:13232,puhiragana:12407,pukatakana:12503,pvsquare:13236,pwsquare:13242,q:113,qadeva:2392,qadmahebrew:1448,qafarabic:1602,qaffinalarabic:65238,qafinitialarabic:65239,qafmedialarabic:65240,qamats:1464,qamats10:1464,qamats1a:1464,qamats1c:1464,qamats27:1464,qamats29:1464,qamats33:1464,qamatsde:1464,qamatshebrew:1464,qamatsnarrowhebrew:1464,qamatsqatanhebrew:1464,qamatsqatannarrowhebrew:1464,qamatsqatanquarterhebrew:1464,qamatsqatanwidehebrew:1464,qamatsquarterhebrew:1464,qamatswidehebrew:1464,qarneyparahebrew:1439,qbopomofo:12561,qcircle:9440,qhook:672,qmonospace:65361,qof:1511,qofdagesh:64327,qofdageshhebrew:64327,qofhebrew:1511,qparen:9388,quarternote:9833,qubuts:1467,qubuts18:1467,qubuts25:1467,qubuts31:1467,qubutshebrew:1467,qubutsnarrowhebrew:1467,qubutsquarterhebrew:1467,qubutswidehebrew:1467,question:63,questionarabic:1567,questionarmenian:1374,questiondown:191,questiondownsmall:63423,questiongreek:894,questionmonospace:65311,questionsmall:63295,quotedbl:34,quotedblbase:8222,quotedblleft:8220,quotedblmonospace:65282,quotedblprime:12318,quotedblprimereversed:12317,quotedblright:8221,quoteleft:8216,quoteleftreversed:8219,quotereversed:8219,quoteright:8217,quoterightn:329,quotesinglbase:8218,quotesingle:39,quotesinglemonospace:65287,r:114,raarmenian:1404,rabengali:2480,racute:341,radeva:2352,radical:8730,radicalex:63717,radoverssquare:13230,radoverssquaredsquare:13231,radsquare:13229,rafe:1471,rafehebrew:1471,ragujarati:2736,ragurmukhi:2608,rahiragana:12425,rakatakana:12521,rakatakanahalfwidth:65431,ralowerdiagonalbengali:2545,ramiddlediagonalbengali:2544,ramshorn:612,ratio:8758,rbopomofo:12566,rcaron:345,rcedilla:343,rcircle:9441,rcommaaccent:343,rdblgrave:529,rdotaccent:7769,rdotbelow:7771,rdotbelowmacron:7773,referencemark:8251,reflexsubset:8838,reflexsuperset:8839,registered:174,registersans:63720,registerserif:63194,reharabic:1585,reharmenian:1408,rehfinalarabic:65198,rehiragana:12428,rekatakana:12524,rekatakanahalfwidth:65434,resh:1512,reshdageshhebrew:64328,reshhebrew:1512,reversedtilde:8765,reviahebrew:1431,reviamugrashhebrew:1431,revlogicalnot:8976,rfishhook:638,rfishhookreversed:639,rhabengali:2525,rhadeva:2397,rho:961,rhook:637,rhookturned:635,rhookturnedsuperior:693, -rhosymbolgreek:1009,rhotichookmod:734,rieulacirclekorean:12913,rieulaparenkorean:12817,rieulcirclekorean:12899,rieulhieuhkorean:12608,rieulkiyeokkorean:12602,rieulkiyeoksioskorean:12649,rieulkorean:12601,rieulmieumkorean:12603,rieulpansioskorean:12652,rieulparenkorean:12803,rieulphieuphkorean:12607,rieulpieupkorean:12604,rieulpieupsioskorean:12651,rieulsioskorean:12605,rieulthieuthkorean:12606,rieultikeutkorean:12650,rieulyeorinhieuhkorean:12653,rightangle:8735,righttackbelowcmb:793,righttriangle:8895,rihiragana:12426,rikatakana:12522,rikatakanahalfwidth:65432,ring:730,ringbelowcmb:805,ringcmb:778,ringhalfleft:703,ringhalfleftarmenian:1369,ringhalfleftbelowcmb:796,ringhalfleftcentered:723,ringhalfright:702,ringhalfrightbelowcmb:825,ringhalfrightcentered:722,rinvertedbreve:531,rittorusquare:13137,rlinebelow:7775,rlongleg:636,rlonglegturned:634,rmonospace:65362,rohiragana:12429,rokatakana:12525,rokatakanahalfwidth:65435,roruathai:3619,rparen:9389,rrabengali:2524,rradeva:2353,rragurmukhi:2652,rreharabic:1681,rrehfinalarabic:64397,rrvocalicbengali:2528,rrvocalicdeva:2400,rrvocalicgujarati:2784,rrvocalicvowelsignbengali:2500,rrvocalicvowelsigndeva:2372,rrvocalicvowelsigngujarati:2756,rsuperior:63217,rtblock:9616,rturned:633,rturnedsuperior:692,ruhiragana:12427,rukatakana:12523,rukatakanahalfwidth:65433,rupeemarkbengali:2546,rupeesignbengali:2547,rupiah:63197,ruthai:3620,rvocalicbengali:2443,rvocalicdeva:2315,rvocalicgujarati:2699,rvocalicvowelsignbengali:2499,rvocalicvowelsigndeva:2371,rvocalicvowelsigngujarati:2755,s:115,sabengali:2488,sacute:347,sacutedotaccent:7781,sadarabic:1589,sadeva:2360,sadfinalarabic:65210,sadinitialarabic:65211,sadmedialarabic:65212,sagujarati:2744,sagurmukhi:2616,sahiragana:12373,sakatakana:12469,sakatakanahalfwidth:65403,sallallahoualayhewasallamarabic:65018,samekh:1505,samekhdagesh:64321,samekhdageshhebrew:64321,samekhhebrew:1505,saraaathai:3634,saraaethai:3649,saraaimaimalaithai:3652,saraaimaimuanthai:3651,saraamthai:3635,saraathai:3632,saraethai:3648,saraiileftthai:63622,saraiithai:3637,saraileftthai:63621,saraithai:3636,saraothai:3650,saraueeleftthai:63624,saraueethai:3639,saraueleftthai:63623,sarauethai:3638,sarauthai:3640,sarauuthai:3641,sbopomofo:12569,scaron:353,scarondotaccent:7783,scedilla:351,schwa:601,schwacyrillic:1241,schwadieresiscyrillic:1243,schwahook:602,scircle:9442,scircumflex:349,scommaaccent:537,sdotaccent:7777,sdotbelow:7779,sdotbelowdotaccent:7785,seagullbelowcmb:828,second:8243,secondtonechinese:714,section:167,seenarabic:1587,seenfinalarabic:65202,seeninitialarabic:65203,seenmedialarabic:65204,segol:1462,segol13:1462,segol1f:1462,segol2c:1462,segolhebrew:1462,segolnarrowhebrew:1462,segolquarterhebrew:1462,segoltahebrew:1426,segolwidehebrew:1462,seharmenian:1405,sehiragana:12379,sekatakana:12475,sekatakanahalfwidth:65406,semicolon:59,semicolonarabic:1563,semicolonmonospace:65307,semicolonsmall:65108,semivoicedmarkkana:12444,semivoicedmarkkanahalfwidth:65439,sentisquare:13090,sentosquare:13091,seven:55,sevenarabic:1639,sevenbengali:2541,sevencircle:9318,sevencircleinversesansserif:10128,sevendeva:2413,seveneighths:8542,sevengujarati:2797,sevengurmukhi:2669,sevenhackarabic:1639,sevenhangzhou:12327,sevenideographicparen:12838,seveninferior:8327,sevenmonospace:65303,sevenoldstyle:63287,sevenparen:9338,sevenperiod:9358,sevenpersian:1783,sevenroman:8566,sevensuperior:8311,seventeencircle:9328,seventeenparen:9348,seventeenperiod:9368,seventhai:3671,sfthyphen:173,shaarmenian:1399,shabengali:2486,shacyrillic:1096,shaddaarabic:1617,shaddadammaarabic:64609,shaddadammatanarabic:64606,shaddafathaarabic:64608,shaddakasraarabic:64610,shaddakasratanarabic:64607,shade:9618,shadedark:9619,shadelight:9617,shademedium:9618,shadeva:2358,shagujarati:2742,shagurmukhi:2614,shalshelethebrew:1427,shbopomofo:12565,shchacyrillic:1097,sheenarabic:1588,sheenfinalarabic:65206,sheeninitialarabic:65207,sheenmedialarabic:65208,sheicoptic:995,sheqel:8362,sheqelhebrew:8362,sheva:1456,sheva115:1456,sheva15:1456,sheva22:1456,sheva2e:1456,shevahebrew:1456,shevanarrowhebrew:1456,shevaquarterhebrew:1456,shevawidehebrew:1456,shhacyrillic:1211,shimacoptic:1005,shin:1513,shindagesh:64329,shindageshhebrew:64329,shindageshshindot:64300,shindageshshindothebrew:64300,shindageshsindot:64301,shindageshsindothebrew:64301,shindothebrew:1473,shinhebrew:1513,shinshindot:64298,shinshindothebrew:64298,shinsindot:64299,shinsindothebrew:64299,shook:642,sigma:963,sigma1:962,sigmafinal:962,sigmalunatesymbolgreek:1010,sihiragana:12375,sikatakana:12471,sikatakanahalfwidth:65404,siluqhebrew:1469,siluqlefthebrew:1469,similar:8764,sindothebrew:1474,siosacirclekorean:12916,siosaparenkorean:12820,sioscieuckorean:12670,sioscirclekorean:12902,sioskiyeokkorean:12666,sioskorean:12613,siosnieunkorean:12667,siosparenkorean:12806,siospieupkorean:12669,siostikeutkorean:12668,six:54,sixarabic:1638,sixbengali:2540,sixcircle:9317,sixcircleinversesansserif:10127,sixdeva:2412,sixgujarati:2796,sixgurmukhi:2668,sixhackarabic:1638,sixhangzhou:12326,sixideographicparen:12837,sixinferior:8326,sixmonospace:65302,sixoldstyle:63286,sixparen:9337,sixperiod:9357,sixpersian:1782,sixroman:8565,sixsuperior:8310,sixteencircle:9327,sixteencurrencydenominatorbengali:2553,sixteenparen:9347,sixteenperiod:9367,sixthai:3670,slash:47,slashmonospace:65295,slong:383,slongdotaccent:7835,smileface:9786,smonospace:65363,sofpasuqhebrew:1475,softhyphen:173,softsigncyrillic:1100,sohiragana:12381,sokatakana:12477,sokatakanahalfwidth:65407,soliduslongoverlaycmb:824,solidusshortoverlaycmb:823,sorusithai:3625,sosalathai:3624,sosothai:3595,sosuathai:3626,space:32,spacehackarabic:32,spade:9824,spadesuitblack:9824,spadesuitwhite:9828,sparen:9390,squarebelowcmb:827,squarecc:13252,squarecm:13213,squarediagonalcrosshatchfill:9641,squarehorizontalfill:9636,squarekg:13199,squarekm:13214,squarekmcapital:13262,squareln:13265,squarelog:13266,squaremg:13198,squaremil:13269,squaremm:13212,squaremsquared:13217,squareorthogonalcrosshatchfill:9638,squareupperlefttolowerrightfill:9639,squareupperrighttolowerleftfill:9640,squareverticalfill:9637,squarewhitewithsmallblack:9635,srsquare:13275,ssabengali:2487,ssadeva:2359,ssagujarati:2743,ssangcieuckorean:12617,ssanghieuhkorean:12677,ssangieungkorean:12672,ssangkiyeokkorean:12594,ssangnieunkorean:12645,ssangpieupkorean:12611,ssangsioskorean:12614,ssangtikeutkorean:12600,ssuperior:63218,sterling:163,sterlingmonospace:65505,strokelongoverlaycmb:822,strokeshortoverlaycmb:821,subset:8834,subsetnotequal:8842,subsetorequal:8838,succeeds:8827,suchthat:8715,suhiragana:12377,sukatakana:12473,sukatakanahalfwidth:65405,sukunarabic:1618,summation:8721,sun:9788,superset:8835,supersetnotequal:8843,supersetorequal:8839,svsquare:13276,syouwaerasquare:13180,t:116,tabengali:2468,tackdown:8868,tackleft:8867,tadeva:2340,tagujarati:2724,tagurmukhi:2596,taharabic:1591,tahfinalarabic:65218,tahinitialarabic:65219,tahiragana:12383,tahmedialarabic:65220,taisyouerasquare:13181,takatakana:12479,takatakanahalfwidth:65408,tatweelarabic:1600,tau:964,tav:1514,tavdages:64330,tavdagesh:64330,tavdageshhebrew:64330,tavhebrew:1514,tbar:359,tbopomofo:12554,tcaron:357,tccurl:680,tcedilla:355,tcheharabic:1670,tchehfinalarabic:64379,tchehinitialarabic:64380,tchehmedialarabic:64381,tcircle:9443,tcircumflexbelow:7793,tcommaaccent:355,tdieresis:7831,tdotaccent:7787,tdotbelow:7789,tecyrillic:1090,tedescendercyrillic:1197,teharabic:1578,tehfinalarabic:65174,tehhahinitialarabic:64674,tehhahisolatedarabic:64524,tehinitialarabic:65175,tehiragana:12390,tehjeeminitialarabic:64673,tehjeemisolatedarabic:64523,tehmarbutaarabic:1577,tehmarbutafinalarabic:65172,tehmedialarabic:65176,tehmeeminitialarabic:64676,tehmeemisolatedarabic:64526,tehnoonfinalarabic:64627,tekatakana:12486,tekatakanahalfwidth:65411,telephone:8481,telephoneblack:9742,telishagedolahebrew:1440,telishaqetanahebrew:1449,tencircle:9321,tenideographicparen:12841,tenparen:9341,tenperiod:9361,tenroman:8569,tesh:679,tet:1496,tetdagesh:64312,tetdageshhebrew:64312,tethebrew:1496,tetsecyrillic:1205,tevirhebrew:1435,tevirlefthebrew:1435,thabengali:2469,thadeva:2341,thagujarati:2725,thagurmukhi:2597,thalarabic:1584,thalfinalarabic:65196,thanthakhatlowleftthai:63640,thanthakhatlowrightthai:63639,thanthakhatthai:3660,thanthakhatupperleftthai:63638,theharabic:1579,thehfinalarabic:65178,thehinitialarabic:65179,thehmedialarabic:65180,thereexists:8707,therefore:8756,theta:952,theta1:977,thetasymbolgreek:977,thieuthacirclekorean:12921,thieuthaparenkorean:12825,thieuthcirclekorean:12907,thieuthkorean:12620,thieuthparenkorean:12811,thirteencircle:9324,thirteenparen:9344,thirteenperiod:9364,thonangmonthothai:3601,thook:429,thophuthaothai:3602,thorn:254,thothahanthai:3607,thothanthai:3600,thothongthai:3608,thothungthai:3606,thousandcyrillic:1154,thousandsseparatorarabic:1644,thousandsseparatorpersian:1644,three:51,threearabic:1635,threebengali:2537,threecircle:9314,threecircleinversesansserif:10124,threedeva:2409,threeeighths:8540,threegujarati:2793,threegurmukhi:2665,threehackarabic:1635,threehangzhou:12323,threeideographicparen:12834,threeinferior:8323,threemonospace:65299,threenumeratorbengali:2550,threeoldstyle:63283,threeparen:9334,threeperiod:9354,threepersian:1779,threequarters:190,threequartersemdash:63198,threeroman:8562,threesuperior:179,threethai:3667,thzsquare:13204,tihiragana:12385,tikatakana:12481,tikatakanahalfwidth:65409,tikeutacirclekorean:12912,tikeutaparenkorean:12816,tikeutcirclekorean:12898,tikeutkorean:12599,tikeutparenkorean:12802,tilde:732,tildebelowcmb:816,tildecmb:771,tildecomb:771,tildedoublecmb:864,tildeoperator:8764,tildeoverlaycmb:820,tildeverticalcmb:830,timescircle:8855,tipehahebrew:1430,tipehalefthebrew:1430,tippigurmukhi:2672,titlocyrilliccmb:1155,tiwnarmenian:1407,tlinebelow:7791,tmonospace:65364,toarmenian:1385,tohiragana:12392,tokatakana:12488,tokatakanahalfwidth:65412,tonebarextrahighmod:741,tonebarextralowmod:745,tonebarhighmod:742,tonebarlowmod:744,tonebarmidmod:743,tonefive:445,tonesix:389,tonetwo:424,tonos:900,tonsquare:13095,topatakthai:3599,tortoiseshellbracketleft:12308,tortoiseshellbracketleftsmall:65117,tortoiseshellbracketleftvertical:65081,tortoiseshellbracketright:12309,tortoiseshellbracketrightsmall:65118,tortoiseshellbracketrightvertical:65082,totaothai:3605,tpalatalhook:427,tparen:9391,trademark:8482,trademarksans:63722,trademarkserif:63195,tretroflexhook:648,triagdn:9660,triaglf:9668,triagrt:9658,triagup:9650,ts:678,tsadi:1510,tsadidagesh:64326,tsadidageshhebrew:64326,tsadihebrew:1510,tsecyrillic:1094,tsere:1461,tsere12:1461,tsere1e:1461,tsere2b:1461,tserehebrew:1461,tserenarrowhebrew:1461,tserequarterhebrew:1461,tserewidehebrew:1461,tshecyrillic:1115,tsuperior:63219,ttabengali:2463,ttadeva:2335,ttagujarati:2719,ttagurmukhi:2591,tteharabic:1657,ttehfinalarabic:64359,ttehinitialarabic:64360,ttehmedialarabic:64361,tthabengali:2464,tthadeva:2336,tthagujarati:2720,tthagurmukhi:2592,tturned:647,tuhiragana:12388,tukatakana:12484,tukatakanahalfwidth:65410,tusmallhiragana:12387,tusmallkatakana:12483,tusmallkatakanahalfwidth:65391,twelvecircle:9323,twelveparen:9343,twelveperiod:9363,twelveroman:8571,twentycircle:9331,twentyhangzhou:21316,twentyparen:9351,twentyperiod:9371,two:50,twoarabic:1634,twobengali:2536,twocircle:9313,twocircleinversesansserif:10123,twodeva:2408,twodotenleader:8229,twodotleader:8229,twodotleadervertical:65072,twogujarati:2792,twogurmukhi:2664,twohackarabic:1634,twohangzhou:12322,twoideographicparen:12833,twoinferior:8322,twomonospace:65298,twonumeratorbengali:2549,twooldstyle:63282,twoparen:9333,twoperiod:9353,twopersian:1778,tworoman:8561,twostroke:443,twosuperior:178,twothai:3666,twothirds:8532,u:117,uacute:250,ubar:649,ubengali:2441,ubopomofo:12584,ubreve:365,ucaron:468,ucircle:9444,ucircumflex:251,ucircumflexbelow:7799,ucyrillic:1091,udattadeva:2385,udblacute:369,udblgrave:533,udeva:2313,udieresis:252,udieresisacute:472,udieresisbelow:7795,udieresiscaron:474,udieresiscyrillic:1265,udieresisgrave:476,udieresismacron:470,udotbelow:7909,ugrave:249,ugujarati:2697,ugurmukhi:2569,uhiragana:12358,uhookabove:7911,uhorn:432,uhornacute:7913,uhorndotbelow:7921,uhorngrave:7915,uhornhookabove:7917,uhorntilde:7919,uhungarumlaut:369,uhungarumlautcyrillic:1267,uinvertedbreve:535,ukatakana:12454,ukatakanahalfwidth:65395,ukcyrillic:1145,ukorean:12636,umacron:363,umacroncyrillic:1263,umacrondieresis:7803,umatragurmukhi:2625,umonospace:65365,underscore:95,underscoredbl:8215,underscoremonospace:65343,underscorevertical:65075,underscorewavy:65103,union:8746,universal:8704,uogonek:371,uparen:9392,upblock:9600,upperdothebrew:1476,upsilon:965,upsilondieresis:971,upsilondieresistonos:944,upsilonlatin:650,upsilontonos:973,uptackbelowcmb:797,uptackmod:724,uragurmukhi:2675,uring:367,ushortcyrillic:1118,usmallhiragana:12357,usmallkatakana:12453,usmallkatakanahalfwidth:65385,ustraightcyrillic:1199,ustraightstrokecyrillic:1201,utilde:361,utildeacute:7801,utildebelow:7797,uubengali:2442,uudeva:2314,uugujarati:2698,uugurmukhi:2570,uumatragurmukhi:2626,uuvowelsignbengali:2498,uuvowelsigndeva:2370,uuvowelsigngujarati:2754,uvowelsignbengali:2497,uvowelsigndeva:2369,uvowelsigngujarati:2753,v:118,vadeva:2357,vagujarati:2741,vagurmukhi:2613,vakatakana:12535,vav:1493,vavdagesh:64309,vavdagesh65:64309,vavdageshhebrew:64309,vavhebrew:1493,vavholam:64331,vavholamhebrew:64331,vavvavhebrew:1520,vavyodhebrew:1521,vcircle:9445,vdotbelow:7807,vecyrillic:1074,veharabic:1700,vehfinalarabic:64363,vehinitialarabic:64364,vehmedialarabic:64365,vekatakana:12537,venus:9792,verticalbar:124,verticallineabovecmb:781,verticallinebelowcmb:809,verticallinelowmod:716,verticallinemod:712,vewarmenian:1406,vhook:651,vikatakana:12536,viramabengali:2509,viramadeva:2381,viramagujarati:2765,visargabengali:2435,visargadeva:2307,visargagujarati:2691,vmonospace:65366,voarmenian:1400,voicediterationhiragana:12446,voicediterationkatakana:12542,voicedmarkkana:12443,voicedmarkkanahalfwidth:65438,vokatakana:12538,vparen:9393,vtilde:7805,vturned:652,vuhiragana:12436,vukatakana:12532,w:119,wacute:7811,waekorean:12633,wahiragana:12431,wakatakana:12527,wakatakanahalfwidth:65436,wakorean:12632,wasmallhiragana:12430,wasmallkatakana:12526,wattosquare:13143,wavedash:12316,wavyunderscorevertical:65076,wawarabic:1608,wawfinalarabic:65262,wawhamzaabovearabic:1572,wawhamzaabovefinalarabic:65158,wbsquare:13277,wcircle:9446,wcircumflex:373,wdieresis:7813,wdotaccent:7815,wdotbelow:7817,wehiragana:12433,weierstrass:8472,wekatakana:12529,wekorean:12638,weokorean:12637,wgrave:7809,whitebullet:9702,whitecircle:9675,whitecircleinverse:9689,whitecornerbracketleft:12302,whitecornerbracketleftvertical:65091,whitecornerbracketright:12303,whitecornerbracketrightvertical:65092,whitediamond:9671,whitediamondcontainingblacksmalldiamond:9672,whitedownpointingsmalltriangle:9663,whitedownpointingtriangle:9661,whiteleftpointingsmalltriangle:9667,whiteleftpointingtriangle:9665,whitelenticularbracketleft:12310,whitelenticularbracketright:12311,whiterightpointingsmalltriangle:9657,whiterightpointingtriangle:9655,whitesmallsquare:9643,whitesmilingface:9786,whitesquare:9633,whitestar:9734,whitetelephone:9743,whitetortoiseshellbracketleft:12312,whitetortoiseshellbracketright:12313,whiteuppointingsmalltriangle:9653,whiteuppointingtriangle:9651,wihiragana:12432,wikatakana:12528,wikorean:12639,wmonospace:65367,wohiragana:12434,wokatakana:12530,wokatakanahalfwidth:65382,won:8361,wonmonospace:65510,wowaenthai:3623,wparen:9394,wring:7832,wsuperior:695,wturned:653,wynn:447,x:120,xabovecmb:829,xbopomofo:12562,xcircle:9447,xdieresis:7821,xdotaccent:7819,xeharmenian:1389,xi:958,xmonospace:65368,xparen:9395,xsuperior:739,y:121,yaadosquare:13134,yabengali:2479,yacute:253,yadeva:2351,yaekorean:12626,yagujarati:2735,yagurmukhi:2607,yahiragana:12420,yakatakana:12516,yakatakanahalfwidth:65428,yakorean:12625,yamakkanthai:3662,yasmallhiragana:12419,yasmallkatakana:12515,yasmallkatakanahalfwidth:65388,yatcyrillic:1123,ycircle:9448,ycircumflex:375,ydieresis:255,ydotaccent:7823,ydotbelow:7925,yeharabic:1610,yehbarreearabic:1746,yehbarreefinalarabic:64431,yehfinalarabic:65266,yehhamzaabovearabic:1574,yehhamzaabovefinalarabic:65162,yehhamzaaboveinitialarabic:65163,yehhamzaabovemedialarabic:65164,yehinitialarabic:65267,yehmedialarabic:65268,yehmeeminitialarabic:64733,yehmeemisolatedarabic:64600,yehnoonfinalarabic:64660,yehthreedotsbelowarabic:1745,yekorean:12630,yen:165,yenmonospace:65509,yeokorean:12629,yeorinhieuhkorean:12678,yerahbenyomohebrew:1450,yerahbenyomolefthebrew:1450,yericyrillic:1099,yerudieresiscyrillic:1273,yesieungkorean:12673,yesieungpansioskorean:12675,yesieungsioskorean:12674,yetivhebrew:1434,ygrave:7923,yhook:436,yhookabove:7927,yiarmenian:1397,yicyrillic:1111,yikorean:12642,yinyang:9775,yiwnarmenian:1410,ymonospace:65369,yod:1497,yoddagesh:64313,yoddageshhebrew:64313,yodhebrew:1497,yodyodhebrew:1522,yodyodpatahhebrew:64287,yohiragana:12424,yoikorean:12681,yokatakana:12520,yokatakanahalfwidth:65430,yokorean:12635,yosmallhiragana:12423,yosmallkatakana:12519,yosmallkatakanahalfwidth:65390,yotgreek:1011,yoyaekorean:12680,yoyakorean:12679,yoyakthai:3618,yoyingthai:3597,yparen:9396,ypogegrammeni:890,ypogegrammenigreekcmb:837,yr:422,yring:7833,ysuperior:696,ytilde:7929,yturned:654,yuhiragana:12422,yuikorean:12684,yukatakana:12518,yukatakanahalfwidth:65429,yukorean:12640,yusbigcyrillic:1131,yusbigiotifiedcyrillic:1133,yuslittlecyrillic:1127,yuslittleiotifiedcyrillic:1129,yusmallhiragana:12421,yusmallkatakana:12517,yusmallkatakanahalfwidth:65389,yuyekorean:12683,yuyeokorean:12682,yyabengali:2527,yyadeva:2399,z:122,zaarmenian:1382,zacute:378,zadeva:2395,zagurmukhi:2651,zaharabic:1592,zahfinalarabic:65222,zahinitialarabic:65223,zahiragana:12374,zahmedialarabic:65224,zainarabic:1586,zainfinalarabic:65200,zakatakana:12470,zaqefgadolhebrew:1429,zaqefqatanhebrew:1428,zarqahebrew:1432,zayin:1494,zayindagesh:64310,zayindageshhebrew:64310,zayinhebrew:1494,zbopomofo:12567,zcaron:382,zcircle:9449,zcircumflex:7825,zcurl:657,zdot:380,zdotaccent:380,zdotbelow:7827,zecyrillic:1079,zedescendercyrillic:1177,zedieresiscyrillic:1247,zehiragana:12380,zekatakana:12476,zero:48,zeroarabic:1632,zerobengali:2534,zerodeva:2406,zerogujarati:2790,zerogurmukhi:2662,zerohackarabic:1632,zeroinferior:8320,zeromonospace:65296,zerooldstyle:63280,zeropersian:1776,zerosuperior:8304,zerothai:3664,zerowidthjoiner:65279,zerowidthnonjoiner:8204,zerowidthspace:8203,zeta:950,zhbopomofo:12563,zhearmenian:1386,zhebrevecyrillic:1218,zhecyrillic:1078,zhedescendercyrillic:1175,zhedieresiscyrillic:1245,zihiragana:12376,zikatakana:12472,zinorhebrew:1454,zlinebelow:7829,zmonospace:65370,zohiragana:12382,zokatakana:12478,zparen:9397,zretroflexhook:656,zstroke:438,zuhiragana:12378,zukatakana:12474,".notdef":0},Ac={space:32,a1:9985,a2:9986,a202:9987,a3:9988,a4:9742,a5:9990,a119:9991,a118:9992,a117:9993,a11:9755,a12:9758,a13:9996,a14:9997,a15:9998,a16:9999,a105:1e4,a17:10001,a18:10002,a19:10003,a20:10004,a21:10005,a22:10006,a23:10007,a24:10008,a25:10009,a26:10010,a27:10011,a28:10012,a6:10013,a7:10014,a8:10015,a9:10016,a10:10017,a29:10018,a30:10019,a31:10020,a32:10021,a33:10022,a34:10023,a35:9733,a36:10025,a37:10026,a38:10027,a39:10028,a40:10029,a41:10030,a42:10031,a43:10032,a44:10033,a45:10034,a46:10035,a47:10036,a48:10037,a49:10038,a50:10039,a51:10040,a52:10041,a53:10042,a54:10043,a55:10044,a56:10045,a57:10046,a58:10047,a59:10048,a60:10049,a61:10050,a62:10051,a63:10052,a64:10053,a65:10054,a66:10055,a67:10056,a68:10057,a69:10058,a70:10059,a71:9679,a72:10061,a73:9632,a74:10063,a203:10064,a75:10065,a204:10066,a76:9650,a77:9660,a78:9670,a79:10070,a81:9687,a82:10072,a83:10073,a84:10074,a97:10075,a98:10076,a99:10077,a100:10078,a101:10081,a102:10082,a103:10083,a104:10084,a106:10085,a107:10086,a108:10087,a112:9827,a111:9830,a110:9829,a109:9824,a120:9312,a121:9313,a122:9314,a123:9315,a124:9316,a125:9317,a126:9318,a127:9319,a128:9320,a129:9321,a130:10102,a131:10103,a132:10104,a133:10105,a134:10106,a135:10107,a136:10108,a137:10109,a138:10110,a139:10111,a140:10112,a141:10113,a142:10114,a143:10115,a144:10116,a145:10117,a146:10118,a147:10119,a148:10120,a149:10121,a150:10122,a151:10123,a152:10124,a153:10125,a154:10126,a155:10127,a156:10128,a157:10129,a158:10130,a159:10131,a160:10132,a161:8594,a163:8596,a164:8597,a196:10136,a165:10137,a192:10138,a166:10139,a167:10140,a168:10141,a169:10142,a170:10143,a171:10144,a172:10145,a173:10146,a162:10147,a174:10148,a175:10149,a176:10150,a177:10151,a178:10152,a179:10153,a193:10154,a180:10155,a199:10156,a181:10157,a200:10158,a182:10159,a201:10161,a183:10162,a184:10163,a197:10164,a185:10165,a194:10166,a198:10167,a186:10168,a195:10169,a187:10170,a188:10171,a189:10172,a190:10173,a191:10174,a89:10088,a90:10089,a93:10090,a94:10091,a91:10092,a92:10093,a205:10094,a85:10095,a206:10096,a86:10097,a87:10098,a88:10099,a95:10100,a96:10101,".notdef":0},Bc=function(){function d(a,b,c,d){if(d instanceof Sc&&d.isNativelyDecodable(b,c)){var e=d.dict,f=e.get("ColorSpace","CS");f=Xa.parse(f,b,c);var g=f.numComps,h=a.sendWithPromise("JpegDecode",[d.getIR(),g]);return h.then(function(a){var b=a.data;return new Mc(b,0,b.length,d.dict)})}return Promise.resolve(d)}function f(a,b,c,d){return a=b+a*c,0>a?0:a>d?d:a}function g(b,d,e,f,h,i,j){this.image=e;var k=e.dict;if(k.has("Filter")){var l=k.get("Filter").name;if("JPXDecode"===l){var m=new hd;m.parseImageProperties(e.stream),e.stream.reset(),e.bitsPerComponent=m.bitsPerComponent,e.numComps=m.componentsCount}else"JBIG2Decode"===l&&(e.bitsPerComponent=1,e.numComps=1)}this.width=k.get("Width","W"),this.height=k.get("Height","H"),(this.width<1||this.height<1)&&c("Invalid image width: "+this.width+" or height: "+this.height),this.interpolate=k.get("Interpolate","I")||!1,this.imageMask=k.get("ImageMask","IM")||!1,this.matte=k.get("Matte")||!1;var n=e.bitsPerComponent;if(n||(n=k.get("BitsPerComponent","BPC"),n||(this.imageMask?n=1:c("Bits per component missing in image: "+this.imageMask))),this.bpc=n,!this.imageMask){var o=k.get("ColorSpace","CS");if(!o)switch(a("JPX images (which do not require color spaces)"),e.numComps){case 1:o=ya.get("DeviceGray");break;case 3:o=ya.get("DeviceRGB");break;case 4:o=ya.get("DeviceCMYK");break;default:c("JPX images with "+this.numComps+" color components not supported.")}this.colorSpace=Xa.parse(o,b,d),this.numComps=this.colorSpace.numComps}if(this.decode=k.get("Decode","D"),this.needsDecode=!1,this.decode&&(this.colorSpace&&!this.colorSpace.isDefaultDecode(this.decode)||j&&!Xa.isDefaultDecode(this.decode,1))){this.needsDecode=!0;var p=(1<=b?new Uint8Array(o):16>=b?new Uint16Array(o):new Uint32Array(o),q=e/g,r=f/h,s=0,t=new Uint16Array(g),u=e*d;for(1!==j&&(j=0),l=0;g>l;l++)t[l]=Math.floor(l*q)*d;if(1===d)for(k=0;h>k;k++)for(m=Math.floor(k*r)*u,l=0;g>l;l++)n=m+t[l],p[s++]=a[n];else if(3===d)for(k=0;h>k;k++)for(m=Math.floor(k*r)*u,l=0;g>l;l++)n=m+t[l],p[s++]=a[n++],p[s++]=a[n++],p[s++]=a[n++],s+=j;return p},g.createMask=function(a,b,c,d,e){var f,g,h=(b+7>>3)*c,i=a.byteLength,j=h===i;if(!d||e&&!j)if(e)for(f=new Uint8Array(h),f.set(a),g=i;h>g;g++)f[g]=255;else f=new Uint8Array(i),f.set(a);else f=a;if(e)for(g=0;i>g;g++)f[g]=~f[g];return{data:f,width:b,height:c}},g.prototype={get drawWidth(){return Math.max(this.width,this.smask&&this.smask.width||0,this.mask&&this.mask.width||0)},get drawHeight(){return Math.max(this.height,this.smask&&this.smask.height||0,this.mask&&this.mask.height||0)},decodeBuffer:function(a){var b,c,d=this.bpc,e=this.numComps,g=this.decodeAddends,h=this.decodeCoefficients,i=(1<b;b++)for(var k=0;e>k;k++)a[j]=f(a[j],g[k],h[k],i),j++}else for(b=0,c=a.length;c>b;b++)a[b]=+!a[b]},getComponents:function(a){var b=this.bpc;if(8===b)return a;var c,d,e=this.width,f=this.height,g=this.numComps,h=e*f*g,i=0,j=8>=b?new Uint8Array(h):16>=b?new Uint16Array(h):new Uint32Array(h),k=e*g,l=(1<q;q++){for(o=m+(-8&k),p=m+k;o>m;)d=a[i++],j[m]=d>>7&1,j[m+1]=d>>6&1,j[m+2]=d>>5&1,j[m+3]=d>>4&1,j[m+4]=d>>3&1,j[m+5]=d>>2&1,j[m+6]=d>>1&1,j[m+7]=1&d,m+=8;if(p>m)for(d=a[i++],n=128;p>m;)j[m++]=+!!(d&n),n>>=1}else{var r=0;for(d=0,m=0,c=h;c>m;++m){for(m%k===0&&(d=0,r=0);b>r;)d=d<<8|a[i++],r+=8;var s=r-b,t=d>>s;j[m]=0>t?0:t>l?l:t,d&=(1<k;++k)h[k]=255-h[k];i===b&&j===d||(h=g.resize(h,o.bpc,1,i,j,b,d))}else if(C(o)){h=new Uint8Array(b*d);var p=this.numComps;for(k=0,l=b*d;l>k;++k){var q=0,r=k*p;for(m=0;p>m;++m){var s=f[r+m],t=2*m;if(so[t+1]){q=255;break}}h[k]=q}}else c("Unknown mask format.");if(h)for(k=0,m=3,l=b*e;l>k;++k,m+=4)a[m]=h[k];else for(k=0,m=3,l=b*e;l>k;++k,m+=4)a[m]=255},undoPreblend:function(a,b,c){var d=this.smask&&this.smask.matte;if(d)for(var e,f,g,h=this.colorSpace.getRgb(d,0),i=h[0],j=h[1],k=h[2],l=b*c*4,m=0;l>m;m+=4){var n=a[m+3];if(0!==n){var o=255/n;e=(a[m]-i)*o+i,f=(a[m+1]-j)*o+j,g=(a[m+2]-k)*o+k,a[m]=0>=e?0:e>=255?255:0|e,a[m+1]=0>=f?0:f>=255?255:0|f,a[m+2]=0>=g?0:g>=255?255:0|g}else a[m]=255,a[m+1]=255,a[m+2]=255}},createImageData:function(a){var b,c=this.drawWidth,d=this.drawHeight,f={width:c,height:d},g=this.numComps,h=this.width,i=this.height,j=this.bpc,k=h*g*j+7>>3;if(!a){var l;if("DeviceGray"===this.colorSpace.name&&1===j?l=V.GRAYSCALE_1BPP:"DeviceRGB"!==this.colorSpace.name||8!==j||this.needsDecode||(l=V.RGB_24BPP),l&&!this.smask&&!this.mask&&c===h&&d===i){if(f.kind=l,b=this.getImageBytes(i*k),this.image instanceof Oc)f.data=b;else{var m=new Uint8Array(b.length);m.set(b),f.data=m}if(this.needsDecode){e(l===V.GRAYSCALE_1BPP);for(var n=f.data,o=0,p=n.length;p>o;o++)n[o]^=255}return f}if(this.image instanceof Sc&&!this.smask&&!this.mask&&("DeviceGray"===this.colorSpace.name||"DeviceRGB"===this.colorSpace.name||"DeviceCMYK"===this.colorSpace.name))return f.kind=V.RGB_24BPP,f.data=this.getImageBytes(i*k,c,d,!0),f}b=this.getImageBytes(i*k);var q,r,s=0|b.length/k*d/i,t=this.getComponents(b);return a||this.smask||this.mask?(f.kind=V.RGBA_32BPP,f.data=new Uint8Array(c*d*4),q=1,r=!0,this.fillOpacity(f.data,c,d,s,t)):(f.kind=V.RGB_24BPP,f.data=new Uint8Array(c*d*3),q=0,r=!1),this.needsDecode&&this.decodeBuffer(t),this.colorSpace.fillRgb(f.data,h,i,c,d,s,j,t,q),r&&this.undoPreblend(f.data,c,s),f},fillGrayBuffer:function(a){var b=this.numComps;1!==b&&c("Reading gray scale from a color image: "+b);var d,e,f=this.width,g=this.height,h=this.bpc,i=f*b*h+7>>3,j=this.getImageBytes(g*i),k=this.getComponents(j);if(1!==h){this.needsDecode&&this.decodeBuffer(k),e=f*g;var l=255/((1<d;++d)a[d]=l*k[d]|0}else if(e=f*g,this.needsDecode)for(d=0;e>d;++d)a[d]=k[d]-1&255;else for(d=0;e>d;++d)a[d]=255&-k[d]},getImageBytes:function(a,b,c,d){return this.image.reset(),this.image.drawWidth=b||this.width,this.image.drawHeight=c||this.height,this.image.forceRGB=!!d,this.image.getBytes(a)}},g}(),Cc={Courier:600,"Courier-Bold":600,"Courier-BoldOblique":600,"Courier-Oblique":600,Helvetica:{space:278,exclam:278,quotedbl:355,numbersign:556,dollar:556,percent:889,ampersand:667,quoteright:222,parenleft:333,parenright:333,asterisk:389,plus:584,comma:278,hyphen:333,period:278,slash:278,zero:556,one:556,two:556,three:556,four:556,five:556,six:556,seven:556,eight:556,nine:556,colon:278,semicolon:278,less:584,equal:584,greater:584,question:556,at:1015,A:667,B:667,C:722,D:722,E:667,F:611,G:778,H:722,I:278,J:500,K:667,L:556,M:833,N:722,O:778,P:667,Q:778,R:722,S:667,T:611,U:722,V:667,W:944,X:667,Y:667,Z:611,bracketleft:278,backslash:278,bracketright:278,asciicircum:469,underscore:556,quoteleft:222,a:556,b:556,c:500,d:556,e:556,f:278,g:556,h:556,i:222,j:222,k:500,l:222,m:833,n:556,o:556,p:556,q:556,r:333,s:500,t:278,u:556,v:500,w:722,x:500,y:500,z:500,braceleft:334,bar:260,braceright:334,asciitilde:584,exclamdown:333,cent:556,sterling:556,fraction:167,yen:556,florin:556,section:556,currency:556,quotesingle:191,quotedblleft:333,guillemotleft:556,guilsinglleft:333,guilsinglright:333,fi:500,fl:500,endash:556,dagger:556,daggerdbl:556,periodcentered:278,paragraph:537,bullet:350,quotesinglbase:222,quotedblbase:333,quotedblright:333,guillemotright:556,ellipsis:1e3,perthousand:1e3,questiondown:611,grave:333,acute:333,circumflex:333,tilde:333,macron:333,breve:333,dotaccent:333,dieresis:333,ring:333,cedilla:333,hungarumlaut:333,ogonek:333,caron:333,emdash:1e3,AE:1e3,ordfeminine:370,Lslash:556,Oslash:778,OE:1e3,ordmasculine:365,ae:889,dotlessi:278,lslash:222,oslash:611,oe:944,germandbls:611,Idieresis:278,eacute:556,abreve:556,uhungarumlaut:556,ecaron:556,Ydieresis:667,divide:584,Yacute:667,Acircumflex:667,aacute:556,Ucircumflex:722,yacute:500,scommaaccent:500,ecircumflex:556,Uring:722,Udieresis:722,aogonek:556,Uacute:722,uogonek:556,Edieresis:667,Dcroat:722,commaaccent:250,copyright:737,Emacron:667,ccaron:500,aring:556,Ncommaaccent:722,lacute:222,agrave:556,Tcommaaccent:611,Cacute:722,atilde:556,Edotaccent:667,scaron:500,scedilla:500,iacute:278,lozenge:471,Rcaron:722,Gcommaaccent:778,ucircumflex:556,acircumflex:556,Amacron:667,rcaron:333,ccedilla:500,Zdotaccent:611,Thorn:667,Omacron:778,Racute:722,Sacute:667,dcaron:643,Umacron:722,uring:556,threesuperior:333,Ograve:778,Agrave:667,Abreve:667,multiply:584,uacute:556,Tcaron:611,partialdiff:476,ydieresis:500,Nacute:722,icircumflex:278,Ecircumflex:667,adieresis:556,edieresis:556,cacute:500,nacute:556,umacron:556,Ncaron:722,Iacute:278,plusminus:584,brokenbar:260,registered:737,Gbreve:778,Idotaccent:278,summation:600,Egrave:667,racute:333,omacron:556,Zacute:611,Zcaron:611,greaterequal:549,Eth:722,Ccedilla:722,lcommaaccent:222,tcaron:317,eogonek:556,Uogonek:722,Aacute:667,Adieresis:667,egrave:556,zacute:500,iogonek:222,Oacute:778,oacute:556,amacron:556,sacute:500,idieresis:278,Ocircumflex:778,Ugrave:722,Delta:612,thorn:556,twosuperior:333,Odieresis:778,mu:556,igrave:278,ohungarumlaut:556,Eogonek:667,dcroat:556,threequarters:834,Scedilla:667,lcaron:299,Kcommaaccent:667,Lacute:556,trademark:1e3,edotaccent:556,Igrave:278,Imacron:278,Lcaron:556,onehalf:834,lessequal:549,ocircumflex:556,ntilde:556,Uhungarumlaut:722,Eacute:667,emacron:556,gbreve:556,onequarter:834,Scaron:667,Scommaaccent:667,Ohungarumlaut:778,degree:400,ograve:556,Ccaron:722,ugrave:556,radical:453,Dcaron:722,rcommaaccent:333,Ntilde:722,otilde:556,Rcommaaccent:722,Lcommaaccent:556,Atilde:667,Aogonek:667,Aring:667,Otilde:778,zdotaccent:500,Ecaron:667,Iogonek:278,kcommaaccent:500,minus:584,Icircumflex:278,ncaron:556,tcommaaccent:278,logicalnot:584,odieresis:556,udieresis:556,notequal:549,gcommaaccent:556,eth:556,zcaron:500,ncommaaccent:556,onesuperior:333,imacron:278,Euro:556},"Helvetica-Bold":{space:278,exclam:333,quotedbl:474,numbersign:556,dollar:556,percent:889,ampersand:722,quoteright:278,parenleft:333,parenright:333,asterisk:389,plus:584,comma:278,hyphen:333,period:278,slash:278,zero:556,one:556,two:556,three:556,four:556,five:556,six:556,seven:556,eight:556,nine:556,colon:333,semicolon:333,less:584,equal:584,greater:584,question:611,at:975,A:722,B:722,C:722,D:722,E:667, -F:611,G:778,H:722,I:278,J:556,K:722,L:611,M:833,N:722,O:778,P:667,Q:778,R:722,S:667,T:611,U:722,V:667,W:944,X:667,Y:667,Z:611,bracketleft:333,backslash:278,bracketright:333,asciicircum:584,underscore:556,quoteleft:278,a:556,b:611,c:556,d:611,e:556,f:333,g:611,h:611,i:278,j:278,k:556,l:278,m:889,n:611,o:611,p:611,q:611,r:389,s:556,t:333,u:611,v:556,w:778,x:556,y:556,z:500,braceleft:389,bar:280,braceright:389,asciitilde:584,exclamdown:333,cent:556,sterling:556,fraction:167,yen:556,florin:556,section:556,currency:556,quotesingle:238,quotedblleft:500,guillemotleft:556,guilsinglleft:333,guilsinglright:333,fi:611,fl:611,endash:556,dagger:556,daggerdbl:556,periodcentered:278,paragraph:556,bullet:350,quotesinglbase:278,quotedblbase:500,quotedblright:500,guillemotright:556,ellipsis:1e3,perthousand:1e3,questiondown:611,grave:333,acute:333,circumflex:333,tilde:333,macron:333,breve:333,dotaccent:333,dieresis:333,ring:333,cedilla:333,hungarumlaut:333,ogonek:333,caron:333,emdash:1e3,AE:1e3,ordfeminine:370,Lslash:611,Oslash:778,OE:1e3,ordmasculine:365,ae:889,dotlessi:278,lslash:278,oslash:611,oe:944,germandbls:611,Idieresis:278,eacute:556,abreve:556,uhungarumlaut:611,ecaron:556,Ydieresis:667,divide:584,Yacute:667,Acircumflex:722,aacute:556,Ucircumflex:722,yacute:556,scommaaccent:556,ecircumflex:556,Uring:722,Udieresis:722,aogonek:556,Uacute:722,uogonek:611,Edieresis:667,Dcroat:722,commaaccent:250,copyright:737,Emacron:667,ccaron:556,aring:556,Ncommaaccent:722,lacute:278,agrave:556,Tcommaaccent:611,Cacute:722,atilde:556,Edotaccent:667,scaron:556,scedilla:556,iacute:278,lozenge:494,Rcaron:722,Gcommaaccent:778,ucircumflex:611,acircumflex:556,Amacron:722,rcaron:389,ccedilla:556,Zdotaccent:611,Thorn:667,Omacron:778,Racute:722,Sacute:667,dcaron:743,Umacron:722,uring:611,threesuperior:333,Ograve:778,Agrave:722,Abreve:722,multiply:584,uacute:611,Tcaron:611,partialdiff:494,ydieresis:556,Nacute:722,icircumflex:278,Ecircumflex:667,adieresis:556,edieresis:556,cacute:556,nacute:611,umacron:611,Ncaron:722,Iacute:278,plusminus:584,brokenbar:280,registered:737,Gbreve:778,Idotaccent:278,summation:600,Egrave:667,racute:389,omacron:611,Zacute:611,Zcaron:611,greaterequal:549,Eth:722,Ccedilla:722,lcommaaccent:278,tcaron:389,eogonek:556,Uogonek:722,Aacute:722,Adieresis:722,egrave:556,zacute:500,iogonek:278,Oacute:778,oacute:611,amacron:556,sacute:556,idieresis:278,Ocircumflex:778,Ugrave:722,Delta:612,thorn:611,twosuperior:333,Odieresis:778,mu:611,igrave:278,ohungarumlaut:611,Eogonek:667,dcroat:611,threequarters:834,Scedilla:667,lcaron:400,Kcommaaccent:722,Lacute:611,trademark:1e3,edotaccent:556,Igrave:278,Imacron:278,Lcaron:611,onehalf:834,lessequal:549,ocircumflex:611,ntilde:611,Uhungarumlaut:722,Eacute:667,emacron:556,gbreve:611,onequarter:834,Scaron:667,Scommaaccent:667,Ohungarumlaut:778,degree:400,ograve:611,Ccaron:722,ugrave:611,radical:549,Dcaron:722,rcommaaccent:389,Ntilde:722,otilde:611,Rcommaaccent:722,Lcommaaccent:611,Atilde:722,Aogonek:722,Aring:722,Otilde:778,zdotaccent:500,Ecaron:667,Iogonek:278,kcommaaccent:556,minus:584,Icircumflex:278,ncaron:611,tcommaaccent:333,logicalnot:584,odieresis:611,udieresis:611,notequal:549,gcommaaccent:611,eth:611,zcaron:500,ncommaaccent:611,onesuperior:333,imacron:278,Euro:556},"Helvetica-BoldOblique":{space:278,exclam:333,quotedbl:474,numbersign:556,dollar:556,percent:889,ampersand:722,quoteright:278,parenleft:333,parenright:333,asterisk:389,plus:584,comma:278,hyphen:333,period:278,slash:278,zero:556,one:556,two:556,three:556,four:556,five:556,six:556,seven:556,eight:556,nine:556,colon:333,semicolon:333,less:584,equal:584,greater:584,question:611,at:975,A:722,B:722,C:722,D:722,E:667,F:611,G:778,H:722,I:278,J:556,K:722,L:611,M:833,N:722,O:778,P:667,Q:778,R:722,S:667,T:611,U:722,V:667,W:944,X:667,Y:667,Z:611,bracketleft:333,backslash:278,bracketright:333,asciicircum:584,underscore:556,quoteleft:278,a:556,b:611,c:556,d:611,e:556,f:333,g:611,h:611,i:278,j:278,k:556,l:278,m:889,n:611,o:611,p:611,q:611,r:389,s:556,t:333,u:611,v:556,w:778,x:556,y:556,z:500,braceleft:389,bar:280,braceright:389,asciitilde:584,exclamdown:333,cent:556,sterling:556,fraction:167,yen:556,florin:556,section:556,currency:556,quotesingle:238,quotedblleft:500,guillemotleft:556,guilsinglleft:333,guilsinglright:333,fi:611,fl:611,endash:556,dagger:556,daggerdbl:556,periodcentered:278,paragraph:556,bullet:350,quotesinglbase:278,quotedblbase:500,quotedblright:500,guillemotright:556,ellipsis:1e3,perthousand:1e3,questiondown:611,grave:333,acute:333,circumflex:333,tilde:333,macron:333,breve:333,dotaccent:333,dieresis:333,ring:333,cedilla:333,hungarumlaut:333,ogonek:333,caron:333,emdash:1e3,AE:1e3,ordfeminine:370,Lslash:611,Oslash:778,OE:1e3,ordmasculine:365,ae:889,dotlessi:278,lslash:278,oslash:611,oe:944,germandbls:611,Idieresis:278,eacute:556,abreve:556,uhungarumlaut:611,ecaron:556,Ydieresis:667,divide:584,Yacute:667,Acircumflex:722,aacute:556,Ucircumflex:722,yacute:556,scommaaccent:556,ecircumflex:556,Uring:722,Udieresis:722,aogonek:556,Uacute:722,uogonek:611,Edieresis:667,Dcroat:722,commaaccent:250,copyright:737,Emacron:667,ccaron:556,aring:556,Ncommaaccent:722,lacute:278,agrave:556,Tcommaaccent:611,Cacute:722,atilde:556,Edotaccent:667,scaron:556,scedilla:556,iacute:278,lozenge:494,Rcaron:722,Gcommaaccent:778,ucircumflex:611,acircumflex:556,Amacron:722,rcaron:389,ccedilla:556,Zdotaccent:611,Thorn:667,Omacron:778,Racute:722,Sacute:667,dcaron:743,Umacron:722,uring:611,threesuperior:333,Ograve:778,Agrave:722,Abreve:722,multiply:584,uacute:611,Tcaron:611,partialdiff:494,ydieresis:556,Nacute:722,icircumflex:278,Ecircumflex:667,adieresis:556,edieresis:556,cacute:556,nacute:611,umacron:611,Ncaron:722,Iacute:278,plusminus:584,brokenbar:280,registered:737,Gbreve:778,Idotaccent:278,summation:600,Egrave:667,racute:389,omacron:611,Zacute:611,Zcaron:611,greaterequal:549,Eth:722,Ccedilla:722,lcommaaccent:278,tcaron:389,eogonek:556,Uogonek:722,Aacute:722,Adieresis:722,egrave:556,zacute:500,iogonek:278,Oacute:778,oacute:611,amacron:556,sacute:556,idieresis:278,Ocircumflex:778,Ugrave:722,Delta:612,thorn:611,twosuperior:333,Odieresis:778,mu:611,igrave:278,ohungarumlaut:611,Eogonek:667,dcroat:611,threequarters:834,Scedilla:667,lcaron:400,Kcommaaccent:722,Lacute:611,trademark:1e3,edotaccent:556,Igrave:278,Imacron:278,Lcaron:611,onehalf:834,lessequal:549,ocircumflex:611,ntilde:611,Uhungarumlaut:722,Eacute:667,emacron:556,gbreve:611,onequarter:834,Scaron:667,Scommaaccent:667,Ohungarumlaut:778,degree:400,ograve:611,Ccaron:722,ugrave:611,radical:549,Dcaron:722,rcommaaccent:389,Ntilde:722,otilde:611,Rcommaaccent:722,Lcommaaccent:611,Atilde:722,Aogonek:722,Aring:722,Otilde:778,zdotaccent:500,Ecaron:667,Iogonek:278,kcommaaccent:556,minus:584,Icircumflex:278,ncaron:611,tcommaaccent:333,logicalnot:584,odieresis:611,udieresis:611,notequal:549,gcommaaccent:611,eth:611,zcaron:500,ncommaaccent:611,onesuperior:333,imacron:278,Euro:556},"Helvetica-Oblique":{space:278,exclam:278,quotedbl:355,numbersign:556,dollar:556,percent:889,ampersand:667,quoteright:222,parenleft:333,parenright:333,asterisk:389,plus:584,comma:278,hyphen:333,period:278,slash:278,zero:556,one:556,two:556,three:556,four:556,five:556,six:556,seven:556,eight:556,nine:556,colon:278,semicolon:278,less:584,equal:584,greater:584,question:556,at:1015,A:667,B:667,C:722,D:722,E:667,F:611,G:778,H:722,I:278,J:500,K:667,L:556,M:833,N:722,O:778,P:667,Q:778,R:722,S:667,T:611,U:722,V:667,W:944,X:667,Y:667,Z:611,bracketleft:278,backslash:278,bracketright:278,asciicircum:469,underscore:556,quoteleft:222,a:556,b:556,c:500,d:556,e:556,f:278,g:556,h:556,i:222,j:222,k:500,l:222,m:833,n:556,o:556,p:556,q:556,r:333,s:500,t:278,u:556,v:500,w:722,x:500,y:500,z:500,braceleft:334,bar:260,braceright:334,asciitilde:584,exclamdown:333,cent:556,sterling:556,fraction:167,yen:556,florin:556,section:556,currency:556,quotesingle:191,quotedblleft:333,guillemotleft:556,guilsinglleft:333,guilsinglright:333,fi:500,fl:500,endash:556,dagger:556,daggerdbl:556,periodcentered:278,paragraph:537,bullet:350,quotesinglbase:222,quotedblbase:333,quotedblright:333,guillemotright:556,ellipsis:1e3,perthousand:1e3,questiondown:611,grave:333,acute:333,circumflex:333,tilde:333,macron:333,breve:333,dotaccent:333,dieresis:333,ring:333,cedilla:333,hungarumlaut:333,ogonek:333,caron:333,emdash:1e3,AE:1e3,ordfeminine:370,Lslash:556,Oslash:778,OE:1e3,ordmasculine:365,ae:889,dotlessi:278,lslash:222,oslash:611,oe:944,germandbls:611,Idieresis:278,eacute:556,abreve:556,uhungarumlaut:556,ecaron:556,Ydieresis:667,divide:584,Yacute:667,Acircumflex:667,aacute:556,Ucircumflex:722,yacute:500,scommaaccent:500,ecircumflex:556,Uring:722,Udieresis:722,aogonek:556,Uacute:722,uogonek:556,Edieresis:667,Dcroat:722,commaaccent:250,copyright:737,Emacron:667,ccaron:500,aring:556,Ncommaaccent:722,lacute:222,agrave:556,Tcommaaccent:611,Cacute:722,atilde:556,Edotaccent:667,scaron:500,scedilla:500,iacute:278,lozenge:471,Rcaron:722,Gcommaaccent:778,ucircumflex:556,acircumflex:556,Amacron:667,rcaron:333,ccedilla:500,Zdotaccent:611,Thorn:667,Omacron:778,Racute:722,Sacute:667,dcaron:643,Umacron:722,uring:556,threesuperior:333,Ograve:778,Agrave:667,Abreve:667,multiply:584,uacute:556,Tcaron:611,partialdiff:476,ydieresis:500,Nacute:722,icircumflex:278,Ecircumflex:667,adieresis:556,edieresis:556,cacute:500,nacute:556,umacron:556,Ncaron:722,Iacute:278,plusminus:584,brokenbar:260,registered:737,Gbreve:778,Idotaccent:278,summation:600,Egrave:667,racute:333,omacron:556,Zacute:611,Zcaron:611,greaterequal:549,Eth:722,Ccedilla:722,lcommaaccent:222,tcaron:317,eogonek:556,Uogonek:722,Aacute:667,Adieresis:667,egrave:556,zacute:500,iogonek:222,Oacute:778,oacute:556,amacron:556,sacute:500,idieresis:278,Ocircumflex:778,Ugrave:722,Delta:612,thorn:556,twosuperior:333,Odieresis:778,mu:556,igrave:278,ohungarumlaut:556,Eogonek:667,dcroat:556,threequarters:834,Scedilla:667,lcaron:299,Kcommaaccent:667,Lacute:556,trademark:1e3,edotaccent:556,Igrave:278,Imacron:278,Lcaron:556,onehalf:834,lessequal:549,ocircumflex:556,ntilde:556,Uhungarumlaut:722,Eacute:667,emacron:556,gbreve:556,onequarter:834,Scaron:667,Scommaaccent:667,Ohungarumlaut:778,degree:400,ograve:556,Ccaron:722,ugrave:556,radical:453,Dcaron:722,rcommaaccent:333,Ntilde:722,otilde:556,Rcommaaccent:722,Lcommaaccent:556,Atilde:667,Aogonek:667,Aring:667,Otilde:778,zdotaccent:500,Ecaron:667,Iogonek:278,kcommaaccent:500,minus:584,Icircumflex:278,ncaron:556,tcommaaccent:278,logicalnot:584,odieresis:556,udieresis:556,notequal:549,gcommaaccent:556,eth:556,zcaron:500,ncommaaccent:556,onesuperior:333,imacron:278,Euro:556},Symbol:{space:250,exclam:333,universal:713,numbersign:500,existential:549,percent:833,ampersand:778,suchthat:439,parenleft:333,parenright:333,asteriskmath:500,plus:549,comma:250,minus:549,period:250,slash:278,zero:500,one:500,two:500,three:500,four:500,five:500,six:500,seven:500,eight:500,nine:500,colon:278,semicolon:278,less:549,equal:549,greater:549,question:444,congruent:549,Alpha:722,Beta:667,Chi:722,Delta:612,Epsilon:611,Phi:763,Gamma:603,Eta:722,Iota:333,theta1:631,Kappa:722,Lambda:686,Mu:889,Nu:722,Omicron:722,Pi:768,Theta:741,Rho:556,Sigma:592,Tau:611,Upsilon:690,sigma1:439,Omega:768,Xi:645,Psi:795,Zeta:611,bracketleft:333,therefore:863,bracketright:333,perpendicular:658,underscore:500,radicalex:500,alpha:631,beta:549,chi:549,delta:494,epsilon:439,phi:521,gamma:411,eta:603,iota:329,phi1:603,kappa:549,lambda:549,mu:576,nu:521,omicron:549,pi:549,theta:521,rho:549,sigma:603,tau:439,upsilon:576,omega1:713,omega:686,xi:493,psi:686,zeta:494,braceleft:480,bar:200,braceright:480,similar:549,Euro:750,Upsilon1:620,minute:247,lessequal:549,fraction:167,infinity:713,florin:500,club:753,diamond:753,heart:753,spade:753,arrowboth:1042,arrowleft:987,arrowup:603,arrowright:987,arrowdown:603,degree:400,plusminus:549,second:411,greaterequal:549,multiply:549,proportional:713,partialdiff:494,bullet:460,divide:549,notequal:549,equivalence:549,approxequal:549,ellipsis:1e3,arrowvertex:603,arrowhorizex:1e3,carriagereturn:658,aleph:823,Ifraktur:686,Rfraktur:795,weierstrass:987,circlemultiply:768,circleplus:768,emptyset:823,intersection:768,union:768,propersuperset:713,reflexsuperset:713,notsubset:713,propersubset:713,reflexsubset:713,element:713,notelement:713,angle:768,gradient:713,registerserif:790,copyrightserif:790,trademarkserif:890,product:823,radical:549,dotmath:250,logicalnot:713,logicaland:603,logicalor:603,arrowdblboth:1042,arrowdblleft:987,arrowdblup:603,arrowdblright:987,arrowdbldown:603,lozenge:494,angleleft:329,registersans:790,copyrightsans:790,trademarksans:786,summation:713,parenlefttp:384,parenleftex:384,parenleftbt:384,bracketlefttp:384,bracketleftex:384,bracketleftbt:384,bracelefttp:494,braceleftmid:494,braceleftbt:494,braceex:494,angleright:329,integral:274,integraltp:686,integralex:686,integralbt:686,parenrighttp:384,parenrightex:384,parenrightbt:384,bracketrighttp:384,bracketrightex:384,bracketrightbt:384,bracerighttp:494,bracerightmid:494,bracerightbt:494,apple:790},"Times-Roman":{space:250,exclam:333,quotedbl:408,numbersign:500,dollar:500,percent:833,ampersand:778,quoteright:333,parenleft:333,parenright:333,asterisk:500,plus:564,comma:250,hyphen:333,period:250,slash:278,zero:500,one:500,two:500,three:500,four:500,five:500,six:500,seven:500,eight:500,nine:500,colon:278,semicolon:278,less:564,equal:564,greater:564,question:444,at:921,A:722,B:667,C:667,D:722,E:611,F:556,G:722,H:722,I:333,J:389,K:722,L:611,M:889,N:722,O:722,P:556,Q:722,R:667,S:556,T:611,U:722,V:722,W:944,X:722,Y:722,Z:611,bracketleft:333,backslash:278,bracketright:333,asciicircum:469,underscore:500,quoteleft:333,a:444,b:500,c:444,d:500,e:444,f:333,g:500,h:500,i:278,j:278,k:500,l:278,m:778,n:500,o:500,p:500,q:500,r:333,s:389,t:278,u:500,v:500,w:722,x:500,y:500,z:444,braceleft:480,bar:200,braceright:480,asciitilde:541,exclamdown:333,cent:500,sterling:500,fraction:167,yen:500,florin:500,section:500,currency:500,quotesingle:180,quotedblleft:444,guillemotleft:500,guilsinglleft:333,guilsinglright:333,fi:556,fl:556,endash:500,dagger:500,daggerdbl:500,periodcentered:250,paragraph:453,bullet:350,quotesinglbase:333,quotedblbase:444,quotedblright:444,guillemotright:500,ellipsis:1e3,perthousand:1e3,questiondown:444,grave:333,acute:333,circumflex:333,tilde:333,macron:333,breve:333,dotaccent:333,dieresis:333,ring:333,cedilla:333,hungarumlaut:333,ogonek:333,caron:333,emdash:1e3,AE:889,ordfeminine:276,Lslash:611,Oslash:722,OE:889,ordmasculine:310,ae:667,dotlessi:278,lslash:278,oslash:500,oe:722,germandbls:500,Idieresis:333,eacute:444,abreve:444,uhungarumlaut:500,ecaron:444,Ydieresis:722,divide:564,Yacute:722,Acircumflex:722,aacute:444,Ucircumflex:722,yacute:500,scommaaccent:389,ecircumflex:444,Uring:722,Udieresis:722,aogonek:444,Uacute:722,uogonek:500,Edieresis:611,Dcroat:722,commaaccent:250,copyright:760,Emacron:611,ccaron:444,aring:444,Ncommaaccent:722,lacute:278,agrave:444,Tcommaaccent:611,Cacute:667,atilde:444,Edotaccent:611,scaron:389,scedilla:389,iacute:278,lozenge:471,Rcaron:667,Gcommaaccent:722,ucircumflex:500,acircumflex:444,Amacron:722,rcaron:333,ccedilla:444,Zdotaccent:611,Thorn:556,Omacron:722,Racute:667,Sacute:556,dcaron:588,Umacron:722,uring:500,threesuperior:300,Ograve:722,Agrave:722,Abreve:722,multiply:564,uacute:500,Tcaron:611,partialdiff:476,ydieresis:500,Nacute:722,icircumflex:278,Ecircumflex:611,adieresis:444,edieresis:444,cacute:444,nacute:500,umacron:500,Ncaron:722,Iacute:333,plusminus:564,brokenbar:200,registered:760,Gbreve:722,Idotaccent:333,summation:600,Egrave:611,racute:333,omacron:500,Zacute:611,Zcaron:611,greaterequal:549,Eth:722,Ccedilla:667,lcommaaccent:278,tcaron:326,eogonek:444,Uogonek:722,Aacute:722,Adieresis:722,egrave:444,zacute:444,iogonek:278,Oacute:722,oacute:500,amacron:444,sacute:389,idieresis:278,Ocircumflex:722,Ugrave:722,Delta:612,thorn:500,twosuperior:300,Odieresis:722,mu:500,igrave:278,ohungarumlaut:500,Eogonek:611,dcroat:500,threequarters:750,Scedilla:556,lcaron:344,Kcommaaccent:722,Lacute:611,trademark:980,edotaccent:444,Igrave:333,Imacron:333,Lcaron:611,onehalf:750,lessequal:549,ocircumflex:500,ntilde:500,Uhungarumlaut:722,Eacute:611,emacron:444,gbreve:500,onequarter:750,Scaron:556,Scommaaccent:556,Ohungarumlaut:722,degree:400,ograve:500,Ccaron:667,ugrave:500,radical:453,Dcaron:722,rcommaaccent:333,Ntilde:722,otilde:500,Rcommaaccent:667,Lcommaaccent:611,Atilde:722,Aogonek:722,Aring:722,Otilde:722,zdotaccent:444,Ecaron:611,Iogonek:333,kcommaaccent:500,minus:564,Icircumflex:333,ncaron:500,tcommaaccent:278,logicalnot:564,odieresis:500,udieresis:500,notequal:549,gcommaaccent:500,eth:500,zcaron:444,ncommaaccent:500,onesuperior:300,imacron:278,Euro:500},"Times-Bold":{space:250,exclam:333,quotedbl:555,numbersign:500,dollar:500,percent:1e3,ampersand:833,quoteright:333,parenleft:333,parenright:333,asterisk:500,plus:570,comma:250,hyphen:333,period:250,slash:278,zero:500,one:500,two:500,three:500,four:500,five:500,six:500,seven:500,eight:500,nine:500,colon:333,semicolon:333,less:570,equal:570,greater:570,question:500,at:930,A:722,B:667,C:722,D:722,E:667,F:611,G:778,H:778,I:389,J:500,K:778,L:667,M:944,N:722,O:778,P:611,Q:778,R:722,S:556,T:667,U:722,V:722,W:1e3,X:722,Y:722,Z:667,bracketleft:333,backslash:278,bracketright:333,asciicircum:581,underscore:500,quoteleft:333,a:500,b:556,c:444,d:556,e:444,f:333,g:500,h:556,i:278,j:333,k:556,l:278,m:833,n:556,o:500,p:556,q:556,r:444,s:389,t:333,u:556,v:500,w:722,x:500,y:500,z:444,braceleft:394,bar:220,braceright:394,asciitilde:520,exclamdown:333,cent:500,sterling:500,fraction:167,yen:500,florin:500,section:500,currency:500,quotesingle:278,quotedblleft:500,guillemotleft:500,guilsinglleft:333,guilsinglright:333,fi:556,fl:556,endash:500,dagger:500,daggerdbl:500,periodcentered:250,paragraph:540,bullet:350,quotesinglbase:333,quotedblbase:500,quotedblright:500,guillemotright:500,ellipsis:1e3,perthousand:1e3,questiondown:500,grave:333,acute:333,circumflex:333,tilde:333,macron:333,breve:333,dotaccent:333,dieresis:333,ring:333,cedilla:333,hungarumlaut:333,ogonek:333,caron:333,emdash:1e3,AE:1e3,ordfeminine:300,Lslash:667,Oslash:778,OE:1e3,ordmasculine:330,ae:722,dotlessi:278,lslash:278,oslash:500,oe:722,germandbls:556,Idieresis:389,eacute:444,abreve:500,uhungarumlaut:556,ecaron:444,Ydieresis:722,divide:570,Yacute:722,Acircumflex:722,aacute:500,Ucircumflex:722,yacute:500,scommaaccent:389,ecircumflex:444,Uring:722,Udieresis:722,aogonek:500,Uacute:722,uogonek:556,Edieresis:667,Dcroat:722,commaaccent:250,copyright:747,Emacron:667,ccaron:444,aring:500,Ncommaaccent:722,lacute:278,agrave:500,Tcommaaccent:667,Cacute:722,atilde:500,Edotaccent:667,scaron:389,scedilla:389,iacute:278,lozenge:494,Rcaron:722,Gcommaaccent:778,ucircumflex:556,acircumflex:500,Amacron:722,rcaron:444,ccedilla:444,Zdotaccent:667,Thorn:611,Omacron:778,Racute:722,Sacute:556,dcaron:672,Umacron:722,uring:556,threesuperior:300,Ograve:778,Agrave:722,Abreve:722,multiply:570,uacute:556,Tcaron:667,partialdiff:494,ydieresis:500,Nacute:722,icircumflex:278,Ecircumflex:667,adieresis:500,edieresis:444,cacute:444,nacute:556,umacron:556,Ncaron:722,Iacute:389,plusminus:570,brokenbar:220,registered:747,Gbreve:778,Idotaccent:389,summation:600,Egrave:667,racute:444,omacron:500,Zacute:667,Zcaron:667,greaterequal:549,Eth:722,Ccedilla:722,lcommaaccent:278,tcaron:416,eogonek:444,Uogonek:722,Aacute:722,Adieresis:722,egrave:444,zacute:444,iogonek:278,Oacute:778,oacute:500,amacron:500,sacute:389,idieresis:278,Ocircumflex:778,Ugrave:722,Delta:612,thorn:556,twosuperior:300,Odieresis:778,mu:556,igrave:278,ohungarumlaut:500,Eogonek:667,dcroat:556,threequarters:750,Scedilla:556,lcaron:394,Kcommaaccent:778,Lacute:667,trademark:1e3,edotaccent:444,Igrave:389,Imacron:389,Lcaron:667,onehalf:750,lessequal:549,ocircumflex:500,ntilde:556,Uhungarumlaut:722,Eacute:667,emacron:444,gbreve:500,onequarter:750,Scaron:556,Scommaaccent:556,Ohungarumlaut:778,degree:400,ograve:500,Ccaron:722,ugrave:556,radical:549,Dcaron:722,rcommaaccent:444,Ntilde:722,otilde:500,Rcommaaccent:722,Lcommaaccent:667,Atilde:722,Aogonek:722,Aring:722,Otilde:778,zdotaccent:444,Ecaron:667,Iogonek:389,kcommaaccent:556,minus:570,Icircumflex:389,ncaron:556,tcommaaccent:333,logicalnot:570,odieresis:500,udieresis:556,notequal:549,gcommaaccent:500,eth:500,zcaron:444,ncommaaccent:556,onesuperior:300,imacron:278,Euro:500},"Times-BoldItalic":{space:250,exclam:389,quotedbl:555,numbersign:500,dollar:500,percent:833,ampersand:778,quoteright:333,parenleft:333,parenright:333,asterisk:500,plus:570,comma:250,hyphen:333,period:250,slash:278,zero:500,one:500,two:500,three:500,four:500,five:500,six:500,seven:500,eight:500,nine:500,colon:333,semicolon:333,less:570,equal:570,greater:570,question:500,at:832,A:667,B:667,C:667,D:722,E:667,F:667,G:722,H:778,I:389,J:500,K:667,L:611,M:889,N:722,O:722,P:611,Q:722,R:667,S:556,T:611,U:722,V:667,W:889,X:667,Y:611,Z:611,bracketleft:333,backslash:278,bracketright:333,asciicircum:570,underscore:500,quoteleft:333,a:500,b:500,c:444,d:500,e:444,f:333,g:500,h:556,i:278,j:278,k:500,l:278,m:778,n:556,o:500,p:500,q:500,r:389,s:389,t:278,u:556,v:444,w:667,x:500,y:444,z:389,braceleft:348,bar:220,braceright:348,asciitilde:570,exclamdown:389,cent:500,sterling:500,fraction:167,yen:500,florin:500,section:500,currency:500,quotesingle:278,quotedblleft:500,guillemotleft:500,guilsinglleft:333,guilsinglright:333,fi:556,fl:556,endash:500,dagger:500,daggerdbl:500,periodcentered:250,paragraph:500,bullet:350,quotesinglbase:333,quotedblbase:500,quotedblright:500,guillemotright:500,ellipsis:1e3,perthousand:1e3,questiondown:500,grave:333,acute:333,circumflex:333,tilde:333,macron:333,breve:333,dotaccent:333,dieresis:333,ring:333,cedilla:333,hungarumlaut:333,ogonek:333,caron:333,emdash:1e3,AE:944,ordfeminine:266,Lslash:611,Oslash:722,OE:944,ordmasculine:300,ae:722,dotlessi:278,lslash:278,oslash:500,oe:722,germandbls:500,Idieresis:389,eacute:444,abreve:500,uhungarumlaut:556,ecaron:444,Ydieresis:611,divide:570,Yacute:611,Acircumflex:667,aacute:500,Ucircumflex:722,yacute:444,scommaaccent:389,ecircumflex:444,Uring:722,Udieresis:722,aogonek:500,Uacute:722,uogonek:556,Edieresis:667,Dcroat:722,commaaccent:250,copyright:747,Emacron:667,ccaron:444,aring:500,Ncommaaccent:722,lacute:278,agrave:500,Tcommaaccent:611,Cacute:667,atilde:500,Edotaccent:667,scaron:389,scedilla:389,iacute:278,lozenge:494,Rcaron:667,Gcommaaccent:722,ucircumflex:556,acircumflex:500,Amacron:667,rcaron:389,ccedilla:444,Zdotaccent:611,Thorn:611,Omacron:722,Racute:667,Sacute:556,dcaron:608,Umacron:722,uring:556,threesuperior:300,Ograve:722,Agrave:667,Abreve:667,multiply:570,uacute:556,Tcaron:611,partialdiff:494,ydieresis:444,Nacute:722,icircumflex:278,Ecircumflex:667,adieresis:500,edieresis:444,cacute:444,nacute:556,umacron:556,Ncaron:722,Iacute:389,plusminus:570,brokenbar:220,registered:747,Gbreve:722,Idotaccent:389,summation:600,Egrave:667,racute:389,omacron:500,Zacute:611,Zcaron:611,greaterequal:549,Eth:722,Ccedilla:667,lcommaaccent:278,tcaron:366,eogonek:444,Uogonek:722,Aacute:667,Adieresis:667,egrave:444,zacute:389,iogonek:278,Oacute:722,oacute:500,amacron:500,sacute:389,idieresis:278,Ocircumflex:722,Ugrave:722,Delta:612,thorn:500,twosuperior:300,Odieresis:722,mu:576,igrave:278,ohungarumlaut:500,Eogonek:667,dcroat:500,threequarters:750,Scedilla:556,lcaron:382,Kcommaaccent:667,Lacute:611,trademark:1e3,edotaccent:444,Igrave:389,Imacron:389,Lcaron:611,onehalf:750,lessequal:549,ocircumflex:500,ntilde:556,Uhungarumlaut:722,Eacute:667,emacron:444,gbreve:500,onequarter:750,Scaron:556,Scommaaccent:556,Ohungarumlaut:722,degree:400,ograve:500,Ccaron:667,ugrave:556,radical:549,Dcaron:722,rcommaaccent:389,Ntilde:722,otilde:500,Rcommaaccent:667,Lcommaaccent:611,Atilde:667,Aogonek:667,Aring:667,Otilde:722,zdotaccent:389,Ecaron:667,Iogonek:389,kcommaaccent:500,minus:606,Icircumflex:389,ncaron:556,tcommaaccent:278,logicalnot:606,odieresis:500,udieresis:556,notequal:549,gcommaaccent:500,eth:500,zcaron:389,ncommaaccent:556,onesuperior:300,imacron:278,Euro:500},"Times-Italic":{space:250,exclam:333,quotedbl:420,numbersign:500,dollar:500,percent:833,ampersand:778,quoteright:333,parenleft:333,parenright:333,asterisk:500,plus:675,comma:250,hyphen:333,period:250,slash:278,zero:500,one:500,two:500,three:500,four:500,five:500,six:500,seven:500,eight:500,nine:500,colon:333,semicolon:333,less:675,equal:675,greater:675,question:500,at:920,A:611,B:611,C:667,D:722,E:611,F:611,G:722,H:722,I:333,J:444,K:667,L:556,M:833,N:667,O:722,P:611,Q:722,R:611,S:500,T:556,U:722,V:611,W:833,X:611,Y:556,Z:556,bracketleft:389,backslash:278,bracketright:389,asciicircum:422,underscore:500,quoteleft:333,a:500,b:500,c:444,d:500,e:444,f:278,g:500,h:500,i:278,j:278,k:444,l:278,m:722,n:500,o:500,p:500,q:500,r:389,s:389,t:278,u:500,v:444,w:667,x:444,y:444,z:389,braceleft:400,bar:275,braceright:400,asciitilde:541,exclamdown:389,cent:500,sterling:500,fraction:167,yen:500,florin:500,section:500,currency:500,quotesingle:214,quotedblleft:556,guillemotleft:500,guilsinglleft:333,guilsinglright:333,fi:500,fl:500,endash:500,dagger:500,daggerdbl:500,periodcentered:250,paragraph:523,bullet:350,quotesinglbase:333,quotedblbase:556,quotedblright:556,guillemotright:500,ellipsis:889,perthousand:1e3,questiondown:500,grave:333,acute:333,circumflex:333,tilde:333,macron:333,breve:333,dotaccent:333,dieresis:333,ring:333,cedilla:333,hungarumlaut:333,ogonek:333,caron:333,emdash:889,AE:889,ordfeminine:276,Lslash:556,Oslash:722,OE:944,ordmasculine:310,ae:667,dotlessi:278,lslash:278,oslash:500,oe:667,germandbls:500,Idieresis:333,eacute:444,abreve:500,uhungarumlaut:500,ecaron:444,Ydieresis:556,divide:675,Yacute:556,Acircumflex:611,aacute:500,Ucircumflex:722,yacute:444,scommaaccent:389,ecircumflex:444,Uring:722,Udieresis:722,aogonek:500,Uacute:722,uogonek:500,Edieresis:611,Dcroat:722,commaaccent:250,copyright:760,Emacron:611,ccaron:444,aring:500,Ncommaaccent:667,lacute:278,agrave:500,Tcommaaccent:556,Cacute:667,atilde:500,Edotaccent:611,scaron:389,scedilla:389,iacute:278,lozenge:471,Rcaron:611,Gcommaaccent:722,ucircumflex:500,acircumflex:500,Amacron:611,rcaron:389,ccedilla:444,Zdotaccent:556,Thorn:611,Omacron:722,Racute:611,Sacute:500,dcaron:544,Umacron:722,uring:500,threesuperior:300,Ograve:722,Agrave:611,Abreve:611,multiply:675,uacute:500,Tcaron:556,partialdiff:476,ydieresis:444,Nacute:667,icircumflex:278,Ecircumflex:611,adieresis:500,edieresis:444,cacute:444,nacute:500,umacron:500,Ncaron:667,Iacute:333,plusminus:675,brokenbar:275,registered:760,Gbreve:722,Idotaccent:333,summation:600,Egrave:611,racute:389,omacron:500,Zacute:556,Zcaron:556,greaterequal:549,Eth:722,Ccedilla:667,lcommaaccent:278,tcaron:300,eogonek:444,Uogonek:722,Aacute:611,Adieresis:611,egrave:444,zacute:389,iogonek:278,Oacute:722,oacute:500,amacron:500,sacute:389,idieresis:278,Ocircumflex:722,Ugrave:722,Delta:612,thorn:500,twosuperior:300,Odieresis:722,mu:500,igrave:278,ohungarumlaut:500,Eogonek:611,dcroat:500,threequarters:750,Scedilla:500,lcaron:300,Kcommaaccent:667,Lacute:556,trademark:980,edotaccent:444,Igrave:333,Imacron:333,Lcaron:611,onehalf:750,lessequal:549,ocircumflex:500,ntilde:500,Uhungarumlaut:722,Eacute:611,emacron:444,gbreve:500,onequarter:750,Scaron:500,Scommaaccent:500,Ohungarumlaut:722,degree:400,ograve:500,Ccaron:667,ugrave:500,radical:453,Dcaron:722,rcommaaccent:389,Ntilde:667,otilde:500,Rcommaaccent:611,Lcommaaccent:556,Atilde:611,Aogonek:611,Aring:611,Otilde:722,zdotaccent:389,Ecaron:611,Iogonek:333,kcommaaccent:444,minus:675,Icircumflex:333,ncaron:500,tcommaaccent:278,logicalnot:675,odieresis:500,udieresis:500,notequal:549,gcommaaccent:500,eth:500,zcaron:389,ncommaaccent:500,onesuperior:300,imacron:278,Euro:500},ZapfDingbats:{space:278,a1:974,a2:961,a202:974,a3:980,a4:719,a5:789,a119:790,a118:791,a117:690,a11:960,a12:939,a13:549,a14:855,a15:911,a16:933,a105:911,a17:945,a18:974,a19:755,a20:846,a21:762,a22:761,a23:571,a24:677,a25:763,a26:760,a27:759,a28:754,a6:494,a7:552,a8:537,a9:577,a10:692,a29:786,a30:788,a31:788,a32:790,a33:793,a34:794,a35:816,a36:823,a37:789,a38:841,a39:823,a40:833,a41:816,a42:831,a43:923,a44:744,a45:723,a46:749,a47:790,a48:792,a49:695,a50:776,a51:768,a52:792,a53:759,a54:707,a55:708,a56:682,a57:701,a58:826,a59:815,a60:789,a61:789,a62:707,a63:687,a64:696,a65:689,a66:786,a67:787,a68:713,a69:791,a70:785,a71:791,a72:873,a73:761,a74:762,a203:762,a75:759,a204:759,a76:892,a77:892,a78:788,a79:784,a81:438,a82:138,a83:277,a84:415,a97:392,a98:392,a99:668,a100:668,a89:390,a90:390,a93:317,a94:317,a91:276,a92:276,a205:509,a85:509,a206:410,a86:410,a87:234,a88:234,a95:334,a96:334,a101:732,a102:544,a103:544,a104:910,a106:667,a107:760,a108:760,a112:776,a111:595,a110:694,a109:626,a120:788,a121:788,a122:788,a123:788,a124:788,a125:788,a126:788,a127:788,a128:788,a129:788,a130:788,a131:788,a132:788,a133:788,a134:788,a135:788,a136:788,a137:788,a138:788,a139:788,a140:788,a141:788,a142:788,a143:788,a144:788,a145:788,a146:788,a147:788,a148:788,a149:788,a150:788,a151:788,a152:788,a153:788,a154:788,a155:788,a156:788,a157:788,a158:788,a159:788,a160:894,a161:838,a163:1016,a164:458,a196:748,a165:924,a192:748,a166:918,a167:927,a168:928,a169:928,a170:834,a171:873,a172:828,a173:924,a162:924,a174:917,a175:930,a176:931,a177:463,a178:883,a179:836,a193:836,a180:867,a199:867,a181:696,a200:696,a182:874,a201:874,a183:760,a184:946,a197:771,a185:865,a194:771,a198:888,a186:967,a195:888,a187:831,a188:873,a189:927,a190:970,a191:918}},Dc={},Ec=1e3,Fc=function(){function d(a,b,c){this.lexer=a,this.allowStreams=b,this.xref=c,this.imageCache={},this.refill()}return d.prototype={refill:function(){this.buf1=this.lexer.getObj(),this.buf2=this.lexer.getObj()},shift:function(){A(this.buf2,"ID")?(this.buf1=this.buf2,this.buf2=null):(this.buf1=this.buf2,this.buf2=this.lexer.getObj())},tryShift:function(){try{return this.shift(),!0}catch(a){if(a instanceof ja)throw a;return!1}},getObj:function(b){var d=this.buf1;if(this.shift(),d instanceof za)switch(d.cmd){case"BI":return this.makeInlineImage(b);case"[":for(var e=[];!A(this.buf1,"]")&&!R(this.buf1);)e.push(this.getObj(b));return R(this.buf1)&&c("End of file inside array"),this.shift(),e;case"<<":for(var f=new Aa(this.xref);!A(this.buf1,">>")&&!R(this.buf1);)if(z(this.buf1)){var g=this.buf1.name;if(this.shift(),R(this.buf1))break;f.set(g,this.getObj(b))}else a("Malformed dictionary: key must be a name object"),this.shift();return R(this.buf1)&&c("End of file inside dictionary"),A(this.buf2,"stream")?this.allowStreams?this.makeStream(f,b):f:(this.shift(),f);default:return d}if(w(d)){var h=d;if(w(this.buf1)&&A(this.buf2,"R")){var i=new Ba(h,this.buf1);return this.shift(),this.shift(),i}return h}if(y(d)){var j=d;return b&&(j=b.decryptString(j)),j}return d},findDefaultInlineStreamEnd:function(a){for(var b,c,d,f,g=69,h=73,i=32,j=10,k=13,l=a.pos,m=0;-1!==(b=a.getByte());)if(0===m)m=b===g?1:0;else if(1===m)m=b===h?2:0;else if(e(2===m),b===i||b===j||b===k){for(d=5,f=a.peekBytes(d),c=0;d>c;c++)if(b=f[c],b!==j&&b!==k&&(i>b||b>127)){m=0;break}if(2===m)break}else m=0;return a.pos-4-l},findDCTDecodeInlineStreamEnd:function(a){for(var c,d,e,f=a.pos,g=!1;-1!==(c=a.getByte());)if(255===c){switch(a.getByte()){case 0:break;case 255:a.skip(-1);break;case 217:g=!0;break;case 192:case 193:case 194:case 195:case 197:case 198:case 199:case 201:case 202:case 203:case 205:case 206:case 207:case 196:case 204:case 218:case 219:case 220:case 221:case 222:case 223:case 224:case 225:case 226:case 227:case 228:case 229:case 230:case 231:case 232:case 233:case 234:case 235:case 236:case 237:case 238:case 239:case 254:d=a.getUint16(),d>2?a.skip(d-2):a.skip(-2)}if(g)break}return e=a.pos-f,-1===c?(b("Inline DCTDecode image stream: EOI marker not found, searching for /EI/ instead."),a.skip(-e),this.findDefaultInlineStreamEnd(a)):(this.inlineStreamSkipEI(a),e)},findASCII85DecodeInlineStreamEnd:function(a){for(var c,d,e=126,f=62,g=a.pos;-1!==(c=a.getByte());)if(c===e&&a.peekByte()===f){a.skip();break}return d=a.pos-g,-1===c?(b("Inline ASCII85Decode image stream: EOD marker not found, searching for /EI/ instead."),a.skip(-d),this.findDefaultInlineStreamEnd(a)):(this.inlineStreamSkipEI(a), -d)},findASCIIHexDecodeInlineStreamEnd:function(a){for(var c,d,e=62,f=a.pos;-1!==(c=a.getByte())&&c!==e;);return d=a.pos-f,-1===c?(b("Inline ASCIIHexDecode image stream: EOD marker not found, searching for /EI/ instead."),a.skip(-d),this.findDefaultInlineStreamEnd(a)):(this.inlineStreamSkipEI(a),d)},inlineStreamSkipEI:function(a){for(var b,c=69,d=73,e=0;-1!==(b=a.getByte());)if(0===e)e=b===c?1:0;else if(1===e)e=b===d?2:0;else if(2===e)break},makeInlineImage:function(a){for(var b=this.lexer,d=b.stream,e=new Aa(this.xref);!A(this.buf1,"ID")&&!R(this.buf1);){z(this.buf1)||c("Dictionary key must be a name object");var f=this.buf1.name;if(this.shift(),R(this.buf1))break;e.set(f,this.getObj(a))}var g,h=e.get("Filter","F");z(h)?g=h.name:C(h)&&z(h[0])&&(g=h[0].name);var i,j,k,l=d.pos;i="DCTDecode"===g||"DCT"===g?this.findDCTDecodeInlineStreamEnd(d):"ASCII85Decide"===g||"A85"===g?this.findASCII85DecodeInlineStreamEnd(d):"ASCIIHexDecode"===g||"AHx"===g?this.findASCIIHexDecodeInlineStreamEnd(d):this.findDefaultInlineStreamEnd(d);var m,n=d.makeSubStream(l,i,e);if(Ec>i){var o=n.getBytes();n.reset();var p=1,q=0;for(j=0,k=o.length;k>j;++j)p+=255&o[j],q+=p;if(m=q%65521<<16|p%65521,this.imageCache.adler32===m)return this.buf2=za.get("EI"),this.shift(),this.imageCache[m].reset(),this.imageCache[m]}return a&&(n=a.createStream(n,i)),n=this.filter(n,e,i),n.dict=e,void 0!==m&&(n.cacheKey="inline_"+i+"_"+m,this.imageCache[m]=n),this.buf2=za.get("EI"),this.shift(),n},makeStream:function(b,d){var e=this.lexer,f=e.stream;e.skipToNextLine();var g=f.pos-1,h=b.get("Length");if(w(h)||(a("Bad "+h+" attribute in stream"),h=0),f.pos=g+h,e.nextChar(),this.tryShift()&&A(this.buf2,"endstream"))this.shift();else{f.pos=g;for(var i,j,k=2048,l=9,m=[101,110,100,115,116,114,101,97,109],n=0,o=!1;f.pos=q)break;for(o=!1,i=0,j=0;q>i;i++){var r=p[i];if(r!==m[j])i-=j,j=0;else if(j++,j>=l){i++,o=!0;break}}if(o){n+=i-l,f.pos+=i-l;break}n+=q,f.pos+=q}o||c("Missing endstream"),h=n,e.nextChar(),this.shift(),this.shift()}return this.shift(),f=f.makeSubStream(g,h,b),d&&(f=d.createStream(f,h)),f=this.filter(f,b,h),f.dict=b,f},filter:function(a,b,d){var e=b.get("Filter","F"),f=b.get("DecodeParms","DP");if(z(e))return this.makeFilter(a,e.name,d,f);var g=d;if(C(e))for(var h=e,i=f,j=0,k=h.length;k>j;++j)e=h[j],z(e)||c("Bad filter name: "+e),f=null,C(i)&&j in i&&(f=i[j]),a=this.makeFilter(a,e.name,g,f),g=null;return a},makeFilter:function(a,c,d,e){if(0===a.dict.get("Length")&&!d)return b('Empty "'+c+'" stream.'),new _c(a);try{e&&this.xref&&(e=this.xref.fetchIfRef(e));var f=this.xref.stats.streamTypes;if("FlateDecode"===c||"Fl"===c)return f[Y.FLATE]=!0,e?new Rc(new Qc(a,d),d,e):new Qc(a,d);if("LZWDecode"===c||"LZW"===c){f[Y.LZW]=!0;var g=1;return e?(e.has("EarlyChange")&&(g=e.get("EarlyChange")),new Rc(new $c(a,d,g),d,e)):new $c(a,d,g)}return"DCTDecode"===c||"DCT"===c?(f[Y.DCT]=!0,new Sc(a,d,a.dict,this.xref)):"JPXDecode"===c||"JPX"===c?(f[Y.JPX]=!0,new Tc(a,d,a.dict)):"ASCII85Decode"===c||"A85"===c?(f[Y.A85]=!0,new Wc(a,d)):"ASCIIHexDecode"===c||"AHx"===c?(f[Y.AHX]=!0,new Xc(a,d)):"CCITTFaxDecode"===c||"CCF"===c?(f[Y.CCF]=!0,new Zc(a,d,e)):"RunLengthDecode"===c||"RL"===c?(f[Y.RL]=!0,new Yc(a,d)):"JBIG2Decode"===c?(f[Y.JBIG]=!0,new Uc(a,d,a.dict)):(b('filter "'+c+'" not supported yet'),a)}catch(h){if(h instanceof ja)throw h;return b('Invalid stream: "'+h+'"'),new _c(a)}}},d}(),Gc=function(){function a(a,b){this.stream=a,this.nextChar(),this.strBuf=[],this.knownCommands=b}function d(a){return a>=48&&57>=a?15&a:a>=65&&70>=a||a>=97&&102>=a?(15&a)+9:-1}a.isSpace=function(a){return 32===a||9===a||13===a||10===a};var e=[1,0,0,0,0,0,0,0,0,1,1,0,1,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,2,0,0,2,2,0,0,0,0,0,2,0,0,0,0,0,0,0,0,0,0,0,0,2,0,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,2,0,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,2,0,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0];return a.prototype={nextChar:function(){return this.currentChar=this.stream.getByte()},peekChar:function(){return this.stream.peekByte()},getNumber:function(){var a=this.currentChar,d=!1,e=0,f=1;if(45===a?(f=-1,a=this.nextChar(),45===a&&(a=this.nextChar())):43===a&&(a=this.nextChar()),46===a&&(e=10,a=this.nextChar()),48>a||a>57)return c("Invalid number: "+String.fromCharCode(a)),0;for(var g=a-48,h=0,i=1;(a=this.nextChar())>=0;)if(a>=48&&57>=a){var j=a-48;d?h=10*h+j:(0!==e&&(e*=10),g=10*g+j)}else if(46===a){if(0!==e)break;e=1}else if(45===a)b("Badly formated number");else{if(69!==a&&101!==a)break;if(a=this.peekChar(),43===a||45===a)i=45===a?-1:1,this.nextChar();else if(48>a||a>57)break;d=!0}return 0!==e&&(g/=e),d&&(g*=Math.pow(10,i*h)),f*g},getString:function(){var a=1,c=!1,d=this.strBuf;d.length=0;for(var e=this.nextChar();;){var f=!1;switch(0|e){case-1:b("Unterminated string"),c=!0;break;case 40:++a,d.push("(");break;case 41:0===--a?(this.nextChar(),c=!0):d.push(")");break;case 92:switch(e=this.nextChar()){case-1:b("Unterminated string"),c=!0;break;case 110:d.push("\n");break;case 114:d.push("\r");break;case 116:d.push(" ");break;case 98:d.push("\b");break;case 102:d.push("\f");break;case 92:case 40:case 41:d.push(String.fromCharCode(e));break;case 48:case 49:case 50:case 51:case 52:case 53:case 54:case 55:var g=15&e;e=this.nextChar(),f=!0,e>=48&&55>=e&&(g=(g<<3)+(15&e),e=this.nextChar(),e>=48&&55>=e&&(f=!1,g=(g<<3)+(15&e))),d.push(String.fromCharCode(g));break;case 13:10===this.peekChar()&&this.nextChar();break;case 10:break;default:d.push(String.fromCharCode(e))}break;default:d.push(String.fromCharCode(e))}if(c)break;f||(e=this.nextChar())}return d.join("")},getName:function(){var a,f=this.strBuf;for(f.length=0;(a=this.nextChar())>=0&&!e[a];)if(35===a){a=this.nextChar();var g=d(a);if(-1!==g){var h=d(this.nextChar());-1===h&&c("Illegal digit in hex char in name: "+h),f.push(String.fromCharCode(g<<4|h))}else f.push("#",String.fromCharCode(a))}else f.push(String.fromCharCode(a));return f.length>127&&b("name token is longer than allowed by the spec: "+f.length),ya.get(f.join(""))},getHexString:function(){var a=this.strBuf;a.length=0;for(var c,f,g=this.currentChar,h=!0;;){if(0>g){b("Unterminated hex string");break}if(62===g){this.nextChar();break}if(1!==e[g]){if(h){if(c=d(g),-1===c){b('Ignoring invalid character "'+g+'" in hex string'),g=this.nextChar();continue}}else{if(f=d(g),-1===f){b('Ignoring invalid character "'+g+'" in hex string'),g=this.nextChar();continue}a.push(String.fromCharCode(c<<4|f))}h=!h,g=this.nextChar()}else g=this.nextChar()}return a.join("")},getObj:function(){for(var a=!1,b=this.currentChar;;){if(0>b)return Dc;if(a)10!==b&&13!==b||(a=!1);else if(37===b)a=!0;else if(1!==e[b])break;b=this.nextChar()}switch(0|b){case 48:case 49:case 50:case 51:case 52:case 53:case 54:case 55:case 56:case 57:case 43:case 45:case 46:return this.getNumber();case 40:return this.getString();case 47:return this.getName();case 91:return this.nextChar(),za.get("[");case 93:return this.nextChar(),za.get("]");case 60:return b=this.nextChar(),60===b?(this.nextChar(),za.get("<<")):this.getHexString();case 62:return b=this.nextChar(),62===b?(this.nextChar(),za.get(">>")):za.get(">");case 123:return this.nextChar(),za.get("{");case 125:return this.nextChar(),za.get("}");case 41:c("Illegal character: "+b)}for(var d=String.fromCharCode(b),f=this.knownCommands,g=f&&void 0!==f[d];(b=this.nextChar())>=0&&!e[b];){var h=d+String.fromCharCode(b);if(g&&void 0===f[h])break;128===d.length&&c("Command token too long: "+d.length),d=h,g=f&&void 0!==f[d]}return"true"===d?!0:"false"===d?!1:"null"===d?null:za.get(d)},skipToNextLine:function(){for(var a=this.currentChar;a>=0;){if(13===a){a=this.nextChar(),10===a&&this.nextChar();break}if(10===a){this.nextChar();break}a=this.nextChar()}}},a}(),Hc={create:function(a){function b(a,b){var c=j.get(a);if(w(c)&&(b?c>=0:c>0))return c;throw new Error('The "'+a+'" parameter in the linearization dictionary is invalid.')}function c(){var a,b,c=j.get("H");if(C(c)&&(2===(a=c.length)||4===a)){for(var d=0;a>d;d++)if(!(w(b=c[d])&&b>0))throw new Error("Hint ("+d+") in the linearization dictionary is invalid.");return c}throw new Error("Hint array in the linearization dictionary is invalid.")}var d,e,f=new Fc(new Gc(a),!1,null),g=f.getObj(),h=f.getObj(),i=f.getObj(),j=f.getObj();if(!(w(g)&&w(h)&&A(i,"obj")&&B(j)&&x(d=j.get("Linearized"))&&d>0))return null;if((e=b("L"))!==a.length)throw new Error('The "L" parameter in the linearization dictionary does not equal the stream length.');return{length:e,hints:c(),objectNumberFirst:b("O"),endFirst:b("E"),numPages:b("N"),mainXRefEntriesOffset:b("T"),pageFirst:j.has("P")?b("P",!0):0}}},Ic=function(){function a(a){this.lexer=a,this.operators=[],this.token=null,this.prev=null}return a.prototype={nextToken:function(){this.prev=this.token,this.token=this.lexer.getToken()},accept:function(a){return this.token.type===a?(this.nextToken(),!0):!1},expect:function(a){return this.accept(a)?!0:void c("Unexpected symbol: found "+this.token.type+" expected "+a+".")},parse:function(){return this.nextToken(),this.expect(Jc.LBRACE),this.parseBlock(),this.expect(Jc.RBRACE),this.operators},parseBlock:function(){for(;;)if(this.accept(Jc.NUMBER))this.operators.push(this.prev.value);else if(this.accept(Jc.OPERATOR))this.operators.push(this.prev.value);else{if(!this.accept(Jc.LBRACE))return;this.parseCondition()}},parseCondition:function(){var a=this.operators.length;if(this.operators.push(null,null),this.parseBlock(),this.expect(Jc.RBRACE),this.accept(Jc.IF))this.operators[a]=this.operators.length,this.operators[a+1]="jz";else if(this.accept(Jc.LBRACE)){var b=this.operators.length;this.operators.push(null,null);var d=this.operators.length;this.parseBlock(),this.expect(Jc.RBRACE),this.expect(Jc.IFELSE),this.operators[b]=this.operators.length,this.operators[b+1]="j",this.operators[a]=d,this.operators[a+1]="jz"}else c("PS Function: error parsing conditional.")}},a}(),Jc={LBRACE:0,RBRACE:1,NUMBER:2,OPERATOR:3,IF:4,IFELSE:5},Kc=function(){function a(a,b){this.type=a,this.value=b}var b={};return a.getOperator=function(c){var d=b[c];return d?d:b[c]=new a(Jc.OPERATOR,c)},a.LBRACE=new a(Jc.LBRACE,"{"),a.RBRACE=new a(Jc.RBRACE,"}"),a.IF=new a(Jc.IF,"IF"),a.IFELSE=new a(Jc.IFELSE,"IFELSE"),a}(),Lc=function(){function a(a){this.stream=a,this.nextChar(),this.strBuf=[]}return a.prototype={nextChar:function(){return this.currentChar=this.stream.getByte()},getToken:function(){for(var a=!1,b=this.currentChar;;){if(0>b)return Dc;if(a)10!==b&&13!==b||(a=!1);else if(37===b)a=!0;else if(!Gc.isSpace(b))break;b=this.nextChar()}switch(0|b){case 48:case 49:case 50:case 51:case 52:case 53:case 54:case 55:case 56:case 57:case 43:case 45:case 46:return new Kc(Jc.NUMBER,this.getNumber());case 123:return this.nextChar(),Kc.LBRACE;case 125:return this.nextChar(),Kc.RBRACE}var c=this.strBuf;for(c.length=0,c[0]=String.fromCharCode(b);(b=this.nextChar())>=0&&(b>=65&&90>=b||b>=97&&122>=b);)c.push(String.fromCharCode(b));var d=c.join("");switch(d.toLowerCase()){case"if":return Kc.IF;case"ifelse":return Kc.IFELSE;default:return Kc.getOperator(d)}},getNumber:function(){var a=this.currentChar,b=this.strBuf;for(b.length=0,b[0]=String.fromCharCode(a);(a=this.nextChar())>=0&&(a>=48&&57>=a||45===a||46===a);)b.push(String.fromCharCode(a));var d=parseFloat(b.join(""));return isNaN(d)&&c("Invalid floating point number: "+d),d}},a}(),Mc=function(){function a(a,b,c,d){this.bytes=a instanceof Uint8Array?a:new Uint8Array(a),this.start=b||0,this.pos=this.start,this.end=b+c||this.bytes.length,this.dict=d}return a.prototype={get length(){return this.end-this.start},get isEmpty(){return 0===this.length},getByte:function(){return this.pos>=this.end?-1:this.bytes[this.pos++]},getUint16:function(){var a=this.getByte(),b=this.getByte();return-1===a||-1===b?-1:(a<<8)+b},getInt32:function(){var a=this.getByte(),b=this.getByte(),c=this.getByte(),d=this.getByte();return(a<<24)+(b<<16)+(c<<8)+d},getBytes:function(a){var b=this.bytes,c=this.pos,d=this.end;if(!a)return b.subarray(c,d);var e=c+a;return e>d&&(e=d),this.pos=e,b.subarray(c,e)},peekByte:function(){var a=this.getByte();return this.pos--,a},peekBytes:function(a){var b=this.getBytes(a);return this.pos-=b.length,b},skip:function(a){a||(a=1),this.pos+=a},reset:function(){this.pos=this.start},moveStart:function(){this.start=this.pos},makeSubStream:function(b,c,d){return new a(this.bytes.buffer,b,c,d)},isStream:!0},a}(),Nc=function(){function a(a){for(var b=a.length,c=new Uint8Array(b),d=0;b>d;++d)c[d]=a.charCodeAt(d);Mc.call(this,c)}return a.prototype=Mc.prototype,a}(),Oc=function(){function a(a){if(this.pos=0,this.bufferLength=0,this.eof=!1,this.buffer=b,this.minBufferLength=512,a)for(;this.minBufferLengthc;)c*=2;var d=new Uint8Array(c);return d.set(b),this.buffer=d},getByte:function(){for(var a=this.pos;this.bufferLength<=a;){if(this.eof)return-1;this.readBlock()}return this.buffer[this.pos++]},getUint16:function(){var a=this.getByte(),b=this.getByte();return-1===a||-1===b?-1:(a<<8)+b},getInt32:function(){var a=this.getByte(),b=this.getByte(),c=this.getByte(),d=this.getByte();return(a<<24)+(b<<16)+(c<<8)+d},getBytes:function(a){var b,c=this.pos;if(a){for(this.ensureBuffer(c+a),b=c+a;!this.eof&&this.bufferLengthd&&(b=d)}else{for(;!this.eof;)this.readBlock();b=this.bufferLength}return this.pos=b,this.buffer.subarray(c,b)},peekByte:function(){var a=this.getByte();return this.pos--,a},peekBytes:function(a){var b=this.getBytes(a);return this.pos-=b.length,b},makeSubStream:function(a,b,c){for(var d=a+b;this.bufferLength<=d&&!this.eof;)this.readBlock();return new Mc(this.buffer,a,b,c)},skip:function(a){a||(a=1),this.pos+=a},reset:function(){this.pos=0},getBaseStreams:function(){return this.str&&this.str.getBaseStreams?this.str.getBaseStreams():[]}},a}(),Pc=function(){function a(a){this.streams=a,Oc.call(this,null)}return a.prototype=Object.create(Oc.prototype),a.prototype.readBlock=function(){var a=this.streams;if(0===a.length)return void(this.eof=!0);var b=a.shift(),c=b.getBytes(),d=this.bufferLength,e=d+c.length,f=this.ensureBuffer(e);f.set(c,d),this.bufferLength=e},a.prototype.getBaseStreams=function(){for(var a=[],b=0,c=this.streams.length;c>b;b++){var d=this.streams[b];d.getBaseStreams&&na.appendToArray(a,d.getBaseStreams())}return a},a}(),Qc=function(){function a(a,b){this.str=a,this.dict=a.dict;var d=a.getByte(),e=a.getByte();-1!==d&&-1!==e||c("Invalid header in flate stream: "+d+", "+e),8!==(15&d)&&c("Unknown compression method in flate stream: "+d+", "+e),((d<<8)+e)%31!==0&&c("Bad FCHECK in flate stream: "+d+", "+e),32&e&&c("FDICT bit set in flate stream: "+d+", "+e),this.codeSize=0,this.codeBuf=0,Oc.call(this,b)}var b=new Int32Array([16,17,18,0,8,7,9,6,10,5,11,4,12,3,13,2,14,1,15]),d=new Int32Array([3,4,5,6,7,8,9,10,65547,65549,65551,65553,131091,131095,131099,131103,196643,196651,196659,196667,262211,262227,262243,262259,327811,327843,327875,327907,258,258,258]),e=new Int32Array([1,2,3,4,65541,65543,131081,131085,196625,196633,262177,262193,327745,327777,393345,393409,459009,459137,524801,525057,590849,591361,657409,658433,724993,727041,794625,798721,868353,876545]),f=[new Int32Array([459008,524368,524304,524568,459024,524400,524336,590016,459016,524384,524320,589984,524288,524416,524352,590048,459012,524376,524312,589968,459028,524408,524344,590032,459020,524392,524328,59e4,524296,524424,524360,590064,459010,524372,524308,524572,459026,524404,524340,590024,459018,524388,524324,589992,524292,524420,524356,590056,459014,524380,524316,589976,459030,524412,524348,590040,459022,524396,524332,590008,524300,524428,524364,590072,459009,524370,524306,524570,459025,524402,524338,590020,459017,524386,524322,589988,524290,524418,524354,590052,459013,524378,524314,589972,459029,524410,524346,590036,459021,524394,524330,590004,524298,524426,524362,590068,459011,524374,524310,524574,459027,524406,524342,590028,459019,524390,524326,589996,524294,524422,524358,590060,459015,524382,524318,589980,459031,524414,524350,590044,459023,524398,524334,590012,524302,524430,524366,590076,459008,524369,524305,524569,459024,524401,524337,590018,459016,524385,524321,589986,524289,524417,524353,590050,459012,524377,524313,589970,459028,524409,524345,590034,459020,524393,524329,590002,524297,524425,524361,590066,459010,524373,524309,524573,459026,524405,524341,590026,459018,524389,524325,589994,524293,524421,524357,590058,459014,524381,524317,589978,459030,524413,524349,590042,459022,524397,524333,590010,524301,524429,524365,590074,459009,524371,524307,524571,459025,524403,524339,590022,459017,524387,524323,589990,524291,524419,524355,590054,459013,524379,524315,589974,459029,524411,524347,590038,459021,524395,524331,590006,524299,524427,524363,590070,459011,524375,524311,524575,459027,524407,524343,590030,459019,524391,524327,589998,524295,524423,524359,590062,459015,524383,524319,589982,459031,524415,524351,590046,459023,524399,524335,590014,524303,524431,524367,590078,459008,524368,524304,524568,459024,524400,524336,590017,459016,524384,524320,589985,524288,524416,524352,590049,459012,524376,524312,589969,459028,524408,524344,590033,459020,524392,524328,590001,524296,524424,524360,590065,459010,524372,524308,524572,459026,524404,524340,590025,459018,524388,524324,589993,524292,524420,524356,590057,459014,524380,524316,589977,459030,524412,524348,590041,459022,524396,524332,590009,524300,524428,524364,590073,459009,524370,524306,524570,459025,524402,524338,590021,459017,524386,524322,589989,524290,524418,524354,590053,459013,524378,524314,589973,459029,524410,524346,590037,459021,524394,524330,590005,524298,524426,524362,590069,459011,524374,524310,524574,459027,524406,524342,590029,459019,524390,524326,589997,524294,524422,524358,590061,459015,524382,524318,589981,459031,524414,524350,590045,459023,524398,524334,590013,524302,524430,524366,590077,459008,524369,524305,524569,459024,524401,524337,590019,459016,524385,524321,589987,524289,524417,524353,590051,459012,524377,524313,589971,459028,524409,524345,590035,459020,524393,524329,590003,524297,524425,524361,590067,459010,524373,524309,524573,459026,524405,524341,590027,459018,524389,524325,589995,524293,524421,524357,590059,459014,524381,524317,589979,459030,524413,524349,590043,459022,524397,524333,590011,524301,524429,524365,590075,459009,524371,524307,524571,459025,524403,524339,590023,459017,524387,524323,589991,524291,524419,524355,590055,459013,524379,524315,589975,459029,524411,524347,590039,459021,524395,524331,590007,524299,524427,524363,590071,459011,524375,524311,524575,459027,524407,524343,590031,459019,524391,524327,589999,524295,524423,524359,590063,459015,524383,524319,589983,459031,524415,524351,590047,459023,524399,524335,590015,524303,524431,524367,590079]),9],g=[new Int32Array([327680,327696,327688,327704,327684,327700,327692,327708,327682,327698,327690,327706,327686,327702,327694,0,327681,327697,327689,327705,327685,327701,327693,327709,327683,327699,327691,327707,327687,327703,327695,0]),5];return a.prototype=Object.create(Oc.prototype),a.prototype.getBits=function(a){for(var b,d=this.str,e=this.codeSize,f=this.codeBuf;a>e;)-1===(b=d.getByte())&&c("Bad encoding in flate stream"),f|=b<>a,this.codeSize=e-=a,b},a.prototype.getCode=function(a){for(var b,d=this.str,e=a[0],f=a[1],g=this.codeSize,h=this.codeBuf;f>g&&-1!==(b=d.getByte());)h|=b<>16,k=65535&i;return(1>j||j>g)&&c("Bad encoding in flate stream"),this.codeBuf=h>>j,this.codeSize=g-j,k},a.prototype.generateHuffmanTable=function(a){var b,c=a.length,d=0;for(b=0;c>b;++b)a[b]>d&&(d=a[b]);for(var e=1<=g;++g,h<<=1,i<<=1)for(var j=0;c>j;++j)if(a[j]===g){var k=0,l=h;for(b=0;g>b;++b)k=k<<1|1&l,l>>=1;for(b=k;e>b;b+=i)f[b]=g<<16|j;++h}return[f,d]},a.prototype.readBlock=function(){var a,h,i=this.str,j=this.getBits(3);if(1&j&&(this.eof=!0),j>>=1,0!==j){var k,l;if(1===j)k=f,l=g;else if(2===j){var m,n=this.getBits(5)+257,o=this.getBits(5)+1,p=this.getBits(4)+4,q=new Uint8Array(b.length);for(m=0;p>m;++m)q[b[m]]=this.getBits(3);var r=this.generateHuffmanTable(q);h=0,m=0;for(var s,t,u,v=n+o,w=new Uint8Array(v);v>m;){var x=this.getCode(r);if(16===x)s=2,t=3,u=h;else if(17===x)s=3,t=3,u=h=0;else{if(18!==x){w[m++]=h=x;continue}s=7,t=11,u=h=0}for(var y=this.getBits(s)+t;y-- >0;)w[m++]=u}k=this.generateHuffmanTable(w.subarray(0,n)),l=this.generateHuffmanTable(w.subarray(n,v))}else c("Unknown block type in flate stream");a=this.buffer;for(var z=a?a.length:0,A=this.bufferLength;;){var B=this.getCode(k);if(256>B)A+1>=z&&(a=this.ensureBuffer(A+1),z=a.length),a[A++]=B;else{if(256===B)return void(this.bufferLength=A);B-=257,B=d[B];var C=B>>16;C>0&&(C=this.getBits(C)),h=(65535&B)+C,B=this.getCode(l),B=e[B],C=B>>16,C>0&&(C=this.getBits(C));var D=(65535&B)+C;A+h>=z&&(a=this.ensureBuffer(A+h),z=a.length);for(var E=0;h>E;++E,++A)a[A]=a[A-D]}}}else{var F;-1===(F=i.getByte())&&c("Bad block header in flate stream");var G=F;-1===(F=i.getByte())&&c("Bad block header in flate stream"),G|=F<<8,-1===(F=i.getByte())&&c("Bad block header in flate stream");var H=F;-1===(F=i.getByte())&&c("Bad block header in flate stream"),H|=F<<8,H===(65535&~G)||0===G&&0===H||c("Bad uncompressed block length in flate stream"),this.codeBuf=0,this.codeSize=0;var I=this.bufferLength;a=this.ensureBuffer(I+G);var J=I+G;if(this.bufferLength=J,0===G)-1===i.peekByte()&&(this.eof=!0);else for(var K=I;J>K;++K){if(-1===(F=i.getByte())){this.eof=!0;break}a[K]=F}}},a}(),Rc=function(){function a(a,b,d){var e=this.predictor=d.get("Predictor")||1;if(1>=e)return a;2!==e&&(10>e||e>15)&&c("Unsupported predictor: "+e),2===e?this.readBlock=this.readBlockTiff:this.readBlock=this.readBlockPng,this.str=a,this.dict=a.dict;var f=this.colors=d.get("Colors")||1,g=this.bits=d.get("BitsPerComponent")||8,h=this.columns=d.get("Columns")||1;return this.pixBytes=f*g+7>>3,this.rowBytes=h*f*g+7>>3,Oc.call(this,b),this}return a.prototype=Object.create(Oc.prototype),a.prototype.readBlockTiff=function(){var a=this.rowBytes,b=this.bufferLength,c=this.ensureBuffer(b+a),d=this.bits,e=this.colors,f=this.str.getBytes(a);if(this.eof=!f.length,!this.eof){var g,h=0,i=0,j=0,k=0,l=b;if(1===d)for(g=0;a>g;++g){var m=f[g];h=h<<8|m,c[l++]=255&(m^h>>e),h&=65535}else if(8===d){for(g=0;e>g;++g)c[l++]=f[g];for(;a>g;++g)c[l]=c[l-e]+f[g],l++}else{var n=new Uint8Array(e+1),o=(1<g;++g)for(var s=0;e>s;++s)d>j&&(h=h<<8|255&f[p++],j+=8),n[s]=n[s]+(h>>j-d)&o,j-=d,i=i<=8&&(c[q++]=i>>k-8&255,k-=8);k>0&&(c[q++]=(i<<8-k)+(h&(1<<8-k)-1))}this.bufferLength+=a}},a.prototype.readBlockPng=function(){var a=this.rowBytes,b=this.pixBytes,d=this.str.getByte(),e=this.str.getBytes(a);if(this.eof=!e.length,!this.eof){var f=this.bufferLength,g=this.ensureBuffer(f+a),h=g.subarray(f-a,f);0===h.length&&(h=new Uint8Array(a));var i,j,k,l=f;switch(d){case 0:for(i=0;a>i;++i)g[l++]=e[i];break;case 1:for(i=0;b>i;++i)g[l++]=e[i];for(;a>i;++i)g[l]=g[l-b]+e[i]&255,l++;break;case 2:for(i=0;a>i;++i)g[l++]=h[i]+e[i]&255;break;case 3:for(i=0;b>i;++i)g[l++]=(h[i]>>1)+e[i];for(;a>i;++i)g[l]=(h[i]+g[l-b]>>1)+e[i]&255,l++;break;case 4:for(i=0;b>i;++i)j=h[i],k=e[i],g[l++]=j+k;for(;a>i;++i){j=h[i];var m=h[i-b],n=g[l-b],o=n+j-m,p=o-n;0>p&&(p=-p);var q=o-j;0>q&&(q=-q);var r=o-m;0>r&&(r=-r),k=e[i],q>=p&&r>=p?g[l++]=n+k:r>=q?g[l++]=j+k:g[l++]=m+k}break;default:c("Unsupported predictor: "+d)}this.bufferLength+=a}},a}(),Sc=function(){function a(a,b,c,d){for(var e;-1!==(e=a.getByte());)if(255===e){a.skip(-1);break}this.stream=a,this.maybeLength=b,this.dict=c,Oc.call(this,b)}return a.prototype=Object.create(Oc.prototype),Object.defineProperty(a.prototype,"bytes",{get:function(){return g(this,"bytes",this.stream.getBytes(this.maybeLength))},configurable:!0}),a.prototype.ensureBuffer=function(a){if(!this.bufferLength)try{var b=new gd;if(this.forceRGB&&this.dict&&C(this.dict.get("Decode"))){for(var d=this.dict.get("Decode"),e=this.dict.get("BitsPerComponent")||8,f=d.length,g=new Int32Array(f),h=!1,i=(1<j;j+=2)g[j]=256*(d[j+1]-d[j])|0,g[j+1]=d[j]*i|0,256===g[j]&&0===g[j+1]||(h=!0);h&&(b.decodeTransform=g)}b.parse(this.bytes);var k=b.getData(this.drawWidth,this.drawHeight,this.forceRGB);this.buffer=k,this.bufferLength=k.length,this.eof=!0}catch(l){c("JPEG error: "+l)}},a.prototype.getBytes=function(a){return this.ensureBuffer(),this.buffer},a.prototype.getIR=function(){return PDFJS.createObjectURL(this.bytes,"image/jpeg")},a.prototype.isNativelySupported=function(a,b){var c=Xa.parse(this.dict.get("ColorSpace","CS"),a,b);return("DeviceGray"===c.name||"DeviceRGB"===c.name)&&c.isDefaultDecode(this.dict.get("Decode","D"))},a.prototype.isNativelyDecodable=function(a,b){var c=Xa.parse(this.dict.get("ColorSpace","CS"),a,b);return(1===c.numComps||3===c.numComps)&&c.isDefaultDecode(this.dict.get("Decode","D"))},a}(),Tc=function(){function a(a,b,c){this.stream=a,this.maybeLength=b,this.dict=c,Oc.call(this,b)}return a.prototype=Object.create(Oc.prototype),Object.defineProperty(a.prototype,"bytes",{get:function(){return g(this,"bytes",this.stream.getBytes(this.maybeLength))},configurable:!0}),a.prototype.ensureBuffer=function(a){if(!this.bufferLength){var b=new hd;b.parse(this.bytes);var c=b.width,d=b.height,e=b.componentsCount,f=b.tiles.length;if(1===f)this.buffer=b.tiles[0].items;else{for(var g=new Uint8Array(c*d*e),h=0;f>h;h++)for(var i=b.tiles[h],j=i.width,k=i.height,l=i.left,m=i.top,n=i.items,o=0,p=(c*m+l)*e,q=c*e,r=j*e,s=0;k>s;s++){var t=n.subarray(o,o+r);g.set(t,p),o+=r,p+=q}this.buffer=g}this.bufferLength=this.buffer.length,this.eof=!0}},a}(),Uc=function(){function a(a,b,c){this.stream=a,this.maybeLength=b,this.dict=c,Oc.call(this,b)}return a.prototype=Object.create(Oc.prototype),Object.defineProperty(a.prototype,"bytes",{get:function(){return g(this,"bytes",this.stream.getBytes(this.maybeLength))},configurable:!0}),a.prototype.ensureBuffer=function(a){if(!this.bufferLength){var c=new id,d=[],e=this.dict.xref,f=e.fetchIfRef(this.dict.get("DecodeParms"));if(C(f)&&(f.length>1&&b("JBIG2 - 'DecodeParms' array with multiple elements not supported."),f=e.fetchIfRef(f[0])),f&&f.has("JBIG2Globals")){var g=f.get("JBIG2Globals"),h=g.getBytes();d.push({data:h,start:0,end:h.length})}d.push({data:this.bytes,start:0,end:this.bytes.length});for(var i=c.parseChunks(d),j=i.length,k=0;j>k;k++)i[k]^=255;this.buffer=i,this.bufferLength=j,this.eof=!0}},a}(),Vc=function(){function a(a,b,c){this.str=a,this.dict=a.dict,this.decrypt=c,this.nextChunk=null,this.initialized=!1,Oc.call(this,b)}var b=512;return a.prototype=Object.create(Oc.prototype),a.prototype.readBlock=function(){var a;if(this.initialized?a=this.nextChunk:(a=this.str.getBytes(b),this.initialized=!0),!a||0===a.length)return void(this.eof=!0);this.nextChunk=this.str.getBytes(b);var c=this.nextChunk&&this.nextChunk.length>0,d=this.decrypt;a=d(a,!c);var e,f=this.bufferLength,g=a.length,h=this.ensureBuffer(f+g);for(e=0;g>e;e++)h[f++]=a[e];this.bufferLength=f},a}(),Wc=function(){function a(a,b){this.str=a,this.dict=a.dict,this.input=new Uint8Array(5),b&&(b=.8*b),Oc.call(this,b)}return a.prototype=Object.create(Oc.prototype),a.prototype.readBlock=function(){for(var a=126,b=122,c=-1,d=this.str,e=d.getByte();Gc.isSpace(e);)e=d.getByte();if(e===c||e===a)return void(this.eof=!0);var f,g,h=this.bufferLength;if(e===b){for(f=this.ensureBuffer(h+4),g=0;4>g;++g)f[h+g]=0;this.bufferLength+=4}else{var i=this.input;for(i[0]=e,g=1;5>g;++g){for(e=d.getByte();Gc.isSpace(e);)e=d.getByte();if(i[g]=e,e===c||e===a)break}if(f=this.ensureBuffer(h+g-1),this.bufferLength+=g-1,5>g){for(;5>g;++g)i[g]=117;this.eof=!0}var j=0;for(g=0;5>g;++g)j=85*j+(i[g]-33);for(g=3;g>=0;--g)f[h+g]=255&j,j>>=8}},a}(),Xc=function(){function a(a,b){this.str=a,this.dict=a.dict,this.firstDigit=-1,b&&(b=.5*b),Oc.call(this,b)}return a.prototype=Object.create(Oc.prototype),a.prototype.readBlock=function(){var a=8e3,b=this.str.getBytes(a);if(!b.length)return void(this.eof=!0);for(var c=b.length+1>>1,d=this.ensureBuffer(this.bufferLength+c),e=this.bufferLength,f=this.firstDigit,g=0,h=b.length;h>g;g++){var i,j=b[g];if(j>=48&&57>=j)i=15&j;else{if(!(j>=65&&70>=j||j>=97&&102>=j)){if(62===j){this.eof=!0;break}continue}i=(15&j)+9}0>f?f=i:(d[e++]=f<<4|i,f=-1)}f>=0&&this.eof&&(d[e++]=f<<4,f=-1),this.firstDigit=f,this.bufferLength=e},a}(),Yc=function(){function a(a,b){this.str=a,this.dict=a.dict,Oc.call(this,b)}return a.prototype=Object.create(Oc.prototype),a.prototype.readBlock=function(){var a=this.str.getBytes(2);if(!a||a.length<2||128===a[0])return void(this.eof=!0);var b,c=this.bufferLength,d=a[0];if(128>d){if(b=this.ensureBuffer(c+d+1),b[c++]=a[1],d>0){var e=this.str.getBytes(d);b.set(e,c),c+=d}}else{d=257-d;var f=a[1];b=this.ensureBuffer(c+d+1);for(var g=0;d>g;g++)b[c++]=f}this.bufferLength=c},a}(),Zc=function(){function b(a,b,c){this.str=a,this.dict=a.dict,c=c||Aa.empty,this.encoding=c.get("K")||0,this.eoline=c.get("EndOfLine")||!1,this.byteAlign=c.get("EncodedByteAlign")||!1,this.columns=c.get("Columns")||1728,this.rows=c.get("Rows")||0;var d=c.get("EndOfBlock");null!==d&&void 0!==d||(d=!0),this.eoblock=d,this.black=c.get("BlackIs1")||!1,this.codingLine=new Uint32Array(this.columns+1),this.refLine=new Uint32Array(this.columns+2),this.codingLine[0]=this.columns,this.codingPos=0,this.row=0,this.nextLine2D=this.encoding<0,this.inputBits=0,this.inputBuf=0,this.outputBits=0;for(var e;0===(e=this.lookBits(12));)this.eatBits(1);1===e&&this.eatBits(12),this.encoding>0&&(this.nextLine2D=!this.lookBits(1),this.eatBits(1)),Oc.call(this,b)}var c=-2,d=0,e=1,f=2,g=3,h=4,i=5,j=6,k=7,l=8,m=[[-1,-1],[-1,-1],[7,l],[7,k],[6,j],[6,j],[6,i],[6,i],[4,d],[4,d],[4,d],[4,d],[4,d],[4,d],[4,d],[4,d],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f]],n=[[-1,-1],[12,c],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[11,1792],[11,1792],[12,1984],[12,2048],[12,2112],[12,2176],[12,2240],[12,2304],[11,1856],[11,1856],[11,1920],[11,1920],[12,2368],[12,2432],[12,2496],[12,2560]],o=[[-1,-1],[-1,-1],[-1,-1],[-1,-1],[8,29],[8,29],[8,30],[8,30],[8,45],[8,45],[8,46],[8,46],[7,22],[7,22],[7,22],[7,22],[7,23],[7,23],[7,23],[7,23],[8,47],[8,47],[8,48],[8,48],[6,13],[6,13],[6,13],[6,13],[6,13],[6,13],[6,13],[6,13],[7,20],[7,20],[7,20],[7,20],[8,33],[8,33],[8,34],[8,34],[8,35],[8,35],[8,36],[8,36],[8,37],[8,37],[8,38],[8,38],[7,19],[7,19],[7,19],[7,19],[8,31],[8,31],[8,32],[8,32],[6,1],[6,1],[6,1],[6,1],[6,1],[6,1],[6,1],[6,1],[6,12],[6,12],[6,12],[6,12],[6,12],[6,12],[6,12],[6,12],[8,53],[8,53],[8,54],[8,54],[7,26],[7,26],[7,26],[7,26],[8,39],[8,39],[8,40],[8,40],[8,41],[8,41],[8,42],[8,42],[8,43],[8,43],[8,44],[8,44],[7,21],[7,21],[7,21],[7,21],[7,28],[7,28],[7,28],[7,28],[8,61],[8,61],[8,62],[8,62],[8,63],[8,63],[8,0],[8,0],[8,320],[8,320],[8,384],[8,384],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[7,27],[7,27],[7,27],[7,27],[8,59],[8,59],[8,60],[8,60],[9,1472],[9,1536],[9,1600],[9,1728],[7,18],[7,18],[7,18],[7,18],[7,24],[7,24],[7,24],[7,24],[8,49],[8,49],[8,50],[8,50],[8,51],[8,51],[8,52],[8,52],[7,25],[7,25],[7,25],[7,25],[8,55],[8,55],[8,56],[8,56],[8,57],[8,57],[8,58],[8,58],[6,192],[6,192],[6,192],[6,192],[6,192],[6,192],[6,192],[6,192],[6,1664],[6,1664],[6,1664],[6,1664],[6,1664],[6,1664],[6,1664],[6,1664],[8,448],[8,448],[8,512],[8,512],[9,704],[9,768],[8,640],[8,640],[8,576],[8,576],[9,832],[9,896],[9,960],[9,1024],[9,1088],[9,1152],[9,1216],[9,1280],[9,1344],[9,1408],[7,256],[7,256],[7,256],[7,256],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[6,16],[6,16],[6,16],[6,16],[6,16],[6,16],[6,16],[6,16],[6,17],[6,17],[6,17],[6,17],[6,17],[6,17],[6,17],[6,17],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[6,14],[6,14],[6,14],[6,14],[6,14],[6,14],[6,14],[6,14],[6,15],[6,15],[6,15],[6,15],[6,15],[6,15],[6,15],[6,15],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7]],p=[[-1,-1],[-1,-1],[12,c],[12,c],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[11,1792],[11,1792],[11,1792],[11,1792],[12,1984],[12,1984],[12,2048],[12,2048],[12,2112],[12,2112],[12,2176],[12,2176],[12,2240],[12,2240],[12,2304],[12,2304],[11,1856],[11,1856],[11,1856],[11,1856],[11,1920],[11,1920],[11,1920],[11,1920],[12,2368],[12,2368],[12,2432],[12,2432],[12,2496],[12,2496],[12,2560],[12,2560],[10,18],[10,18],[10,18],[10,18],[10,18],[10,18],[10,18],[10,18],[12,52],[12,52],[13,640],[13,704],[13,768],[13,832],[12,55],[12,55],[12,56],[12,56],[13,1280],[13,1344],[13,1408],[13,1472],[12,59],[12,59],[12,60],[12,60],[13,1536],[13,1600],[11,24],[11,24],[11,24],[11,24],[11,25],[11,25],[11,25],[11,25],[13,1664],[13,1728],[12,320],[12,320],[12,384],[12,384],[12,448],[12,448],[13,512],[13,576],[12,53],[12,53],[12,54],[12,54],[13,896],[13,960],[13,1024],[13,1088],[13,1152],[13,1216],[10,64],[10,64],[10,64],[10,64],[10,64],[10,64],[10,64],[10,64]],q=[[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[11,23],[11,23],[12,50],[12,51],[12,44],[12,45],[12,46],[12,47],[12,57],[12,58],[12,61],[12,256],[10,16],[10,16],[10,16],[10,16],[10,17],[10,17],[10,17],[10,17],[12,48],[12,49],[12,62],[12,63],[12,30],[12,31],[12,32],[12,33],[12,40],[12,41],[11,22],[11,22],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[9,15],[9,15],[9,15],[9,15],[9,15],[9,15],[9,15],[9,15],[12,128],[12,192],[12,26],[12,27],[12,28],[12,29],[11,19],[11,19],[11,20],[11,20],[12,34],[12,35],[12,36],[12,37],[12,38],[12,39],[11,21],[11,21],[12,42],[12,43],[10,0],[10,0],[10,0],[10,0],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12]],r=[[-1,-1],[-1,-1],[-1,-1],[-1,-1],[6,9],[6,8],[5,7],[5,7],[4,6],[4,6],[4,6],[4,6],[4,5],[4,5],[4,5],[4,5],[3,1],[3,1],[3,1],[3,1],[3,1],[3,1],[3,1],[3,1],[3,4],[3,4],[3,4],[3,4],[3,4],[3,4],[3,4],[3,4],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2]]; -return b.prototype=Object.create(Oc.prototype),b.prototype.readBlock=function(){for(;!this.eof;){var a=this.lookChar();this.ensureBuffer(this.bufferLength+1),this.buffer[this.bufferLength++]=a}},b.prototype.addPixels=function(b,c){var d=this.codingLine,e=this.codingPos;b>d[e]&&(b>this.columns&&(a("row is wrong length"),this.err=!0,b=this.columns),1&e^c&&++e,d[e]=b),this.codingPos=e},b.prototype.addPixelsNeg=function(b,c){var d=this.codingLine,e=this.codingPos;if(b>d[e])b>this.columns&&(a("row is wrong length"),this.err=!0,b=this.columns),1&e^c&&++e,d[e]=b;else if(bb&&(a("invalid code"),this.err=!0,b=0);e>0&&b=64);do s+=t=this.getWhiteCode();while(t>=64)}else{do r+=t=this.getWhiteCode();while(t>=64);do s+=t=this.getBlackCode();while(t>=64)}for(this.addPixels(p[this.codingPos]+r,c),p[this.codingPos]0?--b:++b;o[b]<=p[this.codingPos]&&o[b]0?--b:++b;o[b]<=p[this.codingPos]&&o[b]0?--b:++b;o[b]<=p[this.codingPos]&&o[b]=64)}else do r+=t=this.getWhiteCode();while(t>=64);this.addPixels(p[this.codingPos]+r,c),c^=1}var u=!1;if(this.byteAlign&&(this.inputBits&=-8),this.eoblock||this.row!==this.rows-1){if(r=this.lookBits(12),this.eoline)for(;r!==Dc&&1!==r;)this.eatBits(1),r=this.lookBits(12);else for(;0===r;)this.eatBits(1),r=this.lookBits(12);1===r?(this.eatBits(12),u=!0):r===Dc&&(this.eof=!0)}else this.eof=!0;if(!this.eof&&this.encoding>0&&(this.nextLine2D=!this.lookBits(1),this.eatBits(1)),this.eoblock&&u&&this.byteAlign){if(r=this.lookBits(12),1===r){if(this.eatBits(12),this.encoding>0&&(this.lookBits(1),this.eatBits(1)),this.encoding>=0)for(n=0;4>n;++n)r=this.lookBits(12),1!==r&&a("bad rtc code: "+r),this.eatBits(12),this.encoding>0&&(this.lookBits(1),this.eatBits(1));this.eof=!0}}else if(this.err&&this.eoline){for(;;){if(r=this.lookBits(13),r===Dc)return this.eof=!0,null;if(r>>1===1)break;this.eatBits(1)}this.eatBits(12),this.encoding>0&&(this.eatBits(1),this.nextLine2D=!(1&r))}p[0]>0?this.outputBits=p[this.codingPos=0]:this.outputBits=p[this.codingPos=1],this.row++}var v;if(this.outputBits>=8)v=1&this.codingPos?0:255,this.outputBits-=8,0===this.outputBits&&p[this.codingPos]m?(v<<=m,1&this.codingPos||(v|=255>>8-m),this.outputBits-=m,m=0):(v<<=this.outputBits,1&this.codingPos||(v|=255>>8-this.outputBits),m-=this.outputBits,this.outputBits=0,p[this.codingPos]0&&(v<<=m,m=0));while(m)}return this.black&&(v^=255),v},b.prototype.findTableCode=function(a,b,c,d){for(var e=d||0,f=a;b>=f;++f){var g=this.lookBits(f);if(g===Dc)return[!0,1,!1];if(b>f&&(g<<=b-f),!e||g>=e){var h=c[g-e];if(h[0]===f)return this.eatBits(f),[!0,h[1],!0]}}return[!1,0,!1]},b.prototype.getTwoDimCode=function(){var b,c=0;if(this.eoblock){if(c=this.lookBits(7),b=m[c],b&&b[0]>0)return this.eatBits(b[0]),b[1]}else{var d=this.findTableCode(1,7,m);if(d[0]&&d[2])return d[1]}return a("Bad two dim code"),Dc},b.prototype.getWhiteCode=function(){var b,c=0;if(this.eoblock){if(c=this.lookBits(12),c===Dc)return 1;if(b=c>>5===0?n[c]:o[c>>3],b[0]>0)return this.eatBits(b[0]),b[1]}else{var d=this.findTableCode(1,9,o);if(d[0])return d[1];if(d=this.findTableCode(11,12,n),d[0])return d[1]}return a("bad white code"),this.eatBits(1),1},b.prototype.getBlackCode=function(){var b,c;if(this.eoblock){if(b=this.lookBits(13),b===Dc)return 1;if(c=b>>7===0?p[b]:b>>9===0&&b>>7!==0?q[(b>>1)-64]:r[b>>7],c[0]>0)return this.eatBits(c[0]),c[1]}else{var d=this.findTableCode(2,6,r);if(d[0])return d[1];if(d=this.findTableCode(7,12,q,64),d[0])return d[1];if(d=this.findTableCode(10,13,p),d[0])return d[1]}return a("bad black code"),this.eatBits(1),1},b.prototype.lookBits=function(a){for(var b;this.inputBits>16-a;this.inputBuf=(this.inputBuf<<8)+b,this.inputBits+=8}return this.inputBuf>>this.inputBits-a&65535>>16-a},b.prototype.eatBits=function(a){(this.inputBits-=a)<0&&(this.inputBits=0)},b}(),$c=function(){function a(a,b,c){this.str=a,this.dict=a.dict,this.cachedData=0,this.bitsCached=0;for(var d=4096,e={earlyChange:c,codeLength:9,nextCode:258,dictionaryValues:new Uint8Array(d),dictionaryLengths:new Uint16Array(d),dictionaryPrevCodes:new Uint16Array(d),currentSequence:new Uint8Array(d),currentSequenceLength:0},f=0;256>f;++f)e.dictionaryValues[f]=f,e.dictionaryLengths[f]=1;this.lzwState=e,Oc.call(this,b)}return a.prototype=Object.create(Oc.prototype),a.prototype.readBits=function(a){for(var b=this.bitsCached,c=this.cachedData;a>b;){var d=this.str.getByte();if(-1===d)return this.eof=!0,null;c=c<<8|d,b+=8}return this.bitsCached=b-=a,this.cachedData=c,this.lastCode=null,c>>>b&(1<a;a++){var t=this.readBits(m),u=p>0;if(256>t)o[0]=t,p=1;else{if(!(t>=258)){if(256===t){m=9,i=258,p=0;continue}this.eof=!0,delete this.lzwState;break}if(i>t)for(p=k[t],b=p-1,c=t;b>=0;b--)o[b]=j[c],c=l[c];else o[p++]=o[0]}if(u&&(l[i]=n,k[i]=k[n]+1,j[i]=o[0],i++,m=i+h&i+h-1?m:0|Math.min(Math.log(i+h)/.6931471805599453+1,12)),n=t,q+=p,q>e){do e+=f;while(q>e);s=this.ensureBuffer(this.bufferLength+e)}for(b=0;p>b;b++)s[r++]=o[b]}g.nextCode=i,g.codeLength=m,g.prevCode=n,g.currentSequenceLength=p,this.bufferLength=r}},a}(),_c=function(){function a(){Mc.call(this,new Uint8Array(0))}return a.prototype=Mc.prototype,a}(),ad=function(){function a(a){this.name=a,this.terminated=!1,this._capability=G()}return a.prototype={get finished(){return this._capability.promise},finish:function(){this._capability.resolve()},terminate:function(){this.terminated=!0},ensureNotTerminated:function(){if(this.terminated)throw new Error("Worker task was terminated")}},a}(),bd=PDFJS.WorkerMessageHandler={setup:function(c){function d(){if(j)throw new Error("Worker was terminated")}function e(a){l.push(a)}function f(a){a.finish();var b=l.indexOf(a);l.splice(b,1)}function g(a){var b=G(),c=function(){var a=i.ensureDoc("numPages"),c=i.ensureDoc("fingerprint"),e=i.ensureXRef("encrypt");Promise.all([a,c,e]).then(function(a){var c={numPages:a[0],fingerprint:a[1],encrypted:!!a[2]};b.resolve(c)},d)},d=function(a){b.reject(a)};return i.ensureDoc("checkHeader",[]).then(function(){i.ensureDoc("parseStartXRef",[]).then(function(){i.ensureDoc("parse",[a]).then(c,d)},d)},d),b.promise}function h(a){var d,e=G(),f=a.source,g=a.disableRange;if(f.data){try{d=new ua(f.data,f.password),e.resolve(d)}catch(h){e.reject(h)}return e.promise}if(f.chunkedViewerLoading){try{d=new va(f,c),e.resolve(d)}catch(h){e.reject(h)}return e.promise}var i=new pa(f.url,{httpHeaders:f.httpHeaders,withCredentials:f.withCredentials}),j=[],l=i.requestFull({onHeadersReceived:function(){if(!g){var a=i.getRequestXhr(l);if("bytes"===a.getResponseHeader("Accept-Ranges")){var b=a.getResponseHeader("Content-Encoding")||"identity";if("identity"===b){var h=a.getResponseHeader("Content-Length");if(h=parseInt(h,10),w(h)&&(f.length=h,!(2*sa>=h))){i.isStreamingRequest(l)?f.disableAutoFetch=!0:i.abortRequest(l);try{d=new va(f,c),e.resolve(d)}catch(j){e.reject(j)}k=null}}}}},onProgressiveData:f.disableStream?null:function(a){return d?void d.sendProgressiveData(a):void j.push(a)},onDone:function(a){if(!d){var c;if(null===a){var g=0,h=0;j.forEach(function(a){g+=a.byteLength}),f.length&&g!==f.length&&b("reported HTTP length is different from actual");var i=new Uint8Array(g);j.forEach(function(a){i.set(new Uint8Array(a),h),h+=a.byteLength}),c=i.buffer}else c=a.chunk;try{d=new ua(c,f.password),e.resolve(d)}catch(l){e.reject(l)}k=null}},onError:function(a){var b;404===a?(b=new ga('Missing PDF "'+f.url+'".'),c.send("MissingPDF",b)):(b=new ha("Unexpected server response ("+a+') while retrieving PDF "'+f.url+'".',a),c.send("UnexpectedResponse",b)),k=null},onProgress:function(a){c.send("DocProgress",{loaded:a.loaded,total:a.lengthComputable?a.total:f.length})}});return k=function(){i.abortRequest(l)},e.promise}var i,j=!1,k=null,l=[];c.on("test",function(a){if(!(a instanceof Uint8Array))return void c.send("test",!1);var b=255===a[0];c.postMessageTransfers=b;var d=new XMLHttpRequest,e="response"in d;try{d.responseType}catch(f){e=!1}return e?void c.send("test",{supportTypedArray:!0,supportTransfers:b}):void c.send("test",!1)}),c.on("GetDocRequest",function(a){var b=function(a){d(),c.send("GetDoc",{pdfInfo:a})},e=function(a){a instanceof da?a.code===ca.NEED_PASSWORD?c.send("NeedPassword",a):a.code===ca.INCORRECT_PASSWORD&&c.send("IncorrectPassword",a):a instanceof fa?c.send("InvalidPDF",a):a instanceof ga?c.send("MissingPDF",a):a instanceof ha?c.send("UnexpectedResponse",a):c.send("UnknownError",new ea(a.message,a.toString()))};d(),PDFJS.maxImageSize=void 0===a.maxImageSize?-1:a.maxImageSize,PDFJS.disableFontFace=a.disableFontFace,PDFJS.disableCreateObjectURL=a.disableCreateObjectURL,PDFJS.verbosity=a.verbosity,PDFJS.cMapUrl=void 0===a.cMapUrl?null:a.cMapUrl,PDFJS.cMapPacked=a.cMapPacked===!0,h(a).then(function(a){if(j)throw a.terminate(),new Error("Worker was terminated");i=a,c.send("PDFManagerReady",null),i.onLoadedStream().then(function(a){c.send("DataLoaded",{length:a.bytes.byteLength})})}).then(function f(){d(),g(!1).then(b,function(a){return d(),a instanceof ka?(i.requestLoadedStream(),void i.onLoadedStream().then(function(){d(),g(!0).then(b,e)})):(a instanceof da&&i.passwordChanged().then(f),void e(a))},e)},e)}),c.on("GetPage",function(a){return i.getPage(a.pageIndex).then(function(a){var b=i.ensure(a,"rotate"),c=i.ensure(a,"ref"),d=i.ensure(a,"view");return Promise.all([b,c,d]).then(function(a){return{rotate:a[0],ref:a[1],view:a[2]}})})}),c.on("GetPageIndex",function(a){var b=new Ba(a.ref.num,a.ref.gen),c=i.pdfDocument.catalog;return c.getPageIndex(b)}),c.on("GetDestinations",function(a){return i.ensureCatalog("destinations")}),c.on("GetDestination",function(a){return i.ensureCatalog("getDestination",[a.id])}),c.on("GetAttachments",function(a){return i.ensureCatalog("attachments")}),c.on("GetJavaScript",function(a){return i.ensureCatalog("javaScript")}),c.on("GetOutline",function(a){return i.ensureCatalog("documentOutline")}),c.on("GetMetadata",function(a){return Promise.all([i.ensureDoc("documentInfo"),i.ensureCatalog("metadata")])}),c.on("GetData",function(a){return i.requestLoadedStream(),i.onLoadedStream().then(function(a){return a.bytes})}),c.on("GetStats",function(a){return i.pdfDocument.xref.stats}),c.on("UpdatePassword",function(a){i.updatePassword(a)}),c.on("GetAnnotations",function(a){return i.getPage(a.pageIndex).then(function(a){return i.ensure(a,"getAnnotationsData",[])})}),c.on("RenderPageRequest",function(b){var d=b.pageIndex;i.getPage(d).then(function(g){var h=new ad("RenderPageRequest: page "+d);e(h);var i=d+1,j=Date.now();g.getOperatorList(c,h,b.intent).then(function(b){f(h),a("page="+i+" - getOperatorList: time="+(Date.now()-j)+"ms, len="+b.fnArray.length)},function(a){if(f(h),!h.terminated){var d,e="worker.js: while trying to getPage() and getOperatorList()";d="string"==typeof a?{message:a,stack:e}:"object"==typeof a?{message:a.message||a.toString(),stack:a.stack||e}:{message:"Unknown exception type: "+typeof a,stack:e},c.send("PageError",{pageNum:i,error:d,intent:b.intent})}})})},this),c.on("GetTextContent",function(b){var c=b.pageIndex;return i.getPage(c).then(function(b){var d=new ad("GetTextContent: page "+c);e(d);var g=c+1,h=Date.now();return b.extractTextContent(d).then(function(b){return f(d),a("text indexing: page="+g+" - time="+(Date.now()-h)+"ms"),b},function(a){if(f(d),!d.terminated)throw a})})}),c.on("Cleanup",function(a){return i.cleanup()}),c.on("Terminate",function(a){j=!0,i&&(i.terminate(),i=null),k&&k();var b=[];return l.forEach(function(a){b.push(a.finished),a.terminate()}),Promise.all(b).then(function(){})})}},cd={},dd={log:function(){var a=Array.prototype.slice.call(arguments);S.postMessage({action:"console_log",data:a})},error:function(){var a=Array.prototype.slice.call(arguments);throw S.postMessage({action:"console_error",data:a}),"pdf.js execution error"},time:function(a){cd[a]=Date.now()},timeEnd:function(a){var b=cd[a];b||c("Unknown timer name "+a),this.log("Timer:",a,Date.now()-b)}};if("undefined"==typeof window){"console"in S||(S.console=dd),PDFJS.UnsupportedManager.listen(function(a){S.postMessage({action:"_unsupported_feature",data:a})});var ed=new H("worker_processor",this);bd.setup(ed)}var fd=function(){function a(a,b,c){this.data=a,this.bp=b,this.dataEnd=c,this.chigh=a[b],this.clow=0,this.byteIn(),this.chigh=this.chigh<<7&65535|this.clow>>9&127,this.clow=this.clow<<7&65535,this.ct-=7,this.a=32768}var b=[{qe:22017,nmps:1,nlps:1,switchFlag:1},{qe:13313,nmps:2,nlps:6,switchFlag:0},{qe:6145,nmps:3,nlps:9,switchFlag:0},{qe:2753,nmps:4,nlps:12,switchFlag:0},{qe:1313,nmps:5,nlps:29,switchFlag:0},{qe:545,nmps:38,nlps:33,switchFlag:0},{qe:22017,nmps:7,nlps:6,switchFlag:1},{qe:21505,nmps:8,nlps:14,switchFlag:0},{qe:18433,nmps:9,nlps:14,switchFlag:0},{qe:14337,nmps:10,nlps:14,switchFlag:0},{qe:12289,nmps:11,nlps:17,switchFlag:0},{qe:9217,nmps:12,nlps:18,switchFlag:0},{qe:7169,nmps:13,nlps:20,switchFlag:0},{qe:5633,nmps:29,nlps:21,switchFlag:0},{qe:22017,nmps:15,nlps:14,switchFlag:1},{qe:21505,nmps:16,nlps:14,switchFlag:0},{qe:20737,nmps:17,nlps:15,switchFlag:0},{qe:18433,nmps:18,nlps:16,switchFlag:0},{qe:14337,nmps:19,nlps:17,switchFlag:0},{qe:13313,nmps:20,nlps:18,switchFlag:0},{qe:12289,nmps:21,nlps:19,switchFlag:0},{qe:10241,nmps:22,nlps:19,switchFlag:0},{qe:9217,nmps:23,nlps:20,switchFlag:0},{qe:8705,nmps:24,nlps:21,switchFlag:0},{qe:7169,nmps:25,nlps:22,switchFlag:0},{qe:6145,nmps:26,nlps:23,switchFlag:0},{qe:5633,nmps:27,nlps:24,switchFlag:0},{qe:5121,nmps:28,nlps:25,switchFlag:0},{qe:4609,nmps:29,nlps:26,switchFlag:0},{qe:4353,nmps:30,nlps:27,switchFlag:0},{qe:2753,nmps:31,nlps:28,switchFlag:0},{qe:2497,nmps:32,nlps:29,switchFlag:0},{qe:2209,nmps:33,nlps:30,switchFlag:0},{qe:1313,nmps:34,nlps:31,switchFlag:0},{qe:1089,nmps:35,nlps:32,switchFlag:0},{qe:673,nmps:36,nlps:33,switchFlag:0},{qe:545,nmps:37,nlps:34,switchFlag:0},{qe:321,nmps:38,nlps:35,switchFlag:0},{qe:273,nmps:39,nlps:36,switchFlag:0},{qe:133,nmps:40,nlps:37,switchFlag:0},{qe:73,nmps:41,nlps:38,switchFlag:0},{qe:37,nmps:42,nlps:39,switchFlag:0},{qe:21,nmps:43,nlps:40,switchFlag:0},{qe:9,nmps:44,nlps:41,switchFlag:0},{qe:5,nmps:45,nlps:42,switchFlag:0},{qe:1,nmps:45,nlps:43,switchFlag:0},{qe:22017,nmps:46,nlps:46,switchFlag:0}];return a.prototype={byteIn:function(){var a=this.data,b=this.bp;if(255===a[b]){var c=a[b+1];c>143?(this.clow+=65280,this.ct=8):(b++,this.clow+=a[b]<<9,this.ct=7,this.bp=b)}else b++,this.clow+=b65535&&(this.chigh+=this.clow>>16,this.clow&=65535)},readBit:function(a,c){var d,e=a[c]>>1,f=1&a[c],g=b[e],h=g.qe,i=this.a-h;if(this.chighi?(i=h,d=f,e=g.nmps):(i=h,d=1^f,1===g.switchFlag&&(f=d),e=g.nlps);else{if(this.chigh-=h,0!==(32768&i))return this.a=i,f;h>i?(d=1^f,1===g.switchFlag&&(f=d),e=g.nlps):(d=f,e=g.nmps)}do 0===this.ct&&this.byteIn(),i<<=1,this.chigh=this.chigh<<1&65535|this.clow>>15&1,this.clow=this.clow<<1&65535,this.ct--;while(0===(32768&i));return this.a=i,a[c]=e<<1|f,d}},a}(),gd=function(){function a(){}function b(a,b){for(var c,d,e=0,f=[],g=16;g>0&&!a[g-1];)g--;f.push({children:[],index:0});var h,i=f[0];for(c=0;g>c;c++){for(d=0;d0;)i=f.pop();for(i.index++,f.push(i);f.length<=c;)f.push(h={children:[],index:0}),i.children[i.index]=h.children,i=h;e++}g>c+1&&(f.push(h={children:[],index:0}),i.children[i.index]=h.children,i=h)}return f[0].children}function c(a,b,c){return 64*((a.blocksPerLine+1)*b+c)}function d(a,b,d,e,f,g,i,j,k){function l(){if(H>0)return H--,G>>H&1;if(G=a[b++],255===G){var c=a[b++];if(c)throw"unexpected marker: "+(G<<8|c).toString(16)}return H=7,G>>>7}function m(a){for(var b=a;;){if(b=b[l()],"number"==typeof b)return b;if("object"!=typeof b)throw"invalid huffman sequence"}}function n(a){for(var b=0;a>0;)b=b<<1|l(),a--;return b}function o(a){if(1===a)return 1===l()?1:-1;var b=n(a);return b>=1<e;){var f=m(a.huffmanTableAC),g=15&f,i=f>>4;if(0!==g){e+=i;var j=h[e];a.blockData[b+j]=o(g),e++}else{if(15>i)break;e+=16}}}function q(a,b){var c=m(a.huffmanTableDC),d=0===c?0:o(c)<0)return void I--;for(var c=g,d=i;d>=c;){var e=m(a.huffmanTableAC),f=15&e,j=e>>4;if(0!==f){c+=j;var l=h[c];a.blockData[b+l]=o(f)*(1<j){I=n(j)+(1<=e;){var p=h[e];switch(J){case 0:if(d=m(a.huffmanTableAC),c=15&d,j=d>>4,0===c)15>j?(I=n(j)+(1<N;){for(y=0;K>y;y++)e[y].pred=0;if(I=0,1===K)for(x=e[0],B=0;f>B;B++)v(x,C,N),N++;else for(B=0;f>B;B++){for(y=0;K>y;y++)for(x=e[y],O=x.h,P=x.v,z=0;P>z;z++)for(A=0;O>A;A++)u(x,C,N,z,A);N++}if(H=0,L=a[b]<<8|a[b+1],65280>=L)throw"marker was not found";if(!(L>=65488&&65495>=L))break;b+=2}return b-F}function e(a,b,c){for(var d,e,f,g,h,q,r,s,t,u,v,w,x,y,z,A,B,C=a.quantizationTable,D=a.blockData,E=0;64>E;E+=8)t=D[b+E],u=D[b+E+1],v=D[b+E+2],w=D[b+E+3],x=D[b+E+4],y=D[b+E+5],z=D[b+E+6],A=D[b+E+7],t*=C[E],0!==(u|v|w|x|y|z|A)?(u*=C[E+1],v*=C[E+2],w*=C[E+3],x*=C[E+4],y*=C[E+5],z*=C[E+6],A*=C[E+7],d=o*t+128>>8,e=o*x+128>>8,f=v,g=z,h=p*(u-A)+128>>8,s=p*(u+A)+128>>8,q=w<<4,r=y<<4,d=d+e+1>>1,e=d-e,B=f*n+g*m+128>>8,f=f*m-g*n+128>>8,g=B,h=h+r+1>>1,r=h-r,s=s+q+1>>1,q=s-q,d=d+g+1>>1,g=d-g,e=e+f+1>>1,f=e-f,B=h*l+s*k+2048>>12,h=h*k-s*l+2048>>12,s=B,B=q*j+r*i+2048>>12,q=q*i-r*j+2048>>12,r=B,c[E]=d+s,c[E+7]=d-s,c[E+1]=e+r,c[E+6]=e-r,c[E+2]=f+q,c[E+5]=f-q,c[E+3]=g+h,c[E+4]=g-h):(B=o*t+512>>10,c[E]=B,c[E+1]=B,c[E+2]=B,c[E+3]=B,c[E+4]=B,c[E+5]=B,c[E+6]=B,c[E+7]=B);for(var F=0;8>F;++F)t=c[F],u=c[F+8],v=c[F+16],w=c[F+24],x=c[F+32],y=c[F+40],z=c[F+48],A=c[F+56],0!==(u|v|w|x|y|z|A)?(d=o*t+2048>>12,e=o*x+2048>>12,f=v,g=z,h=p*(u-A)+2048>>12,s=p*(u+A)+2048>>12,q=w,r=y,d=(d+e+1>>1)+4112,e=d-e,B=f*n+g*m+2048>>12,f=f*m-g*n+2048>>12,g=B,h=h+r+1>>1,r=h-r,s=s+q+1>>1,q=s-q,d=d+g+1>>1,g=d-g,e=e+f+1>>1,f=e-f,B=h*l+s*k+2048>>12,h=h*k-s*l+2048>>12,s=B,B=q*j+r*i+2048>>12,q=q*i-r*j+2048>>12,r=B,t=d+s,A=d-s,u=e+r,z=e-r,v=f+q,y=f-q,w=g+h,x=g-h,t=16>t?0:t>=4080?255:t>>4,u=16>u?0:u>=4080?255:u>>4,v=16>v?0:v>=4080?255:v>>4,w=16>w?0:w>=4080?255:w>>4,x=16>x?0:x>=4080?255:x>>4,y=16>y?0:y>=4080?255:y>>4,z=16>z?0:z>=4080?255:z>>4,A=16>A?0:A>=4080?255:A>>4,D[b+F]=t,D[b+F+8]=u,D[b+F+16]=v,D[b+F+24]=w,D[b+F+32]=x,D[b+F+40]=y,D[b+F+48]=z,D[b+F+56]=A):(B=o*t+8192>>14,B=-2040>B?0:B>=2024?255:B+2056>>4,D[b+F]=B,D[b+F+8]=B,D[b+F+16]=B,D[b+F+24]=B,D[b+F+32]=B,D[b+F+40]=B,D[b+F+48]=B,D[b+F+56]=B)}function f(a,b){for(var d=b.blocksPerLine,f=b.blocksPerColumn,g=new Int16Array(64),h=0;f>h;h++)for(var i=0;d>i;i++){var j=c(b,h,i);e(b,j,g)}return b.blockData}function g(a){return 0>=a?0:a>=255?255:a}var h=new Uint8Array([0,1,8,16,9,2,3,10,17,24,32,25,18,11,4,5,12,19,26,33,40,48,41,34,27,20,13,6,7,14,21,28,35,42,49,56,57,50,43,36,29,22,15,23,30,37,44,51,58,59,52,45,38,31,39,46,53,60,61,54,47,55,62,63]),i=4017,j=799,k=3406,l=2276,m=1567,n=3784,o=5793,p=2896;return a.prototype={parse:function(a){function c(){var b=a[k]<<8|a[k+1];return k+=2,b}function e(){var b=c(),d=a.subarray(k,k+b-2);return k+=d.length,d}function g(a){for(var b=Math.ceil(a.samplesPerLine/8/a.maxH),c=Math.ceil(a.scanLines/8/a.maxV),d=0;dk;){var y=a[k++],z=new Uint16Array(64);if(y>>4===0)for(s=0;64>s;s++)v=h[s],z[v]=a[k++];else{if(y>>4!==1)throw"DQT: invalid table spec";for(s=0;64>s;s++)v=h[s],z[v]=c()}n[15&y]=z}break;case 65472:case 65473:case 65474:if(i)throw"Only single frame JPEGs supported";c(),i={},i.extended=65473===q,i.progressive=65474===q,i.precision=a[k++],i.scanLines=c(),i.samplesPerLine=c(),i.components=[],i.componentIds={};var A,B=a[k++],C=0,D=0;for(r=0;B>r;r++){A=a[k];var E=a[k+1]>>4,F=15&a[k+1];E>C&&(C=E),F>D&&(D=F);var G=a[k+2];t=i.components.push({h:E,v:F,quantizationTable:n[G]}),i.componentIds[A]=t-1,k+=3}i.maxH=C,i.maxV=D,g(i);break;case 65476:var H=c();for(r=2;H>r;){var I=a[k++],J=new Uint8Array(16),K=0;for(s=0;16>s;s++,k++)K+=J[s]=a[k];var L=new Uint8Array(K);for(s=0;K>s;s++,k++)L[s]=a[k];r+=17+K,(I>>4===0?p:o)[15&I]=b(J,L)}break;case 65501:c(),j=c();break;case 65498:var M,N=(c(),a[k++]),O=[];for(r=0;N>r;r++){var P=i.componentIds[a[k++]];M=i.components[P];var Q=a[k++];M.huffmanTableDC=p[Q>>4],M.huffmanTableAC=o[15&Q],O.push(M)}var R=a[k++],S=a[k++],T=a[k++],U=d(a,k,i,O,j,R,S,T>>4,15&T);k+=U;break;case 65535:255!==a[k]&&k--;break;default:if(255===a[k-3]&&a[k-2]>=192&&a[k-2]<=254){k-=3;break}throw"unknown JPEG marker "+q.toString(16)}q=c()}for(this.width=i.samplesPerLine,this.height=i.scanLines,this.jfif=l,this.adobe=m,this.components=[],r=0;ri;i++){for(c=this.components[i],d=c.scaleX*n,e=c.scaleY*o,p=i,m=c.output,f=c.blocksPerLine+1<<3,g=0;a>g;g++)j=0|g*d,t[g]=(j&u)<<3|7&j;for(h=0;b>h;h++)for(j=0|h*e,l=f*(j&u)|(7&j)<<3,g=0;a>g;g++)s[p]=m[l+t[g]],p+=q}var v=this.decodeTransform;if(v)for(i=0;r>i;)for(j=0,k=0;q>j;j++,i++,k+=2)s[i]=(s[i]*v[k]>>8)+v[k+1];return s},_isColorConversionNeeded:function(){return this.adobe&&this.adobe.transformCode?!0:3===this.numComponents},_convertYccToRgb:function(a){for(var b,c,d,e=0,f=a.length;f>e;e+=3)b=a[e],c=a[e+1],d=a[e+2],a[e]=g(b-179.456+1.402*d),a[e+1]=g(b+135.459-.344*c-.714*d),a[e+2]=g(b-226.816+1.772*c);return a},_convertYcckToRgb:function(a){for(var b,c,d,e,f=0,h=0,i=a.length;i>h;h+=4){b=a[h],c=a[h+1],d=a[h+2],e=a[h+3];var j=-122.67195406894+c*(-660635669420364e-19*c+.000437130475926232*d-54080610064599e-18*b+.00048449797120281*e-.154362151871126)+d*(-.000957964378445773*d+.000817076911346625*b-.00477271405408747*e+1.53380253221734)+b*(.000961250184130688*b-.00266257332283933*e+.48357088451265)+e*(-.000336197177618394*e+.484791561490776),k=107.268039397724+c*(219927104525741e-19*c-.000640992018297945*d+.000659397001245577*b+.000426105652938837*e-.176491792462875)+d*(-.000778269941513683*d+.00130872261408275*b+.000770482631801132*e-.151051492775562)+b*(.00126935368114843*b-.00265090189010898*e+.25802910206845)+e*(-.000318913117588328*e-.213742400323665),l=-20.810012546947+c*(-.000570115196973677*c-263409051004589e-19*d+.0020741088115012*b-.00288260236853442*e+.814272968359295)+d*(-153496057440975e-19*d-.000132689043961446*b+.000560833691242812*e-.195152027534049)+b*(.00174418132927582*b-.00255243321439347*e+.116935020465145)+e*(-.000343531996510555*e+.24165260232407);a[f++]=g(j),a[f++]=g(k),a[f++]=g(l)}return a},_convertYcckToCmyk:function(a){for(var b,c,d,e=0,f=a.length;f>e;e+=4)b=a[e],c=a[e+1],d=a[e+2],a[e]=g(434.456-b-1.402*d),a[e+1]=g(119.541-b+.344*c+.714*d),a[e+2]=g(481.816-b-1.772*c);return a},_convertCmykToRgb:function(a){for(var b,c,d,e,f=0,g=-16581375,h=1/255/255,i=0,j=a.length;j>i;i+=4){b=a[i],c=a[i+1],d=a[i+2],e=a[i+3];var k=b*(-4.387332384609988*b+54.48615194189176*c+18.82290502165302*d+212.25662451639585*e-72734.4411664936)+c*(1.7149763477362134*c-5.6096736904047315*d-17.873870861415444*e-1401.7366389350734)+d*(-2.5217340131683033*d-21.248923337353073*e+4465.541406466231)-e*(21.86122147463605*e+48317.86113160301),l=b*(8.841041422036149*b+60.118027045597366*c+6.871425592049007*d+31.159100130055922*e-20220.756542821975)+c*(-15.310361306967817*c+17.575251261109482*d+131.35250912493976*e-48691.05921601825)+d*(4.444339102852739*d+9.8632861493405*e-6341.191035517494)-e*(20.737325471181034*e+47890.15695978492),m=b*(.8842522430003296*b+8.078677503112928*c+30.89978309703729*d-.23883238689178934*e-3616.812083916688)+c*(10.49593273432072*c+63.02378494754052*d+50.606957656360734*e-28620.90484698408)+d*(.03296041114873217*d+115.60384449646641*e-49363.43385999684)-e*(22.33816807309886*e+45932.16563550634);a[f++]=k>=0?255:g>=k?0:255+k*h|0,a[f++]=l>=0?255:g>=l?0:255+l*h|0,a[f++]=m>=0?255:g>=m?0:255+m*h|0}return a},getData:function(a,b,c){if(this.numComponents>4)throw"Unsupported color mode";var d=this._getLinearizedBlockData(a,b);if(3===this.numComponents)return this._convertYccToRgb(d);if(4===this.numComponents){if(this._isColorConversionNeeded())return c?this._convertYcckToRgb(d):this._convertYcckToCmyk(d);if(c)return this._convertCmykToRgb(d)}return d}},a}(),hd=function(){function c(){this.failOnCorruptedImage=!1}function d(a,b){a.x0=Math.ceil(b.XOsiz/a.XRsiz),a.x1=Math.ceil(b.Xsiz/a.XRsiz),a.y0=Math.ceil(b.YOsiz/a.YRsiz),a.y1=Math.ceil(b.Ysiz/a.YRsiz),a.width=a.x1-a.x0,a.height=a.y1-a.y0}function e(a,b){for(var c,d=a.SIZ,e=[],f=Math.ceil((d.Xsiz-d.XTOsiz)/d.XTsiz),g=Math.ceil((d.Ysiz-d.YTOsiz)/d.YTsiz),h=0;g>h;h++)for(var i=0;f>i;i++)c={},c.tx0=Math.max(d.XTOsiz+i*d.XTsiz,d.XOsiz),c.ty0=Math.max(d.YTOsiz+h*d.YTsiz,d.YOsiz),c.tx1=Math.min(d.XTOsiz+(i+1)*d.XTsiz,d.Xsiz),c.ty1=Math.min(d.YTOsiz+(h+1)*d.YTsiz,d.Ysiz),c.width=c.tx1-c.tx0,c.height=c.ty1-c.ty0,c.components=[],e.push(c);a.tiles=e;for(var j=d.Csiz,k=0,l=j;l>k;k++)for(var m=b[k],n=0,o=e.length;o>n;n++){var p={};c=e[n],p.tcx0=Math.ceil(c.tx0/m.XRsiz),p.tcy0=Math.ceil(c.ty0/m.YRsiz),p.tcx1=Math.ceil(c.tx1/m.XRsiz),p.tcy1=Math.ceil(c.ty1/m.YRsiz),p.width=p.tcx1-p.tcx0,p.height=p.tcy1-p.tcy0,c.components[k]=p}}function f(a,b,c){var d=b.codingStyleParameters,e={};return d.entropyCoderWithCustomPrecincts?(e.PPx=d.precinctsSizes[c].PPx,e.PPy=d.precinctsSizes[c].PPy):(e.PPx=15,e.PPy=15),e.xcb_=c>0?Math.min(d.xcb,e.PPx-1):Math.min(d.xcb,e.PPx),e.ycb_=c>0?Math.min(d.ycb,e.PPy-1):Math.min(d.ycb,e.PPy),e}function g(a,b,c){var d=1<b.trx0?Math.ceil(b.trx1/d)-Math.floor(b.trx0/d):0,j=b.try1>b.try0?Math.ceil(b.try1/e)-Math.floor(b.try0/e):0,k=i*j;b.precinctParameters={precinctWidth:d,precinctHeight:e,numprecinctswide:i,numprecinctshigh:j,numprecincts:k,precinctWidthInSubband:g,precinctHeightInSubband:h}}function h(a,b,c){var d,e,f,g,h=c.xcb_,i=c.ycb_,j=1<>h,m=b.tby0>>i,n=b.tbx1+j-1>>h,o=b.tby1+k-1>>i,p=b.resolution.precinctParameters,q=[],r=[];for(e=m;o>e;e++)for(d=l;n>d;d++){f={cbx:d,cby:e,tbx0:j*d,tby0:k*e,tbx1:j*(d+1),tby1:k*(e+1)},f.tbx0_=Math.max(b.tbx0,f.tbx0),f.tby0_=Math.max(b.tby0,f.tby0),f.tbx1_=Math.min(b.tbx1,f.tbx1),f.tby1_=Math.min(b.tby1,f.tby1);var s=Math.floor((f.tbx0_-b.tbx0)/p.precinctWidthInSubband),t=Math.floor((f.tby0_-b.tby0)/p.precinctHeightInSubband);if(g=s+t*p.numprecinctswide,f.precinctNumber=g,f.subbandType=b.type,f.Lblock=3,!(f.tbx1_<=f.tbx0_||f.tby1_<=f.tby0_)){q.push(f);var u=r[g];void 0!==u?(du.cbxMax&&(u.cbxMax=d),eu.cbyMax&&(u.cbyMax=e)):r[g]=u={cbxMin:d,cbyMin:e,cbxMax:d,cbyMax:e},f.precinct=u}}b.codeblockParameters={codeblockWidth:h,codeblockHeight:i,numcodeblockwide:n-l+1,numcodeblockhigh:o-m+1},b.codeblocks=q,b.precincts=r}function i(a,b,c){for(var d=[],e=a.subbands,f=0,g=e.length;g>f;f++)for(var h=e[f],i=h.codeblocks,j=0,k=i.length;k>j;j++){var l=i[j];l.precinctNumber===b&&d.push(l)}return{layerNumber:c,codeblocks:d}}function j(a){for(var b=a.SIZ,c=a.currentTile.index,d=a.tiles[c],e=d.codingStyleDefaultParameters.layersCount,f=b.Csiz,g=0,h=0;f>h;h++)g=Math.max(g,d.components[h].codingStyleParameters.decompositionLevelsCount);var j=0,k=0,l=0,m=0;this.nextPacket=function(){for(;e>j;j++){for(;g>=k;k++){for(;f>l;l++){var a=d.components[l];if(!(k>a.codingStyleParameters.decompositionLevelsCount)){for(var b=a.resolutions[k],c=b.precinctParameters.numprecincts;c>m;){var h=i(b,m,j);return m++,h}m=0}}l=0}k=0}throw new Error("JPX Error: Out of packets")}}function k(a){for(var b=a.SIZ,c=a.currentTile.index,d=a.tiles[c],e=d.codingStyleDefaultParameters.layersCount,f=b.Csiz,g=0,h=0;f>h;h++)g=Math.max(g,d.components[h].codingStyleParameters.decompositionLevelsCount);var j=0,k=0,l=0,m=0;this.nextPacket=function(){for(;g>=j;j++){for(;e>k;k++){for(;f>l;l++){var a=d.components[l];if(!(j>a.codingStyleParameters.decompositionLevelsCount)){for(var b=a.resolutions[j],c=b.precinctParameters.numprecincts;c>m;){var h=i(b,m,k);return m++, -h}m=0}}l=0}k=0}throw new Error("JPX Error: Out of packets")}}function m(a){var b,c,d,e,f=a.SIZ,g=a.currentTile.index,h=a.tiles[g],j=h.codingStyleDefaultParameters.layersCount,k=f.Csiz,l=0;for(d=0;k>d;d++){var m=h.components[d];l=Math.max(l,m.codingStyleParameters.decompositionLevelsCount)}var n=new Int32Array(l+1);for(c=0;l>=c;++c){var o=0;for(d=0;k>d;++d){var p=h.components[d].resolutions;c=c;c++){for(;ed;d++){var a=h.components[d];if(!(c>a.codingStyleParameters.decompositionLevelsCount)){var f=a.resolutions[c],g=f.precinctParameters.numprecincts;if(!(e>=g)){for(;j>b;){var m=i(f,e,b);return b++,m}b=0}}}d=0}e=0}throw new Error("JPX Error: Out of packets")}}function p(a){var b=a.SIZ,c=a.currentTile.index,d=a.tiles[c],e=d.codingStyleDefaultParameters.layersCount,f=b.Csiz,g=s(d),h=g,j=0,k=0,l=0,m=0,n=0;this.nextPacket=function(){for(;nl;l++){for(var a=d.components[l],b=a.codingStyleParameters.decompositionLevelsCount;b>=k;k++){var c=a.resolutions[k],o=g.components[l].resolutions[k],p=r(m,n,o,h,c);if(null!==p){for(;e>j;){var q=i(c,p,j);return j++,q}j=0}}k=0}l=0}m=0}throw new Error("JPX Error: Out of packets")}}function q(a){var b=a.SIZ,c=a.currentTile.index,d=a.tiles[c],e=d.codingStyleDefaultParameters.layersCount,f=b.Csiz,g=s(d),h=0,j=0,k=0,l=0,m=0;this.nextPacket=function(){for(;f>k;++k){for(var a=d.components[k],b=g.components[k],c=a.codingStyleParameters.decompositionLevelsCount;m=j;j++){var n=a.resolutions[j],o=b.resolutions[j],p=r(l,m,o,b,n);if(null!==p){for(;e>h;){var q=i(n,p,h);return h++,q}h=0}}j=0}l=0}m=0}throw new Error("JPX Error: Out of packets")}}function r(a,b,c,d,e){var f=a*d.minWidth,g=b*d.minHeight;if(f%c.width!==0||g%c.height!==0)return null;var h=g/c.width*e.precinctParameters.numprecinctswide;return f/c.height+h}function s(a){for(var b=a.components.length,c=Number.MAX_VALUE,d=Number.MAX_VALUE,e=0,f=0,g=new Array(b),h=0;b>h;h++){for(var i=a.components[h],j=i.codingStyleParameters.decompositionLevelsCount,k=new Array(j+1),l=Number.MAX_VALUE,m=Number.MAX_VALUE,n=0,o=0,p=1,q=j;q>=0;--q){var r=i.resolutions[q],s=p*r.precinctParameters.precinctWidth,t=p*r.precinctParameters.precinctHeight;l=Math.min(l,s),m=Math.min(m,t),n=Math.max(n,r.precinctParameters.numprecinctswide),o=Math.max(o,r.precinctParameters.numprecinctshigh),k[q]={width:s,height:t},p<<=1}c=Math.min(c,l),d=Math.min(d,m),e=Math.max(e,n),f=Math.max(f,o),g[h]={resolutions:k,minWidth:l,minHeight:m,maxNumWide:n,maxNumHigh:o}}return{components:g,minWidth:c,minHeight:d,maxNumWide:e,maxNumHigh:f}}function t(a){for(var b=a.SIZ,c=a.currentTile.index,d=a.tiles[c],e=b.Csiz,i=0;e>i;i++){for(var l=d.components[i],n=l.codingStyleParameters.decompositionLevelsCount,o=[],r=[],s=0;n>=s;s++){var t=f(a,l,s),u={},v=1<m;){var d=b[c+k];k++,n?(j=j<<7|d,m+=7,n=!1):(j=j<<8|d,m+=8),255===d&&(n=!0)}return m-=a,j>>>m&(1<a?a+3:(a=e(5),31>a?a+6:(a=e(7),a+37))}for(var j,k=0,m=0,n=!1,o=a.currentTile.index,p=a.tiles[o],q=a.COD.sopMarkerUsed,r=a.COD.ephMarkerUsed,s=p.packetsIterator;d>k;){h(),q&&f(145)&&g(4);var t=s.nextPacket();if(e(1)){for(var u,v=t.layerNumber,w=[],x=0,y=t.codeblocks.length;y>x;x++){u=t.codeblocks[x];var z,C=u.precinct,D=u.cbx-C.cbxMin,E=u.cby-C.cbyMin,F=!1,G=!1;if(void 0!==u.included)F=!!e(1);else{C=u.precinct;var H,I;if(void 0!==C.inclusionTree)H=C.inclusionTree;else{var J=C.cbxMax-C.cbxMin+1,K=C.cbyMax-C.cbyMin+1;H=new B(J,K,v),I=new A(J,K),C.inclusionTree=H,C.zeroBitPlanesTree=I}if(H.reset(D,E,v))for(;;){if(!e(1)){H.incrementValue(v);break}if(z=!H.nextLevel()){u.included=!0,F=G=!0;break}}}if(F){if(G){for(I=C.zeroBitPlanesTree,I.reset(D,E);;)if(e(1)){if(z=!I.nextLevel())break}else I.incrementValue();u.zeroBitPlanes=I.value}for(var L=i();e(1);)u.Lblock++;var M=l(L),N=(1<L?M-1:M)+u.Lblock,O=e(N);w.push({codeblock:u,codingpasses:L,dataLength:O})}}for(h(),r&&f(146);w.length>0;){var P=w.shift();u=P.codeblock,void 0===u.data&&(u.data=[]),u.data.push({data:b,start:c+k,end:c+k+P.dataLength,codingpasses:P.codingpasses}),k+=P.dataLength}}}return k}function v(a,b,c,d,e,f,g,h){for(var i=d.tbx0,j=d.tby0,k=d.tbx1-d.tbx0,l=d.codeblocks,m="H"===d.type.charAt(0)?1:0,n="H"===d.type.charAt(1)?b:0,o=0,p=l.length;p>o;++o){var q=l[o],r=q.tbx1_-q.tbx0_,s=q.tby1_-q.tby0_;if(0!==r&&0!==s&&void 0!==q.data){var t,u;t=new C(r,s,q.subbandType,q.zeroBitPlanes,f),u=2;var v,w,x,y=q.data,z=0,A=0;for(v=0,w=y.length;w>v;v++)x=y[v],z+=x.end-x.start,A+=x.codingpasses;var B=new Uint8Array(z),D=0;for(v=0,w=y.length;w>v;v++){x=y[v];var E=x.data.subarray(x.start,x.end);B.set(E,D),D+=E.length}var F=new fd(B,0,z);for(t.setDecoder(F),v=0;A>v;v++){switch(u){case 0:t.runSignificancePropogationPass();break;case 1:t.runMagnitudeRefinementPass();break;case 2:t.runCleanupPass(),h&&t.checkSegmentationSymbol()}u=(u+1)%3}var G,H,I,J=q.tbx0_-i+(q.tby0_-j)*k,K=t.coefficentsSign,L=t.coefficentsMagnitude,M=t.bitsDecoded,N=g?0:.5;D=0;var O="LL"!==d.type;for(v=0;s>v;v++){var P=J/k|0,Q=2*P*(b-k)+m+n;for(G=0;r>G;G++){if(H=L[D],0!==H){H=(H+N)*e,0!==K[D]&&(H=-H),I=M[D];var R=O?Q+(J<<1):J;g&&I>=f?a[R]=H:a[R]=H*(1<=q;q++){for(var r=d.resolutions[q],s=r.trx1-r.trx0,t=r.try1-r.try0,u=new Float32Array(s*t),w=0,x=r.subbands.length;x>w;w++){var y,A;i?(y=h[p].mu,A=h[p].epsilon,p++):(y=h[0].mu,A=h[0].epsilon+(q>0?1-q:0));var B=r.subbands[w],C=z[B.type],D=m?1:Math.pow(2,l+C-A)*(1+y/2048),G=j+A-1;v(u,s,t,B,D,G,m,k)}o.push({width:s,height:t,items:u})}var H=n.calculate(o,d.tcx0,d.tcy0);return{left:d.tcx0,top:d.tcy0,width:H.width,height:H.height,items:H.items}}function x(a){for(var b=a.SIZ,c=a.components,d=b.Csiz,e=[],f=0,g=a.tiles.length;g>f;f++){var h,i=a.tiles[f],j=[];for(h=0;d>h;h++)j[h]=w(a,i,h);var k,l,m,n,o,p,q,r,s,t,u,v,x,y,z,A=j[0],B=new Uint8Array(A.items.length*d),C={left:A.left,top:A.top,width:A.width,height:A.height,items:B},D=0;if(i.codingStyleDefaultParameters.multipleComponentTransform){var E=4===d,F=j[0].items,G=j[1].items,H=j[2].items,I=E?j[3].items:null;k=c[0].precision-8,l=(128<p;p++,D+=K)r=F[p]+l,s=G[p],t=H[p],v=r-(t+s>>2),u=v+t,x=v+s,B[D++]=0>=u?0:u>=m?255:u>>k,B[D++]=0>=v?0:v>=m?255:v>>k,B[D++]=0>=x?0:x>=m?255:x>>k;else for(p=0;q>p;p++,D+=K)r=F[p]+l,s=G[p],t=H[p],u=r+1.402*t,v=r-.34413*s-.71414*t,x=r+1.772*s,B[D++]=0>=u?0:u>=m?255:u>>k,B[D++]=0>=v?0:v>=m?255:v>>k,B[D++]=0>=x?0:x>=m?255:x>>k;if(E)for(p=0,D=3;q>p;p++,D+=4)y=I[p],B[D]=n>=y?0:y>=o?255:y+l>>k}else for(h=0;d>h;h++){var L=j[h].items;for(k=c[h].precision-8,l=(128<p;p++)z=L[p],B[D]=n>=z?0:z>=m?255:z+l>>k,D+=d}e.push(C)}return e}function y(a,b){for(var c=a.SIZ,d=c.Csiz,e=a.tiles[b],f=0;d>f;f++){var g=e.components[f],h=void 0!==a.currentTile.QCC[f]?a.currentTile.QCC[f]:a.currentTile.QCD;g.quantizationParameters=h;var i=void 0!==a.currentTile.COC[f]?a.currentTile.COC[f]:a.currentTile.COD;g.codingStyleParameters=i}e.codingStyleDefaultParameters=a.currentTile.COD}var z={LL:0,LH:1,HL:1,HH:2};c.prototype={parse:function(c){var d=n(c,0);if(65359===d)return void this.parseCodestream(c,0,c.length);for(var e=0,f=c.length;f>e;){var g=8,h=o(c,e),i=o(c,e+4);if(e+=g,1===h&&(h=4294967296*o(c,e)+o(c,e+4),e+=8,g+=8),0===h&&(h=f-e+g),g>h)throw new Error("JPX Error: Invalid box field size");var j=h-g,k=!0;switch(i){case 1785737832:k=!1;break;case 1668246642:var l=c[e];c[e+1],c[e+2];if(1===l){var m=o(c,e+3);switch(m){case 16:case 17:case 18:break;default:b("Unknown colorspace "+m)}}else 2===l&&a("ICC profile not supported");break;case 1785737827:this.parseCodestream(c,e,e+j);break;case 1783636e3:218793738!==o(c,e)&&b("Invalid JP2 signature");break;case 1783634458:case 1718909296:case 1920099697:case 1919251232:case 1768449138:break;default:var p=String.fromCharCode(i>>24&255,i>>16&255,i>>8&255,255&i);b("Unsupported header type "+i+" ("+p+")")}k&&(e+=j)}},parseImageProperties:function(a){for(var b=a.getByte();b>=0;){var c=b;b=a.getByte();var d=c<<8|b;if(65361===d){a.skip(4);var e=a.getInt32()>>>0,f=a.getInt32()>>>0,g=a.getInt32()>>>0,h=a.getInt32()>>>0;a.skip(16);var i=a.getUint16();return this.width=e-g,this.height=f-h,this.componentsCount=i,void(this.bitsPerComponent=8)}}throw new Error("JPX Error: No size marker found in JPX stream")},parseCodestream:function(a,c,f){var g={};try{for(var h=!1,i=c;f>i+1;){var j=n(a,i);i+=2;var k,l,m,p,q,r,s=0;switch(j){case 65359:g.mainHeader=!0;break;case 65497:break;case 65361:s=n(a,i);var v={};v.Xsiz=o(a,i+4),v.Ysiz=o(a,i+8),v.XOsiz=o(a,i+12),v.YOsiz=o(a,i+16),v.XTsiz=o(a,i+20),v.YTsiz=o(a,i+24),v.XTOsiz=o(a,i+28),v.YTOsiz=o(a,i+32);var w=n(a,i+36);v.Csiz=w;var z=[];k=i+38;for(var A=0;w>A;A++){var B={precision:(127&a[k])+1,isSigned:!!(128&a[k]),XRsiz:a[k+1],YRsiz:a[k+1]};d(B,v),z.push(B)}g.SIZ=v,g.components=z,e(g,z),g.QCC=[],g.COC=[];break;case 65372:s=n(a,i);var C={};switch(k=i+2,l=a[k++],31&l){case 0:p=8,q=!0;break;case 1:p=16,q=!1;break;case 2:p=16,q=!0;break;default:throw new Error("JPX Error: Invalid SQcd value "+l)}for(C.noQuantization=8===p,C.scalarExpounded=q,C.guardBits=l>>5,m=[];s+i>k;){var D={};8===p?(D.epsilon=a[k++]>>3,D.mu=0):(D.epsilon=a[k]>>3,D.mu=(7&a[k])<<8|a[k+1],k+=2),m.push(D)}C.SPqcds=m,g.mainHeader?g.QCD=C:(g.currentTile.QCD=C,g.currentTile.QCC=[]);break;case 65373:s=n(a,i);var E={};k=i+2;var F;switch(g.SIZ.Csiz<257?F=a[k++]:(F=n(a,k),k+=2),l=a[k++],31&l){case 0:p=8,q=!0;break;case 1:p=16,q=!1;break;case 2:p=16,q=!0;break;default:throw new Error("JPX Error: Invalid SQcd value "+l)}for(E.noQuantization=8===p,E.scalarExpounded=q,E.guardBits=l>>5,m=[];s+i>k;)D={},8===p?(D.epsilon=a[k++]>>3,D.mu=0):(D.epsilon=a[k]>>3,D.mu=(7&a[k])<<8|a[k+1],k+=2),m.push(D);E.SPqcds=m,g.mainHeader?g.QCC[F]=E:g.currentTile.QCC[F]=E;break;case 65362:s=n(a,i);var G={};k=i+2;var H=a[k++];G.entropyCoderWithCustomPrecincts=!!(1&H),G.sopMarkerUsed=!!(2&H),G.ephMarkerUsed=!!(4&H),G.progressionOrder=a[k++],G.layersCount=n(a,k),k+=2,G.multipleComponentTransform=a[k++],G.decompositionLevelsCount=a[k++],G.xcb=(15&a[k++])+2,G.ycb=(15&a[k++])+2;var I=a[k++];if(G.selectiveArithmeticCodingBypass=!!(1&I),G.resetContextProbabilities=!!(2&I),G.terminationOnEachCodingPass=!!(4&I),G.verticalyStripe=!!(8&I),G.predictableTermination=!!(16&I),G.segmentationSymbolUsed=!!(32&I),G.reversibleTransformation=a[k++],G.entropyCoderWithCustomPrecincts){for(var J=[];s+i>k;){var K=a[k++];J.push({PPx:15&K,PPy:K>>4})}G.precinctsSizes=J}var L=[];if(G.selectiveArithmeticCodingBypass&&L.push("selectiveArithmeticCodingBypass"),G.resetContextProbabilities&&L.push("resetContextProbabilities"),G.terminationOnEachCodingPass&&L.push("terminationOnEachCodingPass"),G.verticalyStripe&&L.push("verticalyStripe"),G.predictableTermination&&L.push("predictableTermination"),L.length>0)throw h=!0,new Error("JPX Error: Unsupported COD options ("+L.join(", ")+")");g.mainHeader?g.COD=G:(g.currentTile.COD=G,g.currentTile.COC=[]);break;case 65424:s=n(a,i),r={},r.index=n(a,i+2),r.length=o(a,i+4),r.dataEnd=r.length+i-2,r.partIndex=a[i+8],r.partsCount=a[i+9],g.mainHeader=!1,0===r.partIndex&&(r.COD=g.COD,r.COC=g.COC.slice(0),r.QCD=g.QCD,r.QCC=g.QCC.slice(0)),g.currentTile=r;break;case 65427:r=g.currentTile,0===r.partIndex&&(y(g,r.index),t(g)),s=r.dataEnd-i,u(g,a,i,s);break;case 65365:case 65367:case 65368:case 65380:s=n(a,i);break;case 65363:throw new Error("JPX Error: Codestream code 0xFF53 (COC) is not implemented");default:throw new Error("JPX Error: Unknown codestream code: "+j.toString(16))}i+=s}}catch(M){if(h||this.failOnCorruptedImage)throw M;b("Trying to recover from "+M.message)}this.tiles=x(g),this.width=g.SIZ.Xsiz-g.SIZ.XOsiz,this.height=g.SIZ.Ysiz-g.SIZ.YOsiz,this.componentsCount=g.SIZ.Csiz}};var A=function(){function a(a,b){var c=l(Math.max(a,b))+1;this.levels=[];for(var d=0;c>d;d++){var e={width:a,height:b,items:[]};this.levels.push(e),a=Math.ceil(a/2),b=Math.ceil(b/2)}}return a.prototype={reset:function(a,b){for(var c,d=0,e=0;d>=1,b>>=1,d++}d--,c=this.levels[d],c.items[c.index]=e,this.currentLevel=d,delete this.value},incrementValue:function(){var a=this.levels[this.currentLevel];a.items[a.index]++},nextLevel:function(){var a=this.currentLevel,b=this.levels[a],c=b.items[b.index];return a--,0>a?(this.value=c,!1):(this.currentLevel=a,b=this.levels[a],b.items[b.index]=c,!0)}},a}(),B=function(){function a(a,b,c){var d=l(Math.max(a,b))+1;this.levels=[];for(var e=0;d>e;e++){for(var f=new Uint8Array(a*b),g=0,h=f.length;h>g;g++)f[g]=c;var i={width:a,height:b,items:f};this.levels.push(i),a=Math.ceil(a/2),b=Math.ceil(b/2)}}return a.prototype={reset:function(a,b,c){for(var d=0;dc)return this.currentLevel=d,this.propagateValues(),!1;a>>=1,b>>=1,d++}return this.currentLevel=d-1,!0},incrementValue:function(a){var b=this.levels[this.currentLevel];b.items[b.index]=a+1,this.propagateValues()},propagateValues:function(){for(var a=this.currentLevel,b=this.levels[a],c=b.items[b.index];--a>=0;)b=this.levels[a],b.items[b.index]=c},nextLevel:function(){var a=this.currentLevel,b=this.levels[a],c=b.items[b.index];return b.items[b.index]=255,a--,0>a?!1:(this.currentLevel=a,b=this.levels[a],b.items[b.index]=c,!0)}},a}(),C=function(){function a(a,b,c,g,h){this.width=a,this.height=b,this.contextLabelTable="HH"===c?f:"HL"===c?e:d;var i=a*b;this.neighborsSignificance=new Uint8Array(i),this.coefficentsSign=new Uint8Array(i),this.coefficentsMagnitude=h>14?new Uint32Array(i):h>6?new Uint16Array(i):new Uint8Array(i),this.processingFlags=new Uint8Array(i);var j=new Uint8Array(i);if(0!==g)for(var k=0;i>k;k++)j[k]=g;this.bitsDecoded=j,this.reset()}var b=17,c=18,d=new Uint8Array([0,5,8,0,3,7,8,0,4,7,8,0,0,0,0,0,1,6,8,0,3,7,8,0,4,7,8,0,0,0,0,0,2,6,8,0,3,7,8,0,4,7,8,0,0,0,0,0,2,6,8,0,3,7,8,0,4,7,8,0,0,0,0,0,2,6,8,0,3,7,8,0,4,7,8]),e=new Uint8Array([0,3,4,0,5,7,7,0,8,8,8,0,0,0,0,0,1,3,4,0,6,7,7,0,8,8,8,0,0,0,0,0,2,3,4,0,6,7,7,0,8,8,8,0,0,0,0,0,2,3,4,0,6,7,7,0,8,8,8,0,0,0,0,0,2,3,4,0,6,7,7,0,8,8,8]),f=new Uint8Array([0,1,2,0,1,2,2,0,2,2,2,0,0,0,0,0,3,4,5,0,4,5,5,0,5,5,5,0,0,0,0,0,6,7,7,0,7,7,7,0,7,7,7,0,0,0,0,0,8,8,8,0,8,8,8,0,8,8,8,0,0,0,0,0,8,8,8,0,8,8,8,0,8,8,8]);return a.prototype={setDecoder:function(a){this.decoder=a},reset:function(){this.contexts=new Int8Array(19),this.contexts[0]=8,this.contexts[b]=92,this.contexts[c]=6},setNeighborsSignificance:function(a,b,c){var d,e=this.neighborsSignificance,f=this.width,g=this.height,h=b>0,i=f>b+1;a>0&&(d=c-f,h&&(e[d-1]+=16),i&&(e[d+1]+=16),e[d]+=4),g>a+1&&(d=c+f,h&&(e[d-1]+=16),i&&(e[d+1]+=16),e[d]+=4),h&&(e[c-1]+=1),i&&(e[c+1]+=1),e[c]|=128},runSignificancePropogationPass:function(){for(var a=this.decoder,b=this.width,c=this.height,d=this.coefficentsMagnitude,e=this.coefficentsSign,f=this.neighborsSignificance,g=this.processingFlags,h=this.contexts,i=this.contextLabelTable,j=this.bitsDecoded,k=-2,l=1,m=2,n=0;c>n;n+=4)for(var o=0;b>o;o++)for(var p=n*b+o,q=0;4>q;q++,p+=b){var r=n+q;if(r>=c)break;if(g[p]&=k,!d[p]&&f[p]){var s=i[f[p]],t=a.readBit(h,s);if(t){var u=this.decodeSignBit(r,o,p);e[p]=u,d[p]=1,this.setNeighborsSignificance(r,o,p),g[p]|=m}j[p]++,g[p]|=l}}},decodeSignBit:function(a,b,c){var d,e,f,g,h,i,j=this.width,k=this.height,l=this.coefficentsMagnitude,m=this.coefficentsSign;g=b>0&&0!==l[c-1],j>b+1&&0!==l[c+1]?(f=m[c+1],g?(e=m[c-1],d=1-f-e):d=1-f-f):g?(e=m[c-1],d=1-e-e):d=0;var n=3*d;return g=a>0&&0!==l[c-j],k>a+1&&0!==l[c+j]?(f=m[c+j],g?(e=m[c-j],d=1-f-e+n):d=1-f-f+n):g?(e=m[c-j],d=1-e-e+n):d=n,d>=0?(h=9+d,i=this.decoder.readBit(this.contexts,h)):(h=9-d,i=1^this.decoder.readBit(this.contexts,h)),i},runMagnitudeRefinementPass:function(){for(var a,b=this.decoder,c=this.width,d=this.height,e=this.coefficentsMagnitude,f=this.neighborsSignificance,g=this.contexts,h=this.bitsDecoded,i=this.processingFlags,j=1,k=2,l=c*d,m=4*c,n=0;l>n;n=a){a=Math.min(l,n+m);for(var o=0;c>o;o++)for(var p=n+o;a>p;p+=c)if(e[p]&&0===(i[p]&j)){var q=16;if(0!==(i[p]&k)){i[p]^=k;var r=127&f[p];q=0===r?15:14}var s=b.readBit(g,q);e[p]=e[p]<<1|s,h[p]++,i[p]|=j}}},runCleanupPass:function(){for(var a,d=this.decoder,e=this.width,f=this.height,g=this.neighborsSignificance,h=this.coefficentsMagnitude,i=this.coefficentsSign,j=this.contexts,k=this.contextLabelTable,l=this.bitsDecoded,m=this.processingFlags,n=1,o=2,p=e,q=2*e,r=3*e,s=0;f>s;s=a){a=Math.min(s+4,f);for(var t=s*e,u=f>s+3,v=0;e>v;v++){var w,x=t+v,y=u&&0===m[x]&&0===m[x+p]&&0===m[x+q]&&0===m[x+r]&&0===g[x]&&0===g[x+p]&&0===g[x+q]&&0===g[x+r],z=0,A=x,B=s;if(y){var C=d.readBit(j,c);if(!C){l[x]++,l[x+p]++,l[x+q]++,l[x+r]++;continue}z=d.readBit(j,b)<<1|d.readBit(j,b),0!==z&&(B=s+z,A+=z*e),w=this.decodeSignBit(B,v,A),i[A]=w,h[A]=1,this.setNeighborsSignificance(B,v,A),m[A]|=o,A=x;for(var D=s;B>=D;D++,A+=e)l[A]++;z++}for(B=s+z;a>B;B++,A+=e)if(!h[A]&&0===(m[A]&n)){var E=k[g[A]],F=d.readBit(j,E);1===F&&(w=this.decodeSignBit(B,v,A),i[A]=w,h[A]=1,this.setNeighborsSignificance(B,v,A),m[A]|=o),l[A]++}}}},checkSegmentationSymbol:function(){var a=this.decoder,c=this.contexts,d=a.readBit(c,b)<<3|a.readBit(c,b)<<2|a.readBit(c,b)<<1|a.readBit(c,b);if(10!==d)throw new Error("JPX Error: Invalid segmentation symbol")}},a}(),D=function(){function a(){}return a.prototype.calculate=function(a,b,c){for(var d=a[0],e=1,f=a.length;f>e;e++)d=this.iterate(d,a[e],b,c);return d},a.prototype.extend=function(a,b,c){var d=b-1,e=b+1,f=b+c-2,g=b+c;a[d--]=a[e++],a[g++]=a[f--],a[d--]=a[e++],a[g++]=a[f--],a[d--]=a[e++],a[g++]=a[f--],a[d]=a[e],a[g]=a[f]},a.prototype.iterate=function(a,b,c,d){var e,f,g,h,i,j,k=a.width,l=a.height,m=a.items,n=b.width,o=b.height,p=b.items;for(g=0,e=0;l>e;e++)for(h=2*e*n,f=0;k>f;f++,g++,h+=2)p[h]=m[g];m=a.items=null;var q=4,r=new Float32Array(n+2*q);if(1===n){if(0!==(1&c))for(j=0,g=0;o>j;j++,g+=n)p[g]*=.5}else for(j=0,g=0;o>j;j++,g+=n)r.set(p.subarray(g,g+n),q),this.extend(r,q,n),this.filter(r,q,n),p.set(r.subarray(q,q+n),g);var s=16,t=[];for(e=0;s>e;e++)t.push(new Float32Array(o+2*q));var u,v=0;if(a=q+o,1===o){if(0!==(1&d))for(i=0;n>i;i++)p[i]*=.5}else for(i=0;n>i;i++){if(0===v){for(s=Math.min(n-i,s),g=i,h=q;a>h;g+=n,h++)for(u=0;s>u;u++)t[u][h]=p[g+u];v=s}v--;var w=t[v];if(this.extend(w,q,o),this.filter(w,q,o),0===v)for(g=i-s+1,h=q;a>h;g+=n,h++)for(u=0;s>u;u++)p[g+u]=t[u][h]}return{width:n,height:o,items:p}},a}(),E=function(){function a(){D.call(this)}return a.prototype=Object.create(D.prototype),a.prototype.filter=function(a,b,c){var d=c>>1;b=0|b;var e,f,g,h,i=-1.586134342059924,j=-.052980118572961,k=.882911075530934,l=.443506852043971,m=1.230174104914001,n=1/m;for(e=b-3,f=d+4;f--;e+=2)a[e]*=n;for(e=b-2,g=l*a[e-1],f=d+3;f--&&(h=l*a[e+1],a[e]=m*a[e]-g-h,f--);e+=2)e+=2,g=l*a[e+1],a[e]=m*a[e]-g-h;for(e=b-1,g=k*a[e-1],f=d+2;f--&&(h=k*a[e+1],a[e]-=g+h,f--);e+=2)e+=2,g=k*a[e+1],a[e]-=g+h;for(e=b,g=j*a[e-1],f=d+1;f--&&(h=j*a[e+1],a[e]-=g+h,f--);e+=2)e+=2,g=j*a[e+1],a[e]-=g+h;if(0!==d)for(e=b+1,g=i*a[e-1],f=d;f--&&(h=i*a[e+1],a[e]-=g+h,f--);e+=2)e+=2,g=i*a[e+1],a[e]-=g+h},a}(),F=function(){function a(){D.call(this)}return a.prototype=Object.create(D.prototype),a.prototype.filter=function(a,b,c){var d=c>>1;b=0|b;var e,f;for(e=b,f=d+1;f--;e+=2)a[e]-=a[e-1]+a[e+1]+2>>2;for(e=b+1,f=d;f--;e+=2)a[e]+=a[e-1]+a[e+1]>>1},a}();return c}(),id=function(){function a(){}function b(a,b,c){this.data=a,this.start=b,this.end=c}function d(a,b,c){function d(a){for(var b=0,d=0;a>d;d++){var g=c.readBit(e,f);f=256>f?f<<1|g:511&(f<<1|g)|256,b=b<<1|g}return b>>>0}var e=a.getContexts(b),f=1,g=d(1),h=d(1)?d(1)?d(1)?d(1)?d(1)?d(32)+4436:d(12)+340:d(8)+84:d(6)+20:d(4)+4:d(2);return 0===g?h:h>0?-h:null}function e(a,b,c){for(var d=a.getContexts("IAID"),e=1,f=0;c>f;f++){var g=b.readBit(d,e);e=e<<1|g}return 31>c?e&(1<e;e++)for(h=m[e]=new Uint8Array(a),i=1>e?h:m[e-1],j=2>e?h:m[e-2],d=j[0]<<13|j[1]<<12|j[2]<<11|i[0]<<7|i[1]<<6|i[2]<<5|i[3]<<4,f=0;a>f;f++)h[f]=g=k.readBit(l,d),d=(d&n)<<1|(a>f+3?j[f+3]<<11:0)|(a>f+4?i[f+4]<<4:0)|g;return m}function h(a,b,d,e,g,h,i,j){if(a&&c("JBIG2 error: MMR encoding is not supported"),0===e&&!h&&!g&&4===i.length&&3===i[0].x&&-1===i[0].y&&-3===i[1].x&&-1===i[1].y&&2===i[2].x&&-2===i[2].y&&-2===i[3].x&&-2===i[3].y)return f(b,d,j);var k=!!h,l=y[e].concat(i);l.sort(function(a,b){return a.y-b.y||a.x-b.x});var m,n,o=l.length,p=new Int8Array(o),q=new Int8Array(o),r=[],s=0,t=0,u=0,v=0;for(n=0;o>n;n++)p[n]=l[n].x,q[n]=l[n].y,t=Math.min(t,l[n].x),u=Math.max(u,l[n].x),v=Math.min(v,l[n].y),o-1>n&&l[n].y===l[n+1].y&&l[n].x===l[n+1].x-1?s|=1<m;m++)n=r[m],x[m]=l[n].x,z[m]=l[n].y,B[m]=1<R;R++){if(g){var S=N.readBit(O,K);if(P^=S){M.push(L);continue}}for(L=new Uint8Array(L),M.push(L),C=0;b>C;C++)if(k&&h[R][C])L[C]=0;else{if(C>=H&&J>C&&R>=I)for(Q=Q<<1&s,n=0;w>n;n++)D=R+z[n],E=C+x[n],F=M[D][E],F&&(F=B[n],Q|=F);else for(Q=0,G=o-1,n=0;o>n;n++,G--)E=C+p[n],E>=0&&b>E&&(D=R+q[n],D>=0&&(F=M[D][E],F&&(Q|=F<l;l++)n[l]=k[l].x,o[l]=k[l].y;var p=z[d].reference;0===d&&(p=p.concat([i[1]]));var q=p.length,r=new Int32Array(q),s=new Int32Array(q);for(l=0;q>l;l++)r[l]=p[l].x,s[l]=p[l].y;for(var t=e[0].length,u=e.length,v=B[d],w=[],x=j.decoder,y=j.contextCache.getContexts("GR"),A=0,C=0;b>C;C++){if(h){var D=x.readBit(y,v);A^=D,A&&c("JBIG2 error: prediction is not supported")}var E=new Uint8Array(a);w.push(E);for(var F=0;a>F;F++){var G,H,I=0;for(l=0;m>l;l++)G=C+o[l],H=F+n[l],0>G||0>H||H>=a?I<<=1:I=I<<1|w[G][H];for(l=0;q>l;l++)G=C+s[l]+g,H=F+r[l]+f,0>G||G>=u||0>H||H>=t?I<<=1:I=I<<1|e[G][H];var J=x.readBit(y,I);E[F]=J}}return w}function j(a,b,f,g,j,m,n,o,p,q,r){a&&c("JBIG2 error: huffman is not supported");for(var s=[],t=0,u=l(f.length+g),v=r.decoder,w=r.contextCache;s.length1)B=k(a,b,y,t,0,C,1,f.concat(s),u,0,0,1,0,m,p,q,r);else{var D=e(w,v,u),E=d(w,"IARDX",v),F=d(w,"IARDY",v),G=DM;M++)I[M]&&H.push(f[M]);for(var O=0;g>O;M++,O++)I[M]&&H.push(s[O]);return H}function k(a,b,f,g,h,j,k,l,m,n,o,p,q,r,s,t,u){a&&c("JBIG2 error: huffman is not supported");var v,w,x=[];for(v=0;g>v;v++){if(w=new Uint8Array(f),h)for(var y=0;f>y;y++)w[y]=h;x.push(w)}var z=u.decoder,A=u.contextCache,B=-d(A,"IADT",z),C=0;for(v=0;j>v;){var D=d(A,"IADT",z);B+=D;var E=d(A,"IAFS",z);C+=E;for(var F=C;;){var G=1===k?0:d(A,"IAIT",z),H=k*B+G,I=e(A,z,m),J=b&&d(A,"IARI",z),K=l[I],L=K[0].length,M=K.length;if(J){var N=d(A,"IARDW",z),O=d(A,"IARDH",z),P=d(A,"IARDX",z),Q=d(A,"IARDY",z);L+=N,M+=O,K=i(L,M,s,K,(N>>1)+P,(O>>1)+Q,!1,t,u)}var R,S,T,U=H-(1&p?0:M),V=F-(2&p?L:0);if(n){for(R=0;M>R;R++)if(w=x[V+R]){T=K[R];var W=Math.min(f-U,L);switch(q){case 0:for(S=0;W>S;S++)w[U+S]|=T[S];break;case 2:for(S=0;W>S;S++)w[U+S]^=T[S];break;default:c("JBIG2 error: operator "+q+" is not supported")}}F+=M-1}else{for(S=0;M>S;S++)if(w=x[U+S])switch(T=K[S],q){case 0:for(R=0;L>R;R++)w[V+R]|=T[R];break;case 2:for(R=0;L>R;R++)w[V+R]^=T[R];break;default:c("JBIG2 error: operator "+q+" is not supported")}F+=L-1}v++;var X=d(A,"IADS",z);if(null===X)break;F+=X+o}}return x}function p(a,b){var d={};d.number=o(a,b);var e=a[b+4],f=63&e;x[f]||c("JBIG2 error: invalid segment type: "+f),d.type=f,d.typeName=x[f],d.deferredNonRetain=!!(128&e);var g=!!(64&e),h=a[b+5],i=h>>5&7,j=[31&h],k=b+6;if(7===h){i=536870911&o(a,k-1),k+=3;var l=i+7>>3;for(j[0]=a[k++];--l>0;)j.push(a[k++])}else 5!==h&&6!==h||c("JBIG2 error: invalid referred-to flags");d.retainBits=j;var m,p,q=d.number<=256?1:d.number<=65536?2:4,s=[];for(m=0;i>m;m++){var t=1===q?a[k]:2===q?n(a,k):o(a,k);s.push(t),k+=q}if(d.referredTo=s,g?(d.pageAssociation=o(a,k),k+=4):d.pageAssociation=a[k++],d.length=o(a,k),k+=4,4294967295===d.length)if(38===f){var u=r(a,k),v=a[k+C],w=!!(1&v),y=6,z=new Uint8Array(y);for(w||(z[0]=255,z[1]=172),z[2]=u.height>>>24&255,z[3]=u.height>>16&255,z[4]=u.height>>8&255,z[5]=255&u.height,m=k,p=a.length;p>m;m++){for(var A=0;y>A&&z[A]===a[m+A];)A++;if(A===y){d.length=m+y;break}}4294967295===d.length&&c("JBIG2 error: segment end was not found")}else c("JBIG2 error: invalid unknown segment length");return d.headerEnd=k,d}function q(a,b,c,d){for(var e=[],f=c;d>f;){var g=p(b,f);f=g.headerEnd;var h={header:g,data:b};if(a.randomAccess||(h.start=f,f+=g.length,h.end=f),e.push(h),51===g.type)break}if(a.randomAccess)for(var i=0,j=e.length;j>i;i++)e[i].start=f,f+=e[i].header.length,e[i].end=f;return e}function r(a,b){return{width:o(a,b),height:o(a,b+4),x:o(a,b+8),y:o(a,b+12),combinationOperator:7&a[b+16]}}function s(a,b){var d,e,f,g,h=a.header,i=a.data,j=a.start,k=a.end;switch(h.type){case 0:var l={},p=n(i,j);if(l.huffman=!!(1&p),l.refinement=!!(2&p),l.huffmanDHSelector=p>>2&3,l.huffmanDWSelector=p>>4&3,l.bitmapSizeSelector=p>>6&1,l.aggregationInstancesSelector=p>>7&1,l.bitmapCodingContextUsed=!!(256&p),l.bitmapCodingContextRetained=!!(512&p),l.template=p>>10&3,l.refinementTemplate=p>>12&1,j+=2,!l.huffman){for(g=0===l.template?4:1,e=[],f=0;g>f;f++)e.push({x:m(i,j),y:m(i,j+1)}),j+=2;l.at=e}if(l.refinement&&!l.refinementTemplate){for(e=[],f=0;2>f;f++)e.push({x:m(i,j),y:m(i,j+1)}),j+=2;l.refinementAt=e}l.numberOfExportedSymbols=o(i,j),j+=4,l.numberOfNewSymbols=o(i,j),j+=4,d=[l,h.number,h.referredTo,i,j,k];break;case 6:case 7:var q={};q.info=r(i,j),j+=C;var s=n(i,j);if(j+=2,q.huffman=!!(1&s),q.refinement=!!(2&s),q.stripSize=1<<(s>>2&3),q.referenceCorner=s>>4&3,q.transposed=!!(64&s),q.combinationOperator=s>>7&3,q.defaultPixelValue=s>>9&1,q.dsOffset=s<<17>>27,q.refinementTemplate=s>>15&1,q.huffman){var t=n(i,j);j+=2,q.huffmanFS=3&t,q.huffmanDS=t>>2&3,q.huffmanDT=t>>4&3,q.huffmanRefinementDW=t>>6&3,q.huffmanRefinementDH=t>>8&3,q.huffmanRefinementDX=t>>10&3,q.huffmanRefinementDY=t>>12&3,q.huffmanRefinementSizeSelector=!!(14&t)}if(q.refinement&&!q.refinementTemplate){for(e=[],f=0;2>f;f++)e.push({x:m(i,j),y:m(i,j+1)}),j+=2;q.refinementAt=e}q.numberOfSymbolInstances=o(i,j),j+=4,q.huffman&&c("JBIG2 error: huffman is not supported"),d=[q,h.referredTo,i,j,k];break;case 38:case 39:var u={};u.info=r(i,j),j+=C;var v=i[j++];if(u.mmr=!!(1&v),u.template=v>>1&3,u.prediction=!!(8&v),!u.mmr){for(g=0===u.template?4:1,e=[],f=0;g>f;f++)e.push({x:m(i,j),y:m(i,j+1)}),j+=2;u.at=e}d=[u,i,j,k];break;case 48:var w={width:o(i,j),height:o(i,j+4),resolutionX:o(i,j+8),resolutionY:o(i,j+12)};4294967295===w.height&&delete w.height;var x=i[j+16];n(i,j+17);w.lossless=!!(1&x),w.refinement=!!(2&x),w.defaultPixelValue=x>>2&1,w.combinationOperator=x>>3&3,w.requiresBuffer=!!(32&x),w.combinationOperatorOverride=!!(64&x),d=[w];break;case 49:break;case 50:break;case 51:break;case 62:break;default:c("JBIG2 error: segment type "+h.typeName+"("+h.type+") is not implemented")}var y="on"+h.typeName;y in b&&b[y].apply(b,d)}function t(a,b){for(var c=0,d=a.length;d>c;c++)s(a[c],b)}function u(a){for(var b=new v,c=0,d=a.length;d>c;c++){var e=a[c],f=q({},e.data,e.start,e.end);t(f,b)}return b.buffer}function v(){}function w(){}a.prototype={getContexts:function(a){return a in this?this[a]:this[a]=new Int8Array(65536)}},b.prototype={get decoder(){var a=new fd(this.data,this.start,this.end);return g(this,"decoder",a)},get contextCache(){var b=new a;return g(this,"contextCache",b)}};var x=["SymbolDictionary",null,null,null,"IntermediateTextRegion",null,"ImmediateTextRegion","ImmediateLosslessTextRegion",null,null,null,null,null,null,null,null,"patternDictionary",null,null,null,"IntermediateHalftoneRegion",null,"ImmediateHalftoneRegion","ImmediateLosslessHalftoneRegion",null,null,null,null,null,null,null,null,null,null,null,null,"IntermediateGenericRegion",null,"ImmediateGenericRegion","ImmediateLosslessGenericRegion","IntermediateGenericRefinementRegion",null,"ImmediateGenericRefinementRegion","ImmediateLosslessGenericRefinementRegion",null,null,null,null,"PageInformation","EndOfPage","EndOfStripe","EndOfFile","Profiles","Tables",null,null,null,null,null,null,null,null,"Extension"],y=[[{x:-1,y:-2},{x:0,y:-2},{x:1,y:-2},{x:-2,y:-1},{x:-1,y:-1},{x:0,y:-1},{x:1,y:-1},{x:2,y:-1},{x:-4,y:0},{x:-3,y:0},{x:-2,y:0},{x:-1,y:0}],[{x:-1,y:-2},{x:0,y:-2},{x:1,y:-2},{x:2,y:-2},{x:-2,y:-1},{x:-1,y:-1},{x:0,y:-1},{x:1,y:-1},{x:2,y:-1},{x:-3,y:0},{x:-2,y:0},{x:-1,y:0}],[{x:-1,y:-2},{x:0,y:-2},{x:1,y:-2},{x:-2,y:-1},{x:-1,y:-1},{x:0,y:-1},{x:1,y:-1},{x:-2,y:0},{x:-1,y:0}],[{x:-3,y:-1},{x:-2,y:-1},{x:-1,y:-1},{x:0,y:-1},{x:1,y:-1},{x:-4,y:0},{x:-3,y:0},{x:-2,y:0},{x:-1,y:0}]],z=[{coding:[{x:0,y:-1},{x:1,y:-1},{x:-1,y:0}],reference:[{x:0,y:-1},{x:1,y:-1},{x:-1,y:0},{x:0,y:0},{x:1,y:0},{x:-1,y:1},{x:0,y:1},{x:1,y:1}]},{coding:[{x:-1,y:-1},{x:0,y:-1},{x:1,y:-1},{x:-1,y:0}],reference:[{x:0,y:-1},{x:-1,y:0},{x:0,y:0},{x:1,y:0},{x:0,y:1},{x:1,y:1}]}],A=[39717,1941,229,405],B=[32,8],C=17;return v.prototype={onPageInformation:function(a){this.currentPageInfo=a;var b=a.width+7>>3,c=new Uint8Array(b*a.height);if(a.defaultPixelValue)for(var d=0,e=c.length;e>d;d++)c[d]=255;this.buffer=c},drawBitmap:function(a,b){var d,e,f,g,h=this.currentPageInfo,i=a.width,j=a.height,k=h.width+7>>3,l=h.combinationOperatorOverride?a.combinationOperator:h.combinationOperator,m=this.buffer,n=128>>(7&a.x),o=a.y*k+(a.x>>3);switch(l){case 0:for(d=0;j>d;d++){for(f=n,g=o,e=0;i>e;e++)b[d][e]&&(m[g]|=f),f>>=1,f||(f=128,g++);o+=k}break;case 2:for(d=0;j>d;d++){for(f=n,g=o,e=0;i>e;e++)b[d][e]&&(m[g]^=f), -f>>=1,f||(f=128,g++);o+=k}break;default:c("JBIG2 error: operator "+l+" is not supported")}},onImmediateGenericRegion:function(a,c,d,e){var f=a.info,g=new b(c,d,e),i=h(a.mmr,f.width,f.height,a.template,a.prediction,null,a.at,g);this.drawBitmap(f,i)},onImmediateLosslessGenericRegion:function(){this.onImmediateGenericRegion.apply(this,arguments)},onSymbolDictionary:function(a,d,e,f,g,h){var i;a.huffman&&c("JBIG2 error: huffman is not supported");var k=this.symbols;k||(this.symbols=k={});for(var l=[],m=0,n=e.length;n>m;m++)l=l.concat(k[e[m]]);var o=new b(f,g,h);k[d]=j(a.huffman,a.refinement,l,a.numberOfNewSymbols,a.numberOfExportedSymbols,i,a.template,a.at,a.refinementTemplate,a.refinementAt,o)},onImmediateTextRegion:function(a,c,d,e,f){for(var g,h=a.info,i=this.symbols,j=[],m=0,n=c.length;n>m;m++)j=j.concat(i[c[m]]);var o=l(j.length),p=new b(d,e,f),q=k(a.huffman,a.refinement,h.width,h.height,a.defaultPixelValue,a.numberOfSymbolInstances,a.stripSize,j,o,a.transposed,a.dsOffset,a.referenceCorner,a.combinationOperator,g,a.refinementTemplate,a.refinementAt,p);this.drawBitmap(h,q)},onImmediateLosslessTextRegion:function(){this.onImmediateTextRegion.apply(this,arguments)}},w.prototype={parseChunks:function(a){return u(a)}},w}(),jd=(PDFJS.bidi=function(){function a(a){return 0!==(1&a)}function b(a){return 0===(1&a)}function c(a,b,c){for(var d=b,e=a.length;e>d;++d)if(a[d]!==c)return d;return d}function d(a,b,c,d){for(var e=b;c>e;++e)a[e]=d}function e(a,b,c){for(var d=b,e=c-1;e>d;++d,--e){var f=a[d];a[d]=a[e],a[e]=f}}function f(a,b,c){return{str:a,dir:c?"ttb":b?"ltr":"rtl"}}function g(g,l,m){var n=!0,o=g.length;if(0===o||m)return f(g,n,m);j.length=o,k.length=o;var p,q,r=0;for(p=0;o>p;++p){j[p]=g.charAt(p);var s=g.charCodeAt(p),t="L";255>=s?t=h[s]:s>=1424&&1524>=s?t="R":s>=1536&&1791>=s?t=i[255&s]:s>=1792&&2220>=s&&(t="AL"),"R"!==t&&"AL"!==t&&"AN"!==t||r++,k[p]=t}if(0===r)return n=!0,f(g,n);-1===l&&(.3>o/r?(n=!0,l=0):(n=!1,l=1));var u=[];for(p=0;o>p;++p)u[p]=l;var v=a(l)?"R":"L",w=v,x=w,y=w;for(p=0;o>p;++p)"NSM"===k[p]?k[p]=y:y=k[p];y=w;var z;for(p=0;o>p;++p)z=k[p],"EN"===z?k[p]="AL"===y?"AN":"EN":"R"!==z&&"L"!==z&&"AL"!==z||(y=z);for(p=0;o>p;++p)z=k[p],"AL"===z&&(k[p]="R");for(p=1;o-1>p;++p)"ES"===k[p]&&"EN"===k[p-1]&&"EN"===k[p+1]&&(k[p]="EN"),"CS"!==k[p]||"EN"!==k[p-1]&&"AN"!==k[p-1]||k[p+1]!==k[p-1]||(k[p]=k[p-1]);for(p=0;o>p;++p)if("EN"===k[p]){var A;for(A=p-1;A>=0&&"ET"===k[A];--A)k[A]="EN";for(A=p+1;o>A&&"ET"===k[A];--A)k[A]="EN"}for(p=0;o>p;++p)z=k[p],"WS"!==z&&"ES"!==z&&"ET"!==z&&"CS"!==z||(k[p]="ON");for(y=w,p=0;o>p;++p)z=k[p],"EN"===z?k[p]="L"===y?"L":"EN":"R"!==z&&"L"!==z||(y=z);for(p=0;o>p;++p)if("ON"===k[p]){var B=c(k,p+1,"ON"),C=w;p>0&&(C=k[p-1]);var D=x;o>B+1&&(D=k[B+1]),"L"!==C&&(C="R"),"L"!==D&&(D="R"),C===D&&d(k,p,B,C),p=B-1}for(p=0;o>p;++p)"ON"===k[p]&&(k[p]=v);for(p=0;o>p;++p)z=k[p],b(u[p])?"R"===z?u[p]+=1:"AN"!==z&&"EN"!==z||(u[p]+=2):"L"!==z&&"AN"!==z&&"EN"!==z||(u[p]+=1);var E,F=-1,G=99;for(p=0,q=u.length;q>p;++p)E=u[p],E>F&&(F=E),G>E&&a(E)&&(G=E);for(E=F;E>=G;--E){var H=-1;for(p=0,q=u.length;q>p;++p)u[p]=0&&(e(j,H,p),H=-1):0>H&&(H=p);H>=0&&e(j,H,u.length)}for(p=0,q=j.length;q>p;++p){var I=j[p];"<"!==I&&">"!==I||(j[p]="")}return f(j.join(""),n)}var h=["BN","BN","BN","BN","BN","BN","BN","BN","BN","S","B","S","WS","B","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","B","B","B","S","WS","ON","ON","ET","ET","ET","ON","ON","ON","ON","ON","ON","CS","ON","CS","ON","EN","EN","EN","EN","EN","EN","EN","EN","EN","EN","ON","ON","ON","ON","ON","ON","ON","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","ON","ON","ON","ON","ON","ON","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","ON","ON","ON","ON","BN","BN","BN","BN","BN","BN","B","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","CS","ON","ET","ET","ET","ET","ON","ON","ON","ON","L","ON","ON","ON","ON","ON","ET","ET","EN","EN","ON","L","ON","ON","ON","EN","L","ON","ON","ON","ON","ON","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","ON","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","ON","L","L","L","L","L","L","L","L"],i=["AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","CS","AL","ON","ON","NSM","NSM","NSM","NSM","NSM","NSM","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","AL","AL","AL","AL","AL","AL","AL","AN","AN","AN","AN","AN","AN","AN","AN","AN","AN","ET","AN","AN","AL","AL","AL","NSM","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","ON","NSM","NSM","NSM","NSM","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL"],j=[],k=[];return g}(),function(a){function b(a){var b=3285377520;this.h1=a?4294967295&a:b,this.h2=a?4294967295&a:b}var c=4294901760,d=65535,e=!1;try{new Uint32Array(new Uint8Array(5).buffer,0,1)}catch(f){e=!0}return b.prototype={update:function(a){var b,f=e;if("string"==typeof a){var g=new Uint8Array(2*a.length),h=0;for(b=0;b=i?g[h++]=i:(g[h++]=i>>>8,g[h++]=255&i)}}else if(a instanceof Uint8Array)g=a,h=g.length;else{if(!("object"==typeof a&&"length"in a))throw new Error("Wrong data format in MurmurHash3_64_update. Input must be a string or array.");g=a,h=g.length,f=!0}var j=h>>2,k=h-4*j,l=f?new la(g,j):new Uint32Array(g.buffer,0,j),m=0,n=0,o=this.h1,p=this.h2,q=3432918353,r=461845907,s=q&d,t=r&d;for(b=0;j>b;b++)1&b?(m=l[b],m=m*q&c|m*s&d,m=m<<15|m>>>17,m=m*r&c|m*t&d,o^=m,o=o<<13|o>>>19,o=5*o+3864292196):(n=l[b],n=n*q&c|n*s&d,n=n<<15|n>>>17,n=n*r&c|n*t&d,p^=n,p=p<<13|p>>>19,p=5*p+3864292196);switch(m=0,k){case 3:m^=g[4*j+2]<<16;case 2:m^=g[4*j+1]<<8;case 1:m^=g[4*j],m=m*q&c|m*s&d,m=m<<15|m>>>17,m=m*r&c|m*t&d,1&j?o^=m:p^=m}return this.h1=o,this.h2=p,this},hexdigest:function(){var a=this.h1,b=this.h2;a^=b>>>1,a=3981806797*a&c|36045*a&d,b=4283543511*b&c|(2950163797*(b<<16|a>>>16)&c)>>>16,a^=b>>>1,a=444984403*a&c|60499*a&d,b=3301882366*b&c|(3120437893*(b<<16|a>>>16)&c)>>>16,a^=b>>>1;for(var e=0,f=[a,b],g="";e>>0).toString(16);h.length<8;)h="0"+h;g+=h}return g}},b}())}.call("undefined"==typeof window?this:window),PDFJS.workerSrc||"undefined"==typeof document||(PDFJS.workerSrc=function(){"use strict";var a=document.body||document.getElementsByTagName("head")[0],b=a.lastChild.src;return b&&b.replace(/\.js$/i,".worker.js")}()),function(a){"function"==typeof define&&define.amd?define(["jquery"],a):a("object"==typeof exports?require("jquery"):jQuery)}(function(a){var b=function(){if(a&&a.fn&&a.fn.select2&&a.fn.select2.amd)var b=a.fn.select2.amd;var b;return function(){if(!b||!b.requirejs){b?c=b:b={};var a,c,d;!function(b){function e(a,b){return u.call(a,b)}function f(a,b){var c,d,e,f,g,h,i,j,k,l,m,n=b&&b.split("/"),o=s.map,p=o&&o["*"]||{};if(a&&"."===a.charAt(0))if(b){for(a=a.split("/"),g=a.length-1,s.nodeIdCompat&&w.test(a[g])&&(a[g]=a[g].replace(w,"")),a=n.slice(0,n.length-1).concat(a),k=0;k0&&(a.splice(k-1,2),k-=2)}a=a.join("/")}else 0===a.indexOf("./")&&(a=a.substring(2));if((n||p)&&o){for(c=a.split("/"),k=c.length;k>0;k-=1){if(d=c.slice(0,k).join("/"),n)for(l=n.length;l>0;l-=1)if(e=o[n.slice(0,l).join("/")],e&&(e=e[d])){f=e,h=k;break}if(f)break;!i&&p&&p[d]&&(i=p[d],j=k)}!f&&i&&(f=i,h=j),f&&(c.splice(0,h,f),a=c.join("/"))}return a}function g(a,c){return function(){var d=v.call(arguments,0);return"string"!=typeof d[0]&&1===d.length&&d.push(null),n.apply(b,d.concat([a,c]))}}function h(a){return function(b){return f(b,a)}}function i(a){return function(b){q[a]=b}}function j(a){if(e(r,a)){var c=r[a];delete r[a],t[a]=!0,m.apply(b,c)}if(!e(q,a)&&!e(t,a))throw new Error("No "+a);return q[a]}function k(a){var b,c=a?a.indexOf("!"):-1;return c>-1&&(b=a.substring(0,c),a=a.substring(c+1,a.length)),[b,a]}function l(a){return function(){return s&&s.config&&s.config[a]||{}}}var m,n,o,p,q={},r={},s={},t={},u=Object.prototype.hasOwnProperty,v=[].slice,w=/\.js$/;o=function(a,b){var c,d=k(a),e=d[0];return a=d[1],e&&(e=f(e,b),c=j(e)),e?a=c&&c.normalize?c.normalize(a,h(b)):f(a,b):(a=f(a,b),d=k(a),e=d[0],a=d[1],e&&(c=j(e))),{f:e?e+"!"+a:a,n:a,pr:e,p:c}},p={require:function(a){return g(a)},exports:function(a){var b=q[a];return"undefined"!=typeof b?b:q[a]={}},module:function(a){return{id:a,uri:"",exports:q[a],config:l(a)}}},m=function(a,c,d,f){var h,k,l,m,n,s,u=[],v=typeof d;if(f=f||a,"undefined"===v||"function"===v){for(c=!c.length&&d.length?["require","exports","module"]:c,n=0;n0&&(b.call(arguments,a.prototype.constructor),e=c.prototype.constructor),e.apply(this,arguments)}function e(){this.constructor=d}var f=b(c),g=b(a);c.displayName=a.displayName,d.prototype=new e;for(var h=0;hc;c++)a[c].apply(this,b)},c.Observable=d,c.generateChars=function(a){for(var b="",c=0;a>c;c++){var d=Math.floor(36*Math.random());b+=d.toString(36)}return b},c.bind=function(a,b){return function(){a.apply(b,arguments)}},c._convertData=function(a){for(var b in a){var c=b.split("-"),d=a;if(1!==c.length){for(var e=0;e":">",'"':""","'":"'","/":"/"};return"string"!=typeof a?a:String(a).replace(/[&<>"'\/\\]/g,function(a){return b[a]})},c.appendMany=function(b,c){if("1.7"===a.fn.jquery.substr(0,3)){var d=a();a.map(c,function(a){d=d.add(a)}),c=d}b.append(c)},c}),b.define("select2/results",["jquery","./utils"],function(a,b){function c(a,b,d){this.$element=a,this.data=d,this.options=b,c.__super__.constructor.call(this)}return b.Extend(c,b.Observable),c.prototype.render=function(){var b=a('
      ');return this.options.get("multiple")&&b.attr("aria-multiselectable","true"),this.$results=b,b},c.prototype.clear=function(){this.$results.empty()},c.prototype.displayMessage=function(b){var c=this.options.get("escapeMarkup");this.clear(),this.hideLoading();var d=a('
    • '),e=this.options.get("translations").get(b.message);d.append(c(e(b.args))),d[0].className+=" select2-results__message",this.$results.append(d)},c.prototype.hideMessages=function(){this.$results.find(".select2-results__message").remove()},c.prototype.append=function(a){this.hideLoading();var b=[];if(null==a.results||0===a.results.length)return void(0===this.$results.children().length&&this.trigger("results:message",{message:"noResults"}));a.results=this.sort(a.results);for(var c=0;c-1?b.attr("aria-selected","true"):b.attr("aria-selected","false")});var f=e.filter("[aria-selected=true]");f.length>0?f.first().trigger("mouseenter"):e.first().trigger("mouseenter")})},c.prototype.showLoading=function(a){this.hideLoading();var b=this.options.get("translations").get("searching"),c={disabled:!0,loading:!0,text:b(a)},d=this.option(c);d.className+=" loading-results",this.$results.prepend(d)},c.prototype.hideLoading=function(){this.$results.find(".loading-results").remove()},c.prototype.option=function(b){var c=document.createElement("li");c.className="select2-results__option";var d={role:"treeitem","aria-selected":"false"};b.disabled&&(delete d["aria-selected"],d["aria-disabled"]="true"),null==b.id&&delete d["aria-selected"],null!=b._resultId&&(c.id=b._resultId),b.title&&(c.title=b.title),b.children&&(d.role="group",d["aria-label"]=b.text,delete d["aria-selected"]);for(var e in d){var f=d[e];c.setAttribute(e,f)}if(b.children){var g=a(c),h=document.createElement("strong");h.className="select2-results__group";a(h);this.template(b,h);for(var i=[],j=0;j",{"class":"select2-results__options select2-results__options--nested"});m.append(i),g.append(h),g.append(m)}else this.template(b,c);return a.data(c,"data",b),c},c.prototype.bind=function(b,c){var d=this,e=b.id+"-results";this.$results.attr("id",e),b.on("results:all",function(a){d.clear(),d.append(a.data),b.isOpen()&&d.setClasses()}),b.on("results:append",function(a){d.append(a.data),b.isOpen()&&d.setClasses()}),b.on("query",function(a){d.hideMessages(),d.showLoading(a)}),b.on("select",function(){b.isOpen()&&d.setClasses()}),b.on("unselect",function(){b.isOpen()&&d.setClasses()}),b.on("open",function(){d.$results.attr("aria-expanded","true"),d.$results.attr("aria-hidden","false"),d.setClasses(),d.ensureHighlightVisible()}),b.on("close",function(){d.$results.attr("aria-expanded","false"),d.$results.attr("aria-hidden","true"),d.$results.removeAttr("aria-activedescendant")}),b.on("results:toggle",function(){var a=d.getHighlightedResults();0!==a.length&&a.trigger("mouseup")}),b.on("results:select",function(){var a=d.getHighlightedResults();if(0!==a.length){var b=a.data("data");"true"==a.attr("aria-selected")?d.trigger("close",{}):d.trigger("select",{data:b})}}),b.on("results:previous",function(){var a=d.getHighlightedResults(),b=d.$results.find("[aria-selected]"),c=b.index(a);if(0!==c){var e=c-1;0===a.length&&(e=0);var f=b.eq(e);f.trigger("mouseenter");var g=d.$results.offset().top,h=f.offset().top,i=d.$results.scrollTop()+(h-g);0===e?d.$results.scrollTop(0):0>h-g&&d.$results.scrollTop(i)}}),b.on("results:next",function(){var a=d.getHighlightedResults(),b=d.$results.find("[aria-selected]"),c=b.index(a),e=c+1;if(!(e>=b.length)){var f=b.eq(e);f.trigger("mouseenter");var g=d.$results.offset().top+d.$results.outerHeight(!1),h=f.offset().top+f.outerHeight(!1),i=d.$results.scrollTop()+h-g;0===e?d.$results.scrollTop(0):h>g&&d.$results.scrollTop(i)}}),b.on("results:focus",function(a){a.element.addClass("select2-results__option--highlighted")}),b.on("results:message",function(a){d.displayMessage(a)}),a.fn.mousewheel&&this.$results.on("mousewheel",function(a){var b=d.$results.scrollTop(),c=d.$results.get(0).scrollHeight-b+a.deltaY,e=a.deltaY>0&&b-a.deltaY<=0,f=a.deltaY<0&&c<=d.$results.height();e?(d.$results.scrollTop(0),a.preventDefault(),a.stopPropagation()):f&&(d.$results.scrollTop(d.$results.get(0).scrollHeight-d.$results.height()),a.preventDefault(),a.stopPropagation())}),this.$results.on("mouseup",".select2-results__option[aria-selected]",function(b){var c=a(this),e=c.data("data");return"true"===c.attr("aria-selected")?void(d.options.get("multiple")?d.trigger("unselect",{originalEvent:b,data:e}):d.trigger("close",{})):void d.trigger("select",{originalEvent:b,data:e})}),this.$results.on("mouseenter",".select2-results__option[aria-selected]",function(b){var c=a(this).data("data");d.getHighlightedResults().removeClass("select2-results__option--highlighted"),d.trigger("results:focus",{data:c,element:a(this)})})},c.prototype.getHighlightedResults=function(){var a=this.$results.find(".select2-results__option--highlighted");return a},c.prototype.destroy=function(){this.$results.remove()},c.prototype.ensureHighlightVisible=function(){var a=this.getHighlightedResults();if(0!==a.length){var b=this.$results.find("[aria-selected]"),c=b.index(a),d=this.$results.offset().top,e=a.offset().top,f=this.$results.scrollTop()+(e-d),g=e-d;f-=2*a.outerHeight(!1),2>=c?this.$results.scrollTop(0):(g>this.$results.outerHeight()||0>g)&&this.$results.scrollTop(f)}},c.prototype.template=function(b,c){var d=this.options.get("templateResult"),e=this.options.get("escapeMarkup"),f=d(b,c);null==f?c.style.display="none":"string"==typeof f?c.innerHTML=e(f):a(c).append(f)},c}),b.define("select2/keys",[],function(){var a={BACKSPACE:8,TAB:9,ENTER:13,SHIFT:16,CTRL:17,ALT:18,ESC:27,SPACE:32,PAGE_UP:33,PAGE_DOWN:34,END:35,HOME:36,LEFT:37,UP:38,RIGHT:39,DOWN:40,DELETE:46};return a}),b.define("select2/selection/base",["jquery","../utils","../keys"],function(a,b,c){function d(a,b){this.$element=a,this.options=b,d.__super__.constructor.call(this)}return b.Extend(d,b.Observable),d.prototype.render=function(){var b=a('');return this._tabindex=0,null!=this.$element.data("old-tabindex")?this._tabindex=this.$element.data("old-tabindex"):null!=this.$element.attr("tabindex")&&(this._tabindex=this.$element.attr("tabindex")),b.attr("title",this.$element.attr("title")),b.attr("tabindex",this._tabindex),this.$selection=b,b},d.prototype.bind=function(a,b){var d=this,e=(a.id+"-container",a.id+"-results");this.container=a,this.$selection.on("focus",function(a){d.trigger("focus",a)}),this.$selection.on("blur",function(a){d._handleBlur(a)}),this.$selection.on("keydown",function(a){d.trigger("keypress",a),a.which===c.SPACE&&a.preventDefault()}),a.on("results:focus",function(a){d.$selection.attr("aria-activedescendant",a.data._resultId)}),a.on("selection:update",function(a){d.update(a.data)}),a.on("open",function(){d.$selection.attr("aria-expanded","true"),d.$selection.attr("aria-owns",e),d._attachCloseHandler(a)}),a.on("close",function(){d.$selection.attr("aria-expanded","false"),d.$selection.removeAttr("aria-activedescendant"),d.$selection.removeAttr("aria-owns"),d.$selection.focus(),d._detachCloseHandler(a)}),a.on("enable",function(){d.$selection.attr("tabindex",d._tabindex)}),a.on("disable",function(){d.$selection.attr("tabindex","-1")})},d.prototype._handleBlur=function(b){var c=this;window.setTimeout(function(){document.activeElement==c.$selection[0]||a.contains(c.$selection[0],document.activeElement)||c.trigger("blur",b)},1)},d.prototype._attachCloseHandler=function(b){a(document.body).on("mousedown.select2."+b.id,function(b){var c=a(b.target),d=c.closest(".select2"),e=a(".select2.select2-container--open");e.each(function(){var b=a(this);if(this!=d[0]){var c=b.data("element");c.select2("close")}})})},d.prototype._detachCloseHandler=function(b){a(document.body).off("mousedown.select2."+b.id)},d.prototype.position=function(a,b){var c=b.find(".selection");c.append(a)},d.prototype.destroy=function(){this._detachCloseHandler(this.container)},d.prototype.update=function(a){throw new Error("The `update` method must be defined in child classes.")},d}),b.define("select2/selection/single",["jquery","./base","../utils","../keys"],function(a,b,c,d){function e(){e.__super__.constructor.apply(this,arguments)}return c.Extend(e,b),e.prototype.render=function(){var a=e.__super__.render.call(this);return a.addClass("select2-selection--single"),a.html(''),a},e.prototype.bind=function(a,b){var c=this;e.__super__.bind.apply(this,arguments);var d=a.id+"-container";this.$selection.find(".select2-selection__rendered").attr("id",d),this.$selection.attr("aria-labelledby",d),this.$selection.on("mousedown",function(a){1===a.which&&c.trigger("toggle",{originalEvent:a})}),this.$selection.on("focus",function(a){}),this.$selection.on("blur",function(a){}),a.on("selection:update",function(a){c.update(a.data)})},e.prototype.clear=function(){this.$selection.find(".select2-selection__rendered").empty()},e.prototype.display=function(a,b){var c=this.options.get("templateSelection"),d=this.options.get("escapeMarkup");return d(c(a,b))},e.prototype.selectionContainer=function(){return a("")},e.prototype.update=function(a){if(0===a.length)return void this.clear();var b=a[0],c=this.$selection.find(".select2-selection__rendered"),d=this.display(b,c);c.empty().append(d),c.prop("title",b.title||b.text)},e}),b.define("select2/selection/multiple",["jquery","./base","../utils"],function(a,b,c){function d(a,b){d.__super__.constructor.apply(this,arguments)}return c.Extend(d,b),d.prototype.render=function(){var a=d.__super__.render.call(this);return a.addClass("select2-selection--multiple"),a.html('
        '),a},d.prototype.bind=function(b,c){var e=this;d.__super__.bind.apply(this,arguments),this.$selection.on("click",function(a){e.trigger("toggle",{originalEvent:a})}),this.$selection.on("click",".select2-selection__choice__remove",function(b){if(!e.options.get("disabled")){var c=a(this),d=c.parent(),f=d.data("data");e.trigger("unselect",{originalEvent:b,data:f})}})},d.prototype.clear=function(){this.$selection.find(".select2-selection__rendered").empty()},d.prototype.display=function(a,b){var c=this.options.get("templateSelection"),d=this.options.get("escapeMarkup");return d(c(a,b))},d.prototype.selectionContainer=function(){var b=a('
      • ×
      • ');return b},d.prototype.update=function(a){if(this.clear(),0!==a.length){for(var b=[],d=0;d1;if(d||c)return a.call(this,b);this.clear();var e=this.createPlaceholder(this.placeholder);this.$selection.find(".select2-selection__rendered").append(e)},b}),b.define("select2/selection/allowClear",["jquery","../keys"],function(a,b){function c(){}return c.prototype.bind=function(a,b,c){var d=this;a.call(this,b,c),null==this.placeholder&&this.options.get("debug")&&window.console&&console.error&&console.error("Select2: The `allowClear` option should be used in combination with the `placeholder` option."),this.$selection.on("mousedown",".select2-selection__clear",function(a){d._handleClear(a)}),b.on("keypress",function(a){d._handleKeyboardClear(a,b)})},c.prototype._handleClear=function(a,b){if(!this.options.get("disabled")){var c=this.$selection.find(".select2-selection__clear");if(0!==c.length){b.stopPropagation();for(var d=c.data("data"),e=0;e0||0===c.length)){var d=a('×');d.data("data",c),this.$selection.find(".select2-selection__rendered").prepend(d)}},c}),b.define("select2/selection/search",["jquery","../utils","../keys"],function(a,b,c){function d(a,b,c){a.call(this,b,c)}return d.prototype.render=function(b){var c=a('');this.$searchContainer=c,this.$search=c.find("input");var d=b.call(this);return this._transferTabIndex(),d},d.prototype.bind=function(a,b,d){var e=this;a.call(this,b,d),b.on("open",function(){e.$search.trigger("focus")}),b.on("close",function(){e.$search.val(""),e.$search.removeAttr("aria-activedescendant"),e.$search.trigger("focus")}),b.on("enable",function(){e.$search.prop("disabled",!1),e._transferTabIndex()}),b.on("disable",function(){e.$search.prop("disabled",!0)}),b.on("focus",function(a){e.$search.trigger("focus")}),b.on("results:focus",function(a){e.$search.attr("aria-activedescendant",a.id)}),this.$selection.on("focusin",".select2-search--inline",function(a){e.trigger("focus",a)}),this.$selection.on("focusout",".select2-search--inline",function(a){e._handleBlur(a)}),this.$selection.on("keydown",".select2-search--inline",function(a){a.stopPropagation(),e.trigger("keypress",a),e._keyUpPrevented=a.isDefaultPrevented();var b=a.which;if(b===c.BACKSPACE&&""===e.$search.val()){var d=e.$searchContainer.prev(".select2-selection__choice");if(d.length>0){var f=d.data("data");e.searchRemoveChoice(f),a.preventDefault()}}});var f=document.documentMode,g=f&&11>=f;this.$selection.on("input.searchcheck",".select2-search--inline",function(a){return g?void e.$selection.off("input.search input.searchcheck"):void e.$selection.off("keyup.search")}),this.$selection.on("keyup.search input.search",".select2-search--inline",function(a){if(g&&"input"===a.type)return void e.$selection.off("input.search input.searchcheck");var b=a.which;b!=c.SHIFT&&b!=c.CTRL&&b!=c.ALT&&b!=c.TAB&&e.handleSearch(a)})},d.prototype._transferTabIndex=function(a){this.$search.attr("tabindex",this.$selection.attr("tabindex")),this.$selection.attr("tabindex","-1")},d.prototype.createPlaceholder=function(a,b){this.$search.attr("placeholder",b.text)},d.prototype.update=function(a,b){var c=this.$search[0]==document.activeElement;this.$search.attr("placeholder",""),a.call(this,b),this.$selection.find(".select2-selection__rendered").append(this.$searchContainer),this.resizeSearch(),c&&this.$search.focus()},d.prototype.handleSearch=function(){if(this.resizeSearch(),!this._keyUpPrevented){var a=this.$search.val();this.trigger("query",{term:a})}this._keyUpPrevented=!1},d.prototype.searchRemoveChoice=function(a,b){this.trigger("unselect",{data:b}),this.$search.val(b.text),this.handleSearch()},d.prototype.resizeSearch=function(){this.$search.css("width","25px");var a="";if(""!==this.$search.attr("placeholder"))a=this.$selection.find(".select2-selection__rendered").innerWidth();else{var b=this.$search.val().length+1;a=.75*b+"em"}this.$search.css("width",a)},d}),b.define("select2/selection/eventRelay",["jquery"],function(a){function b(){}return b.prototype.bind=function(b,c,d){var e=this,f=["open","opening","close","closing","select","selecting","unselect","unselecting"],g=["opening","closing","selecting","unselecting"];b.call(this,c,d),c.on("*",function(b,c){if(-1!==a.inArray(b,f)){c=c||{};var d=a.Event("select2:"+b,{params:c});e.$element.trigger(d),-1!==a.inArray(b,g)&&(c.prevented=d.isDefaultPrevented())}})},b}),b.define("select2/translation",["jquery","require"],function(a,b){function c(a){this.dict=a||{}}return c.prototype.all=function(){return this.dict},c.prototype.get=function(a){return this.dict[a]},c.prototype.extend=function(b){this.dict=a.extend({},b.all(),this.dict)},c._cache={},c.loadPath=function(a){if(!(a in c._cache)){var d=b(a);c._cache[a]=d}return new c(c._cache[a])},c}),b.define("select2/diacritics",[],function(){var a={"Ⓐ":"A","A":"A","À":"A","Á":"A","Â":"A","Ầ":"A","Ấ":"A","Ẫ":"A","Ẩ":"A","Ã":"A","Ā":"A","Ă":"A","Ằ":"A","Ắ":"A","Ẵ":"A","Ẳ":"A","Ȧ":"A","Ç ":"A","Ä":"A","Ǟ":"A","Ả":"A","Å":"A","Ǻ":"A","Ǎ":"A","Ȁ":"A","Ȃ":"A","Ạ":"A","Ậ":"A","Ặ":"A","Ḁ":"A","Ą":"A","Ⱥ":"A","Ɐ":"A","Ꜳ":"AA","Æ":"AE","Ǽ":"AE","Ç¢":"AE","Ꜵ":"AO","Ꜷ":"AU","Ꜹ":"AV","Ꜻ":"AV","Ꜽ":"AY","Ⓑ":"B","ï¼¢":"B","Ḃ":"B","Ḅ":"B","Ḇ":"B","Ƀ":"B","Ƃ":"B","Ɓ":"B","Ⓒ":"C","ï¼£":"C","Ć":"C","Ĉ":"C","Ċ":"C","Č":"C","Ç":"C","Ḉ":"C","Ƈ":"C","È»":"C","Ꜿ":"C","Ⓓ":"D","D":"D","Ḋ":"D","Ď":"D","Ḍ":"D","Ḑ":"D","Ḓ":"D","Ḏ":"D","Đ":"D","Ƌ":"D","Ɗ":"D","Ɖ":"D","Ꝺ":"D","DZ":"DZ","DŽ":"DZ","Dz":"Dz","Dž":"Dz","Ⓔ":"E","ï¼¥":"E","È":"E","É":"E","Ê":"E","Ề":"E","Ế":"E","Ễ":"E","Ể":"E","Ẽ":"E","Ē":"E", -"Ḕ":"E","Ḗ":"E","Ĕ":"E","Ė":"E","Ë":"E","Ẻ":"E","Ě":"E","Ȅ":"E","Ȇ":"E","Ẹ":"E","Ệ":"E","Ȩ":"E","Ḝ":"E","Ę":"E","Ḙ":"E","Ḛ":"E","Ɛ":"E","Ǝ":"E","Ⓕ":"F","F":"F","Ḟ":"F","Ƒ":"F","Ꝼ":"F","Ⓖ":"G","ï¼§":"G","Ç´":"G","Ĝ":"G","Ḡ":"G","Ğ":"G","Ä ":"G","Ǧ":"G","Ä¢":"G","Ǥ":"G","Ɠ":"G","Ꞡ":"G","Ᵹ":"G","Ꝿ":"G","Ⓗ":"H","H":"H","Ĥ":"H","Ḣ":"H","Ḧ":"H","Ȟ":"H","Ḥ":"H","Ḩ":"H","Ḫ":"H","Ħ":"H","â±§":"H","â±µ":"H","Ɥ":"H","Ⓘ":"I","I":"I","Ì":"I","Í":"I","Î":"I","Ĩ":"I","Ī":"I","Ĭ":"I","İ":"I","Ï":"I","Ḯ":"I","Ỉ":"I","Ǐ":"I","Ȉ":"I","Ȋ":"I","Ị":"I","Ä®":"I","Ḭ":"I","Ɨ":"I","Ⓙ":"J","J":"J","Ä´":"J","Ɉ":"J","Ⓚ":"K","K":"K","Ḱ":"K","Ǩ":"K","Ḳ":"K","Ķ":"K","Ḵ":"K","Ƙ":"K","Ⱪ":"K","Ꝁ":"K","Ꝃ":"K","Ꝅ":"K","Ꞣ":"K","Ⓛ":"L","L":"L","Ä¿":"L","Ĺ":"L","Ľ":"L","Ḷ":"L","Ḹ":"L","Ä»":"L","Ḽ":"L","Ḻ":"L","Ł":"L","Ƚ":"L","â±¢":"L","â± ":"L","Ꝉ":"L","Ꝇ":"L","Ꞁ":"L","LJ":"LJ","Lj":"Lj","Ⓜ":"M","ï¼­":"M","Ḿ":"M","Ṁ":"M","Ṃ":"M","â±®":"M","Ɯ":"M","Ⓝ":"N","ï¼®":"N","Ǹ":"N","Ń":"N","Ñ":"N","Ṅ":"N","Ň":"N","Ṇ":"N","Ņ":"N","Ṋ":"N","Ṉ":"N","È ":"N","Ɲ":"N","Ꞑ":"N","Ꞥ":"N","NJ":"NJ","Nj":"Nj","Ⓞ":"O","O":"O","Ò":"O","Ó":"O","Ô":"O","Ồ":"O","Ố":"O","Ỗ":"O","Ổ":"O","Õ":"O","Ṍ":"O","Ȭ":"O","Ṏ":"O","Ō":"O","Ṑ":"O","Ṓ":"O","Ŏ":"O","È®":"O","Ȱ":"O","Ö":"O","Ȫ":"O","Ỏ":"O","Ő":"O","Ǒ":"O","Ȍ":"O","Ȏ":"O","Æ ":"O","Ờ":"O","Ớ":"O","á» ":"O","Ở":"O","Ợ":"O","Ọ":"O","Ộ":"O","Ǫ":"O","Ǭ":"O","Ø":"O","Ǿ":"O","Ɔ":"O","Ɵ":"O","Ꝋ":"O","Ꝍ":"O","Æ¢":"OI","Ꝏ":"OO","È¢":"OU","Ⓟ":"P","ï¼°":"P","Ṕ":"P","Ṗ":"P","Ƥ":"P","â±£":"P","Ꝑ":"P","Ꝓ":"P","Ꝕ":"P","Ⓠ":"Q","ï¼±":"Q","Ꝗ":"Q","Ꝙ":"Q","Ɋ":"Q","Ⓡ":"R","ï¼²":"R","Ŕ":"R","Ṙ":"R","Ř":"R","Ȑ":"R","Ȓ":"R","Ṛ":"R","Ṝ":"R","Ŗ":"R","Ṟ":"R","Ɍ":"R","Ɽ":"R","Ꝛ":"R","Ꞧ":"R","Ꞃ":"R","Ⓢ":"S","ï¼³":"S","ẞ":"S","Ś":"S","Ṥ":"S","Ŝ":"S","á¹ ":"S","Å ":"S","Ṧ":"S","á¹¢":"S","Ṩ":"S","Ș":"S","Ş":"S","â±¾":"S","Ꞩ":"S","Ꞅ":"S","Ⓣ":"T","ï¼´":"T","Ṫ":"T","Ť":"T","Ṭ":"T","Ț":"T","Å¢":"T","á¹°":"T","á¹®":"T","Ŧ":"T","Ƭ":"T","Æ®":"T","Ⱦ":"T","Ꞇ":"T","Ꜩ":"TZ","Ⓤ":"U","ï¼µ":"U","Ù":"U","Ú":"U","Û":"U","Ũ":"U","Ṹ":"U","Ū":"U","Ṻ":"U","Ŭ":"U","Ü":"U","Ǜ":"U","Ǘ":"U","Ǖ":"U","Ǚ":"U","Ủ":"U","Å®":"U","Ű":"U","Ǔ":"U","Ȕ":"U","Ȗ":"U","Ư":"U","Ừ":"U","Ứ":"U","á»®":"U","Ử":"U","á»°":"U","Ụ":"U","á¹²":"U","Ų":"U","á¹¶":"U","á¹´":"U","Ʉ":"U","Ⓥ":"V","ï¼¶":"V","á¹¼":"V","á¹¾":"V","Ʋ":"V","Ꝟ":"V","Ʌ":"V","Ꝡ":"VY","Ⓦ":"W","ï¼·":"W","Ẁ":"W","Ẃ":"W","Å´":"W","Ẇ":"W","Ẅ":"W","Ẉ":"W","â±²":"W","Ⓧ":"X","X":"X","Ẋ":"X","Ẍ":"X","Ⓨ":"Y","ï¼¹":"Y","Ỳ":"Y","Ý":"Y","Ŷ":"Y","Ỹ":"Y","Ȳ":"Y","Ẏ":"Y","Ÿ":"Y","á»¶":"Y","á»´":"Y","Ƴ":"Y","Ɏ":"Y","Ỿ":"Y","Ⓩ":"Z","Z":"Z","Ź":"Z","Ẑ":"Z","Å»":"Z","Ž":"Z","Ẓ":"Z","Ẕ":"Z","Ƶ":"Z","Ȥ":"Z","Ɀ":"Z","Ⱬ":"Z","Ꝣ":"Z","ⓐ":"a","a":"a","ẚ":"a","à":"a","á":"a","â":"a","ầ":"a","ấ":"a","ẫ":"a","ẩ":"a","ã":"a","ā":"a","ă":"a","ằ":"a","ắ":"a","ẵ":"a","ẳ":"a","ȧ":"a","Ç¡":"a","ä":"a","ǟ":"a","ả":"a","Ã¥":"a","Ç»":"a","ǎ":"a","ȁ":"a","ȃ":"a","ạ":"a","ậ":"a","ặ":"a","ḁ":"a","ą":"a","â±¥":"a","ɐ":"a","ꜳ":"aa","æ":"ae","ǽ":"ae","Ç£":"ae","ꜵ":"ao","ꜷ":"au","ꜹ":"av","ꜻ":"av","ꜽ":"ay","ⓑ":"b","b":"b","ḃ":"b","ḅ":"b","ḇ":"b","ƀ":"b","ƃ":"b","ɓ":"b","ⓒ":"c","c":"c","ć":"c","ĉ":"c","ċ":"c","č":"c","ç":"c","ḉ":"c","ƈ":"c","ȼ":"c","ꜿ":"c","ↄ":"c","ⓓ":"d","d":"d","ḋ":"d","ď":"d","ḍ":"d","ḑ":"d","ḓ":"d","ḏ":"d","đ":"d","ƌ":"d","ɖ":"d","ɗ":"d","ꝺ":"d","dz":"dz","dž":"dz","ⓔ":"e","e":"e","è":"e","é":"e","ê":"e","ề":"e","ế":"e","ễ":"e","ể":"e","ẽ":"e","ē":"e","ḕ":"e","ḗ":"e","ĕ":"e","ė":"e","ë":"e","ẻ":"e","ě":"e","ȅ":"e","ȇ":"e","ẹ":"e","ệ":"e","È©":"e","ḝ":"e","ę":"e","ḙ":"e","ḛ":"e","ɇ":"e","ɛ":"e","ǝ":"e","ⓕ":"f","f":"f","ḟ":"f","ƒ":"f","ꝼ":"f","ⓖ":"g","g":"g","ǵ":"g","ĝ":"g","ḡ":"g","ğ":"g","Ä¡":"g","ǧ":"g","Ä£":"g","Ç¥":"g","É ":"g","ꞡ":"g","áµ¹":"g","ꝿ":"g","ⓗ":"h","h":"h","Ä¥":"h","ḣ":"h","ḧ":"h","ȟ":"h","ḥ":"h","ḩ":"h","ḫ":"h","ẖ":"h","ħ":"h","ⱨ":"h","â±¶":"h","É¥":"h","ƕ":"hv","ⓘ":"i","i":"i","ì":"i","í":"i","î":"i","Ä©":"i","Ä«":"i","Ä­":"i","ï":"i","ḯ":"i","ỉ":"i","ǐ":"i","ȉ":"i","ȋ":"i","ị":"i","į":"i","ḭ":"i","ɨ":"i","ı":"i","ⓙ":"j","j":"j","ĵ":"j","ǰ":"j","ɉ":"j","ⓚ":"k","k":"k","ḱ":"k","Ç©":"k","ḳ":"k","Ä·":"k","ḵ":"k","ƙ":"k","ⱪ":"k","ꝁ":"k","ꝃ":"k","ꝅ":"k","ꞣ":"k","ⓛ":"l","l":"l","ŀ":"l","ĺ":"l","ľ":"l","ḷ":"l","ḹ":"l","ļ":"l","ḽ":"l","ḻ":"l","Å¿":"l","ł":"l","ƚ":"l","É«":"l","ⱡ":"l","ꝉ":"l","ꞁ":"l","ꝇ":"l","lj":"lj","ⓜ":"m","m":"m","ḿ":"m","ṁ":"m","ṃ":"m","ɱ":"m","ɯ":"m","ⓝ":"n","n":"n","ǹ":"n","ń":"n","ñ":"n","ṅ":"n","ň":"n","ṇ":"n","ņ":"n","ṋ":"n","ṉ":"n","ƞ":"n","ɲ":"n","ʼn":"n","ꞑ":"n","ꞥ":"n","nj":"nj","ⓞ":"o","o":"o","ò":"o","ó":"o","ô":"o","ồ":"o","ố":"o","ỗ":"o","ổ":"o","õ":"o","ṍ":"o","È­":"o","ṏ":"o","ō":"o","ṑ":"o","ṓ":"o","ŏ":"o","ȯ":"o","ȱ":"o","ö":"o","È«":"o","ỏ":"o","ő":"o","ǒ":"o","ȍ":"o","ȏ":"o","Æ¡":"o","ờ":"o","ớ":"o","ỡ":"o","ở":"o","ợ":"o","ọ":"o","ộ":"o","Ç«":"o","Ç­":"o","ø":"o","Ç¿":"o","ɔ":"o","ꝋ":"o","ꝍ":"o","ɵ":"o","Æ£":"oi","È£":"ou","ꝏ":"oo","ⓟ":"p","p":"p","ṕ":"p","ṗ":"p","Æ¥":"p","áµ½":"p","ꝑ":"p","ꝓ":"p","ꝕ":"p","ⓠ":"q","q":"q","ɋ":"q","ꝗ":"q","ꝙ":"q","ⓡ":"r","r":"r","ŕ":"r","ṙ":"r","ř":"r","ȑ":"r","ȓ":"r","ṛ":"r","ṝ":"r","ŗ":"r","ṟ":"r","ɍ":"r","ɽ":"r","ꝛ":"r","ꞧ":"r","ꞃ":"r","ⓢ":"s","s":"s","ß":"s","ś":"s","á¹¥":"s","ŝ":"s","ṡ":"s","Å¡":"s","á¹§":"s","á¹£":"s","ṩ":"s","ș":"s","ş":"s","È¿":"s","ꞩ":"s","ꞅ":"s","ẛ":"s","ⓣ":"t","t":"t","ṫ":"t","ẗ":"t","Å¥":"t","á¹­":"t","ț":"t","Å£":"t","á¹±":"t","ṯ":"t","ŧ":"t","Æ­":"t","ʈ":"t","ⱦ":"t","ꞇ":"t","ꜩ":"tz","ⓤ":"u","u":"u","ù":"u","ú":"u","û":"u","Å©":"u","á¹¹":"u","Å«":"u","á¹»":"u","Å­":"u","ü":"u","ǜ":"u","ǘ":"u","ǖ":"u","ǚ":"u","á»§":"u","ů":"u","ű":"u","ǔ":"u","ȕ":"u","ȗ":"u","ư":"u","ừ":"u","ứ":"u","ữ":"u","á»­":"u","á»±":"u","ụ":"u","á¹³":"u","ų":"u","á¹·":"u","á¹µ":"u","ʉ":"u","ⓥ":"v","v":"v","á¹½":"v","ṿ":"v","ʋ":"v","ꝟ":"v","ʌ":"v","ꝡ":"vy","ⓦ":"w","w":"w","ẁ":"w","ẃ":"w","ŵ":"w","ẇ":"w","ẅ":"w","ẘ":"w","ẉ":"w","â±³":"w","ⓧ":"x","x":"x","ẋ":"x","ẍ":"x","ⓨ":"y","y":"y","ỳ":"y","ý":"y","Å·":"y","ỹ":"y","ȳ":"y","ẏ":"y","ÿ":"y","á»·":"y","ẙ":"y","ỵ":"y","Æ´":"y","ɏ":"y","ỿ":"y","ⓩ":"z","z":"z","ź":"z","ẑ":"z","ż":"z","ž":"z","ẓ":"z","ẕ":"z","ƶ":"z","È¥":"z","ɀ":"z","ⱬ":"z","ꝣ":"z","Ά":"Α","Έ":"Ε","Ή":"Η","Ί":"Ι","Ϊ":"Ι","Ό":"Ο","Ύ":"Î¥","Ϋ":"Î¥","Ώ":"Ω","ά":"α","έ":"ε","ή":"η","ί":"ι","ϊ":"ι","ΐ":"ι","ό":"ο","ύ":"υ","ϋ":"υ","ΰ":"υ","ω":"ω","ς":"σ"};return a}),b.define("select2/data/base",["../utils"],function(a){function b(a,c){b.__super__.constructor.call(this)}return a.Extend(b,a.Observable),b.prototype.current=function(a){throw new Error("The `current` method must be defined in child classes.")},b.prototype.query=function(a,b){throw new Error("The `query` method must be defined in child classes.")},b.prototype.bind=function(a,b){},b.prototype.destroy=function(){},b.prototype.generateResultId=function(b,c){var d=b.id+"-result-";return d+=a.generateChars(4),d+=null!=c.id?"-"+c.id.toString():"-"+a.generateChars(4)},b}),b.define("select2/data/select",["./base","../utils","jquery"],function(a,b,c){function d(a,b){this.$element=a,this.options=b,d.__super__.constructor.call(this)}return b.Extend(d,a),d.prototype.current=function(a){var b=[],d=this;this.$element.find(":selected").each(function(){var a=c(this),e=d.item(a);b.push(e)}),a(b)},d.prototype.select=function(a){var b=this;if(a.selected=!0,c(a.element).is("option"))return a.element.selected=!0,void this.$element.trigger("change");if(this.$element.prop("multiple"))this.current(function(d){var e=[];a=[a],a.push.apply(a,d);for(var f=0;f=0){var k=f.filter(d(j)),l=this.item(k),m=c.extend(!0,{},j,l),n=this.option(m);k.replaceWith(n)}else{var o=this.option(j);if(j.children){var p=this.convertToOptions(j.children);b.appendMany(o,p)}h.push(o)}}return h},d}),b.define("select2/data/ajax",["./array","../utils","jquery"],function(a,b,c){function d(a,b){this.ajaxOptions=this._applyDefaults(b.get("ajax")),null!=this.ajaxOptions.processResults&&(this.processResults=this.ajaxOptions.processResults),d.__super__.constructor.call(this,a,b)}return b.Extend(d,a),d.prototype._applyDefaults=function(a){var b={data:function(a){return c.extend({},a,{q:a.term})},transport:function(a,b,d){var e=c.ajax(a);return e.then(b),e.fail(d),e}};return c.extend({},b,a,!0)},d.prototype.processResults=function(a){return a},d.prototype.query=function(a,b){function d(){var d=f.transport(f,function(d){var f=e.processResults(d,a);e.options.get("debug")&&window.console&&console.error&&(f&&f.results&&c.isArray(f.results)||console.error("Select2: The AJAX results did not return an array in the `results` key of the response.")),b(f)},function(){e.trigger("results:message",{message:"errorLoading"})});e._request=d}var e=this;null!=this._request&&(c.isFunction(this._request.abort)&&this._request.abort(),this._request=null);var f=c.extend({type:"GET"},this.ajaxOptions);"function"==typeof f.url&&(f.url=f.url.call(this.$element,a)),"function"==typeof f.data&&(f.data=f.data.call(this.$element,a)),this.ajaxOptions.delay&&""!==a.term?(this._queryTimeout&&window.clearTimeout(this._queryTimeout),this._queryTimeout=window.setTimeout(d,this.ajaxOptions.delay)):d()},d}),b.define("select2/data/tags",["jquery"],function(a){function b(b,c,d){var e=d.get("tags"),f=d.get("createTag");void 0!==f&&(this.createTag=f);var g=d.get("insertTag");if(void 0!==g&&(this.insertTag=g),b.call(this,c,d),a.isArray(e))for(var h=0;h0&&b.term.length>this.maximumInputLength?void this.trigger("results:message",{message:"inputTooLong",args:{maximum:this.maximumInputLength,input:b.term,params:b}}):void a.call(this,b,c)},a}),b.define("select2/data/maximumSelectionLength",[],function(){function a(a,b,c){this.maximumSelectionLength=c.get("maximumSelectionLength"),a.call(this,b,c)}return a.prototype.query=function(a,b,c){var d=this;this.current(function(e){var f=null!=e?e.length:0;return d.maximumSelectionLength>0&&f>=d.maximumSelectionLength?void d.trigger("results:message",{message:"maximumSelected",args:{maximum:d.maximumSelectionLength}}):void a.call(d,b,c)})},a}),b.define("select2/dropdown",["jquery","./utils"],function(a,b){function c(a,b){this.$element=a,this.options=b,c.__super__.constructor.call(this)}return b.Extend(c,b.Observable),c.prototype.render=function(){var b=a('');return b.attr("dir",this.options.get("dir")),this.$dropdown=b,b},c.prototype.bind=function(){},c.prototype.position=function(a,b){},c.prototype.destroy=function(){this.$dropdown.remove()},c}),b.define("select2/dropdown/search",["jquery","../utils"],function(a,b){function c(){}return c.prototype.render=function(b){var c=b.call(this),d=a('');return this.$searchContainer=d,this.$search=d.find("input"),c.prepend(d),c},c.prototype.bind=function(b,c,d){var e=this;b.call(this,c,d),this.$search.on("keydown",function(a){e.trigger("keypress",a),e._keyUpPrevented=a.isDefaultPrevented()}),this.$search.on("input",function(b){a(this).off("keyup")}),this.$search.on("keyup input",function(a){e.handleSearch(a)}),c.on("open",function(){e.$search.attr("tabindex",0),e.$search.focus(),window.setTimeout(function(){e.$search.focus()},0)}),c.on("close",function(){e.$search.attr("tabindex",-1),e.$search.val("")}),c.on("results:all",function(a){if(null==a.query.term||""===a.query.term){var b=e.showSearch(a);b?e.$searchContainer.removeClass("select2-search--hide"):e.$searchContainer.addClass("select2-search--hide")}})},c.prototype.handleSearch=function(a){if(!this._keyUpPrevented){var b=this.$search.val();this.trigger("query",{term:b})}this._keyUpPrevented=!1},c.prototype.showSearch=function(a,b){return!0},c}),b.define("select2/dropdown/hidePlaceholder",[],function(){function a(a,b,c,d){this.placeholder=this.normalizePlaceholder(c.get("placeholder")),a.call(this,b,c,d)}return a.prototype.append=function(a,b){b.results=this.removePlaceholder(b.results),a.call(this,b)},a.prototype.normalizePlaceholder=function(a,b){return"string"==typeof b&&(b={id:"",text:b}),b},a.prototype.removePlaceholder=function(a,b){for(var c=b.slice(0),d=b.length-1;d>=0;d--){var e=b[d];this.placeholder.id===e.id&&c.splice(d,1)}return c},a}),b.define("select2/dropdown/infiniteScroll",["jquery"],function(a){function b(a,b,c,d){this.lastParams={},a.call(this,b,c,d),this.$loadingMore=this.createLoadingMore(),this.loading=!1}return b.prototype.append=function(a,b){this.$loadingMore.remove(),this.loading=!1,a.call(this,b),this.showLoadingMore(b)&&this.$results.append(this.$loadingMore)},b.prototype.bind=function(b,c,d){var e=this;b.call(this,c,d),c.on("query",function(a){e.lastParams=a,e.loading=!0}),c.on("query:append",function(a){e.lastParams=a,e.loading=!0}),this.$results.on("scroll",function(){var b=a.contains(document.documentElement,e.$loadingMore[0]);if(!e.loading&&b){var c=e.$results.offset().top+e.$results.outerHeight(!1),d=e.$loadingMore.offset().top+e.$loadingMore.outerHeight(!1);c+50>=d&&e.loadMore()}})},b.prototype.loadMore=function(){this.loading=!0;var b=a.extend({},{page:1},this.lastParams);b.page++,this.trigger("query:append",b)},b.prototype.showLoadingMore=function(a,b){return b.pagination&&b.pagination.more},b.prototype.createLoadingMore=function(){var b=a('
      • '),c=this.options.get("translations").get("loadingMore");return b.html(c(this.lastParams)),b},b}),b.define("select2/dropdown/attachBody",["jquery","../utils"],function(a,b){function c(b,c,d){this.$dropdownParent=d.get("dropdownParent")||a(document.body),b.call(this,c,d)}return c.prototype.bind=function(a,b,c){var d=this,e=!1;a.call(this,b,c),b.on("open",function(){d._showDropdown(),d._attachPositioningHandler(b),e||(e=!0,b.on("results:all",function(){d._positionDropdown(),d._resizeDropdown()}),b.on("results:append",function(){d._positionDropdown(),d._resizeDropdown()}))}),b.on("close",function(){d._hideDropdown(),d._detachPositioningHandler(b)}),this.$dropdownContainer.on("mousedown",function(a){a.stopPropagation()})},c.prototype.destroy=function(a){a.call(this),this.$dropdownContainer.remove()},c.prototype.position=function(a,b,c){b.attr("class",c.attr("class")),b.removeClass("select2"),b.addClass("select2-container--open"),b.css({position:"absolute",top:-999999}),this.$container=c},c.prototype.render=function(b){var c=a(""),d=b.call(this);return c.append(d),this.$dropdownContainer=c,c},c.prototype._hideDropdown=function(a){this.$dropdownContainer.detach()},c.prototype._attachPositioningHandler=function(c,d){var e=this,f="scroll.select2."+d.id,g="resize.select2."+d.id,h="orientationchange.select2."+d.id,i=this.$container.parents().filter(b.hasScroll);i.each(function(){a(this).data("select2-scroll-position",{x:a(this).scrollLeft(),y:a(this).scrollTop()})}),i.on(f,function(b){var c=a(this).data("select2-scroll-position");a(this).scrollTop(c.y)}),a(window).on(f+" "+g+" "+h,function(a){e._positionDropdown(),e._resizeDropdown()})},c.prototype._detachPositioningHandler=function(c,d){var e="scroll.select2."+d.id,f="resize.select2."+d.id,g="orientationchange.select2."+d.id,h=this.$container.parents().filter(b.hasScroll);h.off(e),a(window).off(e+" "+f+" "+g)},c.prototype._positionDropdown=function(){var b=a(window),c=this.$dropdown.hasClass("select2-dropdown--above"),d=this.$dropdown.hasClass("select2-dropdown--below"),e=null,f=this.$container.offset();f.bottom=f.top+this.$container.outerHeight(!1);var g={height:this.$container.outerHeight(!1)};g.top=f.top,g.bottom=f.top+g.height;var h={height:this.$dropdown.outerHeight(!1)},i={top:b.scrollTop(),bottom:b.scrollTop()+b.height()},j=i.topf.bottom+h.height,l={left:f.left,top:g.bottom},m=this.$dropdownParent;"static"===m.css("position")&&(m=m.offsetParent());var n=m.offset();l.top-=n.top,l.left-=n.left,c||d||(e="below"),k||!j||c?!j&&k&&c&&(e="below"):e="above",("above"==e||c&&"below"!==e)&&(l.top=g.top-h.height),null!=e&&(this.$dropdown.removeClass("select2-dropdown--below select2-dropdown--above").addClass("select2-dropdown--"+e),this.$container.removeClass("select2-container--below select2-container--above").addClass("select2-container--"+e)),this.$dropdownContainer.css(l)},c.prototype._resizeDropdown=function(){var a={width:this.$container.outerWidth(!1)+"px"};this.options.get("dropdownAutoWidth")&&(a.minWidth=a.width,a.width="auto"),this.$dropdown.css(a)},c.prototype._showDropdown=function(a){this.$dropdownContainer.appendTo(this.$dropdownParent),this._positionDropdown(),this._resizeDropdown()},c}),b.define("select2/dropdown/minimumResultsForSearch",[],function(){function a(b){for(var c=0,d=0;d0&&(l.dataAdapter=j.Decorate(l.dataAdapter,r)),l.maximumInputLength>0&&(l.dataAdapter=j.Decorate(l.dataAdapter,s)),l.maximumSelectionLength>0&&(l.dataAdapter=j.Decorate(l.dataAdapter,t)),l.tags&&(l.dataAdapter=j.Decorate(l.dataAdapter,p)),null==l.tokenSeparators&&null==l.tokenizer||(l.dataAdapter=j.Decorate(l.dataAdapter,q)),null!=l.query){var C=b(l.amdBase+"compat/query");l.dataAdapter=j.Decorate(l.dataAdapter,C)}if(null!=l.initSelection){var D=b(l.amdBase+"compat/initSelection");l.dataAdapter=j.Decorate(l.dataAdapter,D)}}if(null==l.resultsAdapter&&(l.resultsAdapter=c,null!=l.ajax&&(l.resultsAdapter=j.Decorate(l.resultsAdapter,x)),null!=l.placeholder&&(l.resultsAdapter=j.Decorate(l.resultsAdapter,w)),l.selectOnClose&&(l.resultsAdapter=j.Decorate(l.resultsAdapter,A))),null==l.dropdownAdapter){if(l.multiple)l.dropdownAdapter=u;else{var E=j.Decorate(u,v);l.dropdownAdapter=E}if(0!==l.minimumResultsForSearch&&(l.dropdownAdapter=j.Decorate(l.dropdownAdapter,z)),l.closeOnSelect&&(l.dropdownAdapter=j.Decorate(l.dropdownAdapter,B)),null!=l.dropdownCssClass||null!=l.dropdownCss||null!=l.adaptDropdownCssClass){var F=b(l.amdBase+"compat/dropdownCss");l.dropdownAdapter=j.Decorate(l.dropdownAdapter,F)}l.dropdownAdapter=j.Decorate(l.dropdownAdapter,y)}if(null==l.selectionAdapter){if(l.multiple?l.selectionAdapter=e:l.selectionAdapter=d,null!=l.placeholder&&(l.selectionAdapter=j.Decorate(l.selectionAdapter,f)),l.allowClear&&(l.selectionAdapter=j.Decorate(l.selectionAdapter,g)),l.multiple&&(l.selectionAdapter=j.Decorate(l.selectionAdapter,h)),null!=l.containerCssClass||null!=l.containerCss||null!=l.adaptContainerCssClass){var G=b(l.amdBase+"compat/containerCss");l.selectionAdapter=j.Decorate(l.selectionAdapter,G)}l.selectionAdapter=j.Decorate(l.selectionAdapter,i)}if("string"==typeof l.language)if(l.language.indexOf("-")>0){var H=l.language.split("-"),I=H[0];l.language=[l.language,I]}else l.language=[l.language];if(a.isArray(l.language)){var J=new k;l.language.push("en");for(var K=l.language,L=0;L0){for(var f=a.extend(!0,{},e),g=e.children.length-1;g>=0;g--){var h=e.children[g],i=c(d,h);null==i&&f.children.splice(g,1)}return f.children.length>0?f:c(d,f)}var j=b(e.text).toUpperCase(),k=b(d.term).toUpperCase();return j.indexOf(k)>-1?e:null}this.defaults={amdBase:"./",amdLanguageBase:"./i18n/",closeOnSelect:!0,debug:!1,dropdownAutoWidth:!1,escapeMarkup:j.escapeMarkup,language:C,matcher:c,minimumInputLength:0,maximumInputLength:0,maximumSelectionLength:0,minimumResultsForSearch:0,selectOnClose:!1,sorter:function(a){return a},templateResult:function(a){return a.text},templateSelection:function(a){return a.text},theme:"default",width:"resolve"}},D.prototype.set=function(b,c){var d=a.camelCase(b),e={};e[d]=c;var f=j._convertData(e);a.extend(this.defaults,f)};var E=new D;return E}),b.define("select2/options",["require","jquery","./defaults","./utils"],function(a,b,c,d){function e(b,e){if(this.options=b,null!=e&&this.fromElement(e),this.options=c.apply(this.options),e&&e.is("input")){var f=a(this.get("amdBase")+"compat/inputData");this.options.dataAdapter=d.Decorate(this.options.dataAdapter,f)}}return e.prototype.fromElement=function(a){var c=["select2"];null==this.options.multiple&&(this.options.multiple=a.prop("multiple")),null==this.options.disabled&&(this.options.disabled=a.prop("disabled")),null==this.options.language&&(a.prop("lang")?this.options.language=a.prop("lang").toLowerCase():a.closest("[lang]").prop("lang")&&(this.options.language=a.closest("[lang]").prop("lang"))),null==this.options.dir&&(a.prop("dir")?this.options.dir=a.prop("dir"):a.closest("[dir]").prop("dir")?this.options.dir=a.closest("[dir]").prop("dir"):this.options.dir="ltr"),a.prop("disabled",this.options.disabled),a.prop("multiple",this.options.multiple),a.data("select2Tags")&&(this.options.debug&&window.console&&console.warn&&console.warn('Select2: The `data-select2-tags` attribute has been changed to use the `data-data` and `data-tags="true"` attributes and will be removed in future versions of Select2.'),a.data("data",a.data("select2Tags")),a.data("tags",!0)),a.data("ajaxUrl")&&(this.options.debug&&window.console&&console.warn&&console.warn("Select2: The `data-ajax-url` attribute has been changed to `data-ajax--url` and support for the old attribute will be removed in future versions of Select2."),a.attr("ajax--url",a.data("ajaxUrl")),a.data("ajax--url",a.data("ajaxUrl")));var e={};e=b.fn.jquery&&"1."==b.fn.jquery.substr(0,2)&&a[0].dataset?b.extend(!0,{},a[0].dataset,a.data()):a.data();var f=b.extend(!0,{},e);f=d._convertData(f);for(var g in f)b.inArray(g,c)>-1||(b.isPlainObject(this.options[g])?b.extend(this.options[g],f[g]):this.options[g]=f[g]);return this},e.prototype.get=function(a){return this.options[a]},e.prototype.set=function(a,b){this.options[a]=b},e}),b.define("select2/core",["jquery","./options","./utils","./keys"],function(a,b,c,d){var e=function(a,c){null!=a.data("select2")&&a.data("select2").destroy(),this.$element=a,this.id=this._generateId(a),c=c||{},this.options=new b(c,a),e.__super__.constructor.call(this);var d=a.attr("tabindex")||0;a.data("old-tabindex",d),a.attr("tabindex","-1");var f=this.options.get("dataAdapter");this.dataAdapter=new f(a,this.options);var g=this.render();this._placeContainer(g);var h=this.options.get("selectionAdapter");this.selection=new h(a,this.options),this.$selection=this.selection.render(),this.selection.position(this.$selection,g);var i=this.options.get("dropdownAdapter");this.dropdown=new i(a,this.options),this.$dropdown=this.dropdown.render(),this.dropdown.position(this.$dropdown,g);var j=this.options.get("resultsAdapter");this.results=new j(a,this.options,this.dataAdapter),this.$results=this.results.render(),this.results.position(this.$results,this.$dropdown);var k=this;this._bindAdapters(),this._registerDomEvents(),this._registerDataEvents(),this._registerSelectionEvents(),this._registerDropdownEvents(),this._registerResultsEvents(),this._registerEvents(),this.dataAdapter.current(function(a){k.trigger("selection:update",{data:a})}),a.addClass("select2-hidden-accessible"),a.attr("aria-hidden","true"),this._syncAttributes(),a.data("select2",this)};return c.Extend(e,c.Observable),e.prototype._generateId=function(a){var b="";return b=null!=a.attr("id")?a.attr("id"):null!=a.attr("name")?a.attr("name")+"-"+c.generateChars(2):c.generateChars(4),b=b.replace(/(:|\.|\[|\]|,)/g,""),b="select2-"+b},e.prototype._placeContainer=function(a){a.insertAfter(this.$element);var b=this._resolveWidth(this.$element,this.options.get("width"));null!=b&&a.css("width",b)},e.prototype._resolveWidth=function(a,b){var c=/^width:(([-+]?([0-9]*\.)?[0-9]+)(px|em|ex|%|in|cm|mm|pt|pc))/i;if("resolve"==b){var d=this._resolveWidth(a,"style");return null!=d?d:this._resolveWidth(a,"element")}if("element"==b){ -var e=a.outerWidth(!1);return 0>=e?"auto":e+"px"}if("style"==b){var f=a.attr("style");if("string"!=typeof f)return null;for(var g=f.split(";"),h=0,i=g.length;i>h;h+=1){var j=g[h].replace(/\s/g,""),k=j.match(c);if(null!==k&&k.length>=1)return k[1]}return null}return b},e.prototype._bindAdapters=function(){this.dataAdapter.bind(this,this.$container),this.selection.bind(this,this.$container),this.dropdown.bind(this,this.$container),this.results.bind(this,this.$container)},e.prototype._registerDomEvents=function(){var b=this;this.$element.on("change.select2",function(){b.dataAdapter.current(function(a){b.trigger("selection:update",{data:a})})}),this._sync=c.bind(this._syncAttributes,this),this.$element[0].attachEvent&&this.$element[0].attachEvent("onpropertychange",this._sync);var d=window.MutationObserver||window.WebKitMutationObserver||window.MozMutationObserver;null!=d?(this._observer=new d(function(c){a.each(c,b._sync)}),this._observer.observe(this.$element[0],{attributes:!0,subtree:!1})):this.$element[0].addEventListener&&this.$element[0].addEventListener("DOMAttrModified",b._sync,!1)},e.prototype._registerDataEvents=function(){var a=this;this.dataAdapter.on("*",function(b,c){a.trigger(b,c)})},e.prototype._registerSelectionEvents=function(){var b=this,c=["toggle","focus"];this.selection.on("toggle",function(){b.toggleDropdown()}),this.selection.on("focus",function(a){b.focus(a)}),this.selection.on("*",function(d,e){-1===a.inArray(d,c)&&b.trigger(d,e)})},e.prototype._registerDropdownEvents=function(){var a=this;this.dropdown.on("*",function(b,c){a.trigger(b,c)})},e.prototype._registerResultsEvents=function(){var a=this;this.results.on("*",function(b,c){a.trigger(b,c)})},e.prototype._registerEvents=function(){var a=this;this.on("open",function(){a.$container.addClass("select2-container--open")}),this.on("close",function(){a.$container.removeClass("select2-container--open")}),this.on("enable",function(){a.$container.removeClass("select2-container--disabled")}),this.on("disable",function(){a.$container.addClass("select2-container--disabled")}),this.on("blur",function(){a.$container.removeClass("select2-container--focus")}),this.on("query",function(b){a.isOpen()||a.trigger("open",{}),this.dataAdapter.query(b,function(c){a.trigger("results:all",{data:c,query:b})})}),this.on("query:append",function(b){this.dataAdapter.query(b,function(c){a.trigger("results:append",{data:c,query:b})})}),this.on("keypress",function(b){var c=b.which;a.isOpen()?c===d.ESC||c===d.TAB||c===d.UP&&b.altKey?(a.close(),b.preventDefault()):c===d.ENTER?(a.trigger("results:select",{}),b.preventDefault()):c===d.SPACE&&b.ctrlKey?(a.trigger("results:toggle",{}),b.preventDefault()):c===d.UP?(a.trigger("results:previous",{}),b.preventDefault()):c===d.DOWN&&(a.trigger("results:next",{}),b.preventDefault()):(c===d.ENTER||c===d.SPACE||c===d.DOWN&&b.altKey)&&(a.open(),b.preventDefault())})},e.prototype._syncAttributes=function(){this.options.set("disabled",this.$element.prop("disabled")),this.options.get("disabled")?(this.isOpen()&&this.close(),this.trigger("disable",{})):this.trigger("enable",{})},e.prototype.trigger=function(a,b){var c=e.__super__.trigger,d={open:"opening",close:"closing",select:"selecting",unselect:"unselecting"};if(void 0===b&&(b={}),a in d){var f=d[a],g={prevented:!1,name:a,args:b};if(c.call(this,f,g),g.prevented)return void(b.prevented=!0)}c.call(this,a,b)},e.prototype.toggleDropdown=function(){this.options.get("disabled")||(this.isOpen()?this.close():this.open())},e.prototype.open=function(){this.isOpen()||this.trigger("query",{})},e.prototype.close=function(){this.isOpen()&&this.trigger("close",{})},e.prototype.isOpen=function(){return this.$container.hasClass("select2-container--open")},e.prototype.hasFocus=function(){return this.$container.hasClass("select2-container--focus")},e.prototype.focus=function(a){this.hasFocus()||(this.$container.addClass("select2-container--focus"),this.trigger("focus",{}))},e.prototype.enable=function(a){this.options.get("debug")&&window.console&&console.warn&&console.warn('Select2: The `select2("enable")` method has been deprecated and will be removed in later Select2 versions. Use $element.prop("disabled") instead.'),null!=a&&0!==a.length||(a=[!0]);var b=!a[0];this.$element.prop("disabled",b)},e.prototype.data=function(){this.options.get("debug")&&arguments.length>0&&window.console&&console.warn&&console.warn('Select2: Data can no longer be set using `select2("data")`. You should consider setting the value instead using `$element.val()`.');var a=[];return this.dataAdapter.current(function(b){a=b}),a},e.prototype.val=function(b){if(this.options.get("debug")&&window.console&&console.warn&&console.warn('Select2: The `select2("val")` method has been deprecated and will be removed in later Select2 versions. Use $element.val() instead.'),null==b||0===b.length)return this.$element.val();var c=b[0];a.isArray(c)&&(c=a.map(c,function(a){return a.toString()})),this.$element.val(c).trigger("change")},e.prototype.destroy=function(){this.$container.remove(),this.$element[0].detachEvent&&this.$element[0].detachEvent("onpropertychange",this._sync),null!=this._observer?(this._observer.disconnect(),this._observer=null):this.$element[0].removeEventListener&&this.$element[0].removeEventListener("DOMAttrModified",this._sync,!1),this._sync=null,this.$element.off(".select2"),this.$element.attr("tabindex",this.$element.data("old-tabindex")),this.$element.removeClass("select2-hidden-accessible"),this.$element.attr("aria-hidden","false"),this.$element.removeData("select2"),this.dataAdapter.destroy(),this.selection.destroy(),this.dropdown.destroy(),this.results.destroy(),this.dataAdapter=null,this.selection=null,this.dropdown=null,this.results=null},e.prototype.render=function(){var b=a('');return b.attr("dir",this.options.get("dir")),this.$container=b,this.$container.addClass("select2-container--"+this.options.get("theme")),b.data("element",this.$element),b},e}),b.define("jquery-mousewheel",["jquery"],function(a){return a}),b.define("jquery.select2",["jquery","jquery-mousewheel","./select2/core","./select2/defaults"],function(a,b,c,d){if(null==a.fn.select2){var e=["open","close","destroy"];a.fn.select2=function(b){if(b=b||{},"object"==typeof b)return this.each(function(){var d=a.extend(!0,{},b);new c(a(this),d)}),this;if("string"==typeof b){var d;return this.each(function(){var c=a(this).data("select2");null==c&&window.console&&console.error&&console.error("The select2('"+b+"') method was called on an element that is not using Select2.");var e=Array.prototype.slice.call(arguments,1);d=c[b].apply(c,e)}),a.inArray(b,e)>-1?this:d}throw new Error("Invalid arguments for Select2: "+b)}}return null==a.fn.select2.defaults&&(a.fn.select2.defaults=d),c}),{define:b.define,require:b.require}}(),c=b.require("jquery.select2");return a.fn.select2.amd=b,c}),angular.module("sprintf",[]).filter("sprintf",function(){return function(){return sprintf.apply(null,arguments)}}).filter("fmt",["$filter",function(a){return a("sprintf")}]).filter("vsprintf",function(){return function(a,b){return vsprintf(a,b)}}).filter("vfmt",["$filter",function(a){return a("vsprintf")}]),function(a,b){"function"==typeof define&&define.amd?define(a):"undefined"!=typeof module&&"object"==typeof exports?module.exports=a():b.rangy=a()}(function(){function a(a,b){var c=typeof a[b];return c==u||!(c!=t||!a[b])||"unknown"==c}function b(a,b){return!(typeof a[b]!=t||!a[b])}function c(a,b){return typeof a[b]!=v}function d(a){return function(b,c){for(var d=c.length;d--;)if(!a(b,c[d]))return!1;return!0}}function e(a){return a&&A(a,z)&&C(a,y)}function f(a){return b(a,"body")?a.body:a.getElementsByTagName("body")[0]}function g(b){typeof console!=v&&a(console,"log")&&console.log(b)}function h(a,b){F&&b?alert(a):g(a)}function i(a){H.initialized=!0,H.supported=!1,h("Rangy is not supported in this environment. Reason: "+a,H.config.alertOnFail)}function j(a){h("Rangy warning: "+a,H.config.alertOnWarn)}function k(a){return a.message||a.description||String(a)}function l(){if(F&&!H.initialized){var b,c=!1,d=!1;a(document,"createRange")&&(b=document.createRange(),A(b,x)&&C(b,w)&&(c=!0));var h=f(document);if(!h||"body"!=h.nodeName.toLowerCase())return void i("No body element found");if(h&&a(h,"createTextRange")&&(b=h.createTextRange(),e(b)&&(d=!0)),!c&&!d)return void i("Neither Range nor TextRange are available");H.initialized=!0,H.features={implementsDomRange:c,implementsTextRange:d};var j,l;for(var m in E)(j=E[m])instanceof p&&j.init(j,H);for(var n=0,o=K.length;o>n;++n)try{K[n](H)}catch(q){l="Rangy init listener threw an exception. Continuing. Detail: "+k(q),g(l)}}}function m(a,b,c){c&&(a+=" in module "+c.name),H.warn("DEPRECATED: "+a+" is deprecated. Please use "+b+" instead.")}function n(a,b,c,d){a[b]=function(){return m(b,c,d),a[c].apply(a,G.toArray(arguments))}}function o(a){a=a||window,l();for(var b=0,c=L.length;c>b;++b)L[b](a)}function p(a,b,c){this.name=a,this.dependencies=b,this.initialized=!1,this.supported=!1,this.initializer=c}function q(a,b,c){var d=new p(a,b,function(b){if(!b.initialized){b.initialized=!0;try{c(H,b),b.supported=!0}catch(d){var e="Module '"+a+"' failed to load: "+k(d);g(e),d.stack&&g(d.stack)}}});return E[a]=d,d}function r(){}function s(){}var t="object",u="function",v="undefined",w=["startContainer","startOffset","endContainer","endOffset","collapsed","commonAncestorContainer"],x=["setStart","setStartBefore","setStartAfter","setEnd","setEndBefore","setEndAfter","collapse","selectNode","selectNodeContents","compareBoundaryPoints","deleteContents","extractContents","cloneContents","insertNode","surroundContents","cloneRange","toString","detach"],y=["boundingHeight","boundingLeft","boundingTop","boundingWidth","htmlText","text"],z=["collapse","compareEndPoints","duplicate","moveToElementText","parentElement","select","setEndPoint","getBoundingClientRect"],A=d(a),B=d(b),C=d(c),D=[].forEach?function(a,b){a.forEach(b)}:function(a,b){for(var c=0,d=a.length;d>c;++c)b(a[c],c)},E={},F=typeof window!=v&&typeof document!=v,G={isHostMethod:a,isHostObject:b,isHostProperty:c,areHostMethods:A,areHostObjects:B,areHostProperties:C,isTextRange:e,getBody:f,forEach:D},H={version:"1.3.0",initialized:!1,isBrowser:F,supported:!0,util:G,features:{},modules:E,config:{alertOnFail:!1,alertOnWarn:!1,preferTextRange:!1,autoInitialize:typeof rangyAutoInitialize==v?!0:rangyAutoInitialize}};H.fail=i,H.warn=j;var I;({}).hasOwnProperty?(G.extend=I=function(a,b,c){var d,e;for(var f in b)b.hasOwnProperty(f)&&(d=a[f],e=b[f],c&&null!==d&&"object"==typeof d&&null!==e&&"object"==typeof e&&I(d,e,!0),a[f]=e);return b.hasOwnProperty("toString")&&(a.toString=b.toString),a},G.createOptions=function(a,b){var c={};return I(c,b),a&&I(c,a),c}):i("hasOwnProperty not supported"),F||i("Rangy can only run in a browser"),function(){var a;if(F){var b=document.createElement("div");b.appendChild(document.createElement("span"));var c=[].slice;try{1==c.call(b.childNodes,0)[0].nodeType&&(a=function(a){return c.call(a,0)})}catch(d){}}a||(a=function(a){for(var b=[],c=0,d=a.length;d>c;++c)b[c]=a[c];return b}),G.toArray=a}();var J;F&&(a(document,"addEventListener")?J=function(a,b,c){a.addEventListener(b,c,!1)}:a(document,"attachEvent")?J=function(a,b,c){a.attachEvent("on"+b,c)}:i("Document does not have required addEventListener or attachEvent method"),G.addListener=J);var K=[];G.deprecationNotice=m,G.createAliasForDeprecatedMethod=n,H.init=l,H.addInitListener=function(a){H.initialized?a(H):K.push(a)};var L=[];H.addShimListener=function(a){L.push(a)},F&&(H.shim=H.createMissingNativeApi=o,n(H,"createMissingNativeApi","shim")),p.prototype={init:function(){for(var a,b,c=this.dependencies||[],d=0,e=c.length;e>d;++d){if(b=c[d],a=E[b],!(a&&a instanceof p))throw new Error("required module '"+b+"' not found");if(a.init(),!a.supported)throw new Error("required module '"+b+"' not supported")}this.initializer(this)},fail:function(a){throw this.initialized=!0,this.supported=!1,new Error(a)},warn:function(a){H.warn("Module "+this.name+": "+a)},deprecationNotice:function(a,b){H.warn("DEPRECATED: "+a+" in module "+this.name+" is deprecated. Please use "+b+" instead")},createError:function(a){return new Error("Error in Rangy "+this.name+" module: "+a)}},H.createModule=function(a){var b,c;2==arguments.length?(b=arguments[1],c=[]):(b=arguments[2],c=arguments[1]);var d=q(a,c,b);H.initialized&&H.supported&&d.init()},H.createCoreModule=function(a,b,c){q(a,b,c)},H.RangePrototype=r,H.rangePrototype=new r,H.selectionPrototype=new s,H.createCoreModule("DomUtil",[],function(a,b){function c(a){var b;return typeof a.namespaceURI==F||null===(b=a.namespaceURI)||"http://www.w3.org/1999/xhtml"==b}function d(a){var b=a.parentNode;return 1==b.nodeType?b:null}function e(a){for(var b=0;a=a.previousSibling;)++b;return b}function f(a){switch(a.nodeType){case 7:case 10:return 0;case 3:case 8:return a.length;default:return a.childNodes.length}}function g(a,b){var c,d=[];for(c=a;c;c=c.parentNode)d.push(c);for(c=b;c;c=c.parentNode)if(K(d,c))return c;return null}function h(a,b,c){for(var d=c?b:b.parentNode;d;){if(d===a)return!0;d=d.parentNode}return!1}function i(a,b){return h(a,b,!0)}function j(a,b,c){for(var d,e=c?a:a.parentNode;e;){if(d=e.parentNode,d===b)return e;e=d}return null}function k(a){var b=a.nodeType;return 3==b||4==b||8==b}function l(a){if(!a)return!1;var b=a.nodeType;return 3==b||8==b}function m(a,b){var c=b.nextSibling,d=b.parentNode;return c?d.insertBefore(a,c):d.appendChild(a),a}function n(a,b,c){var d=a.cloneNode(!1);if(d.deleteData(0,b),a.deleteData(b,a.length-b),m(d,a),c)for(var f,g=0;f=c[g++];)f.node==a&&f.offset>b?(f.node=d,f.offset-=b):f.node==a.parentNode&&f.offset>e(a)&&++f.offset;return d}function o(a){if(9==a.nodeType)return a;if(typeof a.ownerDocument!=F)return a.ownerDocument;if(typeof a.document!=F)return a.document;if(a.parentNode)return o(a.parentNode);throw b.createError("getDocument: no document found for node")}function p(a){var c=o(a);if(typeof c.defaultView!=F)return c.defaultView;if(typeof c.parentWindow!=F)return c.parentWindow;throw b.createError("Cannot get a window object for node")}function q(a){if(typeof a.contentDocument!=F)return a.contentDocument;if(typeof a.contentWindow!=F)return a.contentWindow.document;throw b.createError("getIframeDocument: No Document object found for iframe element")}function r(a){if(typeof a.contentWindow!=F)return a.contentWindow;if(typeof a.contentDocument!=F)return a.contentDocument.defaultView;throw b.createError("getIframeWindow: No Window object found for iframe element")}function s(a){return a&&G.isHostMethod(a,"setTimeout")&&G.isHostObject(a,"document")}function t(a,b,c){var d;if(a?G.isHostProperty(a,"nodeType")?d=1==a.nodeType&&"iframe"==a.tagName.toLowerCase()?q(a):o(a):s(a)&&(d=a.document):d=document,!d)throw b.createError(c+"(): Parameter must be a Window object or DOM node");return d}function u(a){for(var b;b=a.parentNode;)a=b;return a}function v(a,c,d,f){var h,i,k,l,m;if(a==d)return c===f?0:f>c?-1:1;if(h=j(d,a,!0))return c<=e(h)?-1:1;if(h=j(a,d,!0))return e(h)[index:"+e(a)+",length:"+a.childNodes.length+"]["+(a.innerHTML||"[innerHTML not supported]").slice(0,25)+"]"}return a.nodeName}function y(a){for(var b,c=o(a).createDocumentFragment();b=a.firstChild;)c.appendChild(b);return c}function z(a,b,c){var d=H(a),e=a.createElement("div");e.contentEditable=""+!!c,b&&(e.innerHTML=b);var f=d.firstChild;return f?d.insertBefore(e,f):d.appendChild(e),e}function A(a){return a.parentNode.removeChild(a)}function B(a){this.root=a,this._next=a}function C(a){return new B(a)}function D(a,b){this.node=a,this.offset=b}function E(a){this.code=this[a],this.codeName=a,this.message="DOMException: "+this.codeName}var F="undefined",G=a.util,H=G.getBody;G.areHostMethods(document,["createDocumentFragment","createElement","createTextNode"])||b.fail("document missing a Node creation method"),G.isHostMethod(document,"getElementsByTagName")||b.fail("document missing getElementsByTagName method");var I=document.createElement("div");G.areHostMethods(I,["insertBefore","appendChild","cloneNode"]||!G.areHostObjects(I,["previousSibling","nextSibling","childNodes","parentNode"]))||b.fail("Incomplete Element implementation"),G.isHostProperty(I,"innerHTML")||b.fail("Element is missing innerHTML property");var J=document.createTextNode("test");G.areHostMethods(J,["splitText","deleteData","insertData","appendData","cloneNode"]||!G.areHostObjects(I,["previousSibling","nextSibling","childNodes","parentNode"])||!G.areHostProperties(J,["data"]))||b.fail("Incomplete Text Node implementation");var K=function(a,b){for(var c=a.length;c--;)if(a[c]===b)return!0;return!1},L=!1;!function(){var b=document.createElement("b");b.innerHTML="1";var c=b.firstChild;b.innerHTML="
        ",L=w(c),a.features.crashyTextNodes=L}();var M;typeof window.getComputedStyle!=F?M=function(a,b){return p(a).getComputedStyle(a,null)[b]}:typeof document.documentElement.currentStyle!=F?M=function(a,b){return a.currentStyle?a.currentStyle[b]:""}:b.fail("No means of obtaining computed style properties found"),B.prototype={_current:null,hasNext:function(){return!!this._next},next:function(){var a,b,c=this._current=this._next;if(this._current)if(a=c.firstChild)this._next=a;else{for(b=null;c!==this.root&&!(b=c.nextSibling);)c=c.parentNode;this._next=b}return this._current},detach:function(){this._current=this._next=this.root=null}},D.prototype={equals:function(a){return!!a&&this.node===a.node&&this.offset==a.offset},inspect:function(){return"[DomPosition("+x(this.node)+":"+this.offset+")]"},toString:function(){return this.inspect()}},E.prototype={INDEX_SIZE_ERR:1,HIERARCHY_REQUEST_ERR:3,WRONG_DOCUMENT_ERR:4,NO_MODIFICATION_ALLOWED_ERR:7,NOT_FOUND_ERR:8,NOT_SUPPORTED_ERR:9,INVALID_STATE_ERR:11,INVALID_NODE_TYPE_ERR:24},E.prototype.toString=function(){return this.message},a.dom={arrayContains:K,isHtmlNamespace:c,parentElement:d,getNodeIndex:e,getNodeLength:f,getCommonAncestor:g,isAncestorOf:h,isOrIsAncestorOf:i,getClosestAncestorIn:j,isCharacterDataNode:k,isTextOrCommentNode:l,insertAfter:m,splitDataNode:n,getDocument:o,getWindow:p,getIframeWindow:r,getIframeDocument:q,getBody:H,isWindow:s,getContentDocument:t,getRootContainer:u,comparePoints:v,isBrokenNode:w,inspectNode:x,getComputedStyleProperty:M,createTestElement:z,removeNode:A,fragmentFromNodeChildren:y,createIterator:C,DomPosition:D},a.DOMException=E}),H.createCoreModule("DomRange",["DomUtil"],function(a,b){function c(a,b){return 3!=a.nodeType&&(P(a,b.startContainer)||P(a,b.endContainer))}function d(a){return a.document||Q(a.startContainer)}function e(a){return W(a.startContainer)}function f(a){return new L(a.parentNode,O(a))}function g(a){return new L(a.parentNode,O(a)+1)}function h(a,b,c){var d=11==a.nodeType?a.firstChild:a;return N(b)?c==b.length?J.insertAfter(a,b):b.parentNode.insertBefore(a,0==c?b:S(b,c)):c>=b.childNodes.length?b.appendChild(a):b.insertBefore(a,b.childNodes[c]),d}function i(a,b,c){if(z(a),z(b),d(b)!=d(a))throw new M("WRONG_DOCUMENT_ERR");var e=R(a.startContainer,a.startOffset,b.endContainer,b.endOffset),f=R(a.endContainer,a.endOffset,b.startContainer,b.startOffset);return c?0>=e&&f>=0:0>e&&f>0}function j(a){for(var b,c,e,f=d(a.range).createDocumentFragment();c=a.next();){if(b=a.isPartiallySelectedSubtree(),c=c.cloneNode(!b),b&&(e=a.getSubtreeIterator(),c.appendChild(j(e)),e.detach()),10==c.nodeType)throw new M("HIERARCHY_REQUEST_ERR");f.appendChild(c)}return f}function k(a,b,c){var d,e;c=c||{stop:!1};for(var f,g;f=a.next();)if(a.isPartiallySelectedSubtree()){if(b(f)===!1)return void(c.stop=!0);if(g=a.getSubtreeIterator(),k(g,b,c),g.detach(),c.stop)return}else for(d=J.createIterator(f);e=d.next();)if(b(e)===!1)return void(c.stop=!0)}function l(a){for(var b;a.next();)a.isPartiallySelectedSubtree()?(b=a.getSubtreeIterator(),l(b),b.detach()):a.remove()}function m(a){for(var b,c,e=d(a.range).createDocumentFragment();b=a.next();){if(a.isPartiallySelectedSubtree()?(b=b.cloneNode(!1),c=a.getSubtreeIterator(),b.appendChild(m(c)),c.detach()):a.remove(),10==b.nodeType)throw new M("HIERARCHY_REQUEST_ERR");e.appendChild(b)}return e}function n(a,b,c){var d,e=!(!b||!b.length),f=!!c;e&&(d=new RegExp("^("+b.join("|")+")$"));var g=[];return k(new p(a,!1),function(b){if((!e||d.test(b.nodeType))&&(!f||c(b))){var h=a.startContainer;if(b!=h||!N(h)||a.startOffset!=h.length){var i=a.endContainer;b==i&&N(i)&&0==a.endOffset||g.push(b)}}}),g}function o(a){var b="undefined"==typeof a.getName?"Range":a.getName();return"["+b+"("+J.inspectNode(a.startContainer)+":"+a.startOffset+", "+J.inspectNode(a.endContainer)+":"+a.endOffset+")]"}function p(a,b){if(this.range=a,this.clonePartiallySelectedTextNodes=b,!a.collapsed){this.sc=a.startContainer,this.so=a.startOffset,this.ec=a.endContainer,this.eo=a.endOffset;var c=a.commonAncestorContainer;this.sc===this.ec&&N(this.sc)?(this.isSingleCharacterDataNode=!0,this._first=this._last=this._next=this.sc):(this._first=this._next=this.sc!==c||N(this.sc)?T(this.sc,c,!0):this.sc.childNodes[this.so],this._last=this.ec!==c||N(this.ec)?T(this.ec,c,!0):this.ec.childNodes[this.eo-1])}}function q(a){return function(b,c){for(var d,e=c?b:b.parentNode;e;){if(d=e.nodeType,V(a,d))return e;e=e.parentNode}return null}}function r(a,b){if(ea(a,b))throw new M("INVALID_NODE_TYPE_ERR")}function s(a,b){if(!V(b,a.nodeType))throw new M("INVALID_NODE_TYPE_ERR")}function t(a,b){if(0>b||b>(N(a)?a.length:a.childNodes.length))throw new M("INDEX_SIZE_ERR")}function u(a,b){if(ca(a,!0)!==ca(b,!0))throw new M("WRONG_DOCUMENT_ERR")}function v(a){if(da(a,!0))throw new M("NO_MODIFICATION_ALLOWED_ERR")}function w(a,b){if(!a)throw new M(b)}function x(a,b){return b<=(N(a)?a.length:a.childNodes.length)}function y(a){return!!a.startContainer&&!!a.endContainer&&!(X&&(J.isBrokenNode(a.startContainer)||J.isBrokenNode(a.endContainer)))&&W(a.startContainer)==W(a.endContainer)&&x(a.startContainer,a.startOffset)&&x(a.endContainer,a.endOffset)}function z(a){if(!y(a))throw new Error("Range error: Range is not valid. This usually happens after DOM mutation. Range: ("+a.inspect()+")")}function A(a,b){z(a);var c=a.startContainer,d=a.startOffset,e=a.endContainer,f=a.endOffset,g=c===e;N(e)&&f>0&&f0&&d=O(c)&&f++,d=0),a.setStartAndEnd(c,d,e,f)}function B(a){z(a);var b=a.commonAncestorContainer.parentNode.cloneNode(!1);return b.appendChild(a.cloneContents()),b.innerHTML}function C(a){a.START_TO_START=ka,a.START_TO_END=la,a.END_TO_END=ma,a.END_TO_START=na,a.NODE_BEFORE=oa,a.NODE_AFTER=pa,a.NODE_BEFORE_AND_AFTER=qa,a.NODE_INSIDE=ra}function D(a){C(a),C(a.prototype)}function E(a,b){return function(){z(this);var c,d,e=this.startContainer,f=this.startOffset,h=this.commonAncestorContainer,i=new p(this,!0);e!==h&&(c=T(e,h,!0),d=g(c),e=d.node,f=d.offset),k(i,v),i.reset();var j=a(i);return i.detach(),b(this,e,f,e,f),j}}function F(b,d){function e(a,b){return function(c){s(c,Z),s(W(c),$);var d=(a?f:g)(c);(b?h:i)(this,d.node,d.offset)}}function h(a,b,c){var e=a.endContainer,f=a.endOffset;b===a.startContainer&&c===a.startOffset||(W(b)==W(e)&&1!=R(b,c,e,f)||(e=b,f=c),d(a,b,c,e,f))}function i(a,b,c){var e=a.startContainer,f=a.startOffset;b===a.endContainer&&c===a.endOffset||(W(b)==W(e)&&-1!=R(b,c,e,f)||(e=b,f=c),d(a,e,f,b,c))}var j=function(){};j.prototype=a.rangePrototype,b.prototype=new j,K.extend(b.prototype,{setStart:function(a,b){r(a,!0),t(a,b),h(this,a,b)},setEnd:function(a,b){r(a,!0),t(a,b),i(this,a,b)},setStartAndEnd:function(){var a=arguments,b=a[0],c=a[1],e=b,f=c;switch(a.length){case 3:f=a[2];break;case 4:e=a[2],f=a[3]}d(this,b,c,e,f)},setBoundary:function(a,b,c){this["set"+(c?"Start":"End")](a,b)},setStartBefore:e(!0,!0),setStartAfter:e(!1,!0),setEndBefore:e(!0,!1),setEndAfter:e(!1,!1),collapse:function(a){z(this),a?d(this,this.startContainer,this.startOffset,this.startContainer,this.startOffset):d(this,this.endContainer,this.endOffset,this.endContainer,this.endOffset)},selectNodeContents:function(a){r(a,!0),d(this,a,0,a,U(a))},selectNode:function(a){r(a,!1),s(a,Z);var b=f(a),c=g(a);d(this,b.node,b.offset,c.node,c.offset)},extractContents:E(m,d),deleteContents:E(l,d),canSurroundContents:function(){z(this),v(this.startContainer),v(this.endContainer);var a=new p(this,!0),b=a._first&&c(a._first,this)||a._last&&c(a._last,this);return a.detach(),!b},splitBoundaries:function(){A(this)},splitBoundariesPreservingPositions:function(a){A(this,a)},normalizeBoundaries:function(){z(this);var a,b=this.startContainer,c=this.startOffset,e=this.endContainer,f=this.endOffset,g=function(a){var b=a.nextSibling;b&&b.nodeType==a.nodeType&&(e=a,f=a.length,a.appendData(b.data),Y(b))},h=function(a){var d=a.previousSibling;if(d&&d.nodeType==a.nodeType){b=a;var g=a.length;if(c=d.length,a.insertData(0,d.data),Y(d),b==e)f+=c,e=b;else if(e==a.parentNode){var h=O(a);f==h?(e=a,f=g):f>h&&f--}}},i=!0;if(N(e))f==e.length?g(e):0==f&&(a=e.previousSibling,a&&a.nodeType==e.nodeType&&(f=a.length,b==e&&(i=!1),a.appendData(e.data),Y(e),e=a));else{if(f>0){var j=e.childNodes[f-1];j&&N(j)&&g(j)}i=!this.collapsed}if(i){if(N(b))0==c?h(b):c==b.length&&(a=b.nextSibling,a&&a.nodeType==b.nodeType&&(e==a&&(e=b,f+=b.length),b.appendData(a.data),Y(a)));else if(cx",ga=3==fa.firstChild.nodeType}catch(ha){}a.features.htmlParsingConforms=ga;var ia=ga?function(a){var b=this.startContainer,c=Q(b);if(!b)throw new M("INVALID_STATE_ERR");var d=null;return 1==b.nodeType?d=b:N(b)&&(d=J.parentElement(b)),d=null===d||"HTML"==d.nodeName&&J.isHtmlNamespace(Q(d).documentElement)&&J.isHtmlNamespace(d)?c.createElement("body"):d.cloneNode(!1),d.innerHTML=a,J.fragmentFromNodeChildren(d)}:function(a){var b=d(this),c=b.createElement("body");return c.innerHTML=a,J.fragmentFromNodeChildren(c)},ja=["startContainer","startOffset","endContainer","endOffset","collapsed","commonAncestorContainer"],ka=0,la=1,ma=2,na=3,oa=0,pa=1,qa=2,ra=3;K.extend(a.rangePrototype,{compareBoundaryPoints:function(a,b){z(this),u(this.startContainer,b.startContainer);var c,d,e,f,g=a==na||a==ka?"start":"end",h=a==la||a==ka?"start":"end";return c=this[g+"Container"],d=this[g+"Offset"],e=b[h+"Container"],f=b[h+"Offset"],R(c,d,e,f)},insertNode:function(a){if(z(this),s(a,aa),v(this.startContainer),P(a,this.startContainer))throw new M("HIERARCHY_REQUEST_ERR");var b=h(a,this.startContainer,this.startOffset);this.setStartBefore(b)},cloneContents:function(){z(this);var a,b;if(this.collapsed)return d(this).createDocumentFragment();if(this.startContainer===this.endContainer&&N(this.startContainer))return a=this.startContainer.cloneNode(!0),a.data=a.data.slice(this.startOffset,this.endOffset),b=d(this).createDocumentFragment(),b.appendChild(a),b;var c=new p(this,!0);return a=j(c),c.detach(),a},canSurroundContents:function(){z(this),v(this.startContainer),v(this.endContainer);var a=new p(this,!0),b=a._first&&c(a._first,this)||a._last&&c(a._last,this);return a.detach(),!b},surroundContents:function(a){if(s(a,ba),!this.canSurroundContents())throw new M("INVALID_STATE_ERR");var b=this.extractContents();if(a.hasChildNodes())for(;a.lastChild;)a.removeChild(a.lastChild);h(a,this.startContainer,this.startOffset),a.appendChild(b),this.selectNode(a)},cloneRange:function(){z(this);for(var a,b=new I(d(this)),c=ja.length;c--;)a=ja[c],b[a]=this[a];return b},toString:function(){z(this);var a=this.startContainer;if(a===this.endContainer&&N(a))return 3==a.nodeType||4==a.nodeType?a.data.slice(this.startOffset,this.endOffset):"";var b=[],c=new p(this,!0);return k(c,function(a){3!=a.nodeType&&4!=a.nodeType||b.push(a.data)}),c.detach(),b.join("")},compareNode:function(a){z(this);var b=a.parentNode,c=O(a);if(!b)throw new M("NOT_FOUND_ERR");var d=this.comparePoint(b,c),e=this.comparePoint(b,c+1);return 0>d?e>0?qa:oa:e>0?pa:ra},comparePoint:function(a,b){return z(this),w(a,"HIERARCHY_REQUEST_ERR"),u(a,this.startContainer),R(a,b,this.startContainer,this.startOffset)<0?-1:R(a,b,this.endContainer,this.endOffset)>0?1:0},createContextualFragment:ia,toHtml:function(){return B(this)},intersectsNode:function(a,b){if(z(this),W(a)!=e(this))return!1;var c=a.parentNode,d=O(a);if(!c)return!0;var f=R(c,d,this.endContainer,this.endOffset),g=R(c,d+1,this.startContainer,this.startOffset);return b?0>=f&&g>=0:0>f&&g>0},isPointInRange:function(a,b){return z(this),w(a,"HIERARCHY_REQUEST_ERR"),u(a,this.startContainer),R(a,b,this.startContainer,this.startOffset)>=0&&R(a,b,this.endContainer,this.endOffset)<=0},intersectsRange:function(a){return i(this,a,!1)},intersectsOrTouchesRange:function(a){return i(this,a,!0)},intersection:function(a){if(this.intersectsRange(a)){var b=R(this.startContainer,this.startOffset,a.startContainer,a.startOffset),c=R(this.endContainer,this.endOffset,a.endContainer,a.endOffset),d=this.cloneRange();return-1==b&&d.setStart(a.startContainer,a.startOffset),1==c&&d.setEnd(a.endContainer,a.endOffset),d}return null},union:function(a){if(this.intersectsOrTouchesRange(a)){var b=this.cloneRange();return-1==R(a.startContainer,a.startOffset,this.startContainer,this.startOffset)&&b.setStart(a.startContainer,a.startOffset), -1==R(a.endContainer,a.endOffset,this.endContainer,this.endOffset)&&b.setEnd(a.endContainer,a.endOffset),b}throw new M("Ranges do not intersect")},containsNode:function(a,b){return b?this.intersectsNode(a,!1):this.compareNode(a)==ra},containsNodeContents:function(a){return this.comparePoint(a,0)>=0&&this.comparePoint(a,U(a))<=0},containsRange:function(a){var b=this.intersection(a);return null!==b&&a.equals(b)},containsNodeText:function(a){var b=this.cloneRange();b.selectNode(a);var c=b.getNodes([3]);if(c.length>0){b.setStart(c[0],0);var d=c.pop();return b.setEnd(d,d.length),this.containsRange(b)}return this.containsNodeContents(a)},getNodes:function(a,b){return z(this),n(this,a,b)},getDocument:function(){return d(this)},collapseBefore:function(a){this.setEndBefore(a),this.collapse(!1)},collapseAfter:function(a){this.setStartAfter(a),this.collapse(!0)},getBookmark:function(b){var c=d(this),e=a.createRange(c);b=b||J.getBody(c),e.selectNodeContents(b);var f=this.intersection(e),g=0,h=0;return f&&(e.setEnd(f.startContainer,f.startOffset),g=e.toString().length,h=g+f.toString().length),{start:g,end:h,containerNode:b}},moveToBookmark:function(a){var b=a.containerNode,c=0;this.setStart(b,0),this.collapse(!0);for(var d,e,f,g,h=[b],i=!1,j=!1;!j&&(d=h.pop());)if(3==d.nodeType)e=c+d.length,!i&&a.start>=c&&a.start<=e&&(this.setStart(d,a.start-c),i=!0),i&&a.end>=c&&a.end<=e&&(this.setEnd(d,a.end-c),j=!0),c=e;else for(g=d.childNodes,f=g.length;f--;)h.push(g[f])},getName:function(){return"DomRange"},equals:function(a){return I.rangesEqual(this,a)},isValid:function(){return y(this)},inspect:function(){return o(this)},detach:function(){}}),F(I,H),K.extend(I,{rangeProperties:ja,RangeIterator:p,copyComparisonConstants:D,createPrototypeRange:F,inspect:o,toHtml:B,getRangeDocument:d,rangesEqual:function(a,b){return a.startContainer===b.startContainer&&a.startOffset===b.startOffset&&a.endContainer===b.endContainer&&a.endOffset===b.endOffset}}),a.DomRange=I}),H.createCoreModule("WrappedRange",["DomRange"],function(a,b){var c,d,e=a.dom,f=a.util,g=e.DomPosition,h=a.DomRange,i=e.getBody,j=e.getContentDocument,k=e.isCharacterDataNode;if(a.features.implementsDomRange&&!function(){function d(a){for(var b,c=m.length;c--;)b=m[c],a[b]=a.nativeRange[b];a.collapsed=a.startContainer===a.endContainer&&a.startOffset===a.endOffset}function g(a,b,c,d,e){var f=a.startContainer!==b||a.startOffset!=c,g=a.endContainer!==d||a.endOffset!=e,h=!a.equals(a.nativeRange);(f||g||h)&&(a.setEnd(d,e),a.setStart(b,c))}var k,l,m=h.rangeProperties;c=function(a){if(!a)throw b.createError("WrappedRange: Range must be specified");this.nativeRange=a,d(this)},h.createPrototypeRange(c,g),k=c.prototype,k.selectNode=function(a){this.nativeRange.selectNode(a),d(this)},k.cloneContents=function(){return this.nativeRange.cloneContents()},k.surroundContents=function(a){this.nativeRange.surroundContents(a),d(this)},k.collapse=function(a){this.nativeRange.collapse(a),d(this)},k.cloneRange=function(){return new c(this.nativeRange.cloneRange())},k.refresh=function(){d(this)},k.toString=function(){return this.nativeRange.toString()};var n=document.createTextNode("test");i(document).appendChild(n);var o=document.createRange();o.setStart(n,0),o.setEnd(n,0);try{o.setStart(n,1),k.setStart=function(a,b){this.nativeRange.setStart(a,b),d(this)},k.setEnd=function(a,b){this.nativeRange.setEnd(a,b),d(this)},l=function(a){return function(b){this.nativeRange[a](b),d(this)}}}catch(p){k.setStart=function(a,b){try{this.nativeRange.setStart(a,b)}catch(c){this.nativeRange.setEnd(a,b),this.nativeRange.setStart(a,b)}d(this)},k.setEnd=function(a,b){try{this.nativeRange.setEnd(a,b)}catch(c){this.nativeRange.setStart(a,b),this.nativeRange.setEnd(a,b)}d(this)},l=function(a,b){return function(c){try{this.nativeRange[a](c)}catch(e){this.nativeRange[b](c),this.nativeRange[a](c)}d(this)}}}k.setStartBefore=l("setStartBefore","setEndBefore"),k.setStartAfter=l("setStartAfter","setEndAfter"),k.setEndBefore=l("setEndBefore","setStartBefore"),k.setEndAfter=l("setEndAfter","setStartAfter"),k.selectNodeContents=function(a){this.setStartAndEnd(a,0,e.getNodeLength(a))},o.selectNodeContents(n),o.setEnd(n,3);var q=document.createRange();q.selectNodeContents(n),q.setEnd(n,4),q.setStart(n,2),-1==o.compareBoundaryPoints(o.START_TO_END,q)&&1==o.compareBoundaryPoints(o.END_TO_START,q)?k.compareBoundaryPoints=function(a,b){return b=b.nativeRange||b,a==b.START_TO_END?a=b.END_TO_START:a==b.END_TO_START&&(a=b.START_TO_END),this.nativeRange.compareBoundaryPoints(a,b)}:k.compareBoundaryPoints=function(a,b){return this.nativeRange.compareBoundaryPoints(a,b.nativeRange||b)};var r=document.createElement("div");r.innerHTML="123";var s=r.firstChild,t=i(document);t.appendChild(r),o.setStart(s,1),o.setEnd(s,2),o.deleteContents(),"13"==s.data&&(k.deleteContents=function(){this.nativeRange.deleteContents(),d(this)},k.extractContents=function(){var a=this.nativeRange.extractContents();return d(this),a}),t.removeChild(r),t=null,f.isHostMethod(o,"createContextualFragment")&&(k.createContextualFragment=function(a){return this.nativeRange.createContextualFragment(a)}),i(document).removeChild(n),k.getName=function(){return"WrappedRange"},a.WrappedRange=c,a.createNativeRange=function(a){return a=j(a,b,"createNativeRange"),a.createRange()}}(),a.features.implementsTextRange){var l=function(a){var b=a.parentElement(),c=a.duplicate();c.collapse(!0);var d=c.parentElement();c=a.duplicate(),c.collapse(!1);var f=c.parentElement(),g=d==f?d:e.getCommonAncestor(d,f);return g==b?g:e.getCommonAncestor(b,g)},m=function(a){return 0==a.compareEndPoints("StartToEnd",a)},n=function(a,b,c,d,f){var h=a.duplicate();h.collapse(c);var i=h.parentElement();if(e.isOrIsAncestorOf(b,i)||(i=b),!i.canHaveHTML){var j=new g(i.parentNode,e.getNodeIndex(i));return{boundaryPosition:j,nodeInfo:{nodeIndex:j.offset,containerElement:j.node}}}var l=e.getDocument(i).createElement("span");l.parentNode&&e.removeNode(l);for(var m,n,o,p,q,r=c?"StartToStart":"StartToEnd",s=f&&f.containerElement==i?f.nodeIndex:0,t=i.childNodes.length,u=t,v=u;;){if(v==t?i.appendChild(l):i.insertBefore(l,i.childNodes[v]),h.moveToElementText(l),m=h.compareEndPoints(r,a),0==m||s==u)break;if(-1==m){if(u==s+1)break;s=v}else u=u==s+1?s:v;v=Math.floor((s+u)/2),i.removeChild(l)}if(q=l.nextSibling,-1==m&&q&&k(q)){h.setEndPoint(c?"EndToStart":"EndToEnd",a);var w;if(/[\r\n]/.test(q.data)){var x=h.duplicate(),y=x.text.replace(/\r\n/g,"\r").length;for(w=x.moveStart("character",y);-1==(m=x.compareEndPoints("StartToEnd",x));)w++,x.moveStart("character",1)}else w=h.text.length;p=new g(q,w)}else n=(d||!c)&&l.previousSibling,o=(d||c)&&l.nextSibling,p=o&&k(o)?new g(o,0):n&&k(n)?new g(n,n.data.length):new g(i,e.getNodeIndex(l));return e.removeNode(l),{boundaryPosition:p,nodeInfo:{nodeIndex:v,containerElement:i}}},o=function(a,b){var c,d,f,g,h=a.offset,j=e.getDocument(a.node),l=i(j).createTextRange(),m=k(a.node);return m?(c=a.node,d=c.parentNode):(g=a.node.childNodes,c=hb;++b)if(!C.isAncestorOf(a[0],a[b]))return!1;return!0}function m(a){var c=a.getNodes();if(!l(c))throw b.createError("getSingleElementFromRange: range "+a.inspect()+" did not consist of a single element");return c[0]}function n(a){return!!a&&"undefined"!=typeof a.text}function o(a,b){var c=new G(b);a._ranges=[c],h(a,c,!1),a.rangeCount=1,a.isCollapsed=c.collapsed}function p(b){if(b._ranges.length=0,"None"==b.docSelection.type)j(b);else{var c=b.docSelection.createRange();if(n(c))o(b,c);else{b.rangeCount=c.length;for(var d,e=L(c.item(0)),f=0;fh;++h)g.add(d.item(h));try{g.add(e)}catch(j){throw b.createError("addRange(): Element within the specified Range could not be added to control selection (does it have layout?)")}g.select(),p(a)}function r(a,b,c){this.nativeSelection=a,this.docSelection=b,this._ranges=[],this.win=c,this.refresh()}function s(a){a.win=a.anchorNode=a.focusNode=a._ranges=null,a.rangeCount=a.anchorOffset=a.focusOffset=0,a.detached=!0}function t(a,b){for(var c,d,e=ba.length;e--;)if(c=ba[e],d=c.selection,"deleteAll"==b)s(d);else if(c.win==a)return"delete"==b?(ba.splice(e,1),!0):d;return"deleteAll"==b&&(ba.length=0),null}function u(a,c){for(var d,e=L(c[0].startContainer),f=M(e).createControlRange(),g=0,h=c.length;h>g;++g){d=m(c[g]);try{f.add(d)}catch(i){throw b.createError("setRanges(): Element within one of the specified Ranges could not be added to control selection (does it have layout?)")}}f.select(),p(a)}function v(a,b){if(a.win.document!=L(b))throw new H("WRONG_DOCUMENT_ERR")}function w(b){return function(c,d){var e;this.rangeCount?(e=this.getRangeAt(0),e["set"+(b?"Start":"End")](c,d)):(e=a.createRange(this.win.document),e.setStartAndEnd(c,d)),this.setSingleRange(e,this.isBackward())}}function x(a){var b=[],c=new I(a.anchorNode,a.anchorOffset),d=new I(a.focusNode,a.focusOffset),e="function"==typeof a.getName?a.getName():"Selection";if("undefined"!=typeof a.rangeCount)for(var f=0,g=a.rangeCount;g>f;++f)b[f]=F.inspect(a.getRangeAt(f));return"["+e+"(Ranges: "+b.join(", ")+")(anchor: "+c.inspect()+", focus: "+d.inspect()+"]"}a.config.checkSelectionRanges=!0;var y,z,A="boolean",B="number",C=a.dom,D=a.util,E=D.isHostMethod,F=a.DomRange,G=a.WrappedRange,H=a.DOMException,I=C.DomPosition,J=a.features,K="Control",L=C.getDocument,M=C.getBody,N=F.rangesEqual,O=E(window,"getSelection"),P=D.isHostObject(document,"selection");J.implementsWinGetSelection=O,J.implementsDocSelection=P;var Q=P&&(!O||a.config.preferTextRange);if(Q)y=f,a.isSelectionValid=function(a){var b=d(a,"isSelectionValid").document,c=b.selection;return"None"!=c.type||L(c.createRange().parentElement())==b};else{if(!O)return b.fail("Neither document.selection or window.getSelection() detected."),!1;y=e,a.isSelectionValid=function(){return!0}}a.getNativeSelection=y;var R=y();if(!R)return b.fail("Native selection was null (possibly issue 138?)"),!1;var S=a.createNativeRange(document),T=M(document),U=D.areHostProperties(R,["anchorNode","focusNode","anchorOffset","focusOffset"]);J.selectionHasAnchorAndFocus=U;var V=E(R,"extend");J.selectionHasExtend=V;var W=typeof R.rangeCount==B;J.selectionHasRangeCount=W;var X=!1,Y=!0,Z=V?function(b,c){var d=F.getRangeDocument(c),e=a.createRange(d);e.collapseToPoint(c.endContainer,c.endOffset),b.addRange(k(e)),b.extend(c.startContainer,c.startOffset)}:null;D.areHostMethods(R,["addRange","getRangeAt","removeAllRanges"])&&typeof R.rangeCount==B&&J.implementsDomRange&&!function(){var b=window.getSelection();if(b){for(var c=b.rangeCount,d=c>1,e=[],f=g(b),h=0;c>h;++h)e[h]=b.getRangeAt(h);var i=C.createTestElement(document,"",!1),j=i.appendChild(document.createTextNode("   ")),k=document.createRange();if(k.setStart(j,1),k.collapse(!0),b.removeAllRanges(),b.addRange(k),Y=1==b.rangeCount,b.removeAllRanges(),!d){var l=window.navigator.appVersion.match(/Chrome\/(.*?) /);if(l&&parseInt(l[1])>=36)X=!1;else{var m=k.cloneRange();k.setStart(j,0),m.setEnd(j,3),m.setStart(j,2),b.addRange(k),b.addRange(m),X=2==b.rangeCount}}for(C.removeNode(i),b.removeAllRanges(),h=0;c>h;++h)0==h&&f?Z?Z(b,e[h]):(a.warn("Rangy initialization: original selection was backwards but selection has been restored forwards because the browser does not support Selection.extend"),b.addRange(e[h])):b.addRange(e[h])}}(),J.selectionSupportsMultipleRanges=X,J.collapsedNonEditableSelectionsSupported=Y;var $,_=!1;T&&E(T,"createControlRange")&&($=T.createControlRange(),D.areHostProperties($,["item","add"])&&(_=!0)),J.implementsControlRange=_,z=U?function(a){return a.anchorNode===a.focusNode&&a.anchorOffset===a.focusOffset}:function(a){return a.rangeCount?a.getRangeAt(a.rangeCount-1).collapsed:!1};var aa;E(R,"getRangeAt")?aa=function(a,b){try{return a.getRangeAt(b)}catch(c){return null}}:U&&(aa=function(b){var c=L(b.anchorNode),d=a.createRange(c);return d.setStartAndEnd(b.anchorNode,b.anchorOffset,b.focusNode,b.focusOffset),d.collapsed!==this.isCollapsed&&d.setStartAndEnd(b.focusNode,b.focusOffset,b.anchorNode,b.anchorOffset),d}),r.prototype=a.selectionPrototype;var ba=[],ca=function(a){if(a&&a instanceof r)return a.refresh(),a;a=d(a,"getNativeSelection");var b=t(a),c=y(a),e=P?f(a):null;return b?(b.nativeSelection=c,b.docSelection=e,b.refresh()):(b=new r(c,e,a),ba.push({win:a,selection:b})),b};a.getSelection=ca,D.createAliasForDeprecatedMethod(a,"getIframeSelection","getSelection");var da=r.prototype;if(!Q&&U&&D.areHostMethods(R,["removeAllRanges","addRange"])){da.removeAllRanges=function(){this.nativeSelection.removeAllRanges(),j(this)};var ea=function(a,b){Z(a.nativeSelection,b),a.refresh()};W?da.addRange=function(b,d){if(_&&P&&this.docSelection.type==K)q(this,b);else if(c(d)&&V)ea(this,b);else{var e;X?e=this.rangeCount:(this.removeAllRanges(),e=0);var f=k(b).cloneRange();try{this.nativeSelection.addRange(f)}catch(g){}if(this.rangeCount=this.nativeSelection.rangeCount,this.rangeCount==e+1){if(a.config.checkSelectionRanges){var i=aa(this.nativeSelection,this.rangeCount-1);i&&!N(i,b)&&(b=new G(i))}this._ranges[this.rangeCount-1]=b,h(this,b,ha(this.nativeSelection)),this.isCollapsed=z(this)}else this.refresh()}}:da.addRange=function(a,b){c(b)&&V?ea(this,a):(this.nativeSelection.addRange(k(a)),this.refresh())},da.setRanges=function(a){if(_&&P&&a.length>1)u(this,a);else{this.removeAllRanges();for(var b=0,c=a.length;c>b;++b)this.addRange(a[b])}}}else{if(!(E(R,"empty")&&E(S,"select")&&_&&Q))return b.fail("No means of selecting a Range or TextRange was found"),!1;da.removeAllRanges=function(){try{if(this.docSelection.empty(),"None"!=this.docSelection.type){var a;if(this.anchorNode)a=L(this.anchorNode);else if(this.docSelection.type==K){var b=this.docSelection.createRange();b.length&&(a=L(b.item(0)))}if(a){var c=M(a).createTextRange();c.select(),this.docSelection.empty()}}}catch(d){}j(this)},da.addRange=function(b){this.docSelection.type==K?q(this,b):(a.WrappedTextRange.rangeToTextRange(b).select(),this._ranges[0]=b,this.rangeCount=1,this.isCollapsed=this._ranges[0].collapsed,h(this,b,!1))},da.setRanges=function(a){this.removeAllRanges();var b=a.length;b>1?u(this,a):b&&this.addRange(a[0])}}da.getRangeAt=function(a){if(0>a||a>=this.rangeCount)throw new H("INDEX_SIZE_ERR");return this._ranges[a].cloneRange()};var fa;if(Q)fa=function(b){var c;a.isSelectionValid(b.win)?c=b.docSelection.createRange():(c=M(b.win.document).createTextRange(),c.collapse(!0)),b.docSelection.type==K?p(b):n(c)?o(b,c):j(b)};else if(E(R,"getRangeAt")&&typeof R.rangeCount==B)fa=function(b){if(_&&P&&b.docSelection.type==K)p(b);else if(b._ranges.length=b.rangeCount=b.nativeSelection.rangeCount,b.rangeCount){for(var c=0,d=b.rangeCount;d>c;++c)b._ranges[c]=new a.WrappedRange(b.nativeSelection.getRangeAt(c));h(b,b._ranges[b.rangeCount-1],ha(b.nativeSelection)),b.isCollapsed=z(b)}else j(b)};else{if(!U||typeof R.isCollapsed!=A||typeof S.collapsed!=A||!J.implementsDomRange)return b.fail("No means of obtaining a Range or TextRange from the user's selection was found"),!1;fa=function(a){var b,c=a.nativeSelection;c.anchorNode?(b=aa(c,0),a._ranges=[b],a.rangeCount=1,i(a),a.isCollapsed=z(a)):j(a)}}da.refresh=function(a){var b=a?this._ranges.slice(0):null,c=this.anchorNode,d=this.anchorOffset;if(fa(this),a){var e=b.length;if(e!=this._ranges.length)return!0;if(this.anchorNode!=c||this.anchorOffset!=d)return!0;for(;e--;)if(!N(b[e],this._ranges[e]))return!0;return!1}};var ga=function(a,b){var c=a.getAllRanges();a.removeAllRanges();for(var d=0,e=c.length;e>d;++d)N(b,c[d])||a.addRange(c[d]);a.rangeCount||j(a)};_&&P?da.removeRange=function(a){if(this.docSelection.type==K){for(var b,c=this.docSelection.createRange(),d=m(a),e=L(c.item(0)),f=M(e).createControlRange(),g=!1,h=0,i=c.length;i>h;++h)b=c.item(h),b!==d||g?f.add(c.item(h)):g=!0;f.select(),p(this)}else ga(this,a)}:da.removeRange=function(a){ga(this,a)};var ha;!Q&&U&&J.implementsDomRange?(ha=g,da.isBackward=function(){return ha(this)}):ha=da.isBackward=function(){return!1},da.isBackwards=da.isBackward,da.toString=function(){for(var a=[],b=0,c=this.rangeCount;c>b;++b)a[b]=""+this._ranges[b];return a.join("")},da.collapse=function(b,c){v(this,b);var d=a.createRange(b);d.collapseToPoint(b,c),this.setSingleRange(d),this.isCollapsed=!0},da.collapseToStart=function(){if(!this.rangeCount)throw new H("INVALID_STATE_ERR");var a=this._ranges[0];this.collapse(a.startContainer,a.startOffset)},da.collapseToEnd=function(){if(!this.rangeCount)throw new H("INVALID_STATE_ERR");var a=this._ranges[this.rangeCount-1];this.collapse(a.endContainer,a.endOffset)},da.selectAllChildren=function(b){v(this,b);var c=a.createRange(b);c.selectNodeContents(b),this.setSingleRange(c)},da.deleteFromDocument=function(){if(_&&P&&this.docSelection.type==K){for(var a,b=this.docSelection.createRange();b.length;)a=b.item(0),b.remove(a),C.removeNode(a);this.refresh()}else if(this.rangeCount){var c=this.getAllRanges();if(c.length){this.removeAllRanges();for(var d=0,e=c.length;e>d;++d)c[d].deleteContents();this.addRange(c[e-1])}}},da.eachRange=function(a,b){for(var c=0,d=this._ranges.length;d>c;++c)if(a(this.getRangeAt(c)))return b},da.getAllRanges=function(){var a=[];return this.eachRange(function(b){a.push(b)}),a},da.setSingleRange=function(a,b){this.removeAllRanges(),this.addRange(a,b)},da.callMethodOnEachRange=function(a,b){var c=[];return this.eachRange(function(d){c.push(d[a].apply(d,b||[]))}),c},da.setStart=w(!0),da.setEnd=w(!1),a.rangePrototype.select=function(a){ca(this.getDocument()).setSingleRange(this,a)},da.changeEachRange=function(a){var b=[],c=this.isBackward();this.eachRange(function(c){a(c),b.push(c)}),this.removeAllRanges(),c&&1==b.length?this.addRange(b[0],"backward"):this.setRanges(b)},da.containsNode=function(a,b){return this.eachRange(function(c){return c.containsNode(a,b)},!0)||!1},da.getBookmark=function(a){return{backward:this.isBackward(),rangeBookmarks:this.callMethodOnEachRange("getBookmark",[a])}},da.moveToBookmark=function(b){for(var c,d,e=[],f=0;c=b.rangeBookmarks[f++];)d=a.createRange(this.win),d.moveToBookmark(c),e.push(d);b.backward?this.setSingleRange(e[0],"backward"):this.setRanges(e)},da.saveRanges=function(){return{backward:this.isBackward(),ranges:this.callMethodOnEachRange("cloneRange")}},da.restoreRanges=function(a){this.removeAllRanges();for(var b,c=0;b=a.ranges[c];++c)this.addRange(b,a.backward&&0==c)},da.toHtml=function(){var a=[];return this.eachRange(function(b){a.push(F.toHtml(b))}),a.join("")},J.implementsTextRange&&(da.getNativeTextRange=function(){var c;if(c=this.docSelection){var d=c.createRange();if(n(d))return d;throw b.createError("getNativeTextRange: selection is a control selection")}if(this.rangeCount>0)return a.WrappedTextRange.rangeToTextRange(this.getRangeAt(0));throw b.createError("getNativeTextRange: selection contains no range")}),da.getName=function(){return"WrappedSelection"},da.inspect=function(){return x(this)},da.detach=function(){t(this.win,"delete"),s(this)},r.detachAll=function(){t(null,"deleteAll")},r.inspect=x,r.isDirectionBackward=c,a.Selection=r,a.selectionPrototype=da,a.addShimListener(function(a){"undefined"==typeof a.getSelection&&(a.getSelection=function(){return ca(a)}),a=null})});var M=!1,N=function(a){M||(M=!0,!H.initialized&&H.config.autoInitialize&&l())};return F&&("complete"==document.readyState?N():(a(document,"addEventListener")&&document.addEventListener("DOMContentLoaded",N,!1),J(window,"load",N))),H},this),function(a,b){"function"==typeof define&&define.amd?define(["./rangy-core"],a):"undefined"!=typeof module&&"object"==typeof exports?module.exports=a(require("rangy")):a(b.rangy)}(function(a){return a.createModule("ClassApplier",["WrappedSelection"],function(a,b){function c(a,b){for(var c in a)if(a.hasOwnProperty(c)&&b(c,a[c])===!1)return!1;return!0}function d(a){return a.replace(/^\s\s*/,"").replace(/\s\s*$/,"")}function e(a,b){return!!a&&new RegExp("(?:^|\\s)"+b+"(?:\\s|$)").test(a)}function f(a,b){if("object"==typeof a.classList)return a.classList.contains(b);var c="string"==typeof a.className,d=c?a.className:a.getAttribute("class");return e(d,b)}function g(a,b){if("object"==typeof a.classList)a.classList.add(b);else{var c="string"==typeof a.className,d=c?a.className:a.getAttribute("class");d?e(d,b)||(d+=" "+b):d=b,c?a.className=d:a.setAttribute("class",d)}}function h(a){var b="string"==typeof a.className;return b?a.className:a.getAttribute("class")}function j(a){return a&&a.split(/\s+/).sort().join(" ")}function k(a){return j(h(a))}function l(a,b){return k(a)==k(b)}function m(a,b){for(var c=b.split(/\s+/),e=0,g=c.length;g>e;++e)if(!f(a,d(c[e])))return!1;return!0}function n(a){var b=a.parentNode;return b&&1==b.nodeType&&!/^(textarea|style|script|select|iframe)$/i.test(b.nodeName)}function o(a,b,c,d,e){var f=a.node,g=a.offset,h=f,i=g;f==d&&g>e&&++i,f!=b||g!=c&&g!=c+1||(h=d,i+=e-c),f==b&&g>c+1&&--i,a.node=h,a.offset=i}function p(a,b,c){a.node==b&&a.offset>c&&--a.offset}function q(a,b,c,d){-1==c&&(c=b.childNodes.length);var e=a.parentNode,f=L.getNodeIndex(a);P(d,function(a){o(a,e,f,b,c)}),b.childNodes.length==c?b.appendChild(a):b.insertBefore(a,b.childNodes[c])}function r(a,b){var c=a.parentNode,d=L.getNodeIndex(a);P(b,function(a){p(a,c,d)}),L.removeNode(a)}function s(a,b,c,d,e){for(var f,g=[];f=a.firstChild;)q(f,b,c++,e),g.push(f);return d&&r(a,e),g}function t(a,b){return s(a,a.parentNode,L.getNodeIndex(a),!0,b)}function u(a,b){var c=a.cloneRange();c.selectNodeContents(b);var d=c.intersection(a),e=d?d.toString():"";return""!=e}function v(a){for(var b,c=a.getNodes([3]),d=0;(b=c[d])&&!u(a,b);)++d;for(var e=c.length-1;(b=c[e])&&!u(a,b);)--e;return c.slice(d,e+1)}function w(a,b){if(a.attributes.length!=b.attributes.length)return!1;for(var c,d,e,f=0,g=a.attributes.length;g>f;++f)if(c=a.attributes[f],e=c.name,"class"!=e){if(d=b.attributes.getNamedItem(e),null===c!=(null===d))return!1;if(c.specified!=d.specified)return!1;if(c.specified&&c.nodeValue!==d.nodeValue)return!1}return!0}function x(a,b){for(var c,d=0,e=a.attributes.length;e>d;++d)if(c=a.attributes[d].name,(!b||!N(b,c))&&a.attributes[d].specified&&"class"!=c)return!0;return!1}function y(a){var b;return a&&1==a.nodeType&&((b=a.parentNode)&&9==b.nodeType&&"on"==b.designMode||U(a)&&!U(a.parentNode))}function z(a){return(U(a)||1!=a.nodeType&&U(a.parentNode))&&!y(a)}function A(a){return a&&1==a.nodeType&&!V.test(T(a,"display"))}function B(a){if(0==a.data.length)return!0;if(W.test(a.data))return!1;var b=T(a.parentNode,"whiteSpace");switch(b){case"pre":case"pre-wrap":case"-moz-pre-wrap":return!1;case"pre-line":if(/[\r\n]/.test(a.data))return!1}return A(a.previousSibling)||A(a.nextSibling)}function C(a){var b,c,d=[];for(b=0;c=a[b++];)d.push(new M(c.startContainer,c.startOffset),new M(c.endContainer,c.endOffset));return d}function D(a,b){for(var c,d,e,f=0,g=a.length;g>f;++f)c=a[f],d=b[2*f],e=b[2*f+1],c.setStartAndEnd(d.node,d.offset,e.node,e.offset)}function E(a,b){return L.isCharacterDataNode(a)?0==b?!!a.previousSibling:b==a.length?!!a.nextSibling:!0:b>0&&bg;++g)"*"==e[g]?k.applyToAnyTagName=!0:k.tagNames.push(e[g].toLowerCase());else k.tagNames=[k.elementTagName]}function K(a,b,c){return new J(a,b,c)}var L=a.dom,M=L.DomPosition,N=L.arrayContains,O=a.util,P=O.forEach,Q="span",R=O.isHostMethod(document,"createElementNS"),S=function(){function a(a,b,c){return b&&c?" ":""}return function(b,c){if("object"==typeof b.classList)b.classList.remove(c);else{var d="string"==typeof b.className,e=d?b.className:b.getAttribute("class");e=e.replace(new RegExp("(^|\\s)"+c+"(\\s|$)"),a),d?b.className=e:b.setAttribute("class",e)}}}(),T=L.getComputedStyleProperty,U=function(){var a=document.createElement("div");return"boolean"==typeof a.isContentEditable?function(a){return a&&1==a.nodeType&&a.isContentEditable}:function(a){return a&&1==a.nodeType&&"false"!=a.contentEditable?"true"==a.contentEditable||U(a.parentNode):!1}}(),V=/^inline(-block|-table)?$/i,W=/[^\r\n\t\f \u200B]/,X=H(!1),Y=H(!0);I.prototype={doMerge:function(a){var b=this.textNodes,c=b[0];if(b.length>1){var d,e=L.getNodeIndex(c),f=[],g=0;P(b,function(b,h){d=b.parentNode,h>0&&(d.removeChild(b),d.hasChildNodes()||L.removeNode(d),a&&P(a,function(a){a.node==b&&(a.node=c,a.offset+=g),a.node==d&&a.offset>e&&(--a.offset,a.offset==e+1&&hi;++i)e=g[i],f=c.getSelfOrAncestorWithClass(e),f&&c.isModifiable(e)&&c.undoToAncestor(f,d);a.setStartAndEnd(g[0],0,h,h.length),c.normalize&&c.postApply(g,a,d,!0),D(b,d)}var k=c.getEmptyElements(a);P(k,function(a){S(a,c.className)})},undoToRanges:function(a){for(var b=a.length;b--;)this.undoToRange(a[b],a);return a},undoToSelection:function(b){var c=a.getSelection(b),d=a.getSelection(b).getAllRanges();this.undoToRanges(d),c.setRanges(d)},isAppliedToRange:function(a){if(a.collapsed||""==a.toString())return!!this.getSelfOrAncestorWithClass(a.commonAncestorContainer);var b=a.getNodes([3]);if(b.length)for(var c,d=0;c=b[d++];)if(!this.isIgnorableWhiteSpaceNode(c)&&u(a,c)&&this.isModifiable(c)&&!this.getSelfOrAncestorWithClass(c))return!1;return!0},isAppliedToRanges:function(a){var b=a.length;if(0==b)return!1;for(;b--;)if(!this.isAppliedToRange(a[b]))return!1;return!0},isAppliedToSelection:function(b){var c=a.getSelection(b);return this.isAppliedToRanges(c.getAllRanges())},toggleRange:function(a){this.isAppliedToRange(a)?this.undoToRange(a):this.applyToRange(a)},toggleSelection:function(a){this.isAppliedToSelection(a)?this.undoToSelection(a):this.applyToSelection(a)},getElementsWithClassIntersectingRange:function(a){var b=[],c=this;return a.getNodes([3],function(a){var d=c.getSelfOrAncestorWithClass(a);d&&!N(b,d)&&b.push(d)}),b},detach:function(){}},J.util={hasClass:f,addClass:g,removeClass:S,getClass:h,hasSameClasses:l,hasAllClasses:m,replaceWithOwnChildren:t,elementsHaveSameNonClassAttributes:w,elementHasNonClassAttributes:x,splitNodeAt:F,isEditableElement:U,isEditingHost:y,isEditable:z},a.CssClassApplier=a.ClassApplier=J,a.createClassApplier=K,O.createAliasForDeprecatedMethod(a,"createCssClassApplier","createClassApplier",b)}),a},this),function(a,b){"function"==typeof define&&define.amd?define(["./rangy-core"],a):"undefined"!=typeof module&&"object"==typeof exports?module.exports=a(require("rangy")):a(b.rangy)}(function(a){return a.createModule("Highlighter",["ClassApplier"],function(a,b){function c(a,b){return a.characterRange.start-b.characterRange.start}function d(a,b){return b?a.getElementById(b):m(a)}function e(a,b){this.type=a,this.converterCreator=b}function f(a,b){q[a]=new e(a,b)}function g(a){var b=q[a];if(b instanceof e)return b.create();throw new Error("Highlighter type '"+a+"' is not valid")}function h(a,b){this.start=a,this.end=b}function i(a,b,c,d,e,f){e?(this.id=e,p=Math.max(p,e+1)):this.id=p++,this.characterRange=b,this.doc=a,this.classApplier=c,this.converter=d,this.containerElementId=f||null,this.applied=!1}function j(a,b){b=b||"textContent",this.doc=a||document,this.classAppliers={},this.highlights=[],this.converter=g(b)}var k=a.dom,l=k.arrayContains,m=k.getBody,n=a.util.createOptions,o=a.util.forEach,p=1,q={};e.prototype.create=function(){var a=this.converterCreator();return a.type=this.type,a},a.registerHighlighterType=f,h.prototype={intersects:function(a){return this.starta.start},isContiguousWith:function(a){return this.start==a.end||this.end==a.start},union:function(a){return new h(Math.min(this.start,a.start),Math.max(this.end,a.end))},intersection:function(a){return new h(Math.max(this.start,a.start),Math.min(this.end,a.end))},getComplements:function(a){var b=[];if(this.start>=a.start){if(this.end<=a.end)return[];b.push(new h(a.end,this.end))}else b.push(new h(this.start,Math.min(this.end,a.start))),this.end>a.end&&b.push(new h(a.end,this.end));return b},toString:function(){return"[CharacterRange("+this.start+", "+this.end+")]"}},h.fromCharacterRange=function(a){return new h(a.start,a.end)};var r={rangeToCharacterRange:function(a,b){var c=a.getBookmark(b);return new h(c.start,c.end)},characterRangeToRange:function(b,c,d){var e=a.createRange(b);return e.moveToBookmark({start:c.start,end:c.end,containerNode:d}),e},serializeSelection:function(a,b){for(var c=a.getAllRanges(),d=c.length,e=[],f=1==d&&a.isBackward(),g=0,h=c.length;h>g;++g)e[g]={characterRange:this.rangeToCharacterRange(c[g],b),backward:f};return e},restoreSelection:function(a,b,c){a.removeAllRanges();for(var d,e,f,g=a.win.document,h=0,i=b.length;i>h;++h)e=b[h],f=e.characterRange,d=this.characterRangeToRange(g,e.characterRange,c),a.addRange(d,e.backward)}};f("textContent",function(){return r}),f("TextRange",function(){var b;return function(){if(!b){var c=a.modules.TextRange;if(!c)throw new Error("TextRange module is missing.");if(!c.supported)throw new Error("TextRange module is present but not supported.");b={rangeToCharacterRange:function(a,b){return h.fromCharacterRange(a.toCharacterRange(b))},characterRangeToRange:function(b,c,d){var e=a.createRange(b);return e.selectCharacters(d,c.start,c.end),e},serializeSelection:function(a,b){return a.saveCharacterRanges(b)},restoreSelection:function(a,b,c){a.restoreCharacterRanges(c,b)}}}return b}}()),i.prototype={getContainerElement:function(){return d(this.doc,this.containerElementId)},getRange:function(){return this.converter.characterRangeToRange(this.doc,this.characterRange,this.getContainerElement())},fromRange:function(a){this.characterRange=this.converter.rangeToCharacterRange(a,this.getContainerElement())},getText:function(){return this.getRange().toString()},containsElement:function(a){return this.getRange().containsNodeContents(a.firstChild)},unapply:function(){this.classApplier.undoToRange(this.getRange()),this.applied=!1},apply:function(){this.classApplier.applyToRange(this.getRange()),this.applied=!0},getHighlightElements:function(){return this.classApplier.getElementsWithClassIntersectingRange(this.getRange())},toString:function(){return"[Highlight(ID: "+this.id+", class: "+this.classApplier.className+", character range: "+this.characterRange.start+" - "+this.characterRange.end+")]"}},j.prototype={addClassApplier:function(a){this.classAppliers[a.className]=a},getHighlightForElement:function(a){for(var b=this.highlights,c=0,d=b.length;d>c;++c)if(b[c].containsElement(a))return b[c];return null},removeHighlights:function(a){for(var b,c=0,d=this.highlights.length;d>c;++c)b=this.highlights[c],l(a,b)&&(b.unapply(),this.highlights.splice(c--,1))},removeAllHighlights:function(){this.removeHighlights(this.highlights)},getIntersectingHighlights:function(a){var b=[],c=this.highlights;return o(a,function(a){o(c,function(c){a.intersectsRange(c.getRange())&&!l(b,c)&&b.push(c)})}),b},highlightCharacterRanges:function(b,c,d){var e,f,g,j=this.highlights,k=this.converter,l=this.doc,m=[],p=b?this.classAppliers[b]:null;d=n(d,{containerElementId:null,exclusive:!0});var q,r,s,t=d.containerElementId,u=d.exclusive;t&&(q=this.doc.getElementById(t),q&&(r=a.createRange(this.doc),r.selectNodeContents(q),s=new h(0,r.toString().length)));var v,w,x,y,z,A;for(e=0,f=c.length;f>e;++e)if(v=c[e],z=[],s&&(v=v.intersection(s)),v.start!=v.end){for(g=0;g0},serialize:function(a){var b,d,e,f,h=this,i=h.highlights;return i.sort(c),a=n(a,{serializeHighlightText:!1,type:h.converter.type}),b=a.type,e=b!=h.converter.type,e&&(f=g(b)),d=["type:"+b],o(i,function(b){var c,g=b.characterRange;e&&(c=b.getContainerElement(),g=f.rangeToCharacterRange(h.converter.characterRangeToRange(h.doc,g,c),c));var i=[g.start,g.end,b.id,b.classApplier.className,b.containerElementId];a.serializeHighlightText&&i.push(b.getText()),d.push(i.join("$"))}),d.join("|")},deserialize:function(a){var b,c,e,f=a.split("|"),j=[],k=f[0],l=!1;if(!k||!(b=/^type:(\w+)$/.exec(k)))throw new Error("Serialized highlights are invalid.");c=b[1],c!=this.converter.type&&(e=g(c),l=!0),f.shift();for(var m,n,o,p,q,r,s=f.length;s-- >0;){if(r=f[s].split("$"),o=new h(+r[0],+r[1]),p=r[4]||null,l&&(q=d(this.doc,p),o=this.converter.rangeToCharacterRange(e.characterRangeToRange(this.doc,o,q),q)),m=this.classAppliers[r[3]],!m)throw new Error("No class applier found for class '"+r[3]+"'");n=new i(this.doc,o,m,this.converter,parseInt(r[2]),p),n.apply(),j.push(n)}this.highlights=j}},a.Highlighter=j,a.createHighlighter=function(a,b){return new j(a,b)}}),a},this),function(a,b){"function"==typeof define&&define.amd?define(["./rangy-core"],a):"undefined"!=typeof module&&"object"==typeof exports?module.exports=a(require("rangy")):a(b.rangy)}(function(a){return a.createModule("SaveRestore",["WrappedRange"],function(a,b){function c(a,b){return(b||document).getElementById(a)}function d(a,b){var c,d="selectionBoundary_"+ +new Date+"_"+(""+Math.random()).slice(2),e=o.getDocument(a.startContainer),f=a.cloneRange();return f.collapse(b),c=e.createElement("span"),c.id=d,c.style.lineHeight="0",c.style.display="none",c.className="rangySelectionBoundary",c.appendChild(e.createTextNode(r)),f.insertNode(c),c}function e(a,d,e,f){var g=c(e,a);g?(d[f?"setStartBefore":"setEndBefore"](g),p(g)):b.warn("Marker element has been removed. Cannot restore selection.")}function f(a,b){return b.compareBoundaryPoints(a.START_TO_START,a)}function g(b,c){var e,f,g=a.DomRange.getRangeDocument(b),h=b.toString(),i=q(c);return b.collapsed?(f=d(b,!1),{document:g,markerId:f.id,collapsed:!0}):(f=d(b,!1),e=d(b,!0),{document:g,startMarkerId:e.id,endMarkerId:f.id,collapsed:!1,backward:i,toString:function(){return"original text: '"+h+"', new text: '"+b.toString()+"'"}})}function h(d,f){var g=d.document;"undefined"==typeof f&&(f=!0);var h=a.createRange(g);if(d.collapsed){var i=c(d.markerId,g);if(i){i.style.display="inline";var j=i.previousSibling;j&&3==j.nodeType?(p(i),h.collapseToPoint(j,j.length)):(h.collapseBefore(i),p(i))}else b.warn("Marker element has been removed. Cannot restore selection.")}else e(g,h,d.startMarkerId,!0),e(g,h,d.endMarkerId,!1);return f&&h.normalizeBoundaries(),h}function i(b,d){var e,h,i=[],j=q(d);b=b.slice(0),b.sort(f);for(var k=0,l=b.length;l>k;++k)i[k]=g(b[k],j);for(k=l-1;k>=0;--k)e=b[k],h=a.DomRange.getRangeDocument(e),e.collapsed?e.collapseAfter(c(i[k].markerId,h)):(e.setEndBefore(c(i[k].endMarkerId,h)),e.setStartAfter(c(i[k].startMarkerId,h)));return i}function j(c){if(!a.isSelectionValid(c))return b.warn("Cannot save selection. This usually happens when the selection is collapsed and the selection document has lost focus."),null;var d=a.getSelection(c),e=d.getAllRanges(),f=1==e.length&&d.isBackward(),g=i(e,f);return f?d.setSingleRange(e[0],f):d.setRanges(e),{win:c,rangeInfos:g,restored:!1}}function k(a){for(var b=[],c=a.length,d=c-1;d>=0;d--)b[d]=h(a[d],!0);return b}function l(b,c){if(!b.restored){var d=b.rangeInfos,e=a.getSelection(b.win),f=k(d),g=d.length;1==g&&c&&a.features.selectionHasExtend&&d[0].backward?(e.removeAllRanges(),e.addRange(f[0],!0)):e.setRanges(f),b.restored=!0}}function m(a,b){var d=c(b,a);d&&p(d)}function n(a){for(var b,c=a.rangeInfos,d=0,e=c.length;e>d;++d)b=c[d],b.collapsed?m(a.doc,b.markerId):(m(a.doc,b.startMarkerId),m(a.doc,b.endMarkerId))}var o=a.dom,p=o.removeNode,q=a.Selection.isDirectionBackward,r="\ufeff";a.util.extend(a,{saveRange:g,restoreRange:h,saveRanges:i,restoreRanges:k,saveSelection:j,restoreSelection:l,removeMarkerElement:m,removeMarkers:n})}),a},this),function(a,b){"function"==typeof define&&define.amd?define(["./rangy-core"],a):"undefined"!=typeof module&&"object"==typeof exports?module.exports=a(require("rangy")):a(b.rangy)}(function(a){return a.createModule("Serializer",["WrappedSelection"],function(a,b){function c(a){return a.replace(//g,">")}function d(a,b){b=b||[];var e=a.nodeType,f=a.childNodes,g=f.length,h=[e,a.nodeName,g].join(":"),i="",j="";switch(e){case 3:i=c(a.nodeValue);break;case 8:i="";break;default:i="<"+h+">",j=""}i&&b.push(i);for(var k=0;g>k;++k)d(f[k],b);return j&&b.push(j),b}function e(a){var b=d(a).join("");return s(b).toString(16)}function f(a,b,c){var d=[],e=a;for(c=c||t.getDocument(a).documentElement;e&&e!=c;)d.push(t.getNodeIndex(e,!0)),e=e.parentNode;return d.join("/")+":"+b}function g(a,c,d){c||(c=(d||document).documentElement);for(var e,f=a.split(":"),g=c,h=f[0]?f[0].split("/"):[],i=h.length;i--;){if(e=parseInt(h[i],10),!(eg;++g)f[g]=h(e[g],c,d);return f.join("|")}function l(b,c,d){c?d=d||t.getWindow(c):(d=d||window,c=d.document.documentElement);for(var e=b.split("|"),f=a.getSelection(d),g=[],h=0,j=e.length;j>h;++h)g[h]=i(e[h],c,d.document);return f.setRanges(g),f}function m(a,b,c){var d;b?d=c?c.document:t.getDocument(b):(c=c||window,b=c.document.documentElement);for(var e=a.split("|"),f=0,g=e.length;g>f;++f)if(!j(e[f],b,d))return!1;return!0}function n(a){for(var b,c,d=a.split(/[;,]/),e=0,f=d.length;f>e;++e)if(b=d[e].split("="),b[0].replace(/^\s+/,"")==v&&(c=b[1]))return decodeURIComponent(c.replace(/\s+$/,""));return null}function o(a){a=a||window;var b=n(a.document.cookie);b&&l(b,a.doc)}function p(b,c){b=b||window,c="object"==typeof c?c:{};var d=c.expires?";expires="+c.expires.toUTCString():"",e=c.path?";path="+c.path:"",f=c.domain?";domain="+c.domain:"",g=c.secure?";secure":"",h=k(a.getSelection(b));b.document.cookie=encodeURIComponent(v)+"="+encodeURIComponent(h)+d+e+f+g}var q="undefined",r=a.util;typeof encodeURIComponent!=q&&typeof decodeURIComponent!=q||b.fail("encodeURIComponent and/or decodeURIComponent method is missing");var s=function(){function a(a){for(var b,c=[],d=0,e=a.length;e>d;++d)b=a.charCodeAt(d),128>b?c.push(b):2048>b?c.push(b>>6|192,63&b|128):c.push(b>>12|224,b>>6&63|128,63&b|128);return c}function b(){for(var a,b,c=[],d=0;256>d;++d){for(b=d,a=8;a--;)1==(1&b)?b=b>>>1^3988292384:b>>>=1;c[d]=b>>>0}return c}function c(){return d||(d=b()),d}var d=null;return function(b){for(var d,e=a(b),f=-1,g=c(),h=0,i=e.length;i>h;++h)d=255&(f^e[h]),f=f>>>8^g[d];return(-1^f)>>>0}}(),t=a.dom,u=/^([^,]+),([^,\{]+)(\{([^}]+)\})?$/,v="rangySerializedSelection";r.extend(a,{serializePosition:f,deserializePosition:g,serializeRange:h,deserializeRange:i,canDeserializeRange:j,serializeSelection:k,deserializeSelection:l,canDeserializeSelection:m,restoreSelectionFromCookie:o,saveSelectionCookie:p,getElementChecksum:e,nodeToInfoString:d}),r.crc32=s}),a},this),function(a,b){"function"==typeof define&&define.amd?define(["./rangy-core"],a):"undefined"!=typeof module&&"object"==typeof exports?module.exports=a(require("rangy")):a(b.rangy)}(function(a){return a.createModule("TextRange",["WrappedSelection"],function(a,b){function c(a,b){function c(a,b,c){h.push({start:a,end:b,isWord:c})}for(var d,e,f,g=a.join(""),h=[],i=0;d=b.wordRegex.exec(g);){if(e=d.index,f=e+d[0].length,e>i&&c(i,e,!1),b.includeTrailingSpace)for(;$.test(a[f]);)++f;c(e,f,!0),i=f}return ie;++e)c[e].token=d;return d}function e(a,b,c){for(var e,f=c(a,b),g=[],h=0;e=f[h++];)g.push(d(a,e));return g}function f(a){var b=a||"",c="string"==typeof b?b.split(""):b;return c.sort(function(a,b){return a.charCodeAt(0)-b.charCodeAt(0)}),c.join("").replace(/(.)\1+/g,"$1")}function g(a){var b,c;return a?(b=a.language||_,c={},U(c,ia[b]||ia[_]),U(c,a),c):ia[_]}function h(a,b){var c=V(a,b);return b.hasOwnProperty("wordOptions")&&(c.wordOptions=g(c.wordOptions)),b.hasOwnProperty("characterOptions")&&(c.characterOptions=V(c.characterOptions,ga)),c}function i(a,b){var c=na(a,"display",b),d=a.tagName.toLowerCase();return"block"==c&&fa&&oa.hasOwnProperty(d)?oa[d]:c}function j(a){for(var b=o(a),c=0,d=b.length;d>c;++c)if(1==b[c].nodeType&&"none"==i(b[c]))return!0;return!1}function k(a){var b;return 3==a.nodeType&&(b=a.parentNode)&&"hidden"==na(b,"visibility")}function l(a){return a&&(1==a.nodeType&&!/^(inline(-block|-table)?|none)$/.test(i(a))||9==a.nodeType||11==a.nodeType)}function m(a){return S.isCharacterDataNode(a)||!/^(area|base|basefont|br|col|frame|hr|img|input|isindex|link|meta|param)$/i.test(a.nodeName)}function n(a){for(var b=[];a.parentNode;)b.unshift(a.parentNode),a=a.parentNode;return b}function o(a){return n(a).concat([a])}function p(a){for(;a&&!a.nextSibling;)a=a.parentNode;return a?a.nextSibling:null}function q(a,b){return!b&&a.hasChildNodes()?a.firstChild:p(a)}function r(a){var b=a.previousSibling;if(b){for(a=b;a.hasChildNodes();)a=a.lastChild;return a}var c=a.parentNode;return c&&1==c.nodeType?c:null}function s(a){if(!a||3!=a.nodeType)return!1;var b=a.data;if(""===b)return!0;var c=a.parentNode;if(!c||1!=c.nodeType)return!1;var d=na(a.parentNode,"whiteSpace");return/^[\t\n\r ]+$/.test(b)&&/^(normal|nowrap)$/.test(d)||/^[\t\r ]+$/.test(b)&&"pre-line"==d}function t(a){if(""===a.data)return!0;if(!s(a))return!1;var b=a.parentNode;return b?!!j(a):!0}function u(a){var b=a.nodeType;return 7==b||8==b||j(a)||/^(script|style)$/i.test(a.nodeName)||k(a)||t(a)}function v(a,b){var c=a.nodeType;return 7==c||8==c||1==c&&"none"==i(a,b)}function w(){this.store={}}function x(a,b,c){return function(d){var e=this.cache;if(e.hasOwnProperty(a))return pa++,e[a];qa++;var f=b.call(this,c?this[c]:this,d);return e[a]=f,f}}function y(a,b){this.node=a,this.session=b,this.cache=new w,this.positions=new w}function z(a,b){this.offset=b,this.nodeWrapper=a,this.node=a.node,this.session=a.session,this.cache=new w}function A(){return"[Position("+S.inspectNode(this.node)+":"+this.offset+")]"}function B(){return D(),Da=new Ea}function C(){return Da||B()}function D(){Da&&Da.detach(),Da=null}function E(a,c,d,e){function f(){var a=null;return c?(a=h,i||(h=h.previousVisible(),i=!h||d&&h.equals(d))):i||(a=h=h.nextVisible(),i=!h||d&&h.equals(d)),i&&(h=null),a}d&&(c?u(d.node)&&(d=a.previousVisible()):u(d.node)&&(d=d.nextVisible()));var g,h=a,i=!1,j=!1;return{next:function(){if(j)return j=!1,g;for(var a,b;a=f();)if(b=a.getCharacter(e))return g=a,a;return null},rewind:function(){if(!g)throw b.createError("createCharacterIterator: cannot rewind. Only one position can be rewound.");j=!0},dispose:function(){a=d=null}}}function F(a,b,c){function d(a){for(var b,c,d=[],e=a?f:g,h=!1,i=!1;b=e.next();){if(c=b.character,Z.test(c))i&&(i=!1,h=!0);else{if(h){e.rewind();break}i=!0}d.push(b)}return d}var f=E(a,!1,null,b),g=E(a,!0,null,b),h=c.tokenizer,i=d(!0),j=d(!1).reverse(),k=e(j.concat(i),c,h),l=i.length?k.slice(Fa(k,i[0].token)):[],m=j.length?k.slice(0,Fa(k,j.pop().token)+1):[];return{nextEndToken:function(){for(var a,b;1==l.length&&!(a=l[0]).isWord&&(b=d(!0)).length>0;)l=e(a.chars.concat(b),c,h);return l.shift()},previousStartToken:function(){for(var a,b;1==m.length&&!(a=m[0]).isWord&&(b=d(!1)).length>0;)m=e(b.reverse().concat(a.chars),c,h);return m.pop()},dispose:function(){f.dispose(),g.dispose(),l=m=null}}}function G(a,b,c,d,e){var f,g,h,i,j=0,k=a,l=Math.abs(c);if(0!==c){var m=0>c;switch(b){case Q:for(g=E(a,m,null,d);(f=g.next())&&l>j;)++j,k=f;h=f,g.dispose();break;case R:for(var n=F(a,d,e),o=m?n.previousStartToken:n.nextEndToken;(i=o())&&l>j;)i.isWord&&(++j,k=m?i.chars[0]:i.chars[i.chars.length-1]);break;default:throw new Error("movePositionBy: unit '"+b+"' not implemented")}m?(k=k.previousVisible(),j=-j):k&&k.isLeadingSpace&&!k.isTrailingSpace&&(b==R&&(g=E(a,!1,null,d),h=g.next(),g.dispose()),h&&(k=h.previousVisible()))}return{position:k,unitsMoved:j}}function H(a,b,c,d){var e=a.getRangeBoundaryPosition(b,!0),f=a.getRangeBoundaryPosition(b,!1),g=d?f:e,h=d?e:f;return E(g,!!d,h,c)}function I(a,b,c){for(var d,e=[],f=H(a,b,c);d=f.next();)e.push(d);return f.dispose(),e}function J(b,c,d){var e=a.createRange(b.node);return e.setStartAndEnd(b.node,b.offset,c.node,c.offset),!e.expand("word",{wordOptions:d})}function K(a,b,c,d,e){function f(a,b){var c=p[a].previousVisible(),d=p[b-1],f=!e.wholeWordsOnly||J(c,d,e.wordOptions);return{startPos:c,endPos:d,valid:f}}for(var g,h,i,j,k,l,m=aa(e.direction),n=E(a,m,a.session.getRangeBoundaryPosition(d,m),e.characterOptions),o="",p=[],q=null;g=n.next();)if(h=g.character,c||e.caseSensitive||(h=h.toLowerCase()),m?(p.unshift(g),o=h+o):(p.push(g),o+=h),c){if(k=b.exec(o))if(i=k.index,j=i+k[0].length,l){if(!m&&j0){q=f(i,j);break}}else l=!0}else if(-1!=(i=o.indexOf(b))){q=f(i,i+b.length);break}return l&&(q=f(i,j)),n.dispose(),q}function L(a){return function(){var b=!!Da,c=C(),d=[c].concat(T.toArray(arguments)),e=a.apply(this,d);return b||D(),e}}function M(a,b){return L(function(c,d,e,f){typeof e==P&&(e=d,d=Q),f=h(f,ka);var g=a;b&&(g=e>=0,this.collapse(!g));var i=G(c.getRangeBoundaryPosition(this,g),d,e,f.characterOptions,f.wordOptions),j=i.position;return this[g?"setStart":"setEnd"](j.node,j.offset),i.unitsMoved})}function N(a){return L(function(b,c){c=V(c,ga);for(var d,e=H(b,this,c,!a),f=0;(d=e.next())&&Z.test(d.character);)++f;e.dispose();var g=f>0;return g&&this[a?"moveStart":"moveEnd"]("character",a?f:-f,{characterOptions:c}),g})}function O(a){return L(function(b,c){var d=!1;return this.changeEachRange(function(b){d=b[a](c)||d}),d})}var P="undefined",Q="character",R="word",S=a.dom,T=a.util,U=T.extend,V=T.createOptions,W=S.getBody,X=/^[ \t\f\r\n]+$/,Y=/^[ \t\f\r]+$/,Z=/^[\t-\r \u0085\u00A0\u1680\u180E\u2000-\u200B\u2028\u2029\u202F\u205F\u3000]+$/,$=/^[\t \u00A0\u1680\u180E\u2000-\u200B\u202F\u205F\u3000]+$/,_="en",aa=a.Selection.isDirectionBackward,ba=!1,ca=!1,da=!1,ea=!0;!function(){var b=S.createTestElement(document,"

        1

        ",!0),c=b.firstChild,d=a.getSelection();d.collapse(c.lastChild,2),d.setStart(c.firstChild,0),ba=1==(""+d).length,b.innerHTML="1
        ",d.collapse(b,2),d.setStart(b.firstChild,0),ca=1==(""+d).length,b.innerHTML="1

        1

        ",d.collapse(b,2),d.setStart(b.firstChild,0),da=1==(""+d).length,S.removeNode(b),d.removeAllRanges()}();var fa,ga={includeBlockContentTrailingSpace:!0,includeSpaceBeforeBr:!0,includeSpaceBeforeBlock:!0,includePreLineTrailingSpace:!0,ignoreCharacters:""},ha={includeBlockContentTrailingSpace:!ea,includeSpaceBeforeBr:!ca,includeSpaceBeforeBlock:!da,includePreLineTrailingSpace:!0},ia={en:{wordRegex:/[a-z0-9]+('[a-z0-9]+)*/gi,includeTrailingSpace:!1,tokenizer:c}},ja={caseSensitive:!1,withinRange:null,wholeWordsOnly:!1,wrap:!1,direction:"forward",wordOptions:null,characterOptions:null},ka={wordOptions:null,characterOptions:null},la={wordOptions:null,characterOptions:null,trim:!1,trimStart:!0,trimEnd:!0},ma={wordOptions:null,characterOptions:null,direction:"forward"},na=S.getComputedStyleProperty;!function(){var a=document.createElement("table"),b=W(document);b.appendChild(a),fa="block"==na(a,"display"),b.removeChild(a)}();var oa={table:"table",caption:"table-caption",colgroup:"table-column-group",col:"table-column",thead:"table-header-group",tbody:"table-row-group",tfoot:"table-footer-group",tr:"table-row",td:"table-cell",th:"table-cell"};w.prototype={get:function(a){return this.store.hasOwnProperty(a)?this.store[a]:null},set:function(a,b){return this.store[a]=b}};var pa=0,qa=0,ra={getPosition:function(a){var b=this.positions;return b.get(a)||b.set(a,new z(this,a))},toString:function(){return"[NodeWrapper("+S.inspectNode(this.node)+")]"}};y.prototype=ra;var sa="EMPTY",ta="NON_SPACE",ua="UNCOLLAPSIBLE_SPACE",va="COLLAPSIBLE_SPACE",wa="TRAILING_SPACE_BEFORE_BLOCK",xa="TRAILING_SPACE_IN_BLOCK",ya="TRAILING_SPACE_BEFORE_BR",za="PRE_LINE_TRAILING_SPACE_BEFORE_LINE_BREAK",Aa="TRAILING_LINE_BREAK_AFTER_BR",Ba="INCLUDED_TRAILING_LINE_BREAK_AFTER_BR";U(ra,{isCharacterDataNode:x("isCharacterDataNode",S.isCharacterDataNode,"node"),getNodeIndex:x("nodeIndex",S.getNodeIndex,"node"),getLength:x("nodeLength",S.getNodeLength,"node"),containsPositions:x("containsPositions",m,"node"),isWhitespace:x("isWhitespace",s,"node"),isCollapsedWhitespace:x("isCollapsedWhitespace",t,"node"),getComputedDisplay:x("computedDisplay",i,"node"),isCollapsed:x("collapsed",u,"node"),isIgnored:x("ignored",v,"node"),next:x("nextPos",q,"node"),previous:x("previous",r,"node"),getTextNodeInfo:x("textNodeInfo",function(a){var b=null,c=!1,d=na(a.parentNode,"whiteSpace"),e="pre-line"==d;return e?(b=Y,c=!0):"normal"!=d&&"nowrap"!=d||(b=X,c=!0),{node:a,text:a.data,spaceRegex:b,collapseSpaces:c,preLine:e}},"node"),hasInnerText:x("hasInnerText",function(a,b){for(var c=this.session,d=c.getPosition(a.parentNode,this.getNodeIndex()+1),e=c.getPosition(a,0),f=b?d:e,g=b?e:d;f!==g;){if(f.prepopulateChar(),f.isDefinitelyNonEmpty())return!0;f=b?f.previousVisible():f.nextVisible()}return!1},"node"),isRenderedBlock:x("isRenderedBlock",function(a){for(var b=a.getElementsByTagName("br"),c=0,d=b.length;d>c;++c)if(!u(b[c]))return!0;return this.hasInnerText()},"node"),getTrailingSpace:x("trailingSpace",function(a){if("br"==a.tagName.toLowerCase())return"";switch(this.getComputedDisplay()){case"inline":for(var b=a.lastChild;b;){if(!v(b))return 1==b.nodeType?this.session.getNodeWrapper(b).getTrailingSpace():"";b=b.previousSibling}break;case"inline-block":case"inline-table":case"none":case"table-column":case"table-column-group":break;case"table-cell":return" ";default:return this.isRenderedBlock(!0)?"\n":""}return""},"node"),getLeadingSpace:x("leadingSpace",function(a){switch(this.getComputedDisplay()){case"inline":case"inline-block":case"inline-table":case"none":case"table-column":case"table-column-group":case"table-cell":break;default:return this.isRenderedBlock(!1)?"\n":""}return""},"node")});var Ca={character:"",characterType:sa,isBr:!1,prepopulateChar:function(){var a=this;if(!a.prepopulatedChar){var b=a.node,c=a.offset,d="",e=sa,f=!1;if(c>0)if(3==b.nodeType){var g=b.data,h=g.charAt(c-1),i=a.nodeWrapper.getTextNodeInfo(),j=i.spaceRegex;i.collapseSpaces?j.test(h)?c>1&&j.test(g.charAt(c-2))||(i.preLine&&"\n"===g.charAt(c)?(d=" ",e=za):(d=" ",e=va)):(d=h,e=ta,f=!0):(d=h,e=ua,f=!0)}else{var k=b.childNodes[c-1];if(k&&1==k.nodeType&&!u(k)&&("br"==k.tagName.toLowerCase()?(d="\n",a.isBr=!0,e=va,f=!1):a.checkForTrailingSpace=!0),!d){var l=b.childNodes[c];l&&1==l.nodeType&&!u(l)&&(a.checkForLeadingSpace=!0)}}a.prepopulatedChar=!0,a.character=d,a.characterType=e,a.isCharInvariant=f}},isDefinitelyNonEmpty:function(){var a=this.characterType;return a==ta||a==ua},resolveLeadingAndTrailingSpaces:function(){if(this.prepopulatedChar||this.prepopulateChar(),this.checkForTrailingSpace){var a=this.session.getNodeWrapper(this.node.childNodes[this.offset-1]).getTrailingSpace();a&&(this.isTrailingSpace=!0,this.character=a,this.characterType=va),this.checkForTrailingSpace=!1}if(this.checkForLeadingSpace){var b=this.session.getNodeWrapper(this.node.childNodes[this.offset]).getLeadingSpace();b&&(this.isLeadingSpace=!0,this.character=b,this.characterType=va),this.checkForLeadingSpace=!1}},getPrecedingUncollapsedPosition:function(a){for(var b,c=this;c=c.previousVisible();)if(b=c.getCharacter(a),""!==b)return c;return null; -},getCharacter:function(a){function b(){return n||(k=o.getPrecedingUncollapsedPosition(a),n=!0),k}this.resolveLeadingAndTrailingSpaces();var c,d=this.character,e=f(a.ignoreCharacters),g=""!==d&&e.indexOf(d)>-1;if(this.isCharInvariant)return c=g?"":d;var h=["character",a.includeSpaceBeforeBr,a.includeBlockContentTrailingSpace,a.includePreLineTrailingSpace,e].join("_"),i=this.cache.get(h);if(null!==i)return i;var j,k,l="",m=this.characterType==va,n=!1,o=this;return m&&(this.type==Ba?l="\n":" "==d&&(!b()||k.isTrailingSpace||"\n"==k.character||" "==k.character&&k.characterType==va)||("\n"==d&&this.isLeadingSpace?b()&&"\n"!=k.character&&(l="\n"):(j=this.nextUncollapsed(),j&&(j.isBr?this.type=ya:j.isTrailingSpace&&"\n"==j.character?this.type=xa:j.isLeadingSpace&&"\n"==j.character&&(this.type=wa),"\n"==j.character?(this.type!=ya||a.includeSpaceBeforeBr)&&(this.type!=wa||a.includeSpaceBeforeBlock)&&(this.type==xa&&j.isTrailingSpace&&!a.includeBlockContentTrailingSpace||(this.type!=za||j.type!=ta||a.includePreLineTrailingSpace)&&("\n"==d?j.isTrailingSpace?this.isTrailingSpace||this.isBr&&(j.type=Aa,b()&&k.isLeadingSpace&&!k.isTrailingSpace&&"\n"==k.character?j.character="":j.type=Ba):l="\n":" "==d&&(l=" "))):l=d)))),e.indexOf(l)>-1&&(l=""),this.cache.set(h,l),l},equals:function(a){return!!a&&this.node===a.node&&this.offset===a.offset},inspect:A,toString:function(){return this.character}};z.prototype=Ca,U(Ca,{next:x("nextPos",function(a){var b=a.nodeWrapper,c=a.node,d=a.offset,e=b.session;if(!c)return null;var f,g,h;return d==b.getLength()?(f=c.parentNode,g=f?b.getNodeIndex()+1:0):b.isCharacterDataNode()?(f=c,g=d+1):(h=c.childNodes[d],e.getNodeWrapper(h).containsPositions()?(f=h,g=0):(f=c,g=d+1)),f?e.getPosition(f,g):null}),previous:x("previous",function(a){var b,c,d,e=a.nodeWrapper,f=a.node,g=a.offset,h=e.session;return 0==g?(b=f.parentNode,c=b?e.getNodeIndex():0):e.isCharacterDataNode()?(b=f,c=g-1):(d=f.childNodes[g-1],h.getNodeWrapper(d).containsPositions()?(b=d,c=S.getNodeLength(d)):(b=f,c=g-1)),b?h.getPosition(b,c):null}),nextVisible:x("nextVisible",function(a){var b=a.next();if(!b)return null;var c=b.nodeWrapper,d=b.node,e=b;return c.isCollapsed()&&(e=c.session.getPosition(d.parentNode,c.getNodeIndex()+1)),e}),nextUncollapsed:x("nextUncollapsed",function(a){for(var b=a;b=b.nextVisible();)if(b.resolveLeadingAndTrailingSpaces(),""!==b.character)return b;return null}),previousVisible:x("previousVisible",function(a){var b=a.previous();if(!b)return null;var c=b.nodeWrapper,d=b.node,e=b;return c.isCollapsed()&&(e=c.session.getPosition(d.parentNode,c.getNodeIndex())),e})});var Da=null,Ea=function(){function a(a){var b=new w;return{get:function(c){var d=b.get(c[a]);if(d)for(var e,f=0;e=d[f++];)if(e.node===c)return e;return null},set:function(c){var d=c.node[a],e=b.get(d)||b.set(d,[]);e.push(c)}}}function b(){this.initCaches()}var c=T.isHostProperty(document.documentElement,"uniqueID");return b.prototype={initCaches:function(){this.elementCache=c?function(){var a=new w;return{get:function(b){return a.get(b.uniqueID)},set:function(b){a.set(b.node.uniqueID,b)}}}():a("tagName"),this.textNodeCache=a("data"),this.otherNodeCache=a("nodeName")},getNodeWrapper:function(a){var b;switch(a.nodeType){case 1:b=this.elementCache;break;case 3:b=this.textNodeCache;break;default:b=this.otherNodeCache}var c=b.get(a);return c||(c=new y(a,this),b.set(c)),c},getPosition:function(a,b){return this.getNodeWrapper(a).getPosition(b)},getRangeBoundaryPosition:function(a,b){var c=b?"start":"end";return this.getPosition(a[c+"Container"],a[c+"Offset"])},detach:function(){this.elementCache=this.textNodeCache=this.otherNodeCache=null}},b}();U(S,{nextNode:q,previousNode:r});var Fa=Array.prototype.indexOf?function(a,b){return a.indexOf(b)}:function(a,b){for(var c=0,d=a.length;d>c;++c)if(a[c]===b)return c;return-1};U(a.rangePrototype,{moveStart:M(!0,!1),moveEnd:M(!1,!1),move:M(!0,!0),trimStart:N(!0),trimEnd:N(!1),trim:L(function(a,b){var c=this.trimStart(b),d=this.trimEnd(b);return c||d}),expand:L(function(a,b,c){var d=!1;c=h(c,la);var e=c.characterOptions;if(b||(b=Q),b==R){var f,g,i=c.wordOptions,j=a.getRangeBoundaryPosition(this,!0),k=a.getRangeBoundaryPosition(this,!1),l=F(j,e,i),m=l.nextEndToken(),n=m.chars[0].previousVisible();if(this.collapsed)f=m;else{var o=F(k,e,i);f=o.previousStartToken()}return g=f.chars[f.chars.length-1],n.equals(j)||(this.setStart(n.node,n.offset),d=!0),g&&!g.equals(k)&&(this.setEnd(g.node,g.offset),d=!0),c.trim&&(c.trimStart&&(d=this.trimStart(e)||d),c.trimEnd&&(d=this.trimEnd(e)||d)),d}return this.moveEnd(Q,1,c)}),text:L(function(a,b){return this.collapsed?"":I(a,this,V(b,ga)).join("")}),selectCharacters:L(function(a,b,c,d,e){var f={characterOptions:e};b||(b=W(this.getDocument())),this.selectNodeContents(b),this.collapse(!0),this.moveStart("character",c,f),this.collapse(!0),this.moveEnd("character",d-c,f)}),toCharacterRange:L(function(a,b,c){b||(b=W(this.getDocument()));var d,e,f=b.parentNode,g=S.getNodeIndex(b),h=-1==S.comparePoints(this.startContainer,this.endContainer,f,g),i=this.cloneRange();return h?(i.setStartAndEnd(this.startContainer,this.startOffset,f,g),d=-i.text(c).length):(i.setStartAndEnd(f,g,this.startContainer,this.startOffset),d=i.text(c).length),e=d+this.text(c).length,{start:d,end:e}}),findText:L(function(b,c,d){d=h(d,ja),d.wholeWordsOnly&&(d.wordOptions.includeTrailingSpace=!1);var e=aa(d.direction),f=d.withinRange;f||(f=a.createRange(),f.selectNodeContents(this.getDocument()));var g=c,i=!1;"string"==typeof g?d.caseSensitive||(g=g.toLowerCase()):i=!0;var j=b.getRangeBoundaryPosition(this,!e),k=f.comparePoint(j.node,j.offset);-1===k?j=b.getRangeBoundaryPosition(f,!0):1===k&&(j=b.getRangeBoundaryPosition(f,!1));for(var l,m=j,n=!1;;)if(l=K(m,g,i,f,d)){if(l.valid)return this.setStartAndEnd(l.startPos.node,l.startPos.offset,l.endPos.node,l.endPos.offset),!0;m=e?l.startPos:l.endPos}else{if(!d.wrap||n)return!1;f=f.cloneRange(),m=b.getRangeBoundaryPosition(f,!e),f.setBoundary(j.node,j.offset,e),n=!0}}),pasteHtml:function(a){if(this.deleteContents(),a){var b=this.createContextualFragment(a),c=b.lastChild;this.insertNode(b),this.collapseAfter(c)}}}),U(a.selectionPrototype,{expand:L(function(a,b,c){this.changeEachRange(function(a){a.expand(b,c)})}),move:L(function(a,b,c,d){var e=0;if(this.focusNode){this.collapse(this.focusNode,this.focusOffset);var f=this.getRangeAt(0);d||(d={}),d.characterOptions=V(d.characterOptions,ha),e=f.move(b,c,d),this.setSingleRange(f)}return e}),trimStart:O("trimStart"),trimEnd:O("trimEnd"),trim:O("trim"),selectCharacters:L(function(b,c,d,e,f,g){var h=a.createRange(c);h.selectCharacters(c,d,e,g),this.setSingleRange(h,f)}),saveCharacterRanges:L(function(a,b,c){for(var d=this.getAllRanges(),e=d.length,f=[],g=1==e&&this.isBackward(),h=0,i=d.length;i>h;++h)f[h]={characterRange:d[h].toCharacterRange(b,c),backward:g,characterOptions:c};return f}),restoreCharacterRanges:L(function(b,c,d){this.removeAllRanges();for(var e,f,g,h=0,i=d.length;i>h;++h)f=d[h],g=f.characterRange,e=a.createRange(c),e.selectCharacters(c,g.start,g.end,f.characterOptions),this.addRange(e,f.backward)}),text:L(function(a,b){for(var c=[],d=0,e=this.rangeCount;e>d;++d)c[d]=this.getRangeAt(d).text(b);return c.join("")})}),a.innerText=function(b,c){var d=a.createRange(b);d.selectNodeContents(b);var e=d.text(c);return e},a.createWordIterator=function(a,b,c){var d=C();c=h(c,ma);var e=d.getPosition(a,b),f=F(e,c.characterOptions,c.wordOptions),g=aa(c.direction);return{next:function(){return g?f.previousStartToken():f.nextEndToken()},dispose:function(){f.dispose(),this.next=function(){}}}},a.noMutation=function(a){var b=C();a(b),D()},a.noMutation.createEntryPointFunction=L,a.textRange={isBlockNode:l,isCollapsedWhitespaceNode:t,createPosition:L(function(a,b,c){return a.getPosition(b,c)})}}),a},this),"undefined"!=typeof module&&"undefined"!=typeof exports&&module.exports===exports&&(module.exports="textAngular"),function(){"use strict";var a={ie:function(){for(var a,b=3,c=document.createElement("div"),d=c.getElementsByTagName("i");c.innerHTML="",d[0];);return b>4?b:a}(),webkit:/AppleWebKit\/([\d.]+)/i.test(navigator.userAgent)},b=!1;a.webkit&&(document.addEventListener("mousedown",function(a){var c=a||window.event,d=c.target;if(b&&null!==d){for(var e=!1,f=d;null!==f&&"html"!==f.tagName.toLowerCase()&&!e;)e="true"===f.contentEditable,f=f.parentNode;e||(document.getElementById("textAngular-editableFix-010203040506070809").setSelectionRange(0,0),d.focus(),d.select&&d.select())}b=!1},!1),angular.element(document).ready(function(){angular.element(document.body).append(angular.element(''))}));var c=/^(address|article|aside|audio|blockquote|canvas|dd|div|dl|fieldset|figcaption|figure|footer|form|h1|h2|h3|h4|h5|h6|header|hgroup|hr|noscript|ol|output|p|pre|section|table|tfoot|ul|video)$/i,d=/^(ul|li|ol)$/i,e=/^(address|article|aside|audio|blockquote|canvas|dd|div|dl|fieldset|figcaption|figure|footer|form|h1|h2|h3|h4|h5|h6|header|hgroup|hr|noscript|ol|output|p|pre|section|table|tfoot|ul|video|li)$/i;String.prototype.trim||(String.prototype.trim=function(){return this.replace(/^\s+|\s+$/g,"")});var f,g,h,i,j,k;if(a.ie>8||void 0===a.ie){for(var l=document.styleSheets,m=0;m
        ");return d[0].innerHTML=c,angular.forEach(a,function(a){var c=[];a.selector&&""!==a.selector?c=d.find(a.selector):a.customAttribute&&""!==a.customAttribute&&(c=b.getByAttribute(d,a.customAttribute)),angular.forEach(c,function(b){b=angular.element(b),a.selector&&""!==a.selector&&a.customAttribute&&""!==a.customAttribute?void 0!==b.attr(a.customAttribute)&&a.renderLogic(b):a.renderLogic(b)})}),d[0].innerHTML}}]).factory("taFixChrome",function(){var a=function(a){if(!a||!angular.isString(a)||a.length<=0)return a;for(var b,c,d,e=/<([^>\/]+?)style=("([^"]+)"|'([^']+)')([^>]*)>/gi,f="",g=0;b=e.exec(a);)c=b[3]||b[4],c&&c.match(/line-height: 1.[0-9]{3,12};|color: inherit; line-height: 1.1;/i)&&(c=c.replace(/( |)font-family: inherit;|( |)line-height: 1.[0-9]{3,12};|( |)color: inherit;/gi,""),d="<"+b[1].trim(),c.trim().length>0&&(d+=" style="+b[2].substring(0,1)+c+b[2].substring(0,1)),d+=b[5].trim()+">",f+=a.substring(g,b.index)+d,g=b.index+b[0].length);return f+=a.substring(g),g>0?f.replace(/(.*?)<\/span>(|)/gi,"$1"):a};return a}).factory("taSanitize",["$sanitize",function(a){function b(a,b){for(var c,d=0,e=0,f=/<[^>]*>/gi;c=f.exec(a);)if(e=c.index,"/"===c[0].substr(1,1)){if(0===d)break;d--}else d++;return b+a.substring(0,e)+angular.element(b)[0].outerHTML.substring(b.length)+a.substring(e)}function c(a){if(!a||!angular.isString(a)||a.length<=0)return a;for(var d,f,g,h,i,k,l=/<([^>\/]+?)style=("([^"]+)"|'([^']+)')([^>]*)>/gi,m="",n="",o=0;f=l.exec(a);){h=f[3]||f[4];var p=new RegExp(j,"i");if(angular.isString(h)&&p.test(h)){i="";for(var q=new RegExp(j,"ig");g=q.exec(h);)for(d=0;d");k=c(a.substring(o,f.index)),n+=m.length>0?b(k,m):k,h=h.replace(new RegExp(j,"ig"),""),n+="<"+f[1].trim(),h.length>0&&(n+=' style="'+h+'"'),n+=f[5]+">",o=f.index+f[0].length,m=i}}return n+=m.length>0?b(a.substring(o),m):a.substring(o)}function d(a){if(!a||!angular.isString(a)||a.length<=0)return a;for(var b,c=/<([^>\/]+?)align=("([^"]+)"|'([^']+)')([^>]*)>/gi,d="",e=0;b=c.exec(a);){d+=a.substring(e,b.index),e=b.index+b[0].length;var f="<"+b[1]+b[5];/style=("([^"]+)"|'([^']+)')/gi.test(f)?f=f.replace(/style=("([^"]+)"|'([^']+)')/i,'style="$2$3 text-align:'+(b[3]||b[4])+';"'):f+=' style="text-align:'+(b[3]||b[4])+';"',f+=">",d+=f}return d+a.substring(e)}for(var e=[{property:"font-weight",values:["bold"],tag:"b"},{property:"font-style",values:["italic"],tag:"i"}],f=[],g=0;g0&&(h+="|"),h+=e[g].values[i];h+=");)",f.push(h)}var j="("+f.join("|")+")";return function(b,e,f){if(!f)try{b=c(b)}catch(g){}b=d(b);var h;try{h=a(b),f&&(h=b)}catch(g){h=e||""}var i,j=h.match(/(]*>.*?<\/pre[^>]*>)/gi),k=h.replace(/(&#(9|10);)*/gi,""),l=/]*>.*?<\/pre[^>]*>/gi,m=0,n=0;for(h="";null!==(i=l.exec(k))&&m=0;e--)d=angular.element("<"+c+">"+f[e].innerHTML+""),b.after(d);b.remove(),a.setSelectionToElementEnd(d[0])},g=function(b){/()$/i.test(b.innerHTML.trim())?a.setSelectionBeforeElement(angular.element(b).find("br")[0]):a.setSelectionToElementEnd(b)},h=function(a,b){var c=angular.element("<"+b+">"+a[0].innerHTML+"");a.after(c),a.remove(),g(c.find("li")[0])},i=function(a,c,d){for(var e="",f=0;f"+a[f].innerHTML+"";var h=angular.element("<"+d+">"+e+"");c.after(h),c.remove(),g(h.find("li")[0])};return function(g,j){return g=b(g),function(k,l,m,n){var o,p,q,r,s,t,u,v=angular.element("<"+g+">");try{u=a.getSelectionElement()}catch(w){}var x=angular.element(u);if(void 0!==u){var y=u.tagName.toLowerCase();if("insertorderedlist"===k.toLowerCase()||"insertunorderedlist"===k.toLowerCase()){var z=b("insertorderedlist"===k.toLowerCase()?"ol":"ul");if(y===z)return f(x,g);if("li"===y&&x.parent()[0].tagName.toLowerCase()===z&&1===x.parent().children().length)return f(x.parent(),g);if("li"===y&&x.parent()[0].tagName.toLowerCase()!==z&&1===x.parent().children().length)return h(x.parent(),z);if(y.match(c)&&!x.hasClass("ta-bind")){if("ol"===y||"ul"===y)return h(x,z);var A=!1;return angular.forEach(x.children(),function(a){a.tagName.match(c)&&(A=!0)}),A?i(x.children(),x,z):i([angular.element("
        "+u.innerHTML+"
        ")[0]],x,z)}if(y.match(c)){if(r=a.getOnlySelectedElements(),0===r.length)p=angular.element("<"+z+">
      • "+u.innerHTML+"
      • "),x.html(""),x.append(p);else{if(1===r.length&&("ol"===r[0].tagName.toLowerCase()||"ul"===r[0].tagName.toLowerCase()))return r[0].tagName.toLowerCase()===z?f(angular.element(r[0]),g):h(angular.element(r[0]),z);q="";var B=[];for(o=0;o"+C[0].innerHTML+"":C[0].childNodes[0].innerHTML,B.unshift(C)}p=angular.element("<"+z+">"+q+""),B.pop().replaceWith(p),angular.forEach(B,function(a){a.remove()})}return void a.setSelectionToElementEnd(p[0])}}else{if("formatblock"===k.toLowerCase()){for(t=m.toLowerCase().replace(/[<>]/gi,""),"default"===t.trim()&&(t=g,m="<"+g+">"),p="li"===y?x.parent():x;!p[0].tagName||!p[0].tagName.match(c)&&!p.parent().attr("contenteditable");)p=p.parent(),y=(p[0].tagName||"").toLowerCase();if(y===t){r=p.children();var D=!1;for(o=0;o=0;o--)r[o].parentNode&&r[o].parentNode.removeChild(r[o])}else for(o=0;o"),v[0].innerHTML=F[o].outerHTML,F[o]=v[0]),E.parent()[0].insertBefore(F[o],E[0]);E.remove()}return void a.setSelectionToElementEnd(p[0])}if("createlink"===k.toLowerCase()){var G='
        ',H="",I=a.getSelection();if(I.collapsed)a.insertHtml(G+m+H,j);else if(rangy.getSelection().getRangeAt(0).canSurroundContents()){var J=angular.element(G+H)[0];rangy.getSelection().getRangeAt(0).surroundContents(J)}return}if("inserthtml"===k.toLowerCase())return void a.insertHtml(m,j)}}try{e[0].execCommand(k,l,m)}catch(w){}}}}]).service("taSelection",["$window","$document","taDOM",function(a,b,d){var f=b[0],g=a.rangy,h=function(a,b){return a.tagName&&a.tagName.match(/^br$/i)&&0===b&&!a.previousSibling?{element:a.parentNode,offset:0}:{element:a,offset:b}},i={getSelection:function(){var a=g.getSelection().getRangeAt(0),b=a.commonAncestorContainer,c={start:h(a.startContainer,a.startOffset),end:h(a.endContainer,a.endOffset),collapsed:a.collapsed};return b=3===b.nodeType?b.parentNode:b,b.parentNode===c.start.element||b.parentNode===c.end.element?c.container=b.parentNode:c.container=b,c},getOnlySelectedElements:function(){var a=g.getSelection().getRangeAt(0),b=a.commonAncestorContainer;return b=3===b.nodeType?b.parentNode:b,a.getNodes([1],function(a){return a.parentNode===b})},getSelectionElement:function(){return i.getSelection().container},setSelection:function(a,b,c){var d=g.createRange();d.setStart(a,b),d.setEnd(a,c),g.getSelection().setSingleRange(d)},setSelectionBeforeElement:function(a){var b=g.createRange();b.selectNode(a),b.collapse(!0),g.getSelection().setSingleRange(b)},setSelectionAfterElement:function(a){var b=g.createRange();b.selectNode(a),b.collapse(!1),g.getSelection().setSingleRange(b)},setSelectionToElementStart:function(a){var b=g.createRange();b.selectNodeContents(a),b.collapse(!0),g.getSelection().setSingleRange(b)},setSelectionToElementEnd:function(a){var b=g.createRange();b.selectNodeContents(a),b.collapse(!1),a.childNodes&&a.childNodes[a.childNodes.length-1]&&"br"===a.childNodes[a.childNodes.length-1].nodeName&&(b.startOffset=b.endOffset=b.startOffset-1),g.getSelection().setSingleRange(b)},insertHtml:function(a,b){var h,j,k,l,m,n,o,p=angular.element("
        "+a+"
        "),q=g.getSelection().getRangeAt(0),r=f.createDocumentFragment(),s=p[0].childNodes,t=!0;if(s.length>0){for(l=[],k=0;k)$/i.test(q.startContainer.innerHTML)&&q.selectNode(q.startContainer)}else t=!0,n=r=f.createTextNode(a);if(t)q.deleteContents();else if(q.collapsed&&q.startContainer!==b)if(q.startContainer.innerHTML&&q.startContainer.innerHTML.match(/^<[^>]*>$/i))h=q.startContainer,1===q.startOffset?(q.setStartAfter(h),q.setEndAfter(h)):(q.setStartBefore(h),q.setEndBefore(h));else{if(3===q.startContainer.nodeType&&q.startContainer.parentNode!==b)for(h=q.startContainer.parentNode,j=h.cloneNode(),d.splitNodes(h.childNodes,h,j,q.startContainer,q.startOffset);!e.test(h.nodeName);){angular.element(h).after(j),h=h.parentNode;var v=j;j=h.cloneNode(),d.splitNodes(h.childNodes,h,j,v)}else h=q.startContainer,j=h.cloneNode(),d.splitNodes(h.childNodes,h,j,void 0,void 0,q.startOffset);if(angular.element(h).after(j),q.setStartAfter(h),q.setEndAfter(h),/^(|)$/i.test(h.innerHTML.trim())&&(q.setStartBefore(h),q.setEndBefore(h),angular.element(h).remove()),/^(|)$/i.test(j.innerHTML.trim())&&angular.element(j).remove(),"li"===h.nodeName.toLowerCase()){for(o=f.createDocumentFragment(),m=0;m"),d.transferChildNodes(r.childNodes[m],p[0]),d.transferNodeAttributes(r.childNodes[m],p[0]),o.appendChild(p[0]);r=o,n&&(n=r.childNodes[r.childNodes.length-1],n=n.childNodes[n.childNodes.length-1])}}else q.deleteContents();q.insertNode(r),n&&i.setSelectionToElementEnd(n)}};return i}]).service("taDOM",function(){var a={getByAttribute:function(b,c){var d=[],e=b.children();return e.length&&angular.forEach(e,function(b){d=d.concat(a.getByAttribute(angular.element(b),c))}),void 0!==b.attr(c)&&d.push(b),d},transferChildNodes:function(a,b){for(b.innerHTML="";a.childNodes.length>0;)b.appendChild(a.childNodes[0]);return b},splitNodes:function(b,c,d,e,f,g){if(!e&&isNaN(g))throw new Error("taDOM.splitNodes requires a splitNode or splitIndex");for(var h=document.createDocumentFragment(),i=document.createDocumentFragment(),j=0;b.length>0&&(isNaN(g)||g!==j)&&b[0]!==e;)h.appendChild(b[0]),j++;for(!isNaN(f)&&f>=0&&b[0]&&(h.appendChild(document.createTextNode(b[0].nodeValue.substring(0,f))),b[0].nodeValue=b[0].nodeValue.substring(f));b.length>0;)i.appendChild(b[0]);a.transferChildNodes(h,c),a.transferChildNodes(i,d)},transferNodeAttributes:function(a,b){for(var c=0;c");return b.html(a),b.text().length<=e}}}}).directive("taMinText",function(){return{restrict:"A",require:"ngModel",link:function(a,b,c,d){var e=parseInt(a.$eval(c.taMinText));if(isNaN(e))throw"Min text must be an integer";c.$observe("taMinText",function(a){if(e=parseInt(a),isNaN(e))throw"Min text must be an integer";d.$dirty&&d.$validate()}),d.$validators.taMinText=function(a){var b=angular.element("
        ");return b.html(a),!b.text().length||b.text().length>=e}}}}),angular.module("textAngular.taBind",["textAngular.factories","textAngular.DOM"]).service("_taBlankTest",[function(){var a=/<(a|abbr|acronym|bdi|bdo|big|cite|code|del|dfn|img|ins|kbd|label|map|mark|q|ruby|rp|rt|s|samp|time|tt|var)[^>]*(>|$)/i;return function(b){return function(c){if(!c)return!0;var d,e=/(^[^<]|>)[^<]/i.exec(c);return e?d=e.index:(c=c.toString().replace(/="[^"]*"/i,"").replace(/="[^"]*"/i,"").replace(/="[^"]*"/i,"").replace(/="[^"]*"/i,""),d=c.indexOf(">")),c=c.trim().substring(d,d+100),/^[^<>]+$/i.test(c)?!1:0===c.length||c===b||/^>(\s| )*<\/[^>]+>$/gi.test(c)?!0:!/>\s*[^\s<]/i.test(c)&&!a.test(c)}}}]).directive("taButton",[function(){return{link:function(a,b,c){b.attr("unselectable","on"),b.on("mousedown",function(a,b){return b&&angular.extend(a,b),a.preventDefault(),!1})}}}]).directive("taBind",["taSanitize","$timeout","$window","$document","taFixChrome","taBrowserTag","taSelection","taSelectableElements","taApplyCustomRenderers","taOptions","_taBlankTest","$parse","taDOM","textAngularManager",function(d,f,i,j,k,l,m,o,p,q,r,s,t,u){return{priority:2,require:["ngModel","?ngModelOptions"],link:function(l,v,w,x){function y(a){var b;return R.forEach(function(c){if(c.keyCode===a.keyCode){var d=(a.metaKey?O:0)+(a.ctrlKey?N:0)+(a.shiftKey?Q:0)+(a.altKey?P:0);if(c.forbiddenModifiers&d)return;c.mustHaveModifiers.every(function(a){return d&a})&&(b=c.specialKey)}}),b}var z,A,B,C,D=x[0],E=x[1]||{},F=void 0!==v.attr("contenteditable")&&v.attr("contenteditable"),G=F||"textarea"===v[0].tagName.toLowerCase()||"input"===v[0].tagName.toLowerCase(),H=!1,I=!1,J=!1,K=w.taUnsafeSanitizer||q.disableSanitizer,L=/^(9|19|20|27|33|34|35|36|37|38|39|40|45|112|113|114|115|116|117|118|119|120|121|122|123|144|145)$/i,M=/^(8|13|32|46|59|61|107|109|173|186|187|188|189|190|191|192|219|220|221|222)$/i,N=1,O=2,P=4,Q=8,R=[{specialKey:"UndoKey",forbiddenModifiers:P+Q,mustHaveModifiers:[O+N],keyCode:90},{specialKey:"RedoKey",forbiddenModifiers:P,mustHaveModifiers:[O+N,Q],keyCode:90},{specialKey:"RedoKey",forbiddenModifiers:P+Q,mustHaveModifiers:[O+N],keyCode:89},{specialKey:"TabKey",forbiddenModifiers:O+Q+P+N,mustHaveModifiers:[],keyCode:9},{specialKey:"ShiftTabKey",forbiddenModifiers:O+P+N,mustHaveModifiers:[Q],keyCode:9}];void 0===w.taDefaultWrap&&(w.taDefaultWrap="p"),""===w.taDefaultWrap?(B="",C=void 0===a.ie?"

        ":a.ie>=11?"


        ":a.ie<=8?"

         

        ":"

         

        "):(B=void 0===a.ie||a.ie>=11?"<"+w.taDefaultWrap+">
        ":a.ie<=8?"<"+w.taDefaultWrap.toUpperCase()+">":"<"+w.taDefaultWrap+">",C=void 0===a.ie||a.ie>=11?"<"+w.taDefaultWrap+">
        ":a.ie<=8?"<"+w.taDefaultWrap.toUpperCase()+"> ":"<"+w.taDefaultWrap+"> "),E.$options||(E.$options={});var S=r(C),T=function(a){if(S(a))return a;var b=angular.element("
        "+a+"
        ");if(0===b.children().length)a="<"+w.taDefaultWrap+">"+a+"";else{var d,e=b[0].childNodes,f=!1;for(d=0;d";else if("#text"===h){var i=g.textContent;a+=i.trim()?"<"+w.taDefaultWrap+">"+i+"":i}else if(h.match(c))a+=g.outerHTML;else{var j=g.outerHTML||g.nodeValue;a+=""!==j.trim()?"<"+w.taDefaultWrap+">"+j+"":j}}else a="<"+w.taDefaultWrap+">"+a+""}return a};w.taPaste&&(A=s(w.taPaste)),v.addClass("ta-bind");var U;l["$undoManager"+(w.id||"")]=D.$undoManager={_stack:[],_index:0,_max:1e3,push:function(a){return"undefined"==typeof a||null===a||"undefined"!=typeof this.current()&&null!==this.current()&&a===this.current()?a:(this._indexthis._max&&this._stack.shift(),this._index=this._stack.length-1,a)},undo:function(){return this.setToIndex(this._index-1)},redo:function(){return this.setToIndex(this._index+1)},setToIndex:function(a){return 0>a||a>this._stack.length-1?void 0:(this._index=a,this.current())},current:function(){return this._stack[this._index]}};var V,W=l["$undoTaBind"+(w.id||"")]=function(){if(!H&&F){var a=D.$undoManager.undo();"undefined"!=typeof a&&null!==a&&(ka(a),Z(a,!1),V&&f.cancel(V),V=f(function(){v[0].focus(),m.setSelectionToElementEnd(v[0])},1))}},X=l["$redoTaBind"+(w.id||"")]=function(){if(!H&&F){var a=D.$undoManager.redo();"undefined"!=typeof a&&null!==a&&(ka(a),Z(a,!1),V&&f.cancel(V),V=f(function(){v[0].focus(),m.setSelectionToElementEnd(v[0])},1))}},Y=function(){if(F)return v[0].innerHTML;if(G)return v.val();throw"textAngular Error: attempting to update non-editable taBind"},Z=function(a,b,c){J=c||!1,"undefined"!=typeof b&&null!==b||(b=F),"undefined"!=typeof a&&null!==a||(a=Y()),S(a)?(""!==D.$viewValue&&D.$setViewValue(""),b&&""!==D.$undoManager.current()&&D.$undoManager.push("")):(ja(),D.$viewValue!==a&&(D.$setViewValue(a),b&&D.$undoManager.push(a))),D.$render()};l["updateTaBind"+(w.id||"")]=function(){H||Z(void 0,void 0,!0)};var $=function(a){return D.$oldViewValue=d(k(a),D.$oldViewValue,K)};if(v.attr("required")&&(D.$validators.required=function(a,b){return!S(a||b)}),D.$parsers.push($),D.$parsers.unshift(T),D.$formatters.push($),D.$formatters.unshift(T),D.$formatters.unshift(function(a){return D.$undoManager.push(a||"")}),G)if(l.events={},F){var _=!1,aa=function(a){if(a&&a.trim().length){if(a.match(/class=["']*Mso(Normal|List)/i)){var b=a.match(/([\s\S]*?)/i);b=b?b[1]:a,b=b.replace(/[\s\S]*?<\/o:p>/gi,"").replace(/class=(["']|)MsoNormal(["']|)/gi,"");var c=angular.element("
        "+b+"
        "),e=angular.element("
        "),g={element:null,lastIndent:[],lastLi:null,isUl:!1};g.lastIndent.peek=function(){var a=this.length;return a>0?this[a-1]:void 0};for(var h=function(a){g.isUl=a,g.element=angular.element(a?"
          ":"
            "),g.lastIndent=[],g.lastIndent.peek=function(){var a=this.length;return a>0?this[a-1]:void 0},g.lastLevelMatch=null},i=0;i<=c[0].childNodes.length;i++)if(c[0].childNodes[i]&&"#text"!==c[0].childNodes[i].nodeName&&"p"===c[0].childNodes[i].tagName.toLowerCase()){var j=angular.element(c[0].childNodes[i]),k=(j.attr("class")||"").match(/MsoList(Bullet|Number|Paragraph)(CxSp(First|Middle|Last)|)/i);if(k){if(j[0].childNodes.length<2||j[0].childNodes[1].childNodes.length<1)continue;var n="bullet"===k[1].toLowerCase()||"number"!==k[1].toLowerCase()&&!(/^[^0-9a-z<]*[0-9a-z]+[^0-9a-z<>]]":"
              "),g.lastLi.append(g.element);else if(null!=g.lastIndent.peek()&&g.lastIndent.peek()>p){for(;null!=g.lastIndent.peek()&&g.lastIndent.peek()>p;)if("li"!==g.element.parent()[0].tagName.toLowerCase()){if(!/[uo]l/i.test(g.element.parent()[0].tagName.toLowerCase()))break;g.element=g.element.parent(),g.lastIndent.pop()}else g.element=g.element.parent();g.isUl="ul"===g.element[0].tagName.toLowerCase(),n!==g.isUl&&(h(n),e.append(g.element))}g.lastLevelMatch=q,p!==g.lastIndent.peek()&&g.lastIndent.push(p),g.lastLi=angular.element("
            1. "),g.element.append(g.lastLi),g.lastLi.html(j.html().replace(/[\s\S]*?/gi,"")),j.remove()}else h(!1),e.append(j)}var r=function(a){a=angular.element(a);for(var b=a[0].childNodes.length-1;b>=0;b--)a.after(a[0].childNodes[b]);a.remove()};angular.forEach(e.find("span"),function(a){a.removeAttribute("lang"),a.attributes.length<=0&&r(a)}),angular.forEach(e.find("font"),r),a=e.html()}else{if(a=a.replace(/<(|\/)meta[^>]*?>/gi,""),a.match(/<[^>]*?(ta-bind)[^>]*?>/)){if(a.match(/<[^>]*?(text-angular)[^>]*?>/)){ -var s=angular.element("
              "+a+"
              ");s.find("textarea").remove();for(var u=t.getByAttribute(s,"ta-bind"),w=0;w',"")}}else a.match(/^.<\/span>/gi)||(a=a.replace(/<(|\/)span[^>]*?>/gi,"")));a=a.replace(/
              ]*?>/gi,"").replace(/( | )<\/span>/gi," ")}//i.test(a)&&/(|).*/i.test(a)===!1&&(a=a.replace(/.*<\/li(\s.*)?>/i,"
                $&
              ")),a=a.replace(/^[ |\u00A0]+/gm,function(a){for(var b="",c=0;c").replace(/\t/g,"    "),A&&(a=A(l,{$html:a})||a),a=d(a,"",K),m.insertHtml(a,v[0]),f(function(){D.$setViewValue(Y()),_=!1,v.removeClass("processing-paste")},0)}else _=!1,v.removeClass("processing-paste")};v.on("paste",l.events.paste=function(a,b){if(b&&angular.extend(a,b),H||_)return a.stopPropagation(),a.preventDefault(),!1;_=!0,v.addClass("processing-paste");var c,d=(a.originalEvent||a).clipboardData;if(d&&d.getData&&d.types.length>0){for(var e="",g=0;g
        ');j.find("body").append(k),k[0].focus(),f(function(){i.rangy.restoreSelection(h),aa(k[0].innerHTML),v[0].focus(),k.remove()},0)}),v.on("cut",l.events.cut=function(a){H?a.preventDefault():f(function(){D.$setViewValue(Y())},0)}),v.on("keydown",l.events.keydown=function(a,b){b&&angular.extend(a,b),a.specialKey=y(a);var c;if(q.keyMappings.forEach(function(b){a.specialKey===b.commandKeyCode&&(a.specialKey=void 0),b.testForKey(a)&&(c=b.commandKeyCode),"UndoKey"!==b.commandKeyCode&&"RedoKey"!==b.commandKeyCode||b.enablePropagation||a.preventDefault()}),"undefined"!=typeof c&&(a.specialKey=c),"undefined"==typeof a.specialKey||"UndoKey"===a.specialKey&&"RedoKey"===a.specialKey||(a.preventDefault(),u.sendKeyCommand(l,a)),!H&&("UndoKey"===a.specialKey&&(W(),a.preventDefault()),"RedoKey"===a.specialKey&&(X(),a.preventDefault()),13===a.keyCode&&!a.shiftKey)){var d,f=m.getSelectionElement();if(!f.tagName.match(e))return;var g=angular.element(B);if(/^$/i.test(f.innerHTML.trim())&&"blockquote"===f.parentNode.tagName.toLowerCase()&&!f.nextSibling){d=angular.element(f);var h=d.parent();h.after(g),d.remove(),0===h.children().length&&h.remove(),m.setSelectionToElementStart(g[0]),a.preventDefault()}else/^<[^>]+><\/[^>]+>$/i.test(f.innerHTML.trim())&&"blockquote"===f.tagName.toLowerCase()&&(d=angular.element(f),d.after(g),d.remove(),m.setSelectionToElementStart(g[0]),a.preventDefault())}});var ba;if(v.on("keyup",l.events.keyup=function(a,b){if(b&&angular.extend(a,b),9===a.keyCode){var c=m.getSelection();return void(c.start.element===v[0]&&v.children().length&&m.setSelectionToElementStart(v.children()[0]))}if(U&&f.cancel(U),!H&&!L.test(a.keyCode)){if(""!==B&&13===a.keyCode&&!a.shiftKey){for(var d=m.getSelectionElement();!d.tagName.match(e)&&d!==v[0];)d=d.parentNode;if(d.tagName.toLowerCase()!==w.taDefaultWrap&&"li"!==d.tagName.toLowerCase()&&(""===d.innerHTML.trim()||"
        "===d.innerHTML.trim())){var g=angular.element(B);angular.element(d).replaceWith(g),m.setSelectionToElementStart(g[0])}}var h=Y();""!==B&&""===h.trim()?(ka(B),m.setSelectionToElementStart(v.children()[0])):"<"!==h.substring(0,1)&&""!==w.taDefaultWrap;var i=z!==a.keyCode&&M.test(a.keyCode);ba&&f.cancel(ba),ba=f(function(){Z(h,i,!0)},E.$options.debounce||400),i||(U=f(function(){D.$undoManager.push(h)},250)),z=a.keyCode}}),v.on("blur",l.events.blur=function(){I=!1,H?(J=!0,D.$render()):Z(void 0,void 0,!0)}),w.placeholder&&(a.ie>8||void 0===a.ie)){var ca;if(!w.id)throw"textAngular Error: An unique ID is required for placeholders to work";ca=g("#"+w.id+".placeholder-text:before",'content: "'+w.placeholder+'"'),l.$on("$destroy",function(){h(ca)})}v.on("focus",l.events.focus=function(){I=!0,v.removeClass("placeholder-text"),ja()}),v.on("mouseup",l.events.mouseup=function(){var a=m.getSelection();a.start.element===v[0]&&v.children().length&&m.setSelectionToElementStart(v.children()[0])}),v.on("mousedown",l.events.mousedown=function(a,b){b&&angular.extend(a,b),a.stopPropagation()})}else{v.on("change blur",l.events.change=l.events.blur=function(){H||D.$setViewValue(Y())}),v.on("keydown",l.events.keydown=function(a,b){if(b&&angular.extend(a,b),9===a.keyCode){var c=this.selectionStart,d=this.selectionEnd,e=v.val();if(a.shiftKey){var f=e.lastIndexOf("\n",c),g=e.lastIndexOf(" ",c);-1!==g&&g>=f&&(v.val(e.substring(0,g)+e.substring(g+1)),this.selectionStart=this.selectionEnd=c-1)}else v.val(e.substring(0,c)+" "+e.substring(d)),this.selectionStart=this.selectionEnd=c+1;a.preventDefault()}});var da=function(a,b){for(var c="",d=0;b>d;d++)c+=a;return c},ea=function(a,b,c){for(var d=0;d"):"#text"===e?void(c+=d.textContent):void(d.outerHTML&&(c+="ul"===e||"ol"===e?"\n"+fa(d,b):"\n"+da(" ",b)+d.outerHTML))}),c+="\n"+da(" ",b-1)+a.outerHTML.substring(a.outerHTML.lastIndexOf("<"))};D.$formatters.unshift(function(a){var b=angular.element("
        "+a+"
        ")[0].childNodes;return b.length>0&&(a="",ea(b,function(b,c){var d=c.nodeName.toLowerCase();return"#comment"===d?void(a+=""):"#text"===d?void(a+=c.textContent):void(c.outerHTML&&(a.length>0&&(a+="\n"),a+="ul"===d||"ol"===d?""+fa(c,0):""+c.outerHTML))})),a})}var ga,ha=function(a){return l.$emit("ta-element-select",this),a.preventDefault(),!1},ia=function(a,b){if(b&&angular.extend(a,b),!n&&!H){n=!0;var c;c=a.originalEvent?a.originalEvent.dataTransfer:a.dataTransfer,l.$emit("ta-drop-event",this,a,c),f(function(){n=!1,Z(void 0,void 0,!0)},100)}},ja=l["reApplyOnSelectorHandlers"+(w.id||"")]=function(){H||angular.forEach(o,function(a){v.find(a).off("click",ha).on("click",ha)})},ka=function(a){v[0].innerHTML=a},la=!1;D.$render=function(){if(!la){la=!0;var a=D.$viewValue||"";J||(F&&I&&(v.removeClass("placeholder-text"),ga&&f.cancel(ga),ga=f(function(){I||(v[0].focus(),m.setSelectionToElementEnd(v.children()[v.children().length-1])),ga=void 0},1)),F?(ka(w.placeholder?""===a?B:a:""===a?B:a),H?v.off("drop",ia):(ja(),v.on("drop",ia))):"textarea"!==v[0].tagName.toLowerCase()&&"input"!==v[0].tagName.toLowerCase()?ka(p(a)):v.val(a)),F&&w.placeholder&&(""===a?I?v.removeClass("placeholder-text"):v.addClass("placeholder-text"):v.removeClass("placeholder-text")),la=J=!1}},w.taReadonly&&(H=l.$eval(w.taReadonly),H?(v.addClass("ta-readonly"),"textarea"!==v[0].tagName.toLowerCase()&&"input"!==v[0].tagName.toLowerCase()||v.attr("disabled","disabled"),void 0!==v.attr("contenteditable")&&v.attr("contenteditable")&&v.removeAttr("contenteditable")):(v.removeClass("ta-readonly"),"textarea"===v[0].tagName.toLowerCase()||"input"===v[0].tagName.toLowerCase()?v.removeAttr("disabled"):F&&v.attr("contenteditable","true")),l.$watch(w.taReadonly,function(a,b){b!==a&&(a?(v.addClass("ta-readonly"),"textarea"!==v[0].tagName.toLowerCase()&&"input"!==v[0].tagName.toLowerCase()||v.attr("disabled","disabled"),void 0!==v.attr("contenteditable")&&v.attr("contenteditable")&&v.removeAttr("contenteditable"),angular.forEach(o,function(a){v.find(a).on("click",ha)}),v.off("drop",ia)):(v.removeClass("ta-readonly"),"textarea"===v[0].tagName.toLowerCase()||"input"===v[0].tagName.toLowerCase()?v.removeAttr("disabled"):F&&v.attr("contenteditable","true"),angular.forEach(o,function(a){v.find(a).off("click",ha)}),v.on("drop",ia)),H=a)})),F&&!H&&(angular.forEach(o,function(a){v.find(a).on("click",ha)}),v.on("drop",ia),v.on("blur",function(){a.webkit&&(b=!0)}))}}}]);var n=!1,o=angular.module("textAngular",["ngSanitize","textAngularSetup","textAngular.factories","textAngular.DOM","textAngular.validators","textAngular.taBind"]);o.config([function(){angular.forEach(taTools,function(a,b){delete taTools[b]})}]),o.run([function(){if("function"==typeof define&&define.amd)define(function(a){window.rangy=a("rangy"),window.rangy.saveSelection=a("rangy/lib/rangy-selectionsaverestore")});else if("function"==typeof require&&"undefined"!=typeof module&&"object"==typeof exports)window.rangy=require("rangy"),window.rangy.saveSelection=require("rangy/lib/rangy-selectionsaverestore");else{if(!window.rangy)throw"rangy-core.js and rangy-selectionsaverestore.js are required for textAngular to work correctly, rangy-core is not yet loaded.";if(window.rangy.init(),!window.rangy.saveSelection)throw"rangy-selectionsaverestore.js is required for textAngular to work correctly."}}]),o.directive("textAngular",["$compile","$timeout","taOptions","taSelection","taExecCommand","textAngularManager","$window","$document","$animate","$log","$q","$parse",function(a,b,c,d,e,f,g,h,i,j,k,l){return{require:"?ngModel",scope:{},restrict:"EA",priority:2,link:function(m,n,o,p){var q,r,s,t,u,v,w,x,y,z,A,B=o.serial?o.serial:Math.floor(1e16*Math.random());m._name=o.name?o.name:"textAngularEditor"+B;var C=function(a,c,d){b(function(){var b=function(){a.off(c,b),d.apply(this,arguments)};a.on(c,b)},100)};if(y=e(o.taDefaultWrap),angular.extend(m,angular.copy(c),{wrapSelection:function(a,b,c){"undo"===a.toLowerCase()?m["$undoTaBindtaTextElement"+B]():"redo"===a.toLowerCase()?m["$redoTaBindtaTextElement"+B]():(y(a,!1,b,m.defaultTagAttributes),c&&m["reApplyOnSelectorHandlerstaTextElement"+B](),m.displayElements.text[0].focus())},showHtml:m.$eval(o.taShowHtml)||!1}),o.taFocussedClass&&(m.classes.focussed=o.taFocussedClass),o.taTextEditorClass&&(m.classes.textEditor=o.taTextEditorClass),o.taHtmlEditorClass&&(m.classes.htmlEditor=o.taHtmlEditorClass),o.taDefaultTagAttributes)try{angular.extend(m.defaultTagAttributes,angular.fromJson(o.taDefaultTagAttributes))}catch(D){j.error(D)}o.taTextEditorSetup&&(m.setup.textEditorSetup=m.$parent.$eval(o.taTextEditorSetup)),o.taHtmlEditorSetup&&(m.setup.htmlEditorSetup=m.$parent.$eval(o.taHtmlEditorSetup)),o.taFileDrop?m.fileDropHandler=m.$parent.$eval(o.taFileDrop):m.fileDropHandler=m.defaultFileDropHandler,w=n[0].innerHTML,n[0].innerHTML="",m.displayElements={forminput:angular.element(""),html:angular.element(""),text:angular.element("
        "),scrollWindow:angular.element("
        "),popover:angular.element('
        '),popoverArrow:angular.element('
        '),popoverContainer:angular.element('
        '),resize:{overlay:angular.element('
        '),background:angular.element('
        '),anchors:[angular.element('
        '),angular.element('
        '),angular.element('
        '),angular.element('
        ')],info:angular.element('
        ')}},m.displayElements.popover.append(m.displayElements.popoverArrow),m.displayElements.popover.append(m.displayElements.popoverContainer),m.displayElements.scrollWindow.append(m.displayElements.popover),m.displayElements.popover.on("mousedown",function(a,b){return b&&angular.extend(a,b),a.preventDefault(),!1}),m.showPopover=function(a){m.displayElements.popover.css("display","block"),m.reflowPopover(a),i.addClass(m.displayElements.popover,"in"),C(h.find("body"),"click keyup",function(){m.hidePopover()})},m.reflowPopover=function(a){m.displayElements.text[0].offsetHeight-51>a[0].offsetTop?(m.displayElements.popover.css("top",a[0].offsetTop+a[0].offsetHeight+m.displayElements.scrollWindow[0].scrollTop+"px"),m.displayElements.popover.removeClass("top").addClass("bottom")):(m.displayElements.popover.css("top",a[0].offsetTop-54+m.displayElements.scrollWindow[0].scrollTop+"px"),m.displayElements.popover.removeClass("bottom").addClass("top"));var b=m.displayElements.text[0].offsetWidth-m.displayElements.popover[0].offsetWidth,c=a[0].offsetLeft+a[0].offsetWidth/2-m.displayElements.popover[0].offsetWidth/2;m.displayElements.popover.css("left",Math.max(0,Math.min(b,c))+"px"),m.displayElements.popoverArrow.css("margin-left",Math.min(c,Math.max(0,c-b))-11+"px")},m.hidePopover=function(){m.displayElements.popover.css("display",""),m.displayElements.popoverContainer.attr("style",""),m.displayElements.popoverContainer.attr("class","popover-content"),m.displayElements.popover.removeClass("in")},m.displayElements.resize.overlay.append(m.displayElements.resize.background),angular.forEach(m.displayElements.resize.anchors,function(a){m.displayElements.resize.overlay.append(a)}),m.displayElements.resize.overlay.append(m.displayElements.resize.info),m.displayElements.scrollWindow.append(m.displayElements.resize.overlay),m.reflowResizeOverlay=function(a){a=angular.element(a)[0],m.displayElements.resize.overlay.css({display:"block",left:a.offsetLeft-5+"px",top:a.offsetTop-5+"px",width:a.offsetWidth+10+"px",height:a.offsetHeight+10+"px"}),m.displayElements.resize.info.text(a.offsetWidth+" x "+a.offsetHeight)},m.showResizeOverlay=function(a){var b=h.find("body");z=function(c){var d={width:parseInt(a.attr("width")),height:parseInt(a.attr("height")),x:c.clientX,y:c.clientY};(void 0===d.width||isNaN(d.width))&&(d.width=a[0].offsetWidth),(void 0===d.height||isNaN(d.height))&&(d.height=a[0].offsetHeight),m.hidePopover();var e=d.height/d.width,f=function(b){function c(a){return Math.round(Math.max(0,a))}var f={x:Math.max(0,d.width+(b.clientX-d.x)),y:Math.max(0,d.height+(b.clientY-d.y))},g=void 0!==o.taResizeForceAspectRatio,h=o.taResizeMaintainAspectRatio,i=g||h&&!b.shiftKey;if(i){var j=f.y/f.x;f.x=e>j?f.x:f.y/e,f.y=e>j?f.x*e:f.y}var k=angular.element(a);k.css("height",c(f.y)+"px"),k.css("width",c(f.x)+"px"),m.reflowResizeOverlay(a)};b.on("mousemove",f),C(b,"mouseup",function(c){c.preventDefault(),c.stopPropagation(),b.off("mousemove",f),m.showPopover(a)}),c.stopPropagation(),c.preventDefault()},m.displayElements.resize.anchors[3].off("mousedown"),m.displayElements.resize.anchors[3].on("mousedown",z),m.reflowResizeOverlay(a),C(b,"click",function(){m.hideResizeOverlay()})},m.hideResizeOverlay=function(){m.displayElements.resize.anchors[3].off("mousedown",z),m.displayElements.resize.overlay.css("display","")},m.setup.htmlEditorSetup(m.displayElements.html),m.setup.textEditorSetup(m.displayElements.text),m.displayElements.html.attr({id:"taHtmlElement"+B,"ng-show":"showHtml","ta-bind":"ta-bind","ng-model":"html","ng-model-options":n.attr("ng-model-options")}),m.displayElements.text.attr({id:"taTextElement"+B,contentEditable:"true","ta-bind":"ta-bind","ng-model":"html","ng-model-options":n.attr("ng-model-options")}),m.displayElements.scrollWindow.attr({"ng-hide":"showHtml"}),o.taDefaultWrap&&m.displayElements.text.attr("ta-default-wrap",o.taDefaultWrap),o.taUnsafeSanitizer&&(m.displayElements.text.attr("ta-unsafe-sanitizer",o.taUnsafeSanitizer),m.displayElements.html.attr("ta-unsafe-sanitizer",o.taUnsafeSanitizer)),m.displayElements.scrollWindow.append(m.displayElements.text),n.append(m.displayElements.scrollWindow),n.append(m.displayElements.html),m.displayElements.forminput.attr("name",m._name),n.append(m.displayElements.forminput),o.tabindex&&(n.removeAttr("tabindex"),m.displayElements.text.attr("tabindex",o.tabindex),m.displayElements.html.attr("tabindex",o.tabindex)),o.placeholder&&(m.displayElements.text.attr("placeholder",o.placeholder),m.displayElements.html.attr("placeholder",o.placeholder)),o.taDisabled&&(m.displayElements.text.attr("ta-readonly","disabled"),m.displayElements.html.attr("ta-readonly","disabled"),m.disabled=m.$parent.$eval(o.taDisabled),m.$parent.$watch(o.taDisabled,function(a){m.disabled=a,m.disabled?n.addClass(m.classes.disabled):n.removeClass(m.classes.disabled)})),o.taPaste&&(m._pasteHandler=function(a){return l(o.taPaste)(m.$parent,{$html:a})},m.displayElements.text.attr("ta-paste","_pasteHandler($html)")),a(m.displayElements.scrollWindow)(m),a(m.displayElements.html)(m),m.updateTaBindtaTextElement=m["updateTaBindtaTextElement"+B],m.updateTaBindtaHtmlElement=m["updateTaBindtaHtmlElement"+B],n.addClass("ta-root"),m.displayElements.scrollWindow.addClass("ta-text ta-editor "+m.classes.textEditor),m.displayElements.html.addClass("ta-html ta-editor "+m.classes.htmlEditor),m._actionRunning=!1;var E=!1;if(m.startAction=function(){return m._actionRunning=!0,E=g.rangy.saveSelection(),function(){E&&g.rangy.restoreSelection(E)}},m.endAction=function(){m._actionRunning=!1,E&&(m.showHtml?m.displayElements.html[0].focus():m.displayElements.text[0].focus(),g.rangy.removeMarkers(E)),E=!1,m.updateSelectedStyles(),m.showHtml||m["updateTaBindtaTextElement"+B]()},u=function(){m.focussed=!0,n.addClass(m.classes.focussed),x.focus(),n.triggerHandler("focus")},m.displayElements.html.on("focus",u),m.displayElements.text.on("focus",u),v=function(a){return m._actionRunning||h[0].activeElement===m.displayElements.html[0]||h[0].activeElement===m.displayElements.text[0]||(n.removeClass(m.classes.focussed),x.unfocus(),b(function(){m._bUpdateSelectedStyles=!1,n.triggerHandler("blur"),m.focussed=!1},0)),a.preventDefault(),!1},m.displayElements.html.on("blur",v),m.displayElements.text.on("blur",v),m.displayElements.text.on("paste",function(a){n.triggerHandler("paste",a)}),m.queryFormatBlockState=function(a){return!m.showHtml&&a.toLowerCase()===h[0].queryCommandValue("formatBlock").toLowerCase()},m.queryCommandState=function(a){return m.showHtml?"":h[0].queryCommandState(a)},m.switchView=function(){m.showHtml=!m.showHtml,i.enabled(!1,m.displayElements.html),i.enabled(!1,m.displayElements.text),m.showHtml?b(function(){return i.enabled(!0,m.displayElements.html),i.enabled(!0,m.displayElements.text),m.displayElements.html[0].focus()},100):b(function(){return i.enabled(!0,m.displayElements.html),i.enabled(!0,m.displayElements.text),m.displayElements.text[0].focus()},100)},o.ngModel){var F=!0;p.$render=function(){if(F){F=!1;var a=m.$parent.$eval(o.ngModel);void 0!==a&&null!==a||!w||""===w||p.$setViewValue(w)}m.displayElements.forminput.val(p.$viewValue),m.html=p.$viewValue||""},n.attr("required")&&(p.$validators.required=function(a,b){var c=a||b;return!(!c||""===c.trim())})}else m.displayElements.forminput.val(w),m.html=w;if(m.$watch("html",function(a,b){a!==b&&(o.ngModel&&p.$viewValue!==a&&p.$setViewValue(a),m.displayElements.forminput.val(a))}),o.taTargetToolbars)x=f.registerEditor(m._name,m,o.taTargetToolbars.split(","));else{var G=angular.element('
        ');o.taToolbar&&G.attr("ta-toolbar",o.taToolbar),o.taToolbarClass&&G.attr("ta-toolbar-class",o.taToolbarClass),o.taToolbarGroupClass&&G.attr("ta-toolbar-group-class",o.taToolbarGroupClass),o.taToolbarButtonClass&&G.attr("ta-toolbar-button-class",o.taToolbarButtonClass),o.taToolbarActiveButtonClass&&G.attr("ta-toolbar-active-button-class",o.taToolbarActiveButtonClass),o.taFocussedClass&&G.attr("ta-focussed-class",o.taFocussedClass),n.prepend(G),a(G)(m.$parent),x=f.registerEditor(m._name,m,["textAngularToolbar"+B])}m.$on("$destroy",function(){f.unregisterEditor(m._name),angular.element(window).off("blur")}),m.$on("ta-element-select",function(a,b){x.triggerElementSelect(a,b)&&m["reApplyOnSelectorHandlerstaTextElement"+B]()}),m.$on("ta-drop-event",function(a,c,d,e){m.displayElements.text[0].focus(),e&&e.files&&e.files.length>0?(angular.forEach(e.files,function(a){try{k.when(m.fileDropHandler(a,m.wrapSelection)||m.fileDropHandler!==m.defaultFileDropHandler&&k.when(m.defaultFileDropHandler(a,m.wrapSelection))).then(function(){m["updateTaBindtaTextElement"+B]()})}catch(b){j.error(b)}}),d.preventDefault(),d.stopPropagation()):b(function(){m["updateTaBindtaTextElement"+B]()},0)}),m._bUpdateSelectedStyles=!1,angular.element(window).on("blur",function(){m._bUpdateSelectedStyles=!1,m.focussed=!1}),m.updateSelectedStyles=function(){var a;A&&b.cancel(A),void 0!==(a=d.getSelectionElement())&&a.parentNode!==m.displayElements.text[0]?x.updateSelectedStyles(angular.element(a)):x.updateSelectedStyles(),m._bUpdateSelectedStyles&&(A=b(m.updateSelectedStyles,200))},q=function(){return m.focussed?void(m._bUpdateSelectedStyles||(m._bUpdateSelectedStyles=!0,m.$apply(function(){m.updateSelectedStyles()}))):void(m._bUpdateSelectedStyles=!1)},m.displayElements.html.on("keydown",q),m.displayElements.text.on("keydown",q),r=function(){m._bUpdateSelectedStyles=!1},m.displayElements.html.on("keyup",r),m.displayElements.text.on("keyup",r),s=function(a,b){b&&angular.extend(a,b),m.$apply(function(){return x.sendKeyCommand(a)?(m._bUpdateSelectedStyles||m.updateSelectedStyles(),a.preventDefault(),!1):void 0})},m.displayElements.html.on("keypress",s),m.displayElements.text.on("keypress",s),t=function(){m._bUpdateSelectedStyles=!1,m.$apply(function(){m.updateSelectedStyles()})},m.displayElements.html.on("mouseup",t),m.displayElements.text.on("mouseup",t)}}}]),o.service("textAngularManager",["taToolExecuteAction","taTools","taRegisterTool",function(a,b,c){var d={},e={};return{registerEditor:function(c,f,g){if(!c||""===c)throw"textAngular Error: An editor requires a name";if(!f)throw"textAngular Error: An editor requires a scope";if(e[c])throw'textAngular Error: An Editor with name "'+c+'" already exists';var h=[];return angular.forEach(g,function(a){d[a]&&h.push(d[a])}),e[c]={scope:f,toolbars:g,_registerToolbar:function(a){this.toolbars.indexOf(a.name)>=0&&h.push(a)},editorFunctions:{disable:function(){angular.forEach(h,function(a){a.disabled=!0})},enable:function(){angular.forEach(h,function(a){a.disabled=!1})},focus:function(){angular.forEach(h,function(a){a._parent=f,a.disabled=!1,a.focussed=!0,f.focussed=!0})},unfocus:function(){angular.forEach(h,function(a){a.disabled=!0,a.focussed=!1}),f.focussed=!1},updateSelectedStyles:function(a){angular.forEach(h,function(b){angular.forEach(b.tools,function(c){c.activeState&&(b._parent=f,c.active=c.activeState(a))})})},sendKeyCommand:function(c){var d=!1;return(c.ctrlKey||c.metaKey||c.specialKey)&&angular.forEach(b,function(b,e){if(b.commandKeyCode&&(b.commandKeyCode===c.which||b.commandKeyCode===c.specialKey))for(var g=0;g0)for(var k=0;k"),b&&b["class"]?d.addClass(b["class"]):d.addClass(g.classes.toolbarButton),d.attr("name",c.name),d.attr("ta-button","ta-button"),d.attr("ng-disabled","isDisabled()"),d.attr("tabindex","-1"),d.attr("ng-click","executeAction()"),d.attr("ng-class","displayActiveToolClass(active)"),b&&b.tooltiptext&&d.attr("title",b.tooltiptext),b&&!b.display&&!c._display&&(d[0].innerHTML="",b.buttontext&&(d[0].innerHTML=b.buttontext),b.iconclass)){var e=angular.element(""),f=d[0].innerHTML;e.addClass(b.iconclass),d[0].innerHTML="",d.append(e),f&&""!==f&&d.append(" "+f)}return c._lastToolDefinition=angular.copy(b),a(d)(c)};g.tools={},g._parent={disabled:!0,showHtml:!1,queryFormatBlockState:function(){return!1},queryCommandState:function(){return!1}};var k={$window:f,$editor:function(){return g._parent},isDisabled:function(){return"function"!=typeof this.$eval("disabled")&&this.$eval("disabled")||this.$eval("disabled()")||"html"!==this.name&&this.$editor().showHtml||this.$parent.disabled||this.$editor().disabled},displayActiveToolClass:function(a){return a?g.classes.toolbarButtonActive:""},executeAction:e};angular.forEach(g.toolbar,function(a){var b=angular.element("
        ");b.addClass(g.classes.toolbarGroup),angular.forEach(a,function(a){g.tools[a]=angular.extend(g.$new(!0),d[a],k,{name:a}),g.tools[a].$element=j(d[a],g.tools[a]),b.append(g.tools[a].$element)}),h.append(b)}),g.updateToolDisplay=function(a,b,c){var d=g.tools[a];if(d){if(d._lastToolDefinition&&!c&&(b=angular.extend({},d._lastToolDefinition,b)),null===b.buttontext&&null===b.iconclass&&null===b.display)throw'textAngular Error: Tool Definition for updating "'+a+'" does not have a valid display/iconclass/buttontext value';null===b.buttontext&&delete b.buttontext,null===b.iconclass&&delete b.iconclass,null===b.display&&delete b.display;var e=j(b,d);d.$element.replaceWith(e),d.$element=e}},g.addTool=function(a,b,c,e){g.tools[a]=angular.extend(g.$new(!0),d[a],k,{name:a}),g.tools[a].$element=j(d[a],g.tools[a]);var f;void 0===c&&(c=g.toolbar.length-1),f=angular.element(h.children()[c]),void 0===e?(f.append(g.tools[a].$element),g.toolbar[c][g.toolbar[c].length-1]=a):(f.children().eq(e).after(g.tools[a].$element),g.toolbar[c][e]=a)},b.registerToolbar(g),g.$on("$destroy",function(){b.unregisterToolbar(g.name)})}}}])}(),function(a,b,c){"use strict";function d(){this.$get=["$$sanitizeUri",function(a){return function(b){"undefined"!=typeof arguments[1]&&(arguments[1].version="taSanitize");var c=[];return g(b,l(c,function(b,c){return!/^unsafe/.test(a(b,c))})),c.join("")}}]}function e(a){var c=[],d=l(c,b.noop);return d.chars(a),c.join("")}function f(a){var b,c={},d=a.split(",");for(b=0;b=0&&k[f]!=d;f--);if(f>=0){for(e=k.length-1;e>=f;e--)c.end&&c.end(k[e]);k.length=f}}"string"!=typeof a&&(a=null===a||"undefined"==typeof a?"":""+a);var f,g,i,j,k=[],l=a;for(k.last=function(){return k[k.length-1]};a;){if(j="",g=!0,k.last()&&G[k.last()])a=a.replace(new RegExp("([^]*)<\\s*\\/\\s*"+k.last()+"[^>]*>","i"),function(a,b){return b=b.replace(s,"$1").replace(v,"$1"),c.chars&&c.chars(h(b)),""}),e("",k.last());else{if(y.test(a)){if(i=a.match(y)){i[0];c.whitespace&&c.whitespace(i[0]),a=a.replace(i[0],""),g=!1}}else t.test(a)?(i=a.match(t),i&&(c.comment&&c.comment(i[1]),a=a.replace(i[0],""),g=!1)):u.test(a)?(i=a.match(u),i&&(a=a.replace(i[0],""),g=!1)):r.test(a)?(i=a.match(o),i&&(a=a.substring(i[0].length),i[0].replace(o,e),g=!1)):q.test(a)&&(i=a.match(n),i?(i[4]&&(a=a.substring(i[0].length),i[0].replace(n,d)),g=!1):(j+="<",a=a.substring(1)));g&&(f=a.indexOf("<"),j+=0>f?a:a.substring(0,f),a=0>f?"":a.substring(f),c.chars&&c.chars(h(j)))}if(a==l)throw m("badparse","The sanitizer was unable to parse the following block of html: {0}",a);l=a}e()}function h(a){if(!a)return"";var b=N.exec(a),c=b[1],d=b[3],e=b[2];return e&&(M.innerHTML=e.replace(/=b||173==b||b>=1536&&1540>=b||1807==b||6068==b||6069==b||b>=8204&&8207>=b||b>=8232&&8239>=b||b>=8288&&8303>=b||65279==b||b>=65520&&65535>=b?"&#"+b+";":a}).replace(//g,">"); -}function j(a){var c="",d=a.split(";");return b.forEach(d,function(a){var d=a.split(":");if(2==d.length){var e=O(b.lowercase(d[0])),a=O(b.lowercase(d[1]));(("color"===e||"background-color"===e)&&(a.match(/^rgb\([0-9%,\. ]*\)$/i)||a.match(/^rgba\([0-9%,\. ]*\)$/i)||a.match(/^hsl\([0-9%,\. ]*\)$/i)||a.match(/^hsla\([0-9%,\. ]*\)$/i)||a.match(/^#[0-9a-f]{3,6}$/i)||a.match(/^[a-z]*$/i))||"text-align"===e&&("left"===a||"right"===a||"center"===a||"justify"===a)||"float"===e&&("left"===a||"right"===a||"none"===a)||("width"===e||"height"===e)&&a.match(/[0-9\.]*(px|em|rem|%)/)||"direction"===e&&a.match(/^ltr|rtl|initial|inherit$/))&&(c+=e+": "+a+";")}}),c}function k(a,b,c,d){return!("img"!==a||!b["ta-insert-video"]||"ta-insert-video"!==c&&"allowfullscreen"!==c&&"frameborder"!==c&&("contenteditable"!==c||"false"!==d))}function l(a,c){var d=!1,e=b.bind(a,a.push);return{start:function(a,f,g){a=b.lowercase(a),!d&&G[a]&&(d=a),d||H[a]!==!0||(e("<"),e(a),b.forEach(f,function(d,g){var h=b.lowercase(g),l="img"===a&&"src"===h||"background"===h;("style"===h&&""!==(d=j(d))||k(a,f,h,d)||L[h]===!0&&(I[h]!==!0||c(d,l)))&&(e(" "),e(g),e('="'),e(i(d)),e('"'))}),e(g?"/>":">"))},comment:function(a){e(a)},whitespace:function(a){e(i(a))},end:function(a){a=b.lowercase(a),d||H[a]!==!0||(e("")),a==d&&(d=!1)},chars:function(a){d||e(i(a))}}}var m=b.$$minErr("$sanitize"),n=/^<((?:[a-zA-Z])[\w:-]*)((?:\s+[\w:-]+(?:\s*=\s*(?:(?:"[^"]*")|(?:'[^']*')|[^>\s]+))?)*)\s*(\/?)\s*(>?)/,o=/^<\/\s*([\w:-]+)[^>]*>/,p=/([\w:-]+)(?:\s*=\s*(?:(?:"((?:[^"])*)")|(?:'((?:[^'])*)')|([^>\s]+)))?/g,q=/^/g,t=/(^)/,u=/]*?)>/i,v=//g,w=/[\uD800-\uDBFF][\uDC00-\uDFFF]/g,x=/([^\#-~| |!])/g,y=/^(\s+)/,z=f("area,br,col,hr,img,wbr,input"),A=f("colgroup,dd,dt,li,p,tbody,td,tfoot,th,thead,tr"),B=f("rp,rt"),C=b.extend({},B,A),D=b.extend({},A,f("address,article,aside,blockquote,caption,center,del,dir,div,dl,figure,figcaption,footer,h1,h2,h3,h4,h5,h6,header,hgroup,hr,ins,map,menu,nav,ol,pre,script,section,table,ul")),E=b.extend({},B,f("a,abbr,acronym,b,bdi,bdo,big,br,cite,code,del,dfn,em,font,i,img,ins,kbd,label,map,mark,q,ruby,rp,rt,s,samp,small,span,strike,strong,sub,sup,time,tt,u,var")),F=f("animate,animateColor,animateMotion,animateTransform,circle,defs,desc,ellipse,font-face,font-face-name,font-face-src,g,glyph,hkern,image,linearGradient,line,marker,metadata,missing-glyph,mpath,path,polygon,polyline,radialGradient,rect,set,stop,svg,switch,text,title,tspan,use"),G=f("script,style"),H=b.extend({},z,D,E,C,F),I=f("background,cite,href,longdesc,src,usemap,xlink:href"),J=f("abbr,align,alt,axis,bgcolor,border,cellpadding,cellspacing,class,clear,color,cols,colspan,compact,coords,dir,face,headers,height,hreflang,hspace,id,ismap,lang,language,nohref,nowrap,rel,rev,rows,rowspan,rules,scope,scrolling,shape,size,span,start,summary,target,title,type,valign,value,vspace,width"),K=f("accent-height,accumulate,additive,alphabetic,arabic-form,ascent,attributeName,attributeType,baseProfile,bbox,begin,by,calcMode,cap-height,class,color,color-rendering,content,cx,cy,d,dx,dy,descent,display,dur,end,fill,fill-rule,font-family,font-size,font-stretch,font-style,font-variant,font-weight,from,fx,fy,g1,g2,glyph-name,gradientUnits,hanging,height,horiz-adv-x,horiz-origin-x,ideographic,k,keyPoints,keySplines,keyTimes,lang,marker-end,marker-mid,marker-start,markerHeight,markerUnits,markerWidth,mathematical,max,min,offset,opacity,orient,origin,overline-position,overline-thickness,panose-1,path,pathLength,points,preserveAspectRatio,r,refX,refY,repeatCount,repeatDur,requiredExtensions,requiredFeatures,restart,rotate,rx,ry,slope,stemh,stemv,stop-color,stop-opacity,strikethrough-position,strikethrough-thickness,stroke,stroke-dasharray,stroke-dashoffset,stroke-linecap,stroke-linejoin,stroke-miterlimit,stroke-opacity,stroke-width,systemLanguage,target,text-anchor,to,transform,type,u1,u2,underline-position,underline-thickness,unicode,unicode-range,units-per-em,values,version,viewBox,visibility,width,widths,x,x-height,x1,x2,xlink:actuate,xlink:arcrole,xlink:role,xlink:show,xlink:title,xlink:type,xml:base,xml:lang,xml:space,xmlns,xmlns:xlink,y,y1,y2,zoomAndPan"),L=b.extend({},I,K,J),M=document.createElement("pre"),N=/^(\s*)([\s\S]*?)(\s*)$/,O=function(){return String.prototype.trim?function(a){return b.isString(a)?a.trim():a}:function(a){return b.isString(a)?a.replace(/^\s\s*/,"").replace(/\s\s*$/,""):a}}();b.module("ngSanitize",[]).provider("$sanitize",d),b.module("ngSanitize").filter("linky",["$sanitize",function(a){var c=/((ftp|https?):\/\/|(www\.)|(mailto:)?[A-Za-z0-9._%+-]+@)\S*[^\s.;,(){}<>"”’]/,d=/^mailto:/;return function(f,g){function h(a){a&&n.push(e(a))}function i(a,c){n.push("'),h(c),n.push("")}if(!f)return f;for(var j,k,l,m=f,n=[];j=m.match(c);)k=j[0],j[2]||j[4]||(k=(j[3]?"http://":"mailto:")+k),l=j.index,h(m.substr(0,l)),i(k,j[0].replace(d,"")),m=m.substring(l+j[0].length);return h(m),a(n.join(""))}}])}(window,window.angular);var taTools={};angular.module("textAngularSetup",[]).constant("taRegisterTool",registerTextAngularTool).value("taTools",taTools).value("taOptions",{forceTextAngularSanitize:!0,keyMappings:[],toolbar:[["h1","h2","h3","h4","h5","h6","p","pre","quote"],["bold","italics","underline","strikeThrough","ul","ol","redo","undo","clear"],["justifyLeft","justifyCenter","justifyRight","justifyFull","indent","outdent"],["html","insertImage","insertLink","insertVideo","wordcount","charcount"]],classes:{focussed:"focussed",toolbar:"btn-toolbar",toolbarGroup:"btn-group",toolbarButton:"btn btn-default",toolbarButtonActive:"active",disabled:"disabled",textEditor:"form-control",htmlEditor:"form-control"},defaultTagAttributes:{a:{target:""}},setup:{textEditorSetup:function(a){},htmlEditorSetup:function(a){}},defaultFileDropHandler:function(a,b){var c=new FileReader;return"image"===a.type.substring(0,5)?(c.onload=function(){""!==c.result&&b("insertImage",c.result,!0)},c.readAsDataURL(a),!0):!1}}).value("taSelectableElements",["a","img"]).value("taCustomRenderers",[{selector:"img",customAttribute:"ta-insert-video",renderLogic:function(a){var b=angular.element(""),c=a.prop("attributes");angular.forEach(c,function(a){b.attr(a.name,a.value)}),b.attr("src",b.attr("ta-insert-video")),a.replaceWith(b)}}]).value("taTranslations",{html:{tooltip:"Toggle html / Rich Text"},heading:{tooltip:"Heading "},p:{tooltip:"Paragraph"},pre:{tooltip:"Preformatted text"},ul:{tooltip:"Unordered List"},ol:{tooltip:"Ordered List"},quote:{tooltip:"Quote/unquote selection or paragraph"},undo:{tooltip:"Undo"},redo:{tooltip:"Redo"},bold:{tooltip:"Bold"},italic:{tooltip:"Italic"},underline:{tooltip:"Underline"},strikeThrough:{tooltip:"Strikethrough"},justifyLeft:{tooltip:"Align text left"},justifyRight:{tooltip:"Align text right"},justifyFull:{tooltip:"Justify text"},justifyCenter:{tooltip:"Center"},indent:{tooltip:"Increase indent"},outdent:{tooltip:"Decrease indent"},clear:{tooltip:"Clear formatting"},insertImage:{dialogPrompt:"Please enter an image URL to insert",tooltip:"Insert image",hotkey:"the - possibly language dependent hotkey ... for some future implementation"},insertVideo:{tooltip:"Insert video",dialogPrompt:"Please enter a youtube URL to embed"},insertLink:{tooltip:"Insert / edit link",dialogPrompt:"Please enter a URL to insert"},editLink:{reLinkButton:{tooltip:"Relink"},unLinkButton:{tooltip:"Unlink"},targetToggle:{buttontext:"Open in New Window"}},wordcount:{tooltip:"Display words Count"},charcount:{tooltip:"Display characters Count"}}).factory("taToolFunctions",["$window","taTranslations",function(a,b){return{imgOnSelectAction:function(a,b,c){var d=function(){c.updateTaBindtaTextElement(),c.hidePopover()};a.preventDefault(),c.displayElements.popover.css("width","375px");var e=c.displayElements.popoverContainer;e.empty();var f=angular.element('
        '),g=angular.element('');g.on("click",function(a){a.preventDefault(),b.css({width:"100%",height:""}),d()});var h=angular.element('');h.on("click",function(a){a.preventDefault(),b.css({width:"50%",height:""}),d()});var i=angular.element('');i.on("click",function(a){a.preventDefault(),b.css({width:"25%",height:""}),d()});var j=angular.element('');j.on("click",function(a){a.preventDefault(),b.css({width:"",height:""}),d()}),f.append(g),f.append(h),f.append(i),f.append(j),e.append(f),f=angular.element('
        ');var k=angular.element('');k.on("click",function(a){a.preventDefault(),b.css("float","left"),b.css("cssFloat","left"),b.css("styleFloat","left"),d()});var l=angular.element('');l.on("click",function(a){a.preventDefault(),b.css("float","right"),b.css("cssFloat","right"),b.css("styleFloat","right"),d()});var m=angular.element('');m.on("click",function(a){a.preventDefault(),b.css("float",""),b.css("cssFloat",""),b.css("styleFloat",""),d()}),f.append(k),f.append(m),f.append(l),e.append(f),f=angular.element('
        ');var n=angular.element('');n.on("click",function(a){a.preventDefault(),b.remove(),d()}),f.append(n),e.append(f),c.showPopover(b),c.showResizeOverlay(b)},aOnSelectAction:function(c,d,e){c.preventDefault(),e.displayElements.popover.css("width","436px");var f=e.displayElements.popoverContainer;f.empty(),f.css("line-height","28px");var g=angular.element(''+d.attr("href")+"");g.css({display:"inline-block","max-width":"200px",overflow:"hidden","text-overflow":"ellipsis","white-space":"nowrap","vertical-align":"middle"}),f.append(g);var h=angular.element('
        '),i=angular.element('');i.on("click",function(c){c.preventDefault();var f=a.prompt(b.insertLink.dialogPrompt,d.attr("href"));f&&""!==f&&"http://"!==f&&(d.attr("href",f),e.updateTaBindtaTextElement()),e.hidePopover()}),h.append(i);var j=angular.element('');j.on("click",function(a){a.preventDefault(),d.replaceWith(d.contents()),e.updateTaBindtaTextElement(),e.hidePopover()}),h.append(j);var k=angular.element('");"_blank"===d.attr("target")&&k.addClass("active"),k.on("click",function(a){a.preventDefault(),d.attr("target","_blank"===d.attr("target")?"":"_blank"),k.toggleClass("active"),e.updateTaBindtaTextElement()}),h.append(k),f.append(h),e.showPopover(d)},extractYoutubeVideoId:function(a){var b=/(?:youtube(?:-nocookie)?\.com\/(?:[^\/\n\s]+\/\S+\/|(?:v|e(?:mbed)?)\/|\S*?[?&]v=)|youtu\.be\/)([a-zA-Z0-9_-]{11})/i,c=a.match(b);return c&&c[1]||null}}}]).run(["taRegisterTool","$window","taTranslations","taSelection","taToolFunctions","$sanitize","taOptions",function(a,b,c,d,e,f,g){var h={};if(f("",h),g.forceTextAngularSanitize===!0&&"taSanitize"!==h.version)throw angular.$$minErr("textAngular")("textAngularSetup","The textAngular-sanitize provider has been replaced by another -- have you included angular-sanitize by mistake?");a("html",{iconclass:"fa fa-code",tooltiptext:c.html.tooltip,action:function(){this.$editor().switchView()},activeState:function(){return this.$editor().showHtml}});var i=function(a){return function(){return this.$editor().queryFormatBlockState(a)}},j=function(){return this.$editor().wrapSelection("formatBlock","<"+this.name.toUpperCase()+">")};angular.forEach(["h1","h2","h3","h4","h5","h6"],function(b){a(b.toLowerCase(),{buttontext:b.toUpperCase(),tooltiptext:c.heading.tooltip+b.charAt(1),action:j,activeState:i(b.toLowerCase())})}),a("p",{buttontext:"P",tooltiptext:c.p.tooltip,action:function(){return this.$editor().wrapSelection("formatBlock","

        ")},activeState:function(){return this.$editor().queryFormatBlockState("p")}}),a("pre",{buttontext:"pre",tooltiptext:c.pre.tooltip,action:function(){return this.$editor().wrapSelection("formatBlock","

        ")},activeState:function(){return this.$editor().queryFormatBlockState("pre")}}),a("ul",{iconclass:"fa fa-list-ul",tooltiptext:c.ul.tooltip,action:function(){return this.$editor().wrapSelection("insertUnorderedList",null)},activeState:function(){return this.$editor().queryCommandState("insertUnorderedList")}}),a("ol",{iconclass:"fa fa-list-ol",tooltiptext:c.ol.tooltip,action:function(){return this.$editor().wrapSelection("insertOrderedList",null)},activeState:function(){return this.$editor().queryCommandState("insertOrderedList")}}),a("quote",{iconclass:"fa fa-quote-right",tooltiptext:c.quote.tooltip,action:function(){return this.$editor().wrapSelection("formatBlock","
        ")},activeState:function(){return this.$editor().queryFormatBlockState("blockquote")}}),a("undo",{iconclass:"fa fa-undo",tooltiptext:c.undo.tooltip,action:function(){return this.$editor().wrapSelection("undo",null)}}),a("redo",{iconclass:"fa fa-repeat",tooltiptext:c.redo.tooltip,action:function(){return this.$editor().wrapSelection("redo",null)}}),a("bold",{iconclass:"fa fa-bold",tooltiptext:c.bold.tooltip,action:function(){return this.$editor().wrapSelection("bold",null)},activeState:function(){return this.$editor().queryCommandState("bold")},commandKeyCode:98}),a("justifyLeft",{iconclass:"fa fa-align-left",tooltiptext:c.justifyLeft.tooltip,action:function(){return this.$editor().wrapSelection("justifyLeft",null)},activeState:function(a){if(a&&"#document"===a.nodeName)return!1;var b=!1;return a&&(b="left"===a.css("text-align")||"left"===a.attr("align")||"right"!==a.css("text-align")&&"center"!==a.css("text-align")&&"justify"!==a.css("text-align")&&!this.$editor().queryCommandState("justifyRight")&&!this.$editor().queryCommandState("justifyCenter")&&!this.$editor().queryCommandState("justifyFull")),b=b||this.$editor().queryCommandState("justifyLeft")}}),a("justifyRight",{iconclass:"fa fa-align-right",tooltiptext:c.justifyRight.tooltip,action:function(){return this.$editor().wrapSelection("justifyRight",null)},activeState:function(a){if(a&&"#document"===a.nodeName)return!1;var b=!1;return a&&(b="right"===a.css("text-align")),b=b||this.$editor().queryCommandState("justifyRight")}}),a("justifyFull",{iconclass:"fa fa-align-justify",tooltiptext:c.justifyFull.tooltip,action:function(){return this.$editor().wrapSelection("justifyFull",null)},activeState:function(a){var b=!1;return a&&(b="justify"===a.css("text-align")),b=b||this.$editor().queryCommandState("justifyFull")}}),a("justifyCenter",{iconclass:"fa fa-align-center",tooltiptext:c.justifyCenter.tooltip,action:function(){return this.$editor().wrapSelection("justifyCenter",null)},activeState:function(a){if(a&&"#document"===a.nodeName)return!1;var b=!1;return a&&(b="center"===a.css("text-align")),b=b||this.$editor().queryCommandState("justifyCenter")}}),a("indent",{iconclass:"fa fa-indent",tooltiptext:c.indent.tooltip,action:function(){return this.$editor().wrapSelection("indent",null)},activeState:function(){return this.$editor().queryFormatBlockState("blockquote")},commandKeyCode:"TabKey"}),a("outdent",{iconclass:"fa fa-outdent",tooltiptext:c.outdent.tooltip,action:function(){return this.$editor().wrapSelection("outdent",null)},activeState:function(){return!1},commandKeyCode:"ShiftTabKey"}),a("italics",{iconclass:"fa fa-italic",tooltiptext:c.italic.tooltip,action:function(){return this.$editor().wrapSelection("italic",null)},activeState:function(){return this.$editor().queryCommandState("italic")},commandKeyCode:105}),a("underline",{iconclass:"fa fa-underline",tooltiptext:c.underline.tooltip,action:function(){return this.$editor().wrapSelection("underline",null)},activeState:function(){return this.$editor().queryCommandState("underline")},commandKeyCode:117}),a("strikeThrough",{iconclass:"fa fa-strikethrough",tooltiptext:c.strikeThrough.tooltip,action:function(){return this.$editor().wrapSelection("strikeThrough",null)},activeState:function(){return document.queryCommandState("strikeThrough")}}),a("clear",{iconclass:"fa fa-ban",tooltiptext:c.clear.tooltip,action:function(a,b){var c;this.$editor().wrapSelection("removeFormat",null);var e=angular.element(d.getSelectionElement()),f=function(a){a=angular.element(a);var b=a;angular.forEach(a.children(),function(a){var c=angular.element("

        ");c.html(angular.element(a).html()),b.after(c),b=c}),a.remove()};if(angular.forEach(e.find("ul"),f),angular.forEach(e.find("ol"),f),"li"===e[0].tagName.toLowerCase()){var g=e[0].parentNode.childNodes,h=[],i=[],j=!1;for(c=0;c

        ");if(l.html(angular.element(e[0]).html()),0===h.length||0===i.length)0===i.length?k.after(l):k[0].parentNode.insertBefore(l[0],k[0]),0===h.length&&0===i.length?k.remove():angular.element(e[0]).remove();else{var m=angular.element("<"+k[0].tagName+">"),n=angular.element("<"+k[0].tagName+">");for(c=0;c';return this.$editor().wrapSelection("insertHTML",f,!0)}},onElementSelect:{element:"img",onlyWithAttrs:["ta-insert-video"],action:e.imgOnSelectAction}}),a("insertLink",{tooltiptext:c.insertLink.tooltip,iconclass:"fa fa-link",action:function(){var a;return a=b.prompt(c.insertLink.dialogPrompt,"http://"),a&&""!==a&&"http://"!==a?this.$editor().wrapSelection("createLink",a,!0):void 0},activeState:function(a){return a?"A"===a[0].tagName:!1},onElementSelect:{element:"a",action:e.aOnSelectAction}}),a("wordcount",{display:'
        Words:
        ',disabled:!0,wordcount:0,activeState:function(){var a=this.$editor().displayElements.text,b=a[0].innerHTML||"",c=0;return""!==b.replace(/\s*<[^>]*?>\s*/g,"")&&(c=b.replace(/<\/?(b|i|em|strong|span|u|strikethrough|a|img|small|sub|sup|label)( [^>*?])?>/gi,"").replace(/(<[^>]*?>\s*<[^>]*?>)/gi," ").replace(/(<[^>]*?>)/gi,"").replace(/\s+/gi," ").match(/\S+/g).length),this.wordcount=c,this.$editor().wordcount=c,!1}}),a("charcount",{display:'
        Characters:
        ',disabled:!0,charcount:0,activeState:function(){var a=this.$editor().displayElements.text,b=a[0].innerText||a[0].textContent,c=b.replace(/(\r\n|\n|\r)/gm,"").replace(/^\s+/g," ").replace(/\s+$/g," ").length;return this.charcount=c,this.$editor().charcount=c,!1}})}]),angular.module("ui.navbar",["ui.bootstrap","template/navbar-ul.html","template/navbar-li.html"]).directive("tree",function(){return{restrict:"E",replace:!0,scope:{tree:"="},templateUrl:"template/navbar-ul.html"}}).directive("leaf",["$compile",function(a){return{restrict:"E",replace:!0,scope:{leaf:"="},templateUrl:"template/navbar-li.html",link:function(b,c,d){if(angular.isArray(b.leaf.subtree)){c.append('');for(var e=c.parent(),f=!1;e.length>0&&!f;)e.hasClass("navbar-right")&&(f=!0),e=e.parent();f?c.addClass("dropdown-submenu-right"):c.addClass("dropdown-submenu"),a(c.contents())(b)}}}}]),angular.module("template/navbar-li.html",[]).run(["$templateCache",function(a){a.put("template/navbar-li.html",'
      • \n {{leaf.name}}\n
      • ')}]),angular.module("template/navbar-ul.html",[]).run(["$templateCache",function(a){a.put("template/navbar-ul.html","")}]),angular.module("ngAudio",[]).directive("ngAudio",["$compile","$q","ngAudio",function(a,b,c){return{restrict:"AEC",scope:{volume:"=",start:"=",currentTime:"=",loop:"=",clickPlay:"=",disablePreload:"="},controller:["$scope","$attrs","$element","$timeout",function(a,b,d,e){function f(){g=c.load(b.ngAudio,a),a.$audio=g,g.unbind()}var g;a.disablePreload||f(),d.on("click",function(){a.clickPlay!==!1&&(a.disablePreload&&f(),g.audio.play(),g.volume=a.volume||g.volume,g.loop=a.loop,g.currentTime=a.start||0,e(function(){g.play()},5))}),d.on("$destroy",function(){g.destroy()})}]}}]).directive("ngAudioHover",["$compile","$q","ngAudio",function(a,b,c){return{restrict:"AEC",controller:["$scope","$attrs","$element","$timeout",function(a,b,d,e){var f=c.load(b.ngAudioHover,a);d.on("mouseover rollover hover",function(){f.audio.play(),f.volume=b.volumeHover||f.volume,f.loop=b.loop,f.currentTime=b.startHover||0}),d.on("$destroy",function(){f.destroy()})}]}}]).service("localAudioFindingService",["$q",function(a){this.find=function(b){var c=a.defer(),d=document.getElementById(b);return d?c.resolve(d):c.reject(b),c.promise}}]).service("remoteAudioFindingService",["$q",function(a){this.find=function(b){var c=a.defer(),d=new Audio;return d.addEventListener("error",function(){c.reject()}),d.addEventListener("loadstart",function(){c.resolve(d)}),setTimeout(function(){d.src=b},1),c.promise}}]).service("cleverAudioFindingService",["$q","localAudioFindingService","remoteAudioFindingService",function(a,b,c){this.find=function(d){var e=a.defer();return d=d.replace("|","/"),b.find(d).then(e.resolve,function(){return c.find(d)}).then(e.resolve,e.reject),e.promise}}]).value("ngAudioGlobals",{muting:!1,performance:25,unlock:!0,volume:1}).factory("NgAudioObject",["cleverAudioFindingService","$rootScope","$interval","$timeout","ngAudioGlobals",function(a,b,c,d,e){return function(d,f){function g(){o.play(),o.pause(),window.removeEventListener("click",g)}function h(){w||(A&&c.cancel(A),l&&l(),k&&k(),w=!0)}function i(){w||(k=x.$watch(function(){return{volume:y.volume,currentTime:y.currentTime,progress:y.progress,muting:y.muting,loop:y.loop,playbackRate:y.playbackRate,globalVolume:e.volume}},function(a,b){a.currentTime!==b.currentTime&&y.setCurrentTime(a.currentTime),a.progress!==b.progress&&y.setProgress(a.progress),a.volume!==b.volume&&y.setVolume(a.volume),a.playbackRate!==b.playbackRate&&y.setPlaybackRate(a.playbackRate),a.globalVolume!==b.globalVolume&&(0===a.globalVolume?y.setMuting(!0):(y.setMuting(!1),y.setVolume(a.globalVolume))),n=a.loop,a.muting!==b.muting&&y.setMuting(a.muting)},!0))}function j(){if(k&&k(),o){if(u||e.muting?o.volume=0:o.volume=void 0!==y.volume?y.volume:1,p&&(o.play(),p=!1),r&&(o.src="about:blank",r=!1),q&&(o.pause(),q=!1),s&&(o.playbackRate=t,s=!1),m&&(o.volume=m,m=void 0),v){y.currentTime=o.currentTime,y.duration=o.duration,y.remaining=o.duration-o.currentTime,y.progress=0,y.paused=o.paused,y.src=o.src;var a=o.currentTime/o.duration;a>0&&(y.progress=a),y.currentTime>=y.duration&&z.forEach(function(a){a(y)}),n&&y.currentTime>=y.duration&&(n!==!0&&(n--,y.loop--),y.setCurrentTime(0),y.play())}u||e.muting||(y.volume=o.volume),y.audio=o}i()}var k,l,m,n,o,p=!1,q=!1,r=!1,s=!1,t=!1,u=!1,v=!0,w=!1,x=f||b,y=this;this.id=d,this.safeId=d.replace("/","|"),this.loop=0,this.unbind=function(){v=!1},this.play=function(){return p=!0,this};var z=[];this.complete=function(a){z.push(a)},this.pause=function(){q=!0},this.restart=function(){r=!0},this.stop=function(){this.restart()},this.setVolume=function(a){m=a},this.setPlaybackRate=function(a){t=a,s=!0},this.setMuting=function(a){u=a},this.setProgress=function(a){o&&o.duration&&isFinite(a)&&(o.currentTime=o.duration*a)},this.setCurrentTime=function(a){o&&o.duration&&(o.currentTime=a)},this.destroy=h,x.$on("$destroy",function(){h()}),a.find(d).then(function(a){o=a,e.unlock&&(window.addEventListener("click",g),o.addEventListener("playing",function(){window.removeEventListener("click",g)})),o.addEventListener("canplay",function(){y.canPlay=!0})},function(a){y.error=!0,console.warn(a)});var A=c(j,e.performance);l=x.$watch(function(){return e.performance},function(){c.cancel(A),A=c(j,e.performance)})}}]).service("ngAudio",["NgAudioObject","ngAudioGlobals",function(a,b){this.play=function(b,c){var d=new a(b,c);return d.play(),d},this.load=function(b,c){return new a(b,c)},this.mute=function(){b.muting=!0},this.unmute=function(){b.muting=!1},this.toggleMute=function(){b.muting=!b.muting},this.setUnlock=function(a){b.unlock=a},this.setGlobalVolume=function(a){b.volume=a}}]).filter("trackTime",function(){return function(a){var b=Math.floor(0|a),c="",d=0,e=0,f=0;return b>3599?(d=Math.floor(b/3600),e=Math.floor((b-3600*d)/60),f=b-(60*e+3600*d),1==d.toString().length&&(d="0"+Math.floor(b/3600).toString()),1==e.toString().length&&(e="0"+Math.floor((b-3600*d)/60).toString()),1==f.toString().length&&(f="0"+(b-(60*e+3600*d)).toString()),c=d+":"+e+":"+f):b>59?(e=Math.floor(b/60),f=b-60*e,1==e.toString().length&&(e="0"+Math.floor(b/60).toString()),1==f.toString().length&&(f="0"+(b-60*e).toString()),c=e+":"+f):(f=b,1==f.toString().length&&(f="0"+b.toString()),c=b+"s"),"function"==typeof Number.isNaN&&Number.isNaN(c),c}}),function(){"use strict";angular.module("ngEmoticons",["ngSanitize"]).filter("emoticons",["$sce",function(a){var b=[{text:":)","class":"smiley",code:"e60a"},{text:":D","class":"happy",code:"e608"},{text:":d","class":"happy",code:"e608"},{text:":(","class":"sad",code:"e60e"},{text:":/","class":"wondering",code:"e620"},{text:":P","class":"tongue",code:"e60c"},{text:":p","class":"tongue",code:"e60c"},{text:"3:)","class":"evil",code:"e618"},{text:"(^)","class":"thumbsup2",code:"e607"},{text:";)","class":"wink",code:"e610"},{text:":o","class":"shocked",code:"e61a"},{text:"-_-","class":"neutral",code:"e61e"},{text:"(y)","class":"thumbs-up",code:"e606"},{text:":*","class":"heart",code:"e604"},{text:"<3","class":"heart",code:"e604"},{text:"<3","class":"heart",code:"e604"},{text:"</3","class":"heart-broken",code:"e605"},{text:"&#x'+a.code+"
        ")}),c.join(" ")}function h(a){var b=/((href|src)=["']|)(\b(https?|ftp|file):\/\/[-A-Z0-9+()&@#\/%?=~_|!:,.;]*[-A-Z0-9+&@#\/%=~_|])/gi,c=a.replace(b,function(a){return''+a+""});return c}function i(a){var b=new RegExp(":("+c.join("|")+"):","g");return a.replace(b,function(a,b){return""})}var j={link:!0,linkTarget:"_self"};return f(j,e),void 0!==d&&null!==d?"object"==typeof d?d:(d=g(d),d=i(d),j.link&&(d=h(d)),a.trustAsHtml(d)):void 0}}]).directive("ngEmoticons",["$filter","$sce","$http","$timeout","$templateCache",function(a,b,c,d,e){var f="",g='
        {{video.description}}
        {{video.views}} {{video.likes}}
        ';return e.put(f,g),{restrict:"AE",scope:!0,templateUrl:function(a,b){return b.emoticonsTemplateUrl||f},link:function(e,f,g){function h(a){return angular.forEach(arguments,function(b){b!==a&&angular.forEach(b,function(b,c){a[c]&&a[c].constructor&&a[c].constructor===Object?h(a[c],b):a[c]=b})}),a}var i=e.$eval(g.emoticonsData),j=e.$eval(g.emoticonsOptions);e.video={},e.image={},e.pdf={},e.audio={};var k={link:!0,linkTarget:"_self",pdf:{embed:!0},image:{embed:!1},audio:{embed:!0},code:{highlight:!0,lineNumbers:!1},basicVideo:!1,video:{embed:!1,width:null,height:null,ytTheme:"dark",details:!1,thumbnailQuality:"medium",ytAuthKey:null}};h(k,j),String.prototype.trunc=function(a,b){var c=this.length>a,d=c?this.substr(0,a-1):this;return d=b&&c?d.substr(0,d.lastIndexOf(" ")):d,c?d+"...":d};var l={calcDimensions:function(a){var b={width:null,height:null};return b.width=a.video.width,b.height=a.video.height,a.video.height&&a.video.width?b:a.video.height?(b.width=a.video.height/390*640,b):a.video.width?(b.height=b.width/640*390,b):(b.width=640,b.height=390,b)},embed:function(a,d){var f=/https?:\/\/(?:[0-9A-Z-]+\.)?(?:youtu\.be\/|youtube\.com(?:\/embed\/|\/v\/|\/watch\?v=|\/ytscreeningroom\?v=|\/feeds\/api\/videos\/|\/user\S*[^\w\-\s]|\S*[^\w\-\s]))([\w\-]{11})[?=&+%\w-]*/gi;if(a.match(f)){var g=this.calcDimensions(d);return e.video.id=RegExp.$1,d.video.details?c.get("https://www.googleapis.com/youtube/v3/videos?id="+RegExp.$1+"&key="+d.video.ytAuthKey+"&part=snippet,statistics").success(function(a){var c=a.items[0];e.video.host="youtube",e.video.title=c.snippet.title,e.video.thumbnail=c.snippet.thumbnails.medium.url,e.video.description=c.snippet.description.trunc(250,!0).replace(/\n/g," ").replace(/ /g," "),e.video.rawDescription=c.snippet.description,e.video.views=c.statistics.viewCount,e.video.likes=c.statistics.likeCount,e.video.uploader=c.snippet.channelTitle,e.video.uploaderPage="https://www.youtube.com/channel/"+c.snippet.channelId,e.video.uploadDate=c.snippet.publishedAt,e.video.url=b.trustAsResourceUrl("https://www.youtube.com/watch?v="+c.id),e.video.embedSrc=b.trustAsResourceUrl("https://www.youtube.com/embed/"+e.video.id+"?autoplay=1"),e.video.width=g.width,e.video.height=g.height}):(e.video.width=g.width,e.video.height=g.height,e.video.host="youtube",e.video.embedSrc=b.trustAsResourceUrl("https://www.youtube.com/embed/"+RegExp.$1+"?autoplay=0")),a}var h=/https?:\/\/(?:www\.)?vimeo.com\/(?:channels\/(?:\w+\/)?|groups\/([^\/]*)\/videos\/|album\/(\d+)\/video\/|)(\d+)(?:$|\/|\?)*/gi;if(a.match(h)){var i=this.calcDimensions(d);e.video.id=RegExp.$3,d.video.details?c.get("https://vimeo.com/api/v2/video/"+RegExp.$3+".json").success(function(a){e.video.host="vimeo",e.video.title=a[0].title,e.video.rawDescription=a[0].description.replace(/\n/g,"
        ").replace(/ /g,"
        "),e.video.description=a[0].description.replace(/((<|<)br\s*\/*(>|>)\r\n)/g," ").trunc(250,!0),e.video.thumbnail=a[0].thumbnail_medium,e.video.views=a[0].stats_number_of_plays,e.video.likes=a[0].stats_number_of_likes,e.video.uploader=a[0].user_name,e.video.uploaderPage=a[0].user_url,e.video.uploadDate=a[0].uploadDate,e.video.url=a[0].url,e.video.embedSrc=b.trustAsResourceUrl("//player.vimeo.com/video/"+a[0].id+"?title=0&byline=0&portrait=0&autoplay=1"),e.video.width=i.width,e.video.height=i.height}):(e.video.width=i.width,e.video.height=i.height,e.video.host="vimeo",e.video.embedSrc=b.trustAsResourceUrl("//player.vimeo.com/video/"+RegExp.$3+"?title=0&byline=0&portrait=0&autoplay=0"))}return a},embedBasic:function(a){var c=/((?:https?):\/\/\S*\.(?:ogv|webm|mp4))/gi;return a.match(c)&&(e.video.basic=b.trustAsResourceUrl(RegExp.$1)),a}},m={embed:function(a){var c=/((?:https?):\/\/\S*\.(?:wav|mp3|ogg))/gi;return a.match(c)&&(e.audio.url=b.trustAsResourceUrl(RegExp.$1)),a}},n={embed:function(a){var b=/((?:https?):\/\/\S*\.(?:gif|jpg|jpeg|tiff|png|svg|webp))/gi;return a.match(b)&&(e.image.url=RegExp.$1),a}},o={encodeCode:function(a){return a=a.replace(/\&/gm,"&"),a=a.replace(//gm,">")},getCode:function(a){var b=this;return a=a.replace(/(`+)(\s|[a-z]+)\s*([\s\S]*?[^`])\s*\1(?!`)/gm,function(a,c,d,e){var f=e;return f=f.replace(/^([ \t]*)/g,""),f=f.replace(/[ \t]*$/g,""),f=b.encodeCode(f),f=f.replace(/:\/\//g,"~P"),'
        '+f+"
        "})}},p={embed:function(a){var c=/((?:https?):\/\/\S*\.(?:pdf|PDF))/gi;return a.match(c)&&(e.pdf.url=b.trustAsResourceUrl(RegExp.$1)),a}};if(k.code.highlight){if(!window.hljs)throw"hlsj (Highlight JS is not defined.";i=o.getCode(i),d(function(){hljs.initHighlighting(),k.code.lineNumbers&&angular.element(".ne-code").each(function(){var a=1,b=$(this).text().split("\n").length,c=$("
          ").addClass("pre-numbering");for(angular.element(this).addClass("has-numbering").parent().append(c),a;b>=a;a++)c.append(angular.element("
        • ").text(a))})},0)}var q=a("emoticons")(i,k).$$unwrapTrustedValue();if(k.video.embed){if(!k.video.ytAuthKey)throw"Youtube authentication key is required to get data from youtube.";q=l.embed(q,k)}k.basicVideo&&(q=l.embedBasic(q)),k.audio.embed&&(q=m.embed(q)),k.image.embed&&(q=n.embed(q)),k.pdf.embed&&(q=p.embed(q)),e.neText=b.trustAsHtml(q)}}}]).directive("neHljs",["$timeout",function(a){return{restrict:"AE",link:function(b,c){a(function(){c.find(".ne-code").each(function(){hljs.highlightBlock(this)})},0)}}}])}(),function(a){"undefined"!=typeof exports?a(exports):(window.hljs=a({}),"function"==typeof define&&define.amd&&define("hljs",[],function(){return window.hljs}))}(function(a){function b(a){return a.replace(/&/gm,"&").replace(//gm,">")}function c(a){return a.nodeName.toLowerCase()}function d(a,b){var c=a&&a.exec(b);return c&&0==c.index}function e(a){return/^(no-?highlight|plain|text)$/i.test(a)}function f(a){var b,c,d,f=a.className+" ";if(f+=a.parentNode?a.parentNode.className:"",c=/\blang(?:uage)?-([\w-]+)\b/i.exec(f))return u(c[1])?c[1]:"no-highlight";for(f=f.split(/\s+/),b=0,d=f.length;d>b;b++)if(u(f[b])||e(f[b]))return f[b]}function g(a,b){var c,d={};for(c in a)d[c]=a[c];if(b)for(c in b)d[c]=b[c];return d}function h(a){var b=[];return function d(a,e){for(var f=a.firstChild;f;f=f.nextSibling)3==f.nodeType?e+=f.nodeValue.length:1==f.nodeType&&(b.push({event:"start",offset:e,node:f}),e=d(f,e),c(f).match(/br|hr|img|input/)||b.push({event:"stop",offset:e,node:f}));return e}(a,0),b}function i(a,d,e){function f(){return a.length&&d.length?a[0].offset!=d[0].offset?a[0].offset"}function h(a){k+=""}function i(a){("start"==a.event?g:h)(a.node)}for(var j=0,k="",l=[];a.length||d.length;){var m=f();if(k+=b(e.substr(j,m[0].offset-j)),j=m[0].offset,m==a){l.reverse().forEach(h);do i(m.splice(0,1)[0]),m=f();while(m==a&&m.length&&m[0].offset==j);l.reverse().forEach(g)}else"start"==m[0].event?l.push(m[0].node):l.pop(),i(m.splice(0,1)[0])}return k+b(e.substr(j))}function j(a){function b(a){return a&&a.source||a}function c(c,d){return new RegExp(b(c),"m"+(a.case_insensitive?"i":"")+(d?"g":""))}function d(e,f){if(!e.compiled){if(e.compiled=!0,e.keywords=e.keywords||e.beginKeywords,e.keywords){var h={},i=function(b,c){a.case_insensitive&&(c=c.toLowerCase()),c.split(" ").forEach(function(a){var c=a.split("|");h[c[0]]=[b,c[1]?Number(c[1]):1]})};"string"==typeof e.keywords?i("keyword",e.keywords):Object.keys(e.keywords).forEach(function(a){i(a,e.keywords[a])}),e.keywords=h}e.lexemesRe=c(e.lexemes||/\b\w+\b/,!0),f&&(e.beginKeywords&&(e.begin="\\b("+e.beginKeywords.split(" ").join("|")+")\\b"),e.begin||(e.begin=/\B|\b/),e.beginRe=c(e.begin),e.end||e.endsWithParent||(e.end=/\B|\b/),e.end&&(e.endRe=c(e.end)),e.terminator_end=b(e.end)||"",e.endsWithParent&&f.terminator_end&&(e.terminator_end+=(e.end?"|":"")+f.terminator_end)),e.illegal&&(e.illegalRe=c(e.illegal)),void 0===e.relevance&&(e.relevance=1),e.contains||(e.contains=[]);var j=[];e.contains.forEach(function(a){a.variants?a.variants.forEach(function(b){j.push(g(a,b))}):j.push("self"==a?e:a)}),e.contains=j,e.contains.forEach(function(a){d(a,e)}),e.starts&&d(e.starts,f);var k=e.contains.map(function(a){return a.beginKeywords?"\\.?("+a.begin+")\\.?":a.begin}).concat([e.terminator_end,e.illegal]).map(b).filter(Boolean);e.terminators=k.length?c(k.join("|"),!0):{exec:function(){return null}}}}d(a)}function k(a,c,e,f){function g(a,b){for(var c=0;c";return f+=a+'">',f+b+g}function o(){if(!y.keywords)return b(B);var a="",c=0;y.lexemesRe.lastIndex=0;for(var d=y.lexemesRe.exec(B);d;){a+=b(B.substr(c,d.index-c));var e=m(y,d);e?(C+=e[1],a+=n(e[0],b(d[0]))):a+=b(d[0]),c=y.lexemesRe.lastIndex,d=y.lexemesRe.exec(B)}return a+b(B.substr(c))}function p(){var a="string"==typeof y.subLanguage;if(a&&!w[y.subLanguage])return b(B);var c=a?k(y.subLanguage,B,!0,z[y.subLanguage]):l(B,y.subLanguage.length?y.subLanguage:void 0);return y.relevance>0&&(C+=c.relevance),a&&(z[y.subLanguage]=c.top),n(c.language,c.value,!1,!0)}function q(){return void 0!==y.subLanguage?p():o()}function r(a,c){var d=a.className?n(a.className,"",!0):"";a.returnBegin?(A+=d,B=""):a.excludeBegin?(A+=b(c)+d,B=""):(A+=d,B=c),y=Object.create(a,{parent:{value:y}})}function s(a,c){if(B+=a,void 0===c)return A+=q(),0;var d=g(c,y);if(d)return A+=q(),r(d,c),d.returnBegin?0:c.length;var e=h(y,c);if(e){var f=y;f.returnEnd||f.excludeEnd||(B+=c),A+=q();do y.className&&(A+=""),C+=y.relevance,y=y.parent;while(y!=e.parent);return f.excludeEnd&&(A+=b(c)),B="",e.starts&&r(e.starts,""),f.returnEnd?0:c.length}if(i(c,y))throw new Error('Illegal lexeme "'+c+'" for mode "'+(y.className||"")+'"');return B+=c,c.length||1}var t=u(a);if(!t)throw new Error('Unknown language: "'+a+'"');j(t);var x,y=f||t,z={},A="";for(x=y;x!=t;x=x.parent)x.className&&(A=n(x.className,"",!0)+A);var B="",C=0;try{for(var D,E,F=0;;){if(y.terminators.lastIndex=F,D=y.terminators.exec(c),!D)break;E=s(c.substr(F,D.index-F),D[0]),F=D.index+E}for(s(c.substr(F)),x=y;x.parent;x=x.parent)x.className&&(A+="");return{relevance:C,value:A,language:a,top:y}}catch(G){if(-1!=G.message.indexOf("Illegal"))return{relevance:0,value:b(c)};throw G}}function l(a,c){c=c||v.languages||Object.keys(w);var d={relevance:0,value:b(a)},e=d;return c.forEach(function(b){if(u(b)){var c=k(b,a,!1);c.language=b,c.relevance>e.relevance&&(e=c),c.relevance>d.relevance&&(e=d,d=c)}}),e.language&&(d.second_best=e),d}function m(a){return v.tabReplace&&(a=a.replace(/^((<[^>]+>|\t)+)/gm,function(a,b){return b.replace(/\t/g,v.tabReplace)})),v.useBR&&(a=a.replace(/\n/g,"
          ")),a}function n(a,b,c){var d=b?x[b]:c,e=[a.trim()];return a.match(/\bhljs\b/)||e.push("hljs"),-1===a.indexOf(d)&&e.push(d),e.join(" ").trim()}function o(a){var b=f(a);if(!e(b)){var c;v.useBR?(c=document.createElementNS("http://www.w3.org/1999/xhtml","div"),c.innerHTML=a.innerHTML.replace(/\n/g,"").replace(//g,"\n")):c=a;var d=c.textContent,g=b?k(b,d,!0):l(d),j=h(c);if(j.length){var o=document.createElementNS("http://www.w3.org/1999/xhtml","div");o.innerHTML=g.value,g.value=i(j,h(o),d)}g.value=m(g.value),a.innerHTML=g.value,a.className=n(a.className,b,g.language),a.result={language:g.language,re:g.relevance},g.second_best&&(a.second_best={language:g.second_best.language,re:g.second_best.relevance})}}function p(a){v=g(v,a)}function q(){if(!q.called){q.called=!0;var a=document.querySelectorAll("pre code");Array.prototype.forEach.call(a,o)}}function r(){addEventListener("DOMContentLoaded",q,!1),addEventListener("load",q,!1)}function s(b,c){var d=w[b]=c(a);d.aliases&&d.aliases.forEach(function(a){x[a]=b})}function t(){return Object.keys(w)}function u(a){return a=(a||"").toLowerCase(),w[a]||w[x[a]]}var v={classPrefix:"hljs-",tabReplace:null,useBR:!1,languages:void 0},w={},x={};return a.highlight=k,a.highlightAuto=l,a.fixMarkup=m,a.highlightBlock=o,a.configure=p,a.initHighlighting=q,a.initHighlightingOnLoad=r,a.registerLanguage=s,a.listLanguages=t,a.getLanguage=u,a.inherit=g,a.IDENT_RE="[a-zA-Z]\\w*",a.UNDERSCORE_IDENT_RE="[a-zA-Z_]\\w*",a.NUMBER_RE="\\b\\d+(\\.\\d+)?",a.C_NUMBER_RE="(\\b0[xX][a-fA-F0-9]+|(\\b\\d+(\\.\\d*)?|\\.\\d+)([eE][-+]?\\d+)?)",a.BINARY_NUMBER_RE="\\b(0b[01]+)",a.RE_STARTERS_RE="!|!=|!==|%|%=|&|&&|&=|\\*|\\*=|\\+|\\+=|,|-|-=|/=|/|:|;|<<|<<=|<=|<|===|==|=|>>>=|>>=|>=|>>>|>>|>|\\?|\\[|\\{|\\(|\\^|\\^=|\\||\\|=|\\|\\||~",a.BACKSLASH_ESCAPE={begin:"\\\\[\\s\\S]",relevance:0},a.APOS_STRING_MODE={className:"string",begin:"'",end:"'",illegal:"\\n",contains:[a.BACKSLASH_ESCAPE]},a.QUOTE_STRING_MODE={className:"string",begin:'"',end:'"',illegal:"\\n",contains:[a.BACKSLASH_ESCAPE]},a.PHRASAL_WORDS_MODE={begin:/\b(a|an|the|are|I|I'm|isn't|don't|doesn't|won't|but|just|should|pretty|simply|enough|gonna|going|wtf|so|such|will|you|your|like)\b/},a.COMMENT=function(b,c,d){var e=a.inherit({className:"comment",begin:b,end:c,contains:[]},d||{});return e.contains.push(a.PHRASAL_WORDS_MODE),e.contains.push({className:"doctag",begin:"(?:TODO|FIXME|NOTE|BUG|XXX):",relevance:0}),e},a.C_LINE_COMMENT_MODE=a.COMMENT("//","$"),a.C_BLOCK_COMMENT_MODE=a.COMMENT("/\\*","\\*/"),a.HASH_COMMENT_MODE=a.COMMENT("#","$"),a.NUMBER_MODE={className:"number",begin:a.NUMBER_RE,relevance:0},a.C_NUMBER_MODE={className:"number",begin:a.C_NUMBER_RE,relevance:0},a.BINARY_NUMBER_MODE={className:"number",begin:a.BINARY_NUMBER_RE,relevance:0},a.CSS_NUMBER_MODE={className:"number",begin:a.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},a.REGEXP_MODE={className:"regexp",begin:/\//,end:/\/[gimuy]*/,illegal:/\n/,contains:[a.BACKSLASH_ESCAPE,{begin:/\[/,end:/\]/,relevance:0,contains:[a.BACKSLASH_ESCAPE]}]},a.TITLE_MODE={className:"title",begin:a.IDENT_RE,relevance:0},a.UNDERSCORE_TITLE_MODE={className:"title",begin:a.UNDERSCORE_IDENT_RE,relevance:0},a.registerLanguage("1c",function(a){var b="[a-zA-Zа-яА-Я][a-zA-Z0-9_а-яА-Я]*",c="возврат дата для если и или иначе иначеесли исключение конецесли конецпопытки конецпроцедуры конецфункции конеццикла константа не перейти перем перечисление по пока попытка прервать продолжить процедура строка тогда фс функция цикл число экспорт",d="ansitooem oemtoansi ввестивидсубконто ввестидату ввестизначение ввестиперечисление ввестипериод ввестиплансчетов ввестистроку ввестичисло вопрос восстановитьзначение врег выбранныйплансчетов вызватьисключение датагод датамесяц датачисло добавитьмесяц завершитьработусистемы заголовоксистемы записьжурналарегистрации запуститьприложение зафиксироватьтранзакцию значениевстроку значениевстрокувнутр значениевфайл значениеизстроки значениеизстрокивнутр значениеизфайла имякомпьютера имяпользователя каталогвременныхфайлов каталогиб каталогпользователя каталогпрограммы кодсимв командасистемы конгода конецпериодаби конецрассчитанногопериодаби конецстандартногоинтервала конквартала конмесяца коннедели лев лог лог10 макс максимальноеколичествосубконто мин монопольныйрежим названиеинтерфейса названиенабораправ назначитьвид назначитьсчет найти найтипомеченныенаудаление найтиссылки началопериодаби началостандартногоинтервала начатьтранзакцию начгода начквартала начмесяца начнедели номерднягода номерднянедели номернеделигода нрег обработкаожидания окр описаниеошибки основнойжурналрасчетов основнойплансчетов основнойязык открытьформу открытьформумодально отменитьтранзакцию очиститьокносообщений периодстр полноеимяпользователя получитьвремята получитьдатута получитьдокументта получитьзначенияотбора получитьпозициюта получитьпустоезначение получитьта прав праводоступа предупреждение префиксавтонумерации пустаястрока пустоезначение рабочаядаттьпустоезначение рабочаядата разделительстраниц разделительстрок разм разобратьпозициюдокумента рассчитатьрегистрына рассчитатьрегистрыпо сигнал симв символтабуляции создатьобъект сокрл сокрлп сокрп сообщить состояние сохранитьзначение сред статусвозврата стрдлина стрзаменить стрколичествострок стрполучитьстроку стрчисловхождений сформироватьпозициюдокумента счетпокоду текущаядата текущеевремя типзначения типзначениястр удалитьобъекты установитьтана установитьтапо фиксшаблон формат цел шаблон",e={className:"dquote",begin:'""'},f={className:"string",begin:'"',end:'"|$',contains:[e]},g={className:"string",begin:"\\|",end:'"|$',contains:[e]};return{case_insensitive:!0,lexemes:b,keywords:{keyword:c,built_in:d},contains:[a.C_LINE_COMMENT_MODE,a.NUMBER_MODE,f,g,{className:"function",begin:"(процедура|функция)",end:"$",lexemes:b,keywords:"процедура функция",contains:[a.inherit(a.TITLE_MODE,{begin:b}),{className:"tail",endsWithParent:!0,contains:[{className:"params",begin:"\\(",end:"\\)",lexemes:b,keywords:"знач",contains:[f,g]},{className:"export",begin:"экспорт",endsWithParent:!0,lexemes:b,keywords:"экспорт",contains:[a.C_LINE_COMMENT_MODE]}]},a.C_LINE_COMMENT_MODE]},{className:"preprocessor",begin:"#",end:"$"},{className:"date",begin:"'\\d{2}\\.\\d{2}\\.(\\d{2}|\\d{4})'"}]}}),a.registerLanguage("accesslog",function(a){return{contains:[{className:"number",begin:"\\b\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}(:\\d{1,5})?\\b"},{className:"number",begin:"\\b\\d+\\b",relevance:0},{className:"string",begin:'"(GET|POST|HEAD|PUT|DELETE|CONNECT|OPTIONS|PATCH|TRACE)',end:'"',keywords:"GET POST HEAD PUT DELETE CONNECT OPTIONS PATCH TRACE",illegal:"\\n",relevance:10},{className:"string",begin:/\[/,end:/\]/,illegal:"\\n"},{className:"string",begin:'"',end:'"',illegal:"\\n"}]}}),a.registerLanguage("actionscript",function(a){var b="[a-zA-Z_$][a-zA-Z0-9_$]*",c="([*]|[a-zA-Z_$][a-zA-Z0-9_$]*)",d={className:"rest_arg",begin:"[.]{3}",end:b,relevance:10};return{aliases:["as"],keywords:{keyword:"as break case catch class const continue default delete do dynamic each else extends final finally for function get if implements import in include instanceof interface internal is namespace native new override package private protected public return set static super switch this throw try typeof use var void while with",literal:"true false null undefined"},contains:[a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,a.C_NUMBER_MODE,{className:"package",beginKeywords:"package",end:"{",contains:[a.TITLE_MODE]},{className:"class",beginKeywords:"class interface",end:"{",excludeEnd:!0,contains:[{beginKeywords:"extends implements"},a.TITLE_MODE]},{className:"preprocessor",beginKeywords:"import include",end:";"},{className:"function",beginKeywords:"function",end:"[{;]",excludeEnd:!0,illegal:"\\S",contains:[a.TITLE_MODE,{className:"params",begin:"\\(",end:"\\)",contains:[a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,d]},{className:"type",begin:":",end:c,relevance:10}]}],illegal:/#/}}),a.registerLanguage("apache",function(a){var b={className:"number",begin:"[\\$%]\\d+"};return{aliases:["apacheconf"],case_insensitive:!0,contains:[a.HASH_COMMENT_MODE,{className:"tag",begin:""},{className:"keyword",begin:/\w+/,relevance:0,keywords:{common:"order deny allow setenv rewriterule rewriteengine rewritecond documentroot sethandler errordocument loadmodule options header listen serverroot servername"},starts:{end:/$/,relevance:0,keywords:{literal:"on off all"},contains:[{className:"sqbracket",begin:"\\s\\[",end:"\\]$"},{className:"cbracket",begin:"[\\$%]\\{",end:"\\}",contains:["self",b]},b,a.QUOTE_STRING_MODE]}}],illegal:/\S/}}),a.registerLanguage("applescript",function(a){var b=a.inherit(a.QUOTE_STRING_MODE,{illegal:""}),c={className:"params",begin:"\\(",end:"\\)",contains:["self",a.C_NUMBER_MODE,b]},d=a.COMMENT("--","$"),e=a.COMMENT("\\(\\*","\\*\\)",{contains:["self",d]}),f=[d,e,a.HASH_COMMENT_MODE];return{aliases:["osascript"],keywords:{keyword:"about above after against and around as at back before beginning behind below beneath beside between but by considering contain contains continue copy div does eighth else end equal equals error every exit fifth first for fourth from front get given global if ignoring in into is it its last local me middle mod my ninth not of on onto or over prop property put ref reference repeat returning script second set seventh since sixth some tell tenth that the|0 then third through thru timeout times to transaction try until where while whose with without",constant:"AppleScript false linefeed return pi quote result space tab true",type:"alias application boolean class constant date file integer list number real record string text",command:"activate beep count delay launch log offset read round run say summarize write",property:"character characters contents day frontmost id item length month name paragraph paragraphs rest reverse running time version weekday word words year"},contains:[b,a.C_NUMBER_MODE,{className:"type",begin:"\\bPOSIX file\\b"},{className:"command",begin:"\\b(clipboard info|the clipboard|info for|list (disks|folder)|mount volume|path to|(close|open for) access|(get|set) eof|current date|do shell script|get volume settings|random number|set volume|system attribute|system info|time to GMT|(load|run|store) script|scripting components|ASCII (character|number)|localized string|choose (application|color|file|file name|folder|from list|remote application|URL)|display (alert|dialog))\\b|^\\s*return\\b"},{className:"constant",begin:"\\b(text item delimiters|current application|missing value)\\b"},{className:"keyword",begin:"\\b(apart from|aside from|instead of|out of|greater than|isn't|(doesn't|does not) (equal|come before|come after|contain)|(greater|less) than( or equal)?|(starts?|ends|begins?) with|contained by|comes (before|after)|a (ref|reference))\\b"},{className:"property",begin:"\\b(POSIX path|(date|time) string|quoted form)\\b"},{className:"function_start",beginKeywords:"on",illegal:"[${=;\\n]",contains:[a.UNDERSCORE_TITLE_MODE,c]}].concat(f),illegal:"//|->|=>|\\[\\["}}),a.registerLanguage("armasm",function(a){return{case_insensitive:!0,aliases:["arm"],lexemes:"\\.?"+a.IDENT_RE,keywords:{literal:"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 pc lr sp ip sl sb fp a1 a2 a3 a4 v1 v2 v3 v4 v5 v6 v7 v8 f0 f1 f2 f3 f4 f5 f6 f7 p0 p1 p2 p3 p4 p5 p6 p7 p8 p9 p10 p11 p12 p13 p14 p15 c0 c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 c11 c12 c13 c14 c15 q0 q1 q2 q3 q4 q5 q6 q7 q8 q9 q10 q11 q12 q13 q14 q15 cpsr_c cpsr_x cpsr_s cpsr_f cpsr_cx cpsr_cxs cpsr_xs cpsr_xsf cpsr_sf cpsr_cxsf spsr_c spsr_x spsr_s spsr_f spsr_cx spsr_cxs spsr_xs spsr_xsf spsr_sf spsr_cxsf s0 s1 s2 s3 s4 s5 s6 s7 s8 s9 s10 s11 s12 s13 s14 s15 s16 s17 s18 s19 s20 s21 s22 s23 s24 s25 s26 s27 s28 s29 s30 s31 d0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 d16 d17 d18 d19 d20 d21 d22 d23 d24 d25 d26 d27 d28 d29 d30 d31 ",preprocessor:".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .arm .thumb .code16 .code32 .force_thumb .thumb_func .ltorg ALIAS ALIGN ARM AREA ASSERT ATTR CN CODE CODE16 CODE32 COMMON CP DATA DCB DCD DCDU DCDO DCFD DCFDU DCI DCQ DCQU DCW DCWU DN ELIF ELSE END ENDFUNC ENDIF ENDP ENTRY EQU EXPORT EXPORTAS EXTERN FIELD FILL FUNCTION GBLA GBLL GBLS GET GLOBAL IF IMPORT INCBIN INCLUDE INFO KEEP LCLA LCLL LCLS LTORG MACRO MAP MEND MEXIT NOFP OPT PRESERVE8 PROC QN READONLY RELOC REQUIRE REQUIRE8 RLIST FN ROUT SETA SETL SETS SN SPACE SUBT THUMB THUMBX TTL WHILE WEND ",built_in:"{PC} {VAR} {TRUE} {FALSE} {OPT} {CONFIG} {ENDIAN} {CODESIZE} {CPU} {FPU} {ARCHITECTURE} {PCSTOREOFFSET} {ARMASM_VERSION} {INTER} {ROPI} {RWPI} {SWST} {NOSWST} . @ "},contains:[{className:"keyword",begin:"\\b(adc|(qd?|sh?|u[qh]?)?add(8|16)?|usada?8|(q|sh?|u[qh]?)?(as|sa)x|and|adrl?|sbc|rs[bc]|asr|b[lx]?|blx|bxj|cbn?z|tb[bh]|bic|bfc|bfi|[su]bfx|bkpt|cdp2?|clz|clrex|cmp|cmn|cpsi[ed]|cps|setend|dbg|dmb|dsb|eor|isb|it[te]{0,3}|lsl|lsr|ror|rrx|ldm(([id][ab])|f[ds])?|ldr((s|ex)?[bhd])?|movt?|mvn|mra|mar|mul|[us]mull|smul[bwt][bt]|smu[as]d|smmul|smmla|mla|umlaal|smlal?([wbt][bt]|d)|mls|smlsl?[ds]|smc|svc|sev|mia([bt]{2}|ph)?|mrr?c2?|mcrr2?|mrs|msr|orr|orn|pkh(tb|bt)|rbit|rev(16|sh)?|sel|[su]sat(16)?|nop|pop|push|rfe([id][ab])?|stm([id][ab])?|str(ex)?[bhd]?|(qd?)?sub|(sh?|q|u[qh]?)?sub(8|16)|[su]xt(a?h|a?b(16)?)|srs([id][ab])?|swpb?|swi|smi|tst|teq|wfe|wfi|yield)(eq|ne|cs|cc|mi|pl|vs|vc|hi|ls|ge|lt|gt|le|al|hs|lo)?[sptrx]?",end:"\\s"},a.COMMENT("[;@]","$",{relevance:0}),a.C_BLOCK_COMMENT_MODE,a.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",relevance:0},{className:"title",begin:"\\|",end:"\\|",illegal:"\\n",relevance:0},{className:"number",variants:[{begin:"[#$=]?0x[0-9a-f]+"},{begin:"[#$=]?0b[01]+"},{begin:"[#$=]\\d+"},{begin:"\\b\\d+"}],relevance:0},{className:"label",variants:[{begin:"^[a-z_\\.\\$][a-z0-9_\\.\\$]+"},{begin:"^\\s*[a-z_\\.\\$][a-z0-9_\\.\\$]+:"},{begin:"[=#]\\w+"}],relevance:0}]}}),a.registerLanguage("xml",function(a){var b="[A-Za-z0-9\\._:-]+",c={begin:/<\?(php)?(?!\w)/,end:/\?>/,subLanguage:"php"},d={endsWithParent:!0,illegal:/]+/}]}]}]};return{aliases:["html","xhtml","rss","atom","xsl","plist"],case_insensitive:!0,contains:[{className:"doctype",begin:"",relevance:10,contains:[{begin:"\\[",end:"\\]"}]},a.COMMENT("",{relevance:10}),{className:"cdata",begin:"<\\!\\[CDATA\\[",end:"\\]\\]>",relevance:10},{className:"tag",begin:"|$)",end:">",keywords:{title:"style"},contains:[d],starts:{end:"",returnEnd:!0,subLanguage:"css"}},{className:"tag",begin:"|$)",end:">",keywords:{title:"script"},contains:[d],starts:{end:"",returnEnd:!0,subLanguage:["actionscript","javascript","handlebars"]}},c,{className:"pi",begin:/<\?\w+/,end:/\?>/,relevance:10},{className:"tag",begin:"",contains:[{className:"title",begin:/[^ \/><\n\t]+/,relevance:0},d]}]}}),a.registerLanguage("asciidoc",function(a){return{aliases:["adoc"],contains:[a.COMMENT("^/{4,}\\n","\\n/{4,}$",{relevance:10}),a.COMMENT("^//","$",{relevance:0}),{className:"title",begin:"^\\.\\w.*$"},{begin:"^[=\\*]{4,}\\n",end:"\\n^[=\\*]{4,}$",relevance:10},{className:"header",begin:"^(={1,5}) .+?( \\1)?$",relevance:10},{className:"header",begin:"^[^\\[\\]\\n]+?\\n[=\\-~\\^\\+]{2,}$",relevance:10},{className:"attribute",begin:"^:.+?:",end:"\\s",excludeEnd:!0,relevance:10},{className:"attribute",begin:"^\\[.+?\\]$",relevance:0},{className:"blockquote",begin:"^_{4,}\\n",end:"\\n_{4,}$",relevance:10},{className:"code",begin:"^[\\-\\.]{4,}\\n",end:"\\n[\\-\\.]{4,}$",relevance:10},{begin:"^\\+{4,}\\n",end:"\\n\\+{4,}$",contains:[{begin:"<",end:">",subLanguage:"xml",relevance:0}],relevance:10},{className:"bullet",begin:"^(\\*+|\\-+|\\.+|[^\\n]+?::)\\s+"},{className:"label",begin:"^(NOTE|TIP|IMPORTANT|WARNING|CAUTION):\\s+",relevance:10},{className:"strong",begin:"\\B\\*(?![\\*\\s])",end:"(\\n{2}|\\*)",contains:[{begin:"\\\\*\\w",relevance:0}]},{className:"emphasis",begin:"\\B'(?!['\\s])",end:"(\\n{2}|')",contains:[{begin:"\\\\'\\w",relevance:0}],relevance:0},{className:"emphasis",begin:"_(?![_\\s])",end:"(\\n{2}|_)",relevance:0},{className:"smartquote",variants:[{begin:"``.+?''"},{begin:"`.+?'"}]},{className:"code",begin:"(`.+?`|\\+.+?\\+)",relevance:0},{className:"code",begin:"^[ \\t]",end:"$",relevance:0},{className:"horizontal_rule",begin:"^'{3,}[ \\t]*$",relevance:10},{begin:"(link:)?(http|https|ftp|file|irc|image:?):\\S+\\[.*?\\]",returnBegin:!0,contains:[{begin:"(link|image:?):",relevance:0},{className:"link_url",begin:"\\w",end:"[^\\[]+",relevance:0},{className:"link_label",begin:"\\[",end:"\\]",excludeBegin:!0,excludeEnd:!0,relevance:0}],relevance:10}]}}),a.registerLanguage("aspectj",function(a){var b="false synchronized int abstract float private char boolean static null if const for true while long throw strictfp finally protected import native final return void enum else extends implements break transient new catch instanceof byte super volatile case assert short package default double public try this switch continue throws privileged aspectOf adviceexecution proceed cflowbelow cflow initialization preinitialization staticinitialization withincode target within execution getWithinTypeName handler thisJoinPoint thisJoinPointStaticPart thisEnclosingJoinPointStaticPart declare parents warning error soft precedence thisAspectInstance",c="get set args call"; -return{keywords:b,illegal:/<\/|#/,contains:[a.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+"}]}),a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,{className:"aspect",beginKeywords:"aspect",end:/[{;=]/,excludeEnd:!0,illegal:/[:;"\[\]]/,contains:[{beginKeywords:"extends implements pertypewithin perthis pertarget percflowbelow percflow issingleton"},a.UNDERSCORE_TITLE_MODE,{begin:/\([^\)]*/,end:/[)]+/,keywords:b+" "+c,excludeEnd:!1}]},{className:"class",beginKeywords:"class interface",end:/[{;=]/,excludeEnd:!0,relevance:0,keywords:"class interface",illegal:/[:"\[\]]/,contains:[{beginKeywords:"extends implements"},a.UNDERSCORE_TITLE_MODE]},{beginKeywords:"pointcut after before around throwing returning",end:/[)]/,excludeEnd:!1,illegal:/["\[\]]/,contains:[{begin:a.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,contains:[a.UNDERSCORE_TITLE_MODE]}]},{begin:/[:]/,returnBegin:!0,end:/[{;]/,relevance:0,excludeEnd:!1,keywords:b,illegal:/["\[\]]/,contains:[{begin:a.UNDERSCORE_IDENT_RE+"\\s*\\(",keywords:b+" "+c},a.QUOTE_STRING_MODE]},{beginKeywords:"new throw",relevance:0},{className:"function",begin:/\w+ +\w+(\.)?\w+\s*\([^\)]*\)\s*((throws)[\w\s,]+)?[\{;]/,returnBegin:!0,end:/[{;=]/,keywords:b,excludeEnd:!0,contains:[{begin:a.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,relevance:0,contains:[a.UNDERSCORE_TITLE_MODE]},{className:"params",begin:/\(/,end:/\)/,relevance:0,keywords:b,contains:[a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,a.C_NUMBER_MODE,a.C_BLOCK_COMMENT_MODE]},a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE]},a.C_NUMBER_MODE,{className:"annotation",begin:"@[A-Za-z]+"}]}}),a.registerLanguage("autohotkey",function(a){var b={className:"escape",begin:"`[\\s\\S]"},c=a.COMMENT(";","$",{relevance:0}),d=[{className:"built_in",begin:"A_[a-zA-Z0-9]+"},{className:"built_in",beginKeywords:"ComSpec Clipboard ClipboardAll ErrorLevel"}];return{case_insensitive:!0,keywords:{keyword:"Break Continue Else Gosub If Loop Return While",literal:"A true false NOT AND OR"},contains:d.concat([b,a.inherit(a.QUOTE_STRING_MODE,{contains:[b]}),c,{className:"number",begin:a.NUMBER_RE,relevance:0},{className:"var_expand",begin:"%",end:"%",illegal:"\\n",contains:[b]},{className:"label",contains:[b],variants:[{begin:'^[^\\n";]+::(?!=)'},{begin:'^[^\\n";]+:(?!=)',relevance:0}]},{begin:",\\s*,",relevance:10}])}}),a.registerLanguage("autoit",function(a){var b="ByRef Case Const ContinueCase ContinueLoop Default Dim Do Else ElseIf EndFunc EndIf EndSelect EndSwitch EndWith Enum Exit ExitLoop For Func Global If In Local Next ReDim Return Select Static Step Switch Then To Until Volatile WEnd While With",c="True False And Null Not Or",d="Abs ACos AdlibRegister AdlibUnRegister Asc AscW ASin Assign ATan AutoItSetOption AutoItWinGetTitle AutoItWinSetTitle Beep Binary BinaryLen BinaryMid BinaryToString BitAND BitNOT BitOR BitRotate BitShift BitXOR BlockInput Break Call CDTray Ceiling Chr ChrW ClipGet ClipPut ConsoleRead ConsoleWrite ConsoleWriteError ControlClick ControlCommand ControlDisable ControlEnable ControlFocus ControlGetFocus ControlGetHandle ControlGetPos ControlGetText ControlHide ControlListView ControlMove ControlSend ControlSetText ControlShow ControlTreeView Cos Dec DirCopy DirCreate DirGetSize DirMove DirRemove DllCall DllCallAddress DllCallbackFree DllCallbackGetPtr DllCallbackRegister DllClose DllOpen DllStructCreate DllStructGetData DllStructGetPtr DllStructGetSize DllStructSetData DriveGetDrive DriveGetFileSystem DriveGetLabel DriveGetSerial DriveGetType DriveMapAdd DriveMapDel DriveMapGet DriveSetLabel DriveSpaceFree DriveSpaceTotal DriveStatus EnvGet EnvSet EnvUpdate Eval Execute Exp FileChangeDir FileClose FileCopy FileCreateNTFSLink FileCreateShortcut FileDelete FileExists FileFindFirstFile FileFindNextFile FileFlush FileGetAttrib FileGetEncoding FileGetLongName FileGetPos FileGetShortcut FileGetShortName FileGetSize FileGetTime FileGetVersion FileInstall FileMove FileOpen FileOpenDialog FileRead FileReadLine FileReadToArray FileRecycle FileRecycleEmpty FileSaveDialog FileSelectFolder FileSetAttrib FileSetEnd FileSetPos FileSetTime FileWrite FileWriteLine Floor FtpSetProxy FuncName GUICreate GUICtrlCreateAvi GUICtrlCreateButton GUICtrlCreateCheckbox GUICtrlCreateCombo GUICtrlCreateContextMenu GUICtrlCreateDate GUICtrlCreateDummy GUICtrlCreateEdit GUICtrlCreateGraphic GUICtrlCreateGroup GUICtrlCreateIcon GUICtrlCreateInput GUICtrlCreateLabel GUICtrlCreateList GUICtrlCreateListView GUICtrlCreateListViewItem GUICtrlCreateMenu GUICtrlCreateMenuItem GUICtrlCreateMonthCal GUICtrlCreateObj GUICtrlCreatePic GUICtrlCreateProgress GUICtrlCreateRadio GUICtrlCreateSlider GUICtrlCreateTab GUICtrlCreateTabItem GUICtrlCreateTreeView GUICtrlCreateTreeViewItem GUICtrlCreateUpdown GUICtrlDelete GUICtrlGetHandle GUICtrlGetState GUICtrlRead GUICtrlRecvMsg GUICtrlRegisterListViewSort GUICtrlSendMsg GUICtrlSendToDummy GUICtrlSetBkColor GUICtrlSetColor GUICtrlSetCursor GUICtrlSetData GUICtrlSetDefBkColor GUICtrlSetDefColor GUICtrlSetFont GUICtrlSetGraphic GUICtrlSetImage GUICtrlSetLimit GUICtrlSetOnEvent GUICtrlSetPos GUICtrlSetResizing GUICtrlSetState GUICtrlSetStyle GUICtrlSetTip GUIDelete GUIGetCursorInfo GUIGetMsg GUIGetStyle GUIRegisterMsg GUISetAccelerators GUISetBkColor GUISetCoord GUISetCursor GUISetFont GUISetHelp GUISetIcon GUISetOnEvent GUISetState GUISetStyle GUIStartGroup GUISwitch Hex HotKeySet HttpSetProxy HttpSetUserAgent HWnd InetClose InetGet InetGetInfo InetGetSize InetRead IniDelete IniRead IniReadSection IniReadSectionNames IniRenameSection IniWrite IniWriteSection InputBox Int IsAdmin IsArray IsBinary IsBool IsDeclared IsDllStruct IsFloat IsFunc IsHWnd IsInt IsKeyword IsNumber IsObj IsPtr IsString Log MemGetStats Mod MouseClick MouseClickDrag MouseDown MouseGetCursor MouseGetPos MouseMove MouseUp MouseWheel MsgBox Number ObjCreate ObjCreateInterface ObjEvent ObjGet ObjName OnAutoItExitRegister OnAutoItExitUnRegister Opt Ping PixelChecksum PixelGetColor PixelSearch ProcessClose ProcessExists ProcessGetStats ProcessList ProcessSetPriority ProcessWait ProcessWaitClose ProgressOff ProgressOn ProgressSet Ptr Random RegDelete RegEnumKey RegEnumVal RegRead RegWrite Round Run RunAs RunAsWait RunWait Send SendKeepActive SetError SetExtended ShellExecute ShellExecuteWait Shutdown Sin Sleep SoundPlay SoundSetWaveVolume SplashImageOn SplashOff SplashTextOn Sqrt SRandom StatusbarGetText StderrRead StdinWrite StdioClose StdoutRead String StringAddCR StringCompare StringFormat StringFromASCIIArray StringInStr StringIsAlNum StringIsAlpha StringIsASCII StringIsDigit StringIsFloat StringIsInt StringIsLower StringIsSpace StringIsUpper StringIsXDigit StringLeft StringLen StringLower StringMid StringRegExp StringRegExpReplace StringReplace StringReverse StringRight StringSplit StringStripCR StringStripWS StringToASCIIArray StringToBinary StringTrimLeft StringTrimRight StringUpper Tan TCPAccept TCPCloseSocket TCPConnect TCPListen TCPNameToIP TCPRecv TCPSend TCPShutdown TCPStartup TimerDiff TimerInit ToolTip TrayCreateItem TrayCreateMenu TrayGetMsg TrayItemDelete TrayItemGetHandle TrayItemGetState TrayItemGetText TrayItemSetOnEvent TrayItemSetState TrayItemSetText TraySetClick TraySetIcon TraySetOnEvent TraySetPauseIcon TraySetState TraySetToolTip TrayTip UBound UDPBind UDPCloseSocket UDPOpen UDPRecv UDPSend UDPShutdown UDPStartup VarGetType WinActivate WinActive WinClose WinExists WinFlash WinGetCaretPos WinGetClassList WinGetClientSize WinGetHandle WinGetPos WinGetProcess WinGetState WinGetText WinGetTitle WinKill WinList WinMenuSelectItem WinMinimizeAll WinMinimizeAllUndo WinMove WinSetOnTop WinSetState WinSetTitle WinSetTrans WinWait WinWaitActive WinWaitClose WinWaitNotActive Array1DToHistogram ArrayAdd ArrayBinarySearch ArrayColDelete ArrayColInsert ArrayCombinations ArrayConcatenate ArrayDelete ArrayDisplay ArrayExtract ArrayFindAll ArrayInsert ArrayMax ArrayMaxIndex ArrayMin ArrayMinIndex ArrayPermute ArrayPop ArrayPush ArrayReverse ArraySearch ArrayShuffle ArraySort ArraySwap ArrayToClip ArrayToString ArrayTranspose ArrayTrim ArrayUnique Assert ChooseColor ChooseFont ClipBoard_ChangeChain ClipBoard_Close ClipBoard_CountFormats ClipBoard_Empty ClipBoard_EnumFormats ClipBoard_FormatStr ClipBoard_GetData ClipBoard_GetDataEx ClipBoard_GetFormatName ClipBoard_GetOpenWindow ClipBoard_GetOwner ClipBoard_GetPriorityFormat ClipBoard_GetSequenceNumber ClipBoard_GetViewer ClipBoard_IsFormatAvailable ClipBoard_Open ClipBoard_RegisterFormat ClipBoard_SetData ClipBoard_SetDataEx ClipBoard_SetViewer ClipPutFile ColorConvertHSLtoRGB ColorConvertRGBtoHSL ColorGetBlue ColorGetCOLORREF ColorGetGreen ColorGetRed ColorGetRGB ColorSetCOLORREF ColorSetRGB Crypt_DecryptData Crypt_DecryptFile Crypt_DeriveKey Crypt_DestroyKey Crypt_EncryptData Crypt_EncryptFile Crypt_GenRandom Crypt_HashData Crypt_HashFile Crypt_Shutdown Crypt_Startup DateAdd DateDayOfWeek DateDaysInMonth DateDiff DateIsLeapYear DateIsValid DateTimeFormat DateTimeSplit DateToDayOfWeek DateToDayOfWeekISO DateToDayValue DateToMonth Date_Time_CompareFileTime Date_Time_DOSDateTimeToArray Date_Time_DOSDateTimeToFileTime Date_Time_DOSDateTimeToStr Date_Time_DOSDateToArray Date_Time_DOSDateToStr Date_Time_DOSTimeToArray Date_Time_DOSTimeToStr Date_Time_EncodeFileTime Date_Time_EncodeSystemTime Date_Time_FileTimeToArray Date_Time_FileTimeToDOSDateTime Date_Time_FileTimeToLocalFileTime Date_Time_FileTimeToStr Date_Time_FileTimeToSystemTime Date_Time_GetFileTime Date_Time_GetLocalTime Date_Time_GetSystemTime Date_Time_GetSystemTimeAdjustment Date_Time_GetSystemTimeAsFileTime Date_Time_GetSystemTimes Date_Time_GetTickCount Date_Time_GetTimeZoneInformation Date_Time_LocalFileTimeToFileTime Date_Time_SetFileTime Date_Time_SetLocalTime Date_Time_SetSystemTime Date_Time_SetSystemTimeAdjustment Date_Time_SetTimeZoneInformation Date_Time_SystemTimeToArray Date_Time_SystemTimeToDateStr Date_Time_SystemTimeToDateTimeStr Date_Time_SystemTimeToFileTime Date_Time_SystemTimeToTimeStr Date_Time_SystemTimeToTzSpecificLocalTime Date_Time_TzSpecificLocalTimeToSystemTime DayValueToDate DebugBugReportEnv DebugCOMError DebugOut DebugReport DebugReportEx DebugReportVar DebugSetup Degree EventLog__Backup EventLog__Clear EventLog__Close EventLog__Count EventLog__DeregisterSource EventLog__Full EventLog__Notify EventLog__Oldest EventLog__Open EventLog__OpenBackup EventLog__Read EventLog__RegisterSource EventLog__Report Excel_BookAttach Excel_BookClose Excel_BookList Excel_BookNew Excel_BookOpen Excel_BookOpenText Excel_BookSave Excel_BookSaveAs Excel_Close Excel_ColumnToLetter Excel_ColumnToNumber Excel_ConvertFormula Excel_Export Excel_FilterGet Excel_FilterSet Excel_Open Excel_PictureAdd Excel_Print Excel_RangeCopyPaste Excel_RangeDelete Excel_RangeFind Excel_RangeInsert Excel_RangeLinkAddRemove Excel_RangeRead Excel_RangeReplace Excel_RangeSort Excel_RangeValidate Excel_RangeWrite Excel_SheetAdd Excel_SheetCopyMove Excel_SheetDelete Excel_SheetList FileCountLines FileCreate FileListToArray FileListToArrayRec FilePrint FileReadToArray FileWriteFromArray FileWriteLog FileWriteToLine FTP_Close FTP_Command FTP_Connect FTP_DecodeInternetStatus FTP_DirCreate FTP_DirDelete FTP_DirGetCurrent FTP_DirPutContents FTP_DirSetCurrent FTP_FileClose FTP_FileDelete FTP_FileGet FTP_FileGetSize FTP_FileOpen FTP_FilePut FTP_FileRead FTP_FileRename FTP_FileTimeLoHiToStr FTP_FindFileClose FTP_FindFileFirst FTP_FindFileNext FTP_GetLastResponseInfo FTP_ListToArray FTP_ListToArray2D FTP_ListToArrayEx FTP_Open FTP_ProgressDownload FTP_ProgressUpload FTP_SetStatusCallback GDIPlus_ArrowCapCreate GDIPlus_ArrowCapDispose GDIPlus_ArrowCapGetFillState GDIPlus_ArrowCapGetHeight GDIPlus_ArrowCapGetMiddleInset GDIPlus_ArrowCapGetWidth GDIPlus_ArrowCapSetFillState GDIPlus_ArrowCapSetHeight GDIPlus_ArrowCapSetMiddleInset GDIPlus_ArrowCapSetWidth GDIPlus_BitmapApplyEffect GDIPlus_BitmapApplyEffectEx GDIPlus_BitmapCloneArea GDIPlus_BitmapConvertFormat GDIPlus_BitmapCreateApplyEffect GDIPlus_BitmapCreateApplyEffectEx GDIPlus_BitmapCreateDIBFromBitmap GDIPlus_BitmapCreateFromFile GDIPlus_BitmapCreateFromGraphics GDIPlus_BitmapCreateFromHBITMAP GDIPlus_BitmapCreateFromHICON GDIPlus_BitmapCreateFromHICON32 GDIPlus_BitmapCreateFromMemory GDIPlus_BitmapCreateFromResource GDIPlus_BitmapCreateFromScan0 GDIPlus_BitmapCreateFromStream GDIPlus_BitmapCreateHBITMAPFromBitmap GDIPlus_BitmapDispose GDIPlus_BitmapGetHistogram GDIPlus_BitmapGetHistogramEx GDIPlus_BitmapGetHistogramSize GDIPlus_BitmapGetPixel GDIPlus_BitmapLockBits GDIPlus_BitmapSetPixel GDIPlus_BitmapUnlockBits GDIPlus_BrushClone GDIPlus_BrushCreateSolid GDIPlus_BrushDispose GDIPlus_BrushGetSolidColor GDIPlus_BrushGetType GDIPlus_BrushSetSolidColor GDIPlus_ColorMatrixCreate GDIPlus_ColorMatrixCreateGrayScale GDIPlus_ColorMatrixCreateNegative GDIPlus_ColorMatrixCreateSaturation GDIPlus_ColorMatrixCreateScale GDIPlus_ColorMatrixCreateTranslate GDIPlus_CustomLineCapClone GDIPlus_CustomLineCapCreate GDIPlus_CustomLineCapDispose GDIPlus_CustomLineCapGetStrokeCaps GDIPlus_CustomLineCapSetStrokeCaps GDIPlus_Decoders GDIPlus_DecodersGetCount GDIPlus_DecodersGetSize GDIPlus_DrawImageFX GDIPlus_DrawImageFXEx GDIPlus_DrawImagePoints GDIPlus_EffectCreate GDIPlus_EffectCreateBlur GDIPlus_EffectCreateBrightnessContrast GDIPlus_EffectCreateColorBalance GDIPlus_EffectCreateColorCurve GDIPlus_EffectCreateColorLUT GDIPlus_EffectCreateColorMatrix GDIPlus_EffectCreateHueSaturationLightness GDIPlus_EffectCreateLevels GDIPlus_EffectCreateRedEyeCorrection GDIPlus_EffectCreateSharpen GDIPlus_EffectCreateTint GDIPlus_EffectDispose GDIPlus_EffectGetParameters GDIPlus_EffectSetParameters GDIPlus_Encoders GDIPlus_EncodersGetCLSID GDIPlus_EncodersGetCount GDIPlus_EncodersGetParamList GDIPlus_EncodersGetParamListSize GDIPlus_EncodersGetSize GDIPlus_FontCreate GDIPlus_FontDispose GDIPlus_FontFamilyCreate GDIPlus_FontFamilyCreateFromCollection GDIPlus_FontFamilyDispose GDIPlus_FontFamilyGetCellAscent GDIPlus_FontFamilyGetCellDescent GDIPlus_FontFamilyGetEmHeight GDIPlus_FontFamilyGetLineSpacing GDIPlus_FontGetHeight GDIPlus_FontPrivateAddFont GDIPlus_FontPrivateAddMemoryFont GDIPlus_FontPrivateCollectionDispose GDIPlus_FontPrivateCreateCollection GDIPlus_GraphicsClear GDIPlus_GraphicsCreateFromHDC GDIPlus_GraphicsCreateFromHWND GDIPlus_GraphicsDispose GDIPlus_GraphicsDrawArc GDIPlus_GraphicsDrawBezier GDIPlus_GraphicsDrawClosedCurve GDIPlus_GraphicsDrawClosedCurve2 GDIPlus_GraphicsDrawCurve GDIPlus_GraphicsDrawCurve2 GDIPlus_GraphicsDrawEllipse GDIPlus_GraphicsDrawImage GDIPlus_GraphicsDrawImagePointsRect GDIPlus_GraphicsDrawImageRect GDIPlus_GraphicsDrawImageRectRect GDIPlus_GraphicsDrawLine GDIPlus_GraphicsDrawPath GDIPlus_GraphicsDrawPie GDIPlus_GraphicsDrawPolygon GDIPlus_GraphicsDrawRect GDIPlus_GraphicsDrawString GDIPlus_GraphicsDrawStringEx GDIPlus_GraphicsFillClosedCurve GDIPlus_GraphicsFillClosedCurve2 GDIPlus_GraphicsFillEllipse GDIPlus_GraphicsFillPath GDIPlus_GraphicsFillPie GDIPlus_GraphicsFillPolygon GDIPlus_GraphicsFillRect GDIPlus_GraphicsFillRegion GDIPlus_GraphicsGetCompositingMode GDIPlus_GraphicsGetCompositingQuality GDIPlus_GraphicsGetDC GDIPlus_GraphicsGetInterpolationMode GDIPlus_GraphicsGetSmoothingMode GDIPlus_GraphicsGetTransform GDIPlus_GraphicsMeasureCharacterRanges GDIPlus_GraphicsMeasureString GDIPlus_GraphicsReleaseDC GDIPlus_GraphicsResetClip GDIPlus_GraphicsResetTransform GDIPlus_GraphicsRestore GDIPlus_GraphicsRotateTransform GDIPlus_GraphicsSave GDIPlus_GraphicsScaleTransform GDIPlus_GraphicsSetClipPath GDIPlus_GraphicsSetClipRect GDIPlus_GraphicsSetClipRegion GDIPlus_GraphicsSetCompositingMode GDIPlus_GraphicsSetCompositingQuality GDIPlus_GraphicsSetInterpolationMode GDIPlus_GraphicsSetPixelOffsetMode GDIPlus_GraphicsSetSmoothingMode GDIPlus_GraphicsSetTextRenderingHint GDIPlus_GraphicsSetTransform GDIPlus_GraphicsTransformPoints GDIPlus_GraphicsTranslateTransform GDIPlus_HatchBrushCreate GDIPlus_HICONCreateFromBitmap GDIPlus_ImageAttributesCreate GDIPlus_ImageAttributesDispose GDIPlus_ImageAttributesSetColorKeys GDIPlus_ImageAttributesSetColorMatrix GDIPlus_ImageDispose GDIPlus_ImageGetDimension GDIPlus_ImageGetFlags GDIPlus_ImageGetGraphicsContext GDIPlus_ImageGetHeight GDIPlus_ImageGetHorizontalResolution GDIPlus_ImageGetPixelFormat GDIPlus_ImageGetRawFormat GDIPlus_ImageGetThumbnail GDIPlus_ImageGetType GDIPlus_ImageGetVerticalResolution GDIPlus_ImageGetWidth GDIPlus_ImageLoadFromFile GDIPlus_ImageLoadFromStream GDIPlus_ImageResize GDIPlus_ImageRotateFlip GDIPlus_ImageSaveToFile GDIPlus_ImageSaveToFileEx GDIPlus_ImageSaveToStream GDIPlus_ImageScale GDIPlus_LineBrushCreate GDIPlus_LineBrushCreateFromRect GDIPlus_LineBrushCreateFromRectWithAngle GDIPlus_LineBrushGetColors GDIPlus_LineBrushGetRect GDIPlus_LineBrushMultiplyTransform GDIPlus_LineBrushResetTransform GDIPlus_LineBrushSetBlend GDIPlus_LineBrushSetColors GDIPlus_LineBrushSetGammaCorrection GDIPlus_LineBrushSetLinearBlend GDIPlus_LineBrushSetPresetBlend GDIPlus_LineBrushSetSigmaBlend GDIPlus_LineBrushSetTransform GDIPlus_MatrixClone GDIPlus_MatrixCreate GDIPlus_MatrixDispose GDIPlus_MatrixGetElements GDIPlus_MatrixInvert GDIPlus_MatrixMultiply GDIPlus_MatrixRotate GDIPlus_MatrixScale GDIPlus_MatrixSetElements GDIPlus_MatrixShear GDIPlus_MatrixTransformPoints GDIPlus_MatrixTranslate GDIPlus_PaletteInitialize GDIPlus_ParamAdd GDIPlus_ParamInit GDIPlus_ParamSize GDIPlus_PathAddArc GDIPlus_PathAddBezier GDIPlus_PathAddClosedCurve GDIPlus_PathAddClosedCurve2 GDIPlus_PathAddCurve GDIPlus_PathAddCurve2 GDIPlus_PathAddCurve3 GDIPlus_PathAddEllipse GDIPlus_PathAddLine GDIPlus_PathAddLine2 GDIPlus_PathAddPath GDIPlus_PathAddPie GDIPlus_PathAddPolygon GDIPlus_PathAddRectangle GDIPlus_PathAddString GDIPlus_PathBrushCreate GDIPlus_PathBrushCreateFromPath GDIPlus_PathBrushGetCenterPoint GDIPlus_PathBrushGetFocusScales GDIPlus_PathBrushGetPointCount GDIPlus_PathBrushGetRect GDIPlus_PathBrushGetWrapMode GDIPlus_PathBrushMultiplyTransform GDIPlus_PathBrushResetTransform GDIPlus_PathBrushSetBlend GDIPlus_PathBrushSetCenterColor GDIPlus_PathBrushSetCenterPoint GDIPlus_PathBrushSetFocusScales GDIPlus_PathBrushSetGammaCorrection GDIPlus_PathBrushSetLinearBlend GDIPlus_PathBrushSetPresetBlend GDIPlus_PathBrushSetSigmaBlend GDIPlus_PathBrushSetSurroundColor GDIPlus_PathBrushSetSurroundColorsWithCount GDIPlus_PathBrushSetTransform GDIPlus_PathBrushSetWrapMode GDIPlus_PathClone GDIPlus_PathCloseFigure GDIPlus_PathCreate GDIPlus_PathCreate2 GDIPlus_PathDispose GDIPlus_PathFlatten GDIPlus_PathGetData GDIPlus_PathGetFillMode GDIPlus_PathGetLastPoint GDIPlus_PathGetPointCount GDIPlus_PathGetPoints GDIPlus_PathGetWorldBounds GDIPlus_PathIsOutlineVisiblePoint GDIPlus_PathIsVisiblePoint GDIPlus_PathIterCreate GDIPlus_PathIterDispose GDIPlus_PathIterGetSubpathCount GDIPlus_PathIterNextMarkerPath GDIPlus_PathIterNextSubpathPath GDIPlus_PathIterRewind GDIPlus_PathReset GDIPlus_PathReverse GDIPlus_PathSetFillMode GDIPlus_PathSetMarker GDIPlus_PathStartFigure GDIPlus_PathTransform GDIPlus_PathWarp GDIPlus_PathWiden GDIPlus_PathWindingModeOutline GDIPlus_PenCreate GDIPlus_PenCreate2 GDIPlus_PenDispose GDIPlus_PenGetAlignment GDIPlus_PenGetColor GDIPlus_PenGetCustomEndCap GDIPlus_PenGetDashCap GDIPlus_PenGetDashStyle GDIPlus_PenGetEndCap GDIPlus_PenGetMiterLimit GDIPlus_PenGetWidth GDIPlus_PenSetAlignment GDIPlus_PenSetColor GDIPlus_PenSetCustomEndCap GDIPlus_PenSetDashCap GDIPlus_PenSetDashStyle GDIPlus_PenSetEndCap GDIPlus_PenSetLineCap GDIPlus_PenSetLineJoin GDIPlus_PenSetMiterLimit GDIPlus_PenSetStartCap GDIPlus_PenSetWidth GDIPlus_RectFCreate GDIPlus_RegionClone GDIPlus_RegionCombinePath GDIPlus_RegionCombineRect GDIPlus_RegionCombineRegion GDIPlus_RegionCreate GDIPlus_RegionCreateFromPath GDIPlus_RegionCreateFromRect GDIPlus_RegionDispose GDIPlus_RegionGetBounds GDIPlus_RegionGetHRgn GDIPlus_RegionTransform GDIPlus_RegionTranslate GDIPlus_Shutdown GDIPlus_Startup GDIPlus_StringFormatCreate GDIPlus_StringFormatDispose GDIPlus_StringFormatGetMeasurableCharacterRangeCount GDIPlus_StringFormatSetAlign GDIPlus_StringFormatSetLineAlign GDIPlus_StringFormatSetMeasurableCharacterRanges GDIPlus_TextureCreate GDIPlus_TextureCreate2 GDIPlus_TextureCreateIA GetIP GUICtrlAVI_Close GUICtrlAVI_Create GUICtrlAVI_Destroy GUICtrlAVI_IsPlaying GUICtrlAVI_Open GUICtrlAVI_OpenEx GUICtrlAVI_Play GUICtrlAVI_Seek GUICtrlAVI_Show GUICtrlAVI_Stop GUICtrlButton_Click GUICtrlButton_Create GUICtrlButton_Destroy GUICtrlButton_Enable GUICtrlButton_GetCheck GUICtrlButton_GetFocus GUICtrlButton_GetIdealSize GUICtrlButton_GetImage GUICtrlButton_GetImageList GUICtrlButton_GetNote GUICtrlButton_GetNoteLength GUICtrlButton_GetSplitInfo GUICtrlButton_GetState GUICtrlButton_GetText GUICtrlButton_GetTextMargin GUICtrlButton_SetCheck GUICtrlButton_SetDontClick GUICtrlButton_SetFocus GUICtrlButton_SetImage GUICtrlButton_SetImageList GUICtrlButton_SetNote GUICtrlButton_SetShield GUICtrlButton_SetSize GUICtrlButton_SetSplitInfo GUICtrlButton_SetState GUICtrlButton_SetStyle GUICtrlButton_SetText GUICtrlButton_SetTextMargin GUICtrlButton_Show GUICtrlComboBoxEx_AddDir GUICtrlComboBoxEx_AddString GUICtrlComboBoxEx_BeginUpdate GUICtrlComboBoxEx_Create GUICtrlComboBoxEx_CreateSolidBitMap GUICtrlComboBoxEx_DeleteString GUICtrlComboBoxEx_Destroy GUICtrlComboBoxEx_EndUpdate GUICtrlComboBoxEx_FindStringExact GUICtrlComboBoxEx_GetComboBoxInfo GUICtrlComboBoxEx_GetComboControl GUICtrlComboBoxEx_GetCount GUICtrlComboBoxEx_GetCurSel GUICtrlComboBoxEx_GetDroppedControlRect GUICtrlComboBoxEx_GetDroppedControlRectEx GUICtrlComboBoxEx_GetDroppedState GUICtrlComboBoxEx_GetDroppedWidth GUICtrlComboBoxEx_GetEditControl GUICtrlComboBoxEx_GetEditSel GUICtrlComboBoxEx_GetEditText GUICtrlComboBoxEx_GetExtendedStyle GUICtrlComboBoxEx_GetExtendedUI GUICtrlComboBoxEx_GetImageList GUICtrlComboBoxEx_GetItem GUICtrlComboBoxEx_GetItemEx GUICtrlComboBoxEx_GetItemHeight GUICtrlComboBoxEx_GetItemImage GUICtrlComboBoxEx_GetItemIndent GUICtrlComboBoxEx_GetItemOverlayImage GUICtrlComboBoxEx_GetItemParam GUICtrlComboBoxEx_GetItemSelectedImage GUICtrlComboBoxEx_GetItemText GUICtrlComboBoxEx_GetItemTextLen GUICtrlComboBoxEx_GetList GUICtrlComboBoxEx_GetListArray GUICtrlComboBoxEx_GetLocale GUICtrlComboBoxEx_GetLocaleCountry GUICtrlComboBoxEx_GetLocaleLang GUICtrlComboBoxEx_GetLocalePrimLang GUICtrlComboBoxEx_GetLocaleSubLang GUICtrlComboBoxEx_GetMinVisible GUICtrlComboBoxEx_GetTopIndex GUICtrlComboBoxEx_GetUnicode GUICtrlComboBoxEx_InitStorage GUICtrlComboBoxEx_InsertString GUICtrlComboBoxEx_LimitText GUICtrlComboBoxEx_ReplaceEditSel GUICtrlComboBoxEx_ResetContent GUICtrlComboBoxEx_SetCurSel GUICtrlComboBoxEx_SetDroppedWidth GUICtrlComboBoxEx_SetEditSel GUICtrlComboBoxEx_SetEditText GUICtrlComboBoxEx_SetExtendedStyle GUICtrlComboBoxEx_SetExtendedUI GUICtrlComboBoxEx_SetImageList GUICtrlComboBoxEx_SetItem GUICtrlComboBoxEx_SetItemEx GUICtrlComboBoxEx_SetItemHeight GUICtrlComboBoxEx_SetItemImage GUICtrlComboBoxEx_SetItemIndent GUICtrlComboBoxEx_SetItemOverlayImage GUICtrlComboBoxEx_SetItemParam GUICtrlComboBoxEx_SetItemSelectedImage GUICtrlComboBoxEx_SetMinVisible GUICtrlComboBoxEx_SetTopIndex GUICtrlComboBoxEx_SetUnicode GUICtrlComboBoxEx_ShowDropDown GUICtrlComboBox_AddDir GUICtrlComboBox_AddString GUICtrlComboBox_AutoComplete GUICtrlComboBox_BeginUpdate GUICtrlComboBox_Create GUICtrlComboBox_DeleteString GUICtrlComboBox_Destroy GUICtrlComboBox_EndUpdate GUICtrlComboBox_FindString GUICtrlComboBox_FindStringExact GUICtrlComboBox_GetComboBoxInfo GUICtrlComboBox_GetCount GUICtrlComboBox_GetCueBanner GUICtrlComboBox_GetCurSel GUICtrlComboBox_GetDroppedControlRect GUICtrlComboBox_GetDroppedControlRectEx GUICtrlComboBox_GetDroppedState GUICtrlComboBox_GetDroppedWidth GUICtrlComboBox_GetEditSel GUICtrlComboBox_GetEditText GUICtrlComboBox_GetExtendedUI GUICtrlComboBox_GetHorizontalExtent GUICtrlComboBox_GetItemHeight GUICtrlComboBox_GetLBText GUICtrlComboBox_GetLBTextLen GUICtrlComboBox_GetList GUICtrlComboBox_GetListArray GUICtrlComboBox_GetLocale GUICtrlComboBox_GetLocaleCountry GUICtrlComboBox_GetLocaleLang GUICtrlComboBox_GetLocalePrimLang GUICtrlComboBox_GetLocaleSubLang GUICtrlComboBox_GetMinVisible GUICtrlComboBox_GetTopIndex GUICtrlComboBox_InitStorage GUICtrlComboBox_InsertString GUICtrlComboBox_LimitText GUICtrlComboBox_ReplaceEditSel GUICtrlComboBox_ResetContent GUICtrlComboBox_SelectString GUICtrlComboBox_SetCueBanner GUICtrlComboBox_SetCurSel GUICtrlComboBox_SetDroppedWidth GUICtrlComboBox_SetEditSel GUICtrlComboBox_SetEditText GUICtrlComboBox_SetExtendedUI GUICtrlComboBox_SetHorizontalExtent GUICtrlComboBox_SetItemHeight GUICtrlComboBox_SetMinVisible GUICtrlComboBox_SetTopIndex GUICtrlComboBox_ShowDropDown GUICtrlDTP_Create GUICtrlDTP_Destroy GUICtrlDTP_GetMCColor GUICtrlDTP_GetMCFont GUICtrlDTP_GetMonthCal GUICtrlDTP_GetRange GUICtrlDTP_GetRangeEx GUICtrlDTP_GetSystemTime GUICtrlDTP_GetSystemTimeEx GUICtrlDTP_SetFormat GUICtrlDTP_SetMCColor GUICtrlDTP_SetMCFont GUICtrlDTP_SetRange GUICtrlDTP_SetRangeEx GUICtrlDTP_SetSystemTime GUICtrlDTP_SetSystemTimeEx GUICtrlEdit_AppendText GUICtrlEdit_BeginUpdate GUICtrlEdit_CanUndo GUICtrlEdit_CharFromPos GUICtrlEdit_Create GUICtrlEdit_Destroy GUICtrlEdit_EmptyUndoBuffer GUICtrlEdit_EndUpdate GUICtrlEdit_Find GUICtrlEdit_FmtLines GUICtrlEdit_GetCueBanner GUICtrlEdit_GetFirstVisibleLine GUICtrlEdit_GetLimitText GUICtrlEdit_GetLine GUICtrlEdit_GetLineCount GUICtrlEdit_GetMargins GUICtrlEdit_GetModify GUICtrlEdit_GetPasswordChar GUICtrlEdit_GetRECT GUICtrlEdit_GetRECTEx GUICtrlEdit_GetSel GUICtrlEdit_GetText GUICtrlEdit_GetTextLen GUICtrlEdit_HideBalloonTip GUICtrlEdit_InsertText GUICtrlEdit_LineFromChar GUICtrlEdit_LineIndex GUICtrlEdit_LineLength GUICtrlEdit_LineScroll GUICtrlEdit_PosFromChar GUICtrlEdit_ReplaceSel GUICtrlEdit_Scroll GUICtrlEdit_SetCueBanner GUICtrlEdit_SetLimitText GUICtrlEdit_SetMargins GUICtrlEdit_SetModify GUICtrlEdit_SetPasswordChar GUICtrlEdit_SetReadOnly GUICtrlEdit_SetRECT GUICtrlEdit_SetRECTEx GUICtrlEdit_SetRECTNP GUICtrlEdit_SetRectNPEx GUICtrlEdit_SetSel GUICtrlEdit_SetTabStops GUICtrlEdit_SetText GUICtrlEdit_ShowBalloonTip GUICtrlEdit_Undo GUICtrlHeader_AddItem GUICtrlHeader_ClearFilter GUICtrlHeader_ClearFilterAll GUICtrlHeader_Create GUICtrlHeader_CreateDragImage GUICtrlHeader_DeleteItem GUICtrlHeader_Destroy GUICtrlHeader_EditFilter GUICtrlHeader_GetBitmapMargin GUICtrlHeader_GetImageList GUICtrlHeader_GetItem GUICtrlHeader_GetItemAlign GUICtrlHeader_GetItemBitmap GUICtrlHeader_GetItemCount GUICtrlHeader_GetItemDisplay GUICtrlHeader_GetItemFlags GUICtrlHeader_GetItemFormat GUICtrlHeader_GetItemImage GUICtrlHeader_GetItemOrder GUICtrlHeader_GetItemParam GUICtrlHeader_GetItemRect GUICtrlHeader_GetItemRectEx GUICtrlHeader_GetItemText GUICtrlHeader_GetItemWidth GUICtrlHeader_GetOrderArray GUICtrlHeader_GetUnicodeFormat GUICtrlHeader_HitTest GUICtrlHeader_InsertItem GUICtrlHeader_Layout GUICtrlHeader_OrderToIndex GUICtrlHeader_SetBitmapMargin GUICtrlHeader_SetFilterChangeTimeout GUICtrlHeader_SetHotDivider GUICtrlHeader_SetImageList GUICtrlHeader_SetItem GUICtrlHeader_SetItemAlign GUICtrlHeader_SetItemBitmap GUICtrlHeader_SetItemDisplay GUICtrlHeader_SetItemFlags GUICtrlHeader_SetItemFormat GUICtrlHeader_SetItemImage GUICtrlHeader_SetItemOrder GUICtrlHeader_SetItemParam GUICtrlHeader_SetItemText GUICtrlHeader_SetItemWidth GUICtrlHeader_SetOrderArray GUICtrlHeader_SetUnicodeFormat GUICtrlIpAddress_ClearAddress GUICtrlIpAddress_Create GUICtrlIpAddress_Destroy GUICtrlIpAddress_Get GUICtrlIpAddress_GetArray GUICtrlIpAddress_GetEx GUICtrlIpAddress_IsBlank GUICtrlIpAddress_Set GUICtrlIpAddress_SetArray GUICtrlIpAddress_SetEx GUICtrlIpAddress_SetFocus GUICtrlIpAddress_SetFont GUICtrlIpAddress_SetRange GUICtrlIpAddress_ShowHide GUICtrlListBox_AddFile GUICtrlListBox_AddString GUICtrlListBox_BeginUpdate GUICtrlListBox_ClickItem GUICtrlListBox_Create GUICtrlListBox_DeleteString GUICtrlListBox_Destroy GUICtrlListBox_Dir GUICtrlListBox_EndUpdate GUICtrlListBox_FindInText GUICtrlListBox_FindString GUICtrlListBox_GetAnchorIndex GUICtrlListBox_GetCaretIndex GUICtrlListBox_GetCount GUICtrlListBox_GetCurSel GUICtrlListBox_GetHorizontalExtent GUICtrlListBox_GetItemData GUICtrlListBox_GetItemHeight GUICtrlListBox_GetItemRect GUICtrlListBox_GetItemRectEx GUICtrlListBox_GetListBoxInfo GUICtrlListBox_GetLocale GUICtrlListBox_GetLocaleCountry GUICtrlListBox_GetLocaleLang GUICtrlListBox_GetLocalePrimLang GUICtrlListBox_GetLocaleSubLang GUICtrlListBox_GetSel GUICtrlListBox_GetSelCount GUICtrlListBox_GetSelItems GUICtrlListBox_GetSelItemsText GUICtrlListBox_GetText GUICtrlListBox_GetTextLen GUICtrlListBox_GetTopIndex GUICtrlListBox_InitStorage GUICtrlListBox_InsertString GUICtrlListBox_ItemFromPoint GUICtrlListBox_ReplaceString GUICtrlListBox_ResetContent GUICtrlListBox_SelectString GUICtrlListBox_SelItemRange GUICtrlListBox_SelItemRangeEx GUICtrlListBox_SetAnchorIndex GUICtrlListBox_SetCaretIndex GUICtrlListBox_SetColumnWidth GUICtrlListBox_SetCurSel GUICtrlListBox_SetHorizontalExtent GUICtrlListBox_SetItemData GUICtrlListBox_SetItemHeight GUICtrlListBox_SetLocale GUICtrlListBox_SetSel GUICtrlListBox_SetTabStops GUICtrlListBox_SetTopIndex GUICtrlListBox_Sort GUICtrlListBox_SwapString GUICtrlListBox_UpdateHScroll GUICtrlListView_AddArray GUICtrlListView_AddColumn GUICtrlListView_AddItem GUICtrlListView_AddSubItem GUICtrlListView_ApproximateViewHeight GUICtrlListView_ApproximateViewRect GUICtrlListView_ApproximateViewWidth GUICtrlListView_Arrange GUICtrlListView_BeginUpdate GUICtrlListView_CancelEditLabel GUICtrlListView_ClickItem GUICtrlListView_CopyItems GUICtrlListView_Create GUICtrlListView_CreateDragImage GUICtrlListView_CreateSolidBitMap GUICtrlListView_DeleteAllItems GUICtrlListView_DeleteColumn GUICtrlListView_DeleteItem GUICtrlListView_DeleteItemsSelected GUICtrlListView_Destroy GUICtrlListView_DrawDragImage GUICtrlListView_EditLabel GUICtrlListView_EnableGroupView GUICtrlListView_EndUpdate GUICtrlListView_EnsureVisible GUICtrlListView_FindInText GUICtrlListView_FindItem GUICtrlListView_FindNearest GUICtrlListView_FindParam GUICtrlListView_FindText GUICtrlListView_GetBkColor GUICtrlListView_GetBkImage GUICtrlListView_GetCallbackMask GUICtrlListView_GetColumn GUICtrlListView_GetColumnCount GUICtrlListView_GetColumnOrder GUICtrlListView_GetColumnOrderArray GUICtrlListView_GetColumnWidth GUICtrlListView_GetCounterPage GUICtrlListView_GetEditControl GUICtrlListView_GetExtendedListViewStyle GUICtrlListView_GetFocusedGroup GUICtrlListView_GetGroupCount GUICtrlListView_GetGroupInfo GUICtrlListView_GetGroupInfoByIndex GUICtrlListView_GetGroupRect GUICtrlListView_GetGroupViewEnabled GUICtrlListView_GetHeader GUICtrlListView_GetHotCursor GUICtrlListView_GetHotItem GUICtrlListView_GetHoverTime GUICtrlListView_GetImageList GUICtrlListView_GetISearchString GUICtrlListView_GetItem GUICtrlListView_GetItemChecked GUICtrlListView_GetItemCount GUICtrlListView_GetItemCut GUICtrlListView_GetItemDropHilited GUICtrlListView_GetItemEx GUICtrlListView_GetItemFocused GUICtrlListView_GetItemGroupID GUICtrlListView_GetItemImage GUICtrlListView_GetItemIndent GUICtrlListView_GetItemParam GUICtrlListView_GetItemPosition GUICtrlListView_GetItemPositionX GUICtrlListView_GetItemPositionY GUICtrlListView_GetItemRect GUICtrlListView_GetItemRectEx GUICtrlListView_GetItemSelected GUICtrlListView_GetItemSpacing GUICtrlListView_GetItemSpacingX GUICtrlListView_GetItemSpacingY GUICtrlListView_GetItemState GUICtrlListView_GetItemStateImage GUICtrlListView_GetItemText GUICtrlListView_GetItemTextArray GUICtrlListView_GetItemTextString GUICtrlListView_GetNextItem GUICtrlListView_GetNumberOfWorkAreas GUICtrlListView_GetOrigin GUICtrlListView_GetOriginX GUICtrlListView_GetOriginY GUICtrlListView_GetOutlineColor GUICtrlListView_GetSelectedColumn GUICtrlListView_GetSelectedCount GUICtrlListView_GetSelectedIndices GUICtrlListView_GetSelectionMark GUICtrlListView_GetStringWidth GUICtrlListView_GetSubItemRect GUICtrlListView_GetTextBkColor GUICtrlListView_GetTextColor GUICtrlListView_GetToolTips GUICtrlListView_GetTopIndex GUICtrlListView_GetUnicodeFormat GUICtrlListView_GetView GUICtrlListView_GetViewDetails GUICtrlListView_GetViewLarge GUICtrlListView_GetViewList GUICtrlListView_GetViewRect GUICtrlListView_GetViewSmall GUICtrlListView_GetViewTile GUICtrlListView_HideColumn GUICtrlListView_HitTest GUICtrlListView_InsertColumn GUICtrlListView_InsertGroup GUICtrlListView_InsertItem GUICtrlListView_JustifyColumn GUICtrlListView_MapIDToIndex GUICtrlListView_MapIndexToID GUICtrlListView_RedrawItems GUICtrlListView_RegisterSortCallBack GUICtrlListView_RemoveAllGroups GUICtrlListView_RemoveGroup GUICtrlListView_Scroll GUICtrlListView_SetBkColor GUICtrlListView_SetBkImage GUICtrlListView_SetCallBackMask GUICtrlListView_SetColumn GUICtrlListView_SetColumnOrder GUICtrlListView_SetColumnOrderArray GUICtrlListView_SetColumnWidth GUICtrlListView_SetExtendedListViewStyle GUICtrlListView_SetGroupInfo GUICtrlListView_SetHotItem GUICtrlListView_SetHoverTime GUICtrlListView_SetIconSpacing GUICtrlListView_SetImageList GUICtrlListView_SetItem GUICtrlListView_SetItemChecked GUICtrlListView_SetItemCount GUICtrlListView_SetItemCut GUICtrlListView_SetItemDropHilited GUICtrlListView_SetItemEx GUICtrlListView_SetItemFocused GUICtrlListView_SetItemGroupID GUICtrlListView_SetItemImage GUICtrlListView_SetItemIndent GUICtrlListView_SetItemParam GUICtrlListView_SetItemPosition GUICtrlListView_SetItemPosition32 GUICtrlListView_SetItemSelected GUICtrlListView_SetItemState GUICtrlListView_SetItemStateImage GUICtrlListView_SetItemText GUICtrlListView_SetOutlineColor GUICtrlListView_SetSelectedColumn GUICtrlListView_SetSelectionMark GUICtrlListView_SetTextBkColor GUICtrlListView_SetTextColor GUICtrlListView_SetToolTips GUICtrlListView_SetUnicodeFormat GUICtrlListView_SetView GUICtrlListView_SetWorkAreas GUICtrlListView_SimpleSort GUICtrlListView_SortItems GUICtrlListView_SubItemHitTest GUICtrlListView_UnRegisterSortCallBack GUICtrlMenu_AddMenuItem GUICtrlMenu_AppendMenu GUICtrlMenu_CalculatePopupWindowPosition GUICtrlMenu_CheckMenuItem GUICtrlMenu_CheckRadioItem GUICtrlMenu_CreateMenu GUICtrlMenu_CreatePopup GUICtrlMenu_DeleteMenu GUICtrlMenu_DestroyMenu GUICtrlMenu_DrawMenuBar GUICtrlMenu_EnableMenuItem GUICtrlMenu_FindItem GUICtrlMenu_FindParent GUICtrlMenu_GetItemBmp GUICtrlMenu_GetItemBmpChecked GUICtrlMenu_GetItemBmpUnchecked GUICtrlMenu_GetItemChecked GUICtrlMenu_GetItemCount GUICtrlMenu_GetItemData GUICtrlMenu_GetItemDefault GUICtrlMenu_GetItemDisabled GUICtrlMenu_GetItemEnabled GUICtrlMenu_GetItemGrayed GUICtrlMenu_GetItemHighlighted GUICtrlMenu_GetItemID GUICtrlMenu_GetItemInfo GUICtrlMenu_GetItemRect GUICtrlMenu_GetItemRectEx GUICtrlMenu_GetItemState GUICtrlMenu_GetItemStateEx GUICtrlMenu_GetItemSubMenu GUICtrlMenu_GetItemText GUICtrlMenu_GetItemType GUICtrlMenu_GetMenu GUICtrlMenu_GetMenuBackground GUICtrlMenu_GetMenuBarInfo GUICtrlMenu_GetMenuContextHelpID GUICtrlMenu_GetMenuData GUICtrlMenu_GetMenuDefaultItem GUICtrlMenu_GetMenuHeight GUICtrlMenu_GetMenuInfo GUICtrlMenu_GetMenuStyle GUICtrlMenu_GetSystemMenu GUICtrlMenu_InsertMenuItem GUICtrlMenu_InsertMenuItemEx GUICtrlMenu_IsMenu GUICtrlMenu_LoadMenu GUICtrlMenu_MapAccelerator GUICtrlMenu_MenuItemFromPoint GUICtrlMenu_RemoveMenu GUICtrlMenu_SetItemBitmaps GUICtrlMenu_SetItemBmp GUICtrlMenu_SetItemBmpChecked GUICtrlMenu_SetItemBmpUnchecked GUICtrlMenu_SetItemChecked GUICtrlMenu_SetItemData GUICtrlMenu_SetItemDefault GUICtrlMenu_SetItemDisabled GUICtrlMenu_SetItemEnabled GUICtrlMenu_SetItemGrayed GUICtrlMenu_SetItemHighlighted GUICtrlMenu_SetItemID GUICtrlMenu_SetItemInfo GUICtrlMenu_SetItemState GUICtrlMenu_SetItemSubMenu GUICtrlMenu_SetItemText GUICtrlMenu_SetItemType GUICtrlMenu_SetMenu GUICtrlMenu_SetMenuBackground GUICtrlMenu_SetMenuContextHelpID GUICtrlMenu_SetMenuData GUICtrlMenu_SetMenuDefaultItem GUICtrlMenu_SetMenuHeight GUICtrlMenu_SetMenuInfo GUICtrlMenu_SetMenuStyle GUICtrlMenu_TrackPopupMenu GUICtrlMonthCal_Create GUICtrlMonthCal_Destroy GUICtrlMonthCal_GetCalendarBorder GUICtrlMonthCal_GetCalendarCount GUICtrlMonthCal_GetColor GUICtrlMonthCal_GetColorArray GUICtrlMonthCal_GetCurSel GUICtrlMonthCal_GetCurSelStr GUICtrlMonthCal_GetFirstDOW GUICtrlMonthCal_GetFirstDOWStr GUICtrlMonthCal_GetMaxSelCount GUICtrlMonthCal_GetMaxTodayWidth GUICtrlMonthCal_GetMinReqHeight GUICtrlMonthCal_GetMinReqRect GUICtrlMonthCal_GetMinReqRectArray GUICtrlMonthCal_GetMinReqWidth GUICtrlMonthCal_GetMonthDelta GUICtrlMonthCal_GetMonthRange GUICtrlMonthCal_GetMonthRangeMax GUICtrlMonthCal_GetMonthRangeMaxStr GUICtrlMonthCal_GetMonthRangeMin GUICtrlMonthCal_GetMonthRangeMinStr GUICtrlMonthCal_GetMonthRangeSpan GUICtrlMonthCal_GetRange GUICtrlMonthCal_GetRangeMax GUICtrlMonthCal_GetRangeMaxStr GUICtrlMonthCal_GetRangeMin GUICtrlMonthCal_GetRangeMinStr GUICtrlMonthCal_GetSelRange GUICtrlMonthCal_GetSelRangeMax GUICtrlMonthCal_GetSelRangeMaxStr GUICtrlMonthCal_GetSelRangeMin GUICtrlMonthCal_GetSelRangeMinStr GUICtrlMonthCal_GetToday GUICtrlMonthCal_GetTodayStr GUICtrlMonthCal_GetUnicodeFormat GUICtrlMonthCal_HitTest GUICtrlMonthCal_SetCalendarBorder GUICtrlMonthCal_SetColor GUICtrlMonthCal_SetCurSel GUICtrlMonthCal_SetDayState GUICtrlMonthCal_SetFirstDOW GUICtrlMonthCal_SetMaxSelCount GUICtrlMonthCal_SetMonthDelta GUICtrlMonthCal_SetRange GUICtrlMonthCal_SetSelRange GUICtrlMonthCal_SetToday GUICtrlMonthCal_SetUnicodeFormat GUICtrlRebar_AddBand GUICtrlRebar_AddToolBarBand GUICtrlRebar_BeginDrag GUICtrlRebar_Create GUICtrlRebar_DeleteBand GUICtrlRebar_Destroy GUICtrlRebar_DragMove GUICtrlRebar_EndDrag GUICtrlRebar_GetBandBackColor GUICtrlRebar_GetBandBorders GUICtrlRebar_GetBandBordersEx GUICtrlRebar_GetBandChildHandle GUICtrlRebar_GetBandChildSize GUICtrlRebar_GetBandCount GUICtrlRebar_GetBandForeColor GUICtrlRebar_GetBandHeaderSize GUICtrlRebar_GetBandID GUICtrlRebar_GetBandIdealSize GUICtrlRebar_GetBandLength GUICtrlRebar_GetBandLParam GUICtrlRebar_GetBandMargins GUICtrlRebar_GetBandMarginsEx GUICtrlRebar_GetBandRect GUICtrlRebar_GetBandRectEx GUICtrlRebar_GetBandStyle GUICtrlRebar_GetBandStyleBreak GUICtrlRebar_GetBandStyleChildEdge GUICtrlRebar_GetBandStyleFixedBMP GUICtrlRebar_GetBandStyleFixedSize GUICtrlRebar_GetBandStyleGripperAlways GUICtrlRebar_GetBandStyleHidden GUICtrlRebar_GetBandStyleHideTitle GUICtrlRebar_GetBandStyleNoGripper GUICtrlRebar_GetBandStyleTopAlign GUICtrlRebar_GetBandStyleUseChevron GUICtrlRebar_GetBandStyleVariableHeight GUICtrlRebar_GetBandText GUICtrlRebar_GetBarHeight GUICtrlRebar_GetBarInfo GUICtrlRebar_GetBKColor GUICtrlRebar_GetColorScheme GUICtrlRebar_GetRowCount GUICtrlRebar_GetRowHeight GUICtrlRebar_GetTextColor GUICtrlRebar_GetToolTips GUICtrlRebar_GetUnicodeFormat GUICtrlRebar_HitTest GUICtrlRebar_IDToIndex GUICtrlRebar_MaximizeBand GUICtrlRebar_MinimizeBand GUICtrlRebar_MoveBand GUICtrlRebar_SetBandBackColor GUICtrlRebar_SetBandForeColor GUICtrlRebar_SetBandHeaderSize GUICtrlRebar_SetBandID GUICtrlRebar_SetBandIdealSize GUICtrlRebar_SetBandLength GUICtrlRebar_SetBandLParam GUICtrlRebar_SetBandStyle GUICtrlRebar_SetBandStyleBreak GUICtrlRebar_SetBandStyleChildEdge GUICtrlRebar_SetBandStyleFixedBMP GUICtrlRebar_SetBandStyleFixedSize GUICtrlRebar_SetBandStyleGripperAlways GUICtrlRebar_SetBandStyleHidden GUICtrlRebar_SetBandStyleHideTitle GUICtrlRebar_SetBandStyleNoGripper GUICtrlRebar_SetBandStyleTopAlign GUICtrlRebar_SetBandStyleUseChevron GUICtrlRebar_SetBandStyleVariableHeight GUICtrlRebar_SetBandText GUICtrlRebar_SetBarInfo GUICtrlRebar_SetBKColor GUICtrlRebar_SetColorScheme GUICtrlRebar_SetTextColor GUICtrlRebar_SetToolTips GUICtrlRebar_SetUnicodeFormat GUICtrlRebar_ShowBand GUICtrlRichEdit_AppendText GUICtrlRichEdit_AutoDetectURL GUICtrlRichEdit_CanPaste GUICtrlRichEdit_CanPasteSpecial GUICtrlRichEdit_CanRedo GUICtrlRichEdit_CanUndo GUICtrlRichEdit_ChangeFontSize GUICtrlRichEdit_Copy GUICtrlRichEdit_Create GUICtrlRichEdit_Cut GUICtrlRichEdit_Deselect GUICtrlRichEdit_Destroy GUICtrlRichEdit_EmptyUndoBuffer GUICtrlRichEdit_FindText GUICtrlRichEdit_FindTextInRange GUICtrlRichEdit_GetBkColor GUICtrlRichEdit_GetCharAttributes GUICtrlRichEdit_GetCharBkColor GUICtrlRichEdit_GetCharColor GUICtrlRichEdit_GetCharPosFromXY GUICtrlRichEdit_GetCharPosOfNextWord GUICtrlRichEdit_GetCharPosOfPreviousWord GUICtrlRichEdit_GetCharWordBreakInfo GUICtrlRichEdit_GetFirstCharPosOnLine GUICtrlRichEdit_GetFont GUICtrlRichEdit_GetLineCount GUICtrlRichEdit_GetLineLength GUICtrlRichEdit_GetLineNumberFromCharPos GUICtrlRichEdit_GetNextRedo GUICtrlRichEdit_GetNextUndo GUICtrlRichEdit_GetNumberOfFirstVisibleLine GUICtrlRichEdit_GetParaAlignment GUICtrlRichEdit_GetParaAttributes GUICtrlRichEdit_GetParaBorder GUICtrlRichEdit_GetParaIndents GUICtrlRichEdit_GetParaNumbering GUICtrlRichEdit_GetParaShading GUICtrlRichEdit_GetParaSpacing GUICtrlRichEdit_GetParaTabStops GUICtrlRichEdit_GetPasswordChar GUICtrlRichEdit_GetRECT GUICtrlRichEdit_GetScrollPos GUICtrlRichEdit_GetSel GUICtrlRichEdit_GetSelAA GUICtrlRichEdit_GetSelText GUICtrlRichEdit_GetSpaceUnit GUICtrlRichEdit_GetText GUICtrlRichEdit_GetTextInLine GUICtrlRichEdit_GetTextInRange GUICtrlRichEdit_GetTextLength GUICtrlRichEdit_GetVersion GUICtrlRichEdit_GetXYFromCharPos GUICtrlRichEdit_GetZoom GUICtrlRichEdit_GotoCharPos GUICtrlRichEdit_HideSelection GUICtrlRichEdit_InsertText GUICtrlRichEdit_IsModified GUICtrlRichEdit_IsTextSelected GUICtrlRichEdit_Paste GUICtrlRichEdit_PasteSpecial GUICtrlRichEdit_PauseRedraw GUICtrlRichEdit_Redo GUICtrlRichEdit_ReplaceText GUICtrlRichEdit_ResumeRedraw GUICtrlRichEdit_ScrollLineOrPage GUICtrlRichEdit_ScrollLines GUICtrlRichEdit_ScrollToCaret GUICtrlRichEdit_SetBkColor GUICtrlRichEdit_SetCharAttributes GUICtrlRichEdit_SetCharBkColor GUICtrlRichEdit_SetCharColor GUICtrlRichEdit_SetEventMask GUICtrlRichEdit_SetFont GUICtrlRichEdit_SetLimitOnText GUICtrlRichEdit_SetModified GUICtrlRichEdit_SetParaAlignment GUICtrlRichEdit_SetParaAttributes GUICtrlRichEdit_SetParaBorder GUICtrlRichEdit_SetParaIndents GUICtrlRichEdit_SetParaNumbering GUICtrlRichEdit_SetParaShading GUICtrlRichEdit_SetParaSpacing GUICtrlRichEdit_SetParaTabStops GUICtrlRichEdit_SetPasswordChar GUICtrlRichEdit_SetReadOnly GUICtrlRichEdit_SetRECT GUICtrlRichEdit_SetScrollPos GUICtrlRichEdit_SetSel GUICtrlRichEdit_SetSpaceUnit GUICtrlRichEdit_SetTabStops GUICtrlRichEdit_SetText GUICtrlRichEdit_SetUndoLimit GUICtrlRichEdit_SetZoom GUICtrlRichEdit_StreamFromFile GUICtrlRichEdit_StreamFromVar GUICtrlRichEdit_StreamToFile GUICtrlRichEdit_StreamToVar GUICtrlRichEdit_Undo GUICtrlSlider_ClearSel GUICtrlSlider_ClearTics GUICtrlSlider_Create GUICtrlSlider_Destroy GUICtrlSlider_GetBuddy GUICtrlSlider_GetChannelRect GUICtrlSlider_GetChannelRectEx GUICtrlSlider_GetLineSize GUICtrlSlider_GetLogicalTics GUICtrlSlider_GetNumTics GUICtrlSlider_GetPageSize GUICtrlSlider_GetPos GUICtrlSlider_GetRange GUICtrlSlider_GetRangeMax GUICtrlSlider_GetRangeMin GUICtrlSlider_GetSel GUICtrlSlider_GetSelEnd GUICtrlSlider_GetSelStart GUICtrlSlider_GetThumbLength GUICtrlSlider_GetThumbRect GUICtrlSlider_GetThumbRectEx GUICtrlSlider_GetTic GUICtrlSlider_GetTicPos GUICtrlSlider_GetToolTips GUICtrlSlider_GetUnicodeFormat GUICtrlSlider_SetBuddy GUICtrlSlider_SetLineSize GUICtrlSlider_SetPageSize GUICtrlSlider_SetPos GUICtrlSlider_SetRange GUICtrlSlider_SetRangeMax GUICtrlSlider_SetRangeMin GUICtrlSlider_SetSel GUICtrlSlider_SetSelEnd GUICtrlSlider_SetSelStart GUICtrlSlider_SetThumbLength GUICtrlSlider_SetTic GUICtrlSlider_SetTicFreq GUICtrlSlider_SetTipSide GUICtrlSlider_SetToolTips GUICtrlSlider_SetUnicodeFormat GUICtrlStatusBar_Create GUICtrlStatusBar_Destroy GUICtrlStatusBar_EmbedControl GUICtrlStatusBar_GetBorders GUICtrlStatusBar_GetBordersHorz GUICtrlStatusBar_GetBordersRect GUICtrlStatusBar_GetBordersVert GUICtrlStatusBar_GetCount GUICtrlStatusBar_GetHeight GUICtrlStatusBar_GetIcon GUICtrlStatusBar_GetParts GUICtrlStatusBar_GetRect GUICtrlStatusBar_GetRectEx GUICtrlStatusBar_GetText GUICtrlStatusBar_GetTextFlags GUICtrlStatusBar_GetTextLength GUICtrlStatusBar_GetTextLengthEx GUICtrlStatusBar_GetTipText GUICtrlStatusBar_GetUnicodeFormat GUICtrlStatusBar_GetWidth GUICtrlStatusBar_IsSimple GUICtrlStatusBar_Resize GUICtrlStatusBar_SetBkColor GUICtrlStatusBar_SetIcon GUICtrlStatusBar_SetMinHeight GUICtrlStatusBar_SetParts GUICtrlStatusBar_SetSimple GUICtrlStatusBar_SetText GUICtrlStatusBar_SetTipText GUICtrlStatusBar_SetUnicodeFormat GUICtrlStatusBar_ShowHide GUICtrlTab_ActivateTab GUICtrlTab_ClickTab GUICtrlTab_Create GUICtrlTab_DeleteAllItems GUICtrlTab_DeleteItem GUICtrlTab_DeselectAll GUICtrlTab_Destroy GUICtrlTab_FindTab GUICtrlTab_GetCurFocus GUICtrlTab_GetCurSel GUICtrlTab_GetDisplayRect GUICtrlTab_GetDisplayRectEx GUICtrlTab_GetExtendedStyle GUICtrlTab_GetImageList GUICtrlTab_GetItem GUICtrlTab_GetItemCount GUICtrlTab_GetItemImage GUICtrlTab_GetItemParam GUICtrlTab_GetItemRect GUICtrlTab_GetItemRectEx GUICtrlTab_GetItemState GUICtrlTab_GetItemText GUICtrlTab_GetRowCount GUICtrlTab_GetToolTips GUICtrlTab_GetUnicodeFormat GUICtrlTab_HighlightItem GUICtrlTab_HitTest GUICtrlTab_InsertItem GUICtrlTab_RemoveImage GUICtrlTab_SetCurFocus GUICtrlTab_SetCurSel GUICtrlTab_SetExtendedStyle GUICtrlTab_SetImageList GUICtrlTab_SetItem GUICtrlTab_SetItemImage GUICtrlTab_SetItemParam GUICtrlTab_SetItemSize GUICtrlTab_SetItemState GUICtrlTab_SetItemText GUICtrlTab_SetMinTabWidth GUICtrlTab_SetPadding GUICtrlTab_SetToolTips GUICtrlTab_SetUnicodeFormat GUICtrlToolbar_AddBitmap GUICtrlToolbar_AddButton GUICtrlToolbar_AddButtonSep GUICtrlToolbar_AddString GUICtrlToolbar_ButtonCount GUICtrlToolbar_CheckButton GUICtrlToolbar_ClickAccel GUICtrlToolbar_ClickButton GUICtrlToolbar_ClickIndex GUICtrlToolbar_CommandToIndex GUICtrlToolbar_Create GUICtrlToolbar_Customize GUICtrlToolbar_DeleteButton GUICtrlToolbar_Destroy GUICtrlToolbar_EnableButton GUICtrlToolbar_FindToolbar GUICtrlToolbar_GetAnchorHighlight GUICtrlToolbar_GetBitmapFlags GUICtrlToolbar_GetButtonBitmap GUICtrlToolbar_GetButtonInfo GUICtrlToolbar_GetButtonInfoEx GUICtrlToolbar_GetButtonParam GUICtrlToolbar_GetButtonRect GUICtrlToolbar_GetButtonRectEx GUICtrlToolbar_GetButtonSize GUICtrlToolbar_GetButtonState GUICtrlToolbar_GetButtonStyle GUICtrlToolbar_GetButtonText GUICtrlToolbar_GetColorScheme GUICtrlToolbar_GetDisabledImageList GUICtrlToolbar_GetExtendedStyle GUICtrlToolbar_GetHotImageList GUICtrlToolbar_GetHotItem GUICtrlToolbar_GetImageList GUICtrlToolbar_GetInsertMark GUICtrlToolbar_GetInsertMarkColor GUICtrlToolbar_GetMaxSize GUICtrlToolbar_GetMetrics GUICtrlToolbar_GetPadding GUICtrlToolbar_GetRows GUICtrlToolbar_GetString GUICtrlToolbar_GetStyle GUICtrlToolbar_GetStyleAltDrag GUICtrlToolbar_GetStyleCustomErase GUICtrlToolbar_GetStyleFlat GUICtrlToolbar_GetStyleList GUICtrlToolbar_GetStyleRegisterDrop GUICtrlToolbar_GetStyleToolTips GUICtrlToolbar_GetStyleTransparent GUICtrlToolbar_GetStyleWrapable GUICtrlToolbar_GetTextRows GUICtrlToolbar_GetToolTips GUICtrlToolbar_GetUnicodeFormat GUICtrlToolbar_HideButton GUICtrlToolbar_HighlightButton GUICtrlToolbar_HitTest GUICtrlToolbar_IndexToCommand GUICtrlToolbar_InsertButton GUICtrlToolbar_InsertMarkHitTest GUICtrlToolbar_IsButtonChecked GUICtrlToolbar_IsButtonEnabled GUICtrlToolbar_IsButtonHidden GUICtrlToolbar_IsButtonHighlighted GUICtrlToolbar_IsButtonIndeterminate GUICtrlToolbar_IsButtonPressed GUICtrlToolbar_LoadBitmap GUICtrlToolbar_LoadImages GUICtrlToolbar_MapAccelerator GUICtrlToolbar_MoveButton GUICtrlToolbar_PressButton GUICtrlToolbar_SetAnchorHighlight GUICtrlToolbar_SetBitmapSize GUICtrlToolbar_SetButtonBitMap GUICtrlToolbar_SetButtonInfo GUICtrlToolbar_SetButtonInfoEx GUICtrlToolbar_SetButtonParam GUICtrlToolbar_SetButtonSize GUICtrlToolbar_SetButtonState GUICtrlToolbar_SetButtonStyle GUICtrlToolbar_SetButtonText GUICtrlToolbar_SetButtonWidth GUICtrlToolbar_SetCmdID GUICtrlToolbar_SetColorScheme GUICtrlToolbar_SetDisabledImageList GUICtrlToolbar_SetDrawTextFlags GUICtrlToolbar_SetExtendedStyle GUICtrlToolbar_SetHotImageList GUICtrlToolbar_SetHotItem GUICtrlToolbar_SetImageList GUICtrlToolbar_SetIndent GUICtrlToolbar_SetIndeterminate GUICtrlToolbar_SetInsertMark GUICtrlToolbar_SetInsertMarkColor GUICtrlToolbar_SetMaxTextRows GUICtrlToolbar_SetMetrics GUICtrlToolbar_SetPadding GUICtrlToolbar_SetParent GUICtrlToolbar_SetRows GUICtrlToolbar_SetStyle GUICtrlToolbar_SetStyleAltDrag GUICtrlToolbar_SetStyleCustomErase GUICtrlToolbar_SetStyleFlat GUICtrlToolbar_SetStyleList GUICtrlToolbar_SetStyleRegisterDrop GUICtrlToolbar_SetStyleToolTips GUICtrlToolbar_SetStyleTransparent GUICtrlToolbar_SetStyleWrapable GUICtrlToolbar_SetToolTips GUICtrlToolbar_SetUnicodeFormat GUICtrlToolbar_SetWindowTheme GUICtrlTreeView_Add GUICtrlTreeView_AddChild GUICtrlTreeView_AddChildFirst GUICtrlTreeView_AddFirst GUICtrlTreeView_BeginUpdate GUICtrlTreeView_ClickItem GUICtrlTreeView_Create GUICtrlTreeView_CreateDragImage GUICtrlTreeView_CreateSolidBitMap GUICtrlTreeView_Delete GUICtrlTreeView_DeleteAll GUICtrlTreeView_DeleteChildren GUICtrlTreeView_Destroy GUICtrlTreeView_DisplayRect GUICtrlTreeView_DisplayRectEx GUICtrlTreeView_EditText GUICtrlTreeView_EndEdit GUICtrlTreeView_EndUpdate GUICtrlTreeView_EnsureVisible GUICtrlTreeView_Expand GUICtrlTreeView_ExpandedOnce GUICtrlTreeView_FindItem GUICtrlTreeView_FindItemEx GUICtrlTreeView_GetBkColor GUICtrlTreeView_GetBold GUICtrlTreeView_GetChecked GUICtrlTreeView_GetChildCount GUICtrlTreeView_GetChildren GUICtrlTreeView_GetCount GUICtrlTreeView_GetCut GUICtrlTreeView_GetDropTarget GUICtrlTreeView_GetEditControl GUICtrlTreeView_GetExpanded GUICtrlTreeView_GetFirstChild GUICtrlTreeView_GetFirstItem GUICtrlTreeView_GetFirstVisible GUICtrlTreeView_GetFocused GUICtrlTreeView_GetHeight GUICtrlTreeView_GetImageIndex GUICtrlTreeView_GetImageListIconHandle GUICtrlTreeView_GetIndent GUICtrlTreeView_GetInsertMarkColor GUICtrlTreeView_GetISearchString GUICtrlTreeView_GetItemByIndex GUICtrlTreeView_GetItemHandle GUICtrlTreeView_GetItemParam GUICtrlTreeView_GetLastChild GUICtrlTreeView_GetLineColor GUICtrlTreeView_GetNext GUICtrlTreeView_GetNextChild GUICtrlTreeView_GetNextSibling GUICtrlTreeView_GetNextVisible GUICtrlTreeView_GetNormalImageList GUICtrlTreeView_GetParentHandle GUICtrlTreeView_GetParentParam GUICtrlTreeView_GetPrev GUICtrlTreeView_GetPrevChild GUICtrlTreeView_GetPrevSibling GUICtrlTreeView_GetPrevVisible GUICtrlTreeView_GetScrollTime GUICtrlTreeView_GetSelected GUICtrlTreeView_GetSelectedImageIndex GUICtrlTreeView_GetSelection GUICtrlTreeView_GetSiblingCount GUICtrlTreeView_GetState GUICtrlTreeView_GetStateImageIndex GUICtrlTreeView_GetStateImageList GUICtrlTreeView_GetText GUICtrlTreeView_GetTextColor GUICtrlTreeView_GetToolTips GUICtrlTreeView_GetTree GUICtrlTreeView_GetUnicodeFormat GUICtrlTreeView_GetVisible GUICtrlTreeView_GetVisibleCount GUICtrlTreeView_HitTest GUICtrlTreeView_HitTestEx GUICtrlTreeView_HitTestItem GUICtrlTreeView_Index GUICtrlTreeView_InsertItem GUICtrlTreeView_IsFirstItem GUICtrlTreeView_IsParent GUICtrlTreeView_Level GUICtrlTreeView_SelectItem GUICtrlTreeView_SelectItemByIndex GUICtrlTreeView_SetBkColor GUICtrlTreeView_SetBold GUICtrlTreeView_SetChecked GUICtrlTreeView_SetCheckedByIndex GUICtrlTreeView_SetChildren GUICtrlTreeView_SetCut GUICtrlTreeView_SetDropTarget GUICtrlTreeView_SetFocused GUICtrlTreeView_SetHeight GUICtrlTreeView_SetIcon GUICtrlTreeView_SetImageIndex GUICtrlTreeView_SetIndent GUICtrlTreeView_SetInsertMark GUICtrlTreeView_SetInsertMarkColor GUICtrlTreeView_SetItemHeight GUICtrlTreeView_SetItemParam GUICtrlTreeView_SetLineColor GUICtrlTreeView_SetNormalImageList GUICtrlTreeView_SetScrollTime GUICtrlTreeView_SetSelected GUICtrlTreeView_SetSelectedImageIndex GUICtrlTreeView_SetState GUICtrlTreeView_SetStateImageIndex GUICtrlTreeView_SetStateImageList GUICtrlTreeView_SetText GUICtrlTreeView_SetTextColor GUICtrlTreeView_SetToolTips GUICtrlTreeView_SetUnicodeFormat GUICtrlTreeView_Sort GUIImageList_Add GUIImageList_AddBitmap GUIImageList_AddIcon GUIImageList_AddMasked GUIImageList_BeginDrag GUIImageList_Copy GUIImageList_Create GUIImageList_Destroy GUIImageList_DestroyIcon GUIImageList_DragEnter GUIImageList_DragLeave GUIImageList_DragMove GUIImageList_Draw GUIImageList_DrawEx GUIImageList_Duplicate GUIImageList_EndDrag GUIImageList_GetBkColor GUIImageList_GetIcon GUIImageList_GetIconHeight GUIImageList_GetIconSize GUIImageList_GetIconSizeEx GUIImageList_GetIconWidth GUIImageList_GetImageCount GUIImageList_GetImageInfoEx GUIImageList_Remove GUIImageList_ReplaceIcon GUIImageList_SetBkColor GUIImageList_SetIconSize GUIImageList_SetImageCount GUIImageList_Swap GUIScrollBars_EnableScrollBar GUIScrollBars_GetScrollBarInfoEx GUIScrollBars_GetScrollBarRect GUIScrollBars_GetScrollBarRGState GUIScrollBars_GetScrollBarXYLineButton GUIScrollBars_GetScrollBarXYThumbBottom GUIScrollBars_GetScrollBarXYThumbTop GUIScrollBars_GetScrollInfo GUIScrollBars_GetScrollInfoEx GUIScrollBars_GetScrollInfoMax GUIScrollBars_GetScrollInfoMin GUIScrollBars_GetScrollInfoPage GUIScrollBars_GetScrollInfoPos GUIScrollBars_GetScrollInfoTrackPos GUIScrollBars_GetScrollPos GUIScrollBars_GetScrollRange GUIScrollBars_Init GUIScrollBars_ScrollWindow GUIScrollBars_SetScrollInfo GUIScrollBars_SetScrollInfoMax GUIScrollBars_SetScrollInfoMin GUIScrollBars_SetScrollInfoPage GUIScrollBars_SetScrollInfoPos GUIScrollBars_SetScrollRange GUIScrollBars_ShowScrollBar GUIToolTip_Activate GUIToolTip_AddTool GUIToolTip_AdjustRect GUIToolTip_BitsToTTF GUIToolTip_Create GUIToolTip_Deactivate GUIToolTip_DelTool GUIToolTip_Destroy GUIToolTip_EnumTools GUIToolTip_GetBubbleHeight GUIToolTip_GetBubbleSize GUIToolTip_GetBubbleWidth GUIToolTip_GetCurrentTool GUIToolTip_GetDelayTime GUIToolTip_GetMargin GUIToolTip_GetMarginEx GUIToolTip_GetMaxTipWidth GUIToolTip_GetText GUIToolTip_GetTipBkColor GUIToolTip_GetTipTextColor GUIToolTip_GetTitleBitMap GUIToolTip_GetTitleText GUIToolTip_GetToolCount GUIToolTip_GetToolInfo GUIToolTip_HitTest GUIToolTip_NewToolRect GUIToolTip_Pop GUIToolTip_PopUp GUIToolTip_SetDelayTime GUIToolTip_SetMargin GUIToolTip_SetMaxTipWidth GUIToolTip_SetTipBkColor GUIToolTip_SetTipTextColor GUIToolTip_SetTitle GUIToolTip_SetToolInfo GUIToolTip_SetWindowTheme GUIToolTip_ToolExists GUIToolTip_ToolToArray GUIToolTip_TrackActivate GUIToolTip_TrackPosition GUIToolTip_Update GUIToolTip_UpdateTipText HexToString IEAction IEAttach IEBodyReadHTML IEBodyReadText IEBodyWriteHTML IECreate IECreateEmbedded IEDocGetObj IEDocInsertHTML IEDocInsertText IEDocReadHTML IEDocWriteHTML IEErrorNotify IEFormElementCheckBoxSelect IEFormElementGetCollection IEFormElementGetObjByName IEFormElementGetValue IEFormElementOptionSelect IEFormElementRadioSelect IEFormElementSetValue IEFormGetCollection IEFormGetObjByName IEFormImageClick IEFormReset IEFormSubmit IEFrameGetCollection IEFrameGetObjByName IEGetObjById IEGetObjByName IEHeadInsertEventScript IEImgClick IEImgGetCollection IEIsFrameSet IELinkClickByIndex IELinkClickByText IELinkGetCollection IELoadWait IELoadWaitTimeout IENavigate IEPropertyGet IEPropertySet IEQuit IETableGetCollection IETableWriteToArray IETagNameAllGetCollection IETagNameGetCollection IE_Example IE_Introduction IE_VersionInfo INetExplorerCapable INetGetSource INetMail INetSmtpMail IsPressed MathCheckDiv Max MemGlobalAlloc MemGlobalFree MemGlobalLock MemGlobalSize MemGlobalUnlock MemMoveMemory MemVirtualAlloc MemVirtualAllocEx MemVirtualFree MemVirtualFreeEx Min MouseTrap NamedPipes_CallNamedPipe NamedPipes_ConnectNamedPipe NamedPipes_CreateNamedPipe NamedPipes_CreatePipe NamedPipes_DisconnectNamedPipe NamedPipes_GetNamedPipeHandleState NamedPipes_GetNamedPipeInfo NamedPipes_PeekNamedPipe NamedPipes_SetNamedPipeHandleState NamedPipes_TransactNamedPipe NamedPipes_WaitNamedPipe Net_Share_ConnectionEnum Net_Share_FileClose Net_Share_FileEnum Net_Share_FileGetInfo Net_Share_PermStr Net_Share_ResourceStr Net_Share_SessionDel Net_Share_SessionEnum Net_Share_SessionGetInfo Net_Share_ShareAdd Net_Share_ShareCheck Net_Share_ShareDel Net_Share_ShareEnum Net_Share_ShareGetInfo Net_Share_ShareSetInfo Net_Share_StatisticsGetSvr Net_Share_StatisticsGetWrk Now NowCalc NowCalcDate NowDate NowTime PathFull PathGetRelative PathMake PathSplit ProcessGetName ProcessGetPriority Radian ReplaceStringInFile RunDos ScreenCapture_Capture ScreenCapture_CaptureWnd ScreenCapture_SaveImage ScreenCapture_SetBMPFormat ScreenCapture_SetJPGQuality ScreenCapture_SetTIFColorDepth ScreenCapture_SetTIFCompression Security__AdjustTokenPrivileges Security__CreateProcessWithToken Security__DuplicateTokenEx Security__GetAccountSid Security__GetLengthSid Security__GetTokenInformation Security__ImpersonateSelf Security__IsValidSid Security__LookupAccountName Security__LookupAccountSid Security__LookupPrivilegeValue Security__OpenProcessToken Security__OpenThreadToken Security__OpenThreadTokenEx Security__SetPrivilege Security__SetTokenInformation Security__SidToStringSid Security__SidTypeStr Security__StringSidToSid SendMessage SendMessageA SetDate SetTime Singleton SoundClose SoundLength SoundOpen SoundPause SoundPlay SoundPos SoundResume SoundSeek SoundStatus SoundStop SQLite_Changes SQLite_Close SQLite_Display2DResult SQLite_Encode SQLite_ErrCode SQLite_ErrMsg SQLite_Escape SQLite_Exec SQLite_FastEncode SQLite_FastEscape SQLite_FetchData SQLite_FetchNames SQLite_GetTable SQLite_GetTable2d SQLite_LastInsertRowID SQLite_LibVersion SQLite_Open SQLite_Query SQLite_QueryFinalize SQLite_QueryReset SQLite_QuerySingleRow SQLite_SafeMode SQLite_SetTimeout SQLite_Shutdown SQLite_SQLiteExe SQLite_Startup SQLite_TotalChanges StringBetween StringExplode StringInsert StringProper StringRepeat StringTitleCase StringToHex TCPIpToName TempFile TicksToTime Timer_Diff Timer_GetIdleTime Timer_GetTimerID Timer_Init Timer_KillAllTimers Timer_KillTimer Timer_SetTimer TimeToTicks VersionCompare viClose viExecCommand viFindGpib viGpibBusReset viGTL viInteractiveControl viOpen viSetAttribute viSetTimeout WeekNumberISO WinAPI_AbortPath WinAPI_ActivateKeyboardLayout WinAPI_AddClipboardFormatListener WinAPI_AddFontMemResourceEx WinAPI_AddFontResourceEx WinAPI_AddIconOverlay WinAPI_AddIconTransparency WinAPI_AddMRUString WinAPI_AdjustBitmap WinAPI_AdjustTokenPrivileges WinAPI_AdjustWindowRectEx WinAPI_AlphaBlend WinAPI_AngleArc WinAPI_AnimateWindow WinAPI_Arc WinAPI_ArcTo WinAPI_ArrayToStruct WinAPI_AssignProcessToJobObject WinAPI_AssocGetPerceivedType WinAPI_AssocQueryString WinAPI_AttachConsole WinAPI_AttachThreadInput WinAPI_BackupRead WinAPI_BackupReadAbort WinAPI_BackupSeek WinAPI_BackupWrite WinAPI_BackupWriteAbort WinAPI_Beep WinAPI_BeginBufferedPaint WinAPI_BeginDeferWindowPos WinAPI_BeginPaint WinAPI_BeginPath WinAPI_BeginUpdateResource WinAPI_BitBlt WinAPI_BringWindowToTop WinAPI_BroadcastSystemMessage WinAPI_BrowseForFolderDlg WinAPI_BufferedPaintClear WinAPI_BufferedPaintInit WinAPI_BufferedPaintSetAlpha WinAPI_BufferedPaintUnInit WinAPI_CallNextHookEx WinAPI_CallWindowProc WinAPI_CallWindowProcW WinAPI_CascadeWindows WinAPI_ChangeWindowMessageFilterEx WinAPI_CharToOem WinAPI_ChildWindowFromPointEx WinAPI_ClientToScreen WinAPI_ClipCursor WinAPI_CloseDesktop WinAPI_CloseEnhMetaFile WinAPI_CloseFigure WinAPI_CloseHandle WinAPI_CloseThemeData WinAPI_CloseWindow WinAPI_CloseWindowStation WinAPI_CLSIDFromProgID WinAPI_CoInitialize WinAPI_ColorAdjustLuma WinAPI_ColorHLSToRGB WinAPI_ColorRGBToHLS WinAPI_CombineRgn WinAPI_CombineTransform WinAPI_CommandLineToArgv WinAPI_CommDlgExtendedError WinAPI_CommDlgExtendedErrorEx WinAPI_CompareString WinAPI_CompressBitmapBits WinAPI_CompressBuffer WinAPI_ComputeCrc32 WinAPI_ConfirmCredentials WinAPI_CopyBitmap WinAPI_CopyCursor WinAPI_CopyEnhMetaFile WinAPI_CopyFileEx WinAPI_CopyIcon WinAPI_CopyImage WinAPI_CopyRect WinAPI_CopyStruct WinAPI_CoTaskMemAlloc WinAPI_CoTaskMemFree WinAPI_CoTaskMemRealloc WinAPI_CoUninitialize WinAPI_Create32BitHBITMAP WinAPI_Create32BitHICON WinAPI_CreateANDBitmap WinAPI_CreateBitmap WinAPI_CreateBitmapIndirect WinAPI_CreateBrushIndirect WinAPI_CreateBuffer WinAPI_CreateBufferFromStruct WinAPI_CreateCaret WinAPI_CreateColorAdjustment WinAPI_CreateCompatibleBitmap WinAPI_CreateCompatibleBitmapEx WinAPI_CreateCompatibleDC WinAPI_CreateDesktop WinAPI_CreateDIB WinAPI_CreateDIBColorTable WinAPI_CreateDIBitmap WinAPI_CreateDIBSection WinAPI_CreateDirectory WinAPI_CreateDirectoryEx WinAPI_CreateEllipticRgn WinAPI_CreateEmptyIcon WinAPI_CreateEnhMetaFile WinAPI_CreateEvent WinAPI_CreateFile WinAPI_CreateFileEx WinAPI_CreateFileMapping WinAPI_CreateFont WinAPI_CreateFontEx WinAPI_CreateFontIndirect WinAPI_CreateGUID WinAPI_CreateHardLink WinAPI_CreateIcon WinAPI_CreateIconFromResourceEx WinAPI_CreateIconIndirect WinAPI_CreateJobObject WinAPI_CreateMargins WinAPI_CreateMRUList WinAPI_CreateMutex WinAPI_CreateNullRgn WinAPI_CreateNumberFormatInfo WinAPI_CreateObjectID WinAPI_CreatePen WinAPI_CreatePoint WinAPI_CreatePolygonRgn WinAPI_CreateProcess WinAPI_CreateProcessWithToken WinAPI_CreateRect WinAPI_CreateRectEx WinAPI_CreateRectRgn WinAPI_CreateRectRgnIndirect WinAPI_CreateRoundRectRgn WinAPI_CreateSemaphore WinAPI_CreateSize WinAPI_CreateSolidBitmap WinAPI_CreateSolidBrush WinAPI_CreateStreamOnHGlobal WinAPI_CreateString WinAPI_CreateSymbolicLink WinAPI_CreateTransform WinAPI_CreateWindowEx WinAPI_CreateWindowStation WinAPI_DecompressBuffer WinAPI_DecryptFile WinAPI_DeferWindowPos WinAPI_DefineDosDevice WinAPI_DefRawInputProc WinAPI_DefSubclassProc WinAPI_DefWindowProc WinAPI_DefWindowProcW WinAPI_DeleteDC WinAPI_DeleteEnhMetaFile WinAPI_DeleteFile WinAPI_DeleteObject WinAPI_DeleteObjectID WinAPI_DeleteVolumeMountPoint WinAPI_DeregisterShellHookWindow WinAPI_DestroyCaret WinAPI_DestroyCursor WinAPI_DestroyIcon WinAPI_DestroyWindow WinAPI_DeviceIoControl WinAPI_DisplayStruct WinAPI_DllGetVersion WinAPI_DllInstall WinAPI_DllUninstall WinAPI_DPtoLP WinAPI_DragAcceptFiles WinAPI_DragFinish WinAPI_DragQueryFileEx WinAPI_DragQueryPoint WinAPI_DrawAnimatedRects WinAPI_DrawBitmap WinAPI_DrawEdge WinAPI_DrawFocusRect WinAPI_DrawFrameControl WinAPI_DrawIcon WinAPI_DrawIconEx WinAPI_DrawLine WinAPI_DrawShadowText WinAPI_DrawText WinAPI_DrawThemeBackground WinAPI_DrawThemeEdge WinAPI_DrawThemeIcon WinAPI_DrawThemeParentBackground WinAPI_DrawThemeText WinAPI_DrawThemeTextEx WinAPI_DuplicateEncryptionInfoFile WinAPI_DuplicateHandle WinAPI_DuplicateTokenEx WinAPI_DwmDefWindowProc WinAPI_DwmEnableBlurBehindWindow WinAPI_DwmEnableComposition WinAPI_DwmExtendFrameIntoClientArea WinAPI_DwmGetColorizationColor WinAPI_DwmGetColorizationParameters WinAPI_DwmGetWindowAttribute WinAPI_DwmInvalidateIconicBitmaps WinAPI_DwmIsCompositionEnabled WinAPI_DwmQueryThumbnailSourceSize WinAPI_DwmRegisterThumbnail WinAPI_DwmSetColorizationParameters WinAPI_DwmSetIconicLivePreviewBitmap WinAPI_DwmSetIconicThumbnail WinAPI_DwmSetWindowAttribute WinAPI_DwmUnregisterThumbnail WinAPI_DwmUpdateThumbnailProperties WinAPI_DWordToFloat WinAPI_DWordToInt WinAPI_EjectMedia WinAPI_Ellipse WinAPI_EmptyWorkingSet WinAPI_EnableWindow WinAPI_EncryptFile WinAPI_EncryptionDisable WinAPI_EndBufferedPaint WinAPI_EndDeferWindowPos WinAPI_EndPaint WinAPI_EndPath WinAPI_EndUpdateResource WinAPI_EnumChildProcess WinAPI_EnumChildWindows WinAPI_EnumDesktops WinAPI_EnumDesktopWindows WinAPI_EnumDeviceDrivers WinAPI_EnumDisplayDevices WinAPI_EnumDisplayMonitors WinAPI_EnumDisplaySettings WinAPI_EnumDllProc WinAPI_EnumFiles WinAPI_EnumFileStreams WinAPI_EnumFontFamilies WinAPI_EnumHardLinks WinAPI_EnumMRUList WinAPI_EnumPageFiles WinAPI_EnumProcessHandles WinAPI_EnumProcessModules WinAPI_EnumProcessThreads WinAPI_EnumProcessWindows WinAPI_EnumRawInputDevices WinAPI_EnumResourceLanguages WinAPI_EnumResourceNames WinAPI_EnumResourceTypes WinAPI_EnumSystemGeoID WinAPI_EnumSystemLocales WinAPI_EnumUILanguages WinAPI_EnumWindows WinAPI_EnumWindowsPopup WinAPI_EnumWindowStations WinAPI_EnumWindowsTop WinAPI_EqualMemory WinAPI_EqualRect WinAPI_EqualRgn WinAPI_ExcludeClipRect WinAPI_ExpandEnvironmentStrings WinAPI_ExtCreatePen WinAPI_ExtCreateRegion WinAPI_ExtFloodFill WinAPI_ExtractIcon WinAPI_ExtractIconEx WinAPI_ExtSelectClipRgn WinAPI_FatalAppExit WinAPI_FatalExit WinAPI_FileEncryptionStatus WinAPI_FileExists WinAPI_FileIconInit WinAPI_FileInUse WinAPI_FillMemory WinAPI_FillPath WinAPI_FillRect WinAPI_FillRgn WinAPI_FindClose WinAPI_FindCloseChangeNotification WinAPI_FindExecutable WinAPI_FindFirstChangeNotification WinAPI_FindFirstFile WinAPI_FindFirstFileName WinAPI_FindFirstStream WinAPI_FindNextChangeNotification WinAPI_FindNextFile WinAPI_FindNextFileName WinAPI_FindNextStream WinAPI_FindResource WinAPI_FindResourceEx WinAPI_FindTextDlg WinAPI_FindWindow WinAPI_FlashWindow WinAPI_FlashWindowEx WinAPI_FlattenPath WinAPI_FloatToDWord WinAPI_FloatToInt WinAPI_FlushFileBuffers WinAPI_FlushFRBuffer WinAPI_FlushViewOfFile WinAPI_FormatDriveDlg WinAPI_FormatMessage WinAPI_FrameRect WinAPI_FrameRgn WinAPI_FreeLibrary WinAPI_FreeMemory WinAPI_FreeMRUList WinAPI_FreeResource WinAPI_GdiComment WinAPI_GetActiveWindow WinAPI_GetAllUsersProfileDirectory WinAPI_GetAncestor WinAPI_GetApplicationRestartSettings WinAPI_GetArcDirection WinAPI_GetAsyncKeyState WinAPI_GetBinaryType WinAPI_GetBitmapBits WinAPI_GetBitmapDimension WinAPI_GetBitmapDimensionEx WinAPI_GetBkColor WinAPI_GetBkMode WinAPI_GetBoundsRect WinAPI_GetBrushOrg WinAPI_GetBufferedPaintBits WinAPI_GetBufferedPaintDC WinAPI_GetBufferedPaintTargetDC WinAPI_GetBufferedPaintTargetRect WinAPI_GetBValue WinAPI_GetCaretBlinkTime WinAPI_GetCaretPos WinAPI_GetCDType WinAPI_GetClassInfoEx WinAPI_GetClassLongEx WinAPI_GetClassName WinAPI_GetClientHeight WinAPI_GetClientRect WinAPI_GetClientWidth WinAPI_GetClipboardSequenceNumber WinAPI_GetClipBox WinAPI_GetClipCursor WinAPI_GetClipRgn WinAPI_GetColorAdjustment WinAPI_GetCompressedFileSize WinAPI_GetCompression WinAPI_GetConnectedDlg WinAPI_GetCurrentDirectory WinAPI_GetCurrentHwProfile WinAPI_GetCurrentObject WinAPI_GetCurrentPosition WinAPI_GetCurrentProcess WinAPI_GetCurrentProcessExplicitAppUserModelID WinAPI_GetCurrentProcessID WinAPI_GetCurrentThemeName WinAPI_GetCurrentThread WinAPI_GetCurrentThreadId WinAPI_GetCursor WinAPI_GetCursorInfo WinAPI_GetDateFormat WinAPI_GetDC WinAPI_GetDCEx WinAPI_GetDefaultPrinter WinAPI_GetDefaultUserProfileDirectory WinAPI_GetDesktopWindow WinAPI_GetDeviceCaps WinAPI_GetDeviceDriverBaseName WinAPI_GetDeviceDriverFileName WinAPI_GetDeviceGammaRamp WinAPI_GetDIBColorTable WinAPI_GetDIBits WinAPI_GetDiskFreeSpaceEx WinAPI_GetDlgCtrlID WinAPI_GetDlgItem WinAPI_GetDllDirectory WinAPI_GetDriveBusType WinAPI_GetDriveGeometryEx WinAPI_GetDriveNumber WinAPI_GetDriveType WinAPI_GetDurationFormat WinAPI_GetEffectiveClientRect WinAPI_GetEnhMetaFile WinAPI_GetEnhMetaFileBits WinAPI_GetEnhMetaFileDescription WinAPI_GetEnhMetaFileDimension WinAPI_GetEnhMetaFileHeader WinAPI_GetErrorMessage WinAPI_GetErrorMode WinAPI_GetExitCodeProcess WinAPI_GetExtended WinAPI_GetFileAttributes WinAPI_GetFileID WinAPI_GetFileInformationByHandle WinAPI_GetFileInformationByHandleEx WinAPI_GetFilePointerEx WinAPI_GetFileSizeEx WinAPI_GetFileSizeOnDisk WinAPI_GetFileTitle WinAPI_GetFileType WinAPI_GetFileVersionInfo WinAPI_GetFinalPathNameByHandle WinAPI_GetFinalPathNameByHandleEx WinAPI_GetFocus WinAPI_GetFontMemoryResourceInfo WinAPI_GetFontName WinAPI_GetFontResourceInfo WinAPI_GetForegroundWindow WinAPI_GetFRBuffer WinAPI_GetFullPathName WinAPI_GetGeoInfo WinAPI_GetGlyphOutline WinAPI_GetGraphicsMode WinAPI_GetGuiResources WinAPI_GetGUIThreadInfo WinAPI_GetGValue WinAPI_GetHandleInformation WinAPI_GetHGlobalFromStream WinAPI_GetIconDimension WinAPI_GetIconInfo WinAPI_GetIconInfoEx WinAPI_GetIdleTime WinAPI_GetKeyboardLayout WinAPI_GetKeyboardLayoutList WinAPI_GetKeyboardState WinAPI_GetKeyboardType WinAPI_GetKeyNameText WinAPI_GetKeyState WinAPI_GetLastActivePopup WinAPI_GetLastError WinAPI_GetLastErrorMessage WinAPI_GetLayeredWindowAttributes WinAPI_GetLocaleInfo WinAPI_GetLogicalDrives WinAPI_GetMapMode WinAPI_GetMemorySize WinAPI_GetMessageExtraInfo WinAPI_GetModuleFileNameEx WinAPI_GetModuleHandle WinAPI_GetModuleHandleEx WinAPI_GetModuleInformation WinAPI_GetMonitorInfo WinAPI_GetMousePos WinAPI_GetMousePosX WinAPI_GetMousePosY WinAPI_GetMUILanguage WinAPI_GetNumberFormat WinAPI_GetObject WinAPI_GetObjectID WinAPI_GetObjectInfoByHandle WinAPI_GetObjectNameByHandle WinAPI_GetObjectType WinAPI_GetOpenFileName WinAPI_GetOutlineTextMetrics WinAPI_GetOverlappedResult WinAPI_GetParent WinAPI_GetParentProcess WinAPI_GetPerformanceInfo WinAPI_GetPEType WinAPI_GetPhysicallyInstalledSystemMemory WinAPI_GetPixel WinAPI_GetPolyFillMode WinAPI_GetPosFromRect WinAPI_GetPriorityClass WinAPI_GetProcAddress WinAPI_GetProcessAffinityMask WinAPI_GetProcessCommandLine WinAPI_GetProcessFileName WinAPI_GetProcessHandleCount WinAPI_GetProcessID WinAPI_GetProcessIoCounters WinAPI_GetProcessMemoryInfo WinAPI_GetProcessName WinAPI_GetProcessShutdownParameters WinAPI_GetProcessTimes WinAPI_GetProcessUser WinAPI_GetProcessWindowStation WinAPI_GetProcessWorkingDirectory WinAPI_GetProfilesDirectory WinAPI_GetPwrCapabilities WinAPI_GetRawInputBuffer WinAPI_GetRawInputBufferLength WinAPI_GetRawInputData WinAPI_GetRawInputDeviceInfo WinAPI_GetRegionData WinAPI_GetRegisteredRawInputDevices WinAPI_GetRegKeyNameByHandle WinAPI_GetRgnBox WinAPI_GetROP2 WinAPI_GetRValue WinAPI_GetSaveFileName WinAPI_GetShellWindow WinAPI_GetStartupInfo WinAPI_GetStdHandle WinAPI_GetStockObject WinAPI_GetStretchBltMode WinAPI_GetString WinAPI_GetSysColor WinAPI_GetSysColorBrush WinAPI_GetSystemDefaultLangID WinAPI_GetSystemDefaultLCID WinAPI_GetSystemDefaultUILanguage WinAPI_GetSystemDEPPolicy WinAPI_GetSystemInfo WinAPI_GetSystemMetrics WinAPI_GetSystemPowerStatus WinAPI_GetSystemTimes WinAPI_GetSystemWow64Directory WinAPI_GetTabbedTextExtent WinAPI_GetTempFileName WinAPI_GetTextAlign WinAPI_GetTextCharacterExtra WinAPI_GetTextColor WinAPI_GetTextExtentPoint32 WinAPI_GetTextFace WinAPI_GetTextMetrics WinAPI_GetThemeAppProperties WinAPI_GetThemeBackgroundContentRect WinAPI_GetThemeBackgroundExtent WinAPI_GetThemeBackgroundRegion WinAPI_GetThemeBitmap WinAPI_GetThemeBool WinAPI_GetThemeColor WinAPI_GetThemeDocumentationProperty WinAPI_GetThemeEnumValue WinAPI_GetThemeFilename WinAPI_GetThemeFont WinAPI_GetThemeInt WinAPI_GetThemeMargins WinAPI_GetThemeMetric WinAPI_GetThemePartSize WinAPI_GetThemePosition WinAPI_GetThemePropertyOrigin WinAPI_GetThemeRect WinAPI_GetThemeString WinAPI_GetThemeSysBool WinAPI_GetThemeSysColor WinAPI_GetThemeSysColorBrush WinAPI_GetThemeSysFont WinAPI_GetThemeSysInt WinAPI_GetThemeSysSize WinAPI_GetThemeSysString WinAPI_GetThemeTextExtent WinAPI_GetThemeTextMetrics WinAPI_GetThemeTransitionDuration WinAPI_GetThreadDesktop WinAPI_GetThreadErrorMode WinAPI_GetThreadLocale WinAPI_GetThreadUILanguage WinAPI_GetTickCount WinAPI_GetTickCount64 WinAPI_GetTimeFormat WinAPI_GetTopWindow WinAPI_GetUDFColorMode WinAPI_GetUpdateRect WinAPI_GetUpdateRgn WinAPI_GetUserDefaultLangID WinAPI_GetUserDefaultLCID WinAPI_GetUserDefaultUILanguage WinAPI_GetUserGeoID WinAPI_GetUserObjectInformation WinAPI_GetVersion WinAPI_GetVersionEx WinAPI_GetVolumeInformation WinAPI_GetVolumeInformationByHandle WinAPI_GetVolumeNameForVolumeMountPoint WinAPI_GetWindow WinAPI_GetWindowDC WinAPI_GetWindowDisplayAffinity WinAPI_GetWindowExt WinAPI_GetWindowFileName WinAPI_GetWindowHeight WinAPI_GetWindowInfo WinAPI_GetWindowLong WinAPI_GetWindowOrg WinAPI_GetWindowPlacement WinAPI_GetWindowRect WinAPI_GetWindowRgn WinAPI_GetWindowRgnBox WinAPI_GetWindowSubclass WinAPI_GetWindowText WinAPI_GetWindowTheme WinAPI_GetWindowThreadProcessId WinAPI_GetWindowWidth WinAPI_GetWorkArea WinAPI_GetWorldTransform WinAPI_GetXYFromPoint WinAPI_GlobalMemoryStatus WinAPI_GradientFill WinAPI_GUIDFromString WinAPI_GUIDFromStringEx WinAPI_HashData WinAPI_HashString WinAPI_HiByte WinAPI_HideCaret WinAPI_HiDWord WinAPI_HiWord WinAPI_InflateRect WinAPI_InitMUILanguage WinAPI_InProcess WinAPI_IntersectClipRect WinAPI_IntersectRect WinAPI_IntToDWord WinAPI_IntToFloat WinAPI_InvalidateRect WinAPI_InvalidateRgn WinAPI_InvertANDBitmap WinAPI_InvertColor WinAPI_InvertRect WinAPI_InvertRgn WinAPI_IOCTL WinAPI_IsAlphaBitmap WinAPI_IsBadCodePtr WinAPI_IsBadReadPtr WinAPI_IsBadStringPtr WinAPI_IsBadWritePtr WinAPI_IsChild WinAPI_IsClassName WinAPI_IsDoorOpen WinAPI_IsElevated WinAPI_IsHungAppWindow WinAPI_IsIconic WinAPI_IsInternetConnected WinAPI_IsLoadKBLayout WinAPI_IsMemory WinAPI_IsNameInExpression WinAPI_IsNetworkAlive WinAPI_IsPathShared WinAPI_IsProcessInJob WinAPI_IsProcessorFeaturePresent WinAPI_IsRectEmpty WinAPI_IsThemeActive WinAPI_IsThemeBackgroundPartiallyTransparent WinAPI_IsThemePartDefined WinAPI_IsValidLocale WinAPI_IsWindow WinAPI_IsWindowEnabled WinAPI_IsWindowUnicode WinAPI_IsWindowVisible WinAPI_IsWow64Process WinAPI_IsWritable WinAPI_IsZoomed WinAPI_Keybd_Event WinAPI_KillTimer WinAPI_LineDDA WinAPI_LineTo WinAPI_LoadBitmap WinAPI_LoadCursor WinAPI_LoadCursorFromFile WinAPI_LoadIcon WinAPI_LoadIconMetric WinAPI_LoadIconWithScaleDown WinAPI_LoadImage WinAPI_LoadIndirectString WinAPI_LoadKeyboardLayout WinAPI_LoadLibrary WinAPI_LoadLibraryEx WinAPI_LoadMedia WinAPI_LoadResource WinAPI_LoadShell32Icon WinAPI_LoadString WinAPI_LoadStringEx WinAPI_LoByte WinAPI_LocalFree WinAPI_LockDevice WinAPI_LockFile WinAPI_LockResource WinAPI_LockWindowUpdate WinAPI_LockWorkStation WinAPI_LoDWord WinAPI_LongMid WinAPI_LookupIconIdFromDirectoryEx WinAPI_LoWord WinAPI_LPtoDP WinAPI_MAKELANGID WinAPI_MAKELCID WinAPI_MakeLong WinAPI_MakeQWord WinAPI_MakeWord WinAPI_MapViewOfFile WinAPI_MapVirtualKey WinAPI_MaskBlt WinAPI_MessageBeep WinAPI_MessageBoxCheck WinAPI_MessageBoxIndirect WinAPI_MirrorIcon WinAPI_ModifyWorldTransform WinAPI_MonitorFromPoint WinAPI_MonitorFromRect WinAPI_MonitorFromWindow WinAPI_Mouse_Event WinAPI_MoveFileEx WinAPI_MoveMemory WinAPI_MoveTo WinAPI_MoveToEx WinAPI_MoveWindow WinAPI_MsgBox WinAPI_MulDiv WinAPI_MultiByteToWideChar WinAPI_MultiByteToWideCharEx WinAPI_NtStatusToDosError WinAPI_OemToChar WinAPI_OffsetClipRgn WinAPI_OffsetPoints WinAPI_OffsetRect WinAPI_OffsetRgn WinAPI_OffsetWindowOrg WinAPI_OpenDesktop WinAPI_OpenFileById WinAPI_OpenFileDlg WinAPI_OpenFileMapping WinAPI_OpenIcon WinAPI_OpenInputDesktop WinAPI_OpenJobObject WinAPI_OpenMutex WinAPI_OpenProcess WinAPI_OpenProcessToken WinAPI_OpenSemaphore WinAPI_OpenThemeData WinAPI_OpenWindowStation WinAPI_PageSetupDlg WinAPI_PaintDesktop WinAPI_PaintRgn WinAPI_ParseURL WinAPI_ParseUserName WinAPI_PatBlt WinAPI_PathAddBackslash WinAPI_PathAddExtension WinAPI_PathAppend WinAPI_PathBuildRoot WinAPI_PathCanonicalize WinAPI_PathCommonPrefix WinAPI_PathCompactPath WinAPI_PathCompactPathEx WinAPI_PathCreateFromUrl WinAPI_PathFindExtension WinAPI_PathFindFileName WinAPI_PathFindNextComponent WinAPI_PathFindOnPath WinAPI_PathGetArgs WinAPI_PathGetCharType WinAPI_PathGetDriveNumber WinAPI_PathIsContentType WinAPI_PathIsDirectory WinAPI_PathIsDirectoryEmpty WinAPI_PathIsExe WinAPI_PathIsFileSpec WinAPI_PathIsLFNFileSpec WinAPI_PathIsRelative WinAPI_PathIsRoot WinAPI_PathIsSameRoot WinAPI_PathIsSystemFolder WinAPI_PathIsUNC WinAPI_PathIsUNCServer WinAPI_PathIsUNCServerShare WinAPI_PathMakeSystemFolder WinAPI_PathMatchSpec WinAPI_PathParseIconLocation WinAPI_PathRelativePathTo WinAPI_PathRemoveArgs WinAPI_PathRemoveBackslash WinAPI_PathRemoveExtension WinAPI_PathRemoveFileSpec WinAPI_PathRenameExtension WinAPI_PathSearchAndQualify WinAPI_PathSkipRoot WinAPI_PathStripPath WinAPI_PathStripToRoot WinAPI_PathToRegion WinAPI_PathUndecorate WinAPI_PathUnExpandEnvStrings WinAPI_PathUnmakeSystemFolder WinAPI_PathUnquoteSpaces WinAPI_PathYetAnotherMakeUniqueName WinAPI_PickIconDlg WinAPI_PlayEnhMetaFile WinAPI_PlaySound WinAPI_PlgBlt WinAPI_PointFromRect WinAPI_PolyBezier WinAPI_PolyBezierTo WinAPI_PolyDraw WinAPI_Polygon WinAPI_PostMessage WinAPI_PrimaryLangId WinAPI_PrintDlg WinAPI_PrintDlgEx WinAPI_PrintWindow WinAPI_ProgIDFromCLSID WinAPI_PtInRect WinAPI_PtInRectEx WinAPI_PtInRegion WinAPI_PtVisible WinAPI_QueryDosDevice WinAPI_QueryInformationJobObject WinAPI_QueryPerformanceCounter WinAPI_QueryPerformanceFrequency WinAPI_RadialGradientFill WinAPI_ReadDirectoryChanges WinAPI_ReadFile WinAPI_ReadProcessMemory WinAPI_Rectangle WinAPI_RectInRegion WinAPI_RectIsEmpty WinAPI_RectVisible WinAPI_RedrawWindow WinAPI_RegCloseKey WinAPI_RegConnectRegistry WinAPI_RegCopyTree WinAPI_RegCopyTreeEx WinAPI_RegCreateKey WinAPI_RegDeleteEmptyKey WinAPI_RegDeleteKey WinAPI_RegDeleteKeyValue WinAPI_RegDeleteTree WinAPI_RegDeleteTreeEx WinAPI_RegDeleteValue WinAPI_RegDisableReflectionKey WinAPI_RegDuplicateHKey WinAPI_RegEnableReflectionKey WinAPI_RegEnumKey WinAPI_RegEnumValue WinAPI_RegFlushKey WinAPI_RegisterApplicationRestart WinAPI_RegisterClass WinAPI_RegisterClassEx WinAPI_RegisterHotKey WinAPI_RegisterPowerSettingNotification WinAPI_RegisterRawInputDevices WinAPI_RegisterShellHookWindow WinAPI_RegisterWindowMessage WinAPI_RegLoadMUIString WinAPI_RegNotifyChangeKeyValue WinAPI_RegOpenKey WinAPI_RegQueryInfoKey WinAPI_RegQueryLastWriteTime WinAPI_RegQueryMultipleValues WinAPI_RegQueryReflectionKey WinAPI_RegQueryValue WinAPI_RegRestoreKey WinAPI_RegSaveKey WinAPI_RegSetValue WinAPI_ReleaseCapture WinAPI_ReleaseDC WinAPI_ReleaseMutex WinAPI_ReleaseSemaphore WinAPI_ReleaseStream WinAPI_RemoveClipboardFormatListener WinAPI_RemoveDirectory WinAPI_RemoveFontMemResourceEx WinAPI_RemoveFontResourceEx WinAPI_RemoveWindowSubclass WinAPI_ReOpenFile WinAPI_ReplaceFile WinAPI_ReplaceTextDlg WinAPI_ResetEvent WinAPI_RestartDlg WinAPI_RestoreDC WinAPI_RGB WinAPI_RotatePoints WinAPI_RoundRect WinAPI_SaveDC WinAPI_SaveFileDlg WinAPI_SaveHBITMAPToFile WinAPI_SaveHICONToFile WinAPI_ScaleWindowExt WinAPI_ScreenToClient WinAPI_SearchPath WinAPI_SelectClipPath WinAPI_SelectClipRgn WinAPI_SelectObject WinAPI_SendMessageTimeout WinAPI_SetActiveWindow WinAPI_SetArcDirection WinAPI_SetBitmapBits WinAPI_SetBitmapDimensionEx WinAPI_SetBkColor WinAPI_SetBkMode WinAPI_SetBoundsRect WinAPI_SetBrushOrg WinAPI_SetCapture WinAPI_SetCaretBlinkTime WinAPI_SetCaretPos WinAPI_SetClassLongEx WinAPI_SetColorAdjustment WinAPI_SetCompression WinAPI_SetCurrentDirectory WinAPI_SetCurrentProcessExplicitAppUserModelID WinAPI_SetCursor WinAPI_SetDCBrushColor WinAPI_SetDCPenColor WinAPI_SetDefaultPrinter WinAPI_SetDeviceGammaRamp WinAPI_SetDIBColorTable WinAPI_SetDIBits WinAPI_SetDIBitsToDevice WinAPI_SetDllDirectory WinAPI_SetEndOfFile WinAPI_SetEnhMetaFileBits WinAPI_SetErrorMode WinAPI_SetEvent WinAPI_SetFileAttributes WinAPI_SetFileInformationByHandleEx WinAPI_SetFilePointer WinAPI_SetFilePointerEx WinAPI_SetFileShortName WinAPI_SetFileValidData WinAPI_SetFocus WinAPI_SetFont WinAPI_SetForegroundWindow WinAPI_SetFRBuffer WinAPI_SetGraphicsMode WinAPI_SetHandleInformation WinAPI_SetInformationJobObject WinAPI_SetKeyboardLayout WinAPI_SetKeyboardState WinAPI_SetLastError WinAPI_SetLayeredWindowAttributes WinAPI_SetLocaleInfo WinAPI_SetMapMode WinAPI_SetMessageExtraInfo WinAPI_SetParent WinAPI_SetPixel WinAPI_SetPolyFillMode WinAPI_SetPriorityClass WinAPI_SetProcessAffinityMask WinAPI_SetProcessShutdownParameters WinAPI_SetProcessWindowStation WinAPI_SetRectRgn WinAPI_SetROP2 WinAPI_SetSearchPathMode WinAPI_SetStretchBltMode WinAPI_SetSysColors WinAPI_SetSystemCursor WinAPI_SetTextAlign WinAPI_SetTextCharacterExtra WinAPI_SetTextColor WinAPI_SetTextJustification WinAPI_SetThemeAppProperties WinAPI_SetThreadDesktop WinAPI_SetThreadErrorMode WinAPI_SetThreadExecutionState WinAPI_SetThreadLocale WinAPI_SetThreadUILanguage WinAPI_SetTimer WinAPI_SetUDFColorMode WinAPI_SetUserGeoID WinAPI_SetUserObjectInformation WinAPI_SetVolumeMountPoint WinAPI_SetWindowDisplayAffinity WinAPI_SetWindowExt WinAPI_SetWindowLong WinAPI_SetWindowOrg WinAPI_SetWindowPlacement WinAPI_SetWindowPos WinAPI_SetWindowRgn WinAPI_SetWindowsHookEx WinAPI_SetWindowSubclass WinAPI_SetWindowText WinAPI_SetWindowTheme WinAPI_SetWinEventHook WinAPI_SetWorldTransform WinAPI_SfcIsFileProtected WinAPI_SfcIsKeyProtected WinAPI_ShellAboutDlg WinAPI_ShellAddToRecentDocs WinAPI_ShellChangeNotify WinAPI_ShellChangeNotifyDeregister WinAPI_ShellChangeNotifyRegister WinAPI_ShellCreateDirectory WinAPI_ShellEmptyRecycleBin WinAPI_ShellExecute WinAPI_ShellExecuteEx WinAPI_ShellExtractAssociatedIcon WinAPI_ShellExtractIcon WinAPI_ShellFileOperation WinAPI_ShellFlushSFCache WinAPI_ShellGetFileInfo WinAPI_ShellGetIconOverlayIndex WinAPI_ShellGetImageList WinAPI_ShellGetKnownFolderIDList WinAPI_ShellGetKnownFolderPath WinAPI_ShellGetLocalizedName WinAPI_ShellGetPathFromIDList WinAPI_ShellGetSetFolderCustomSettings WinAPI_ShellGetSettings WinAPI_ShellGetSpecialFolderLocation WinAPI_ShellGetSpecialFolderPath WinAPI_ShellGetStockIconInfo WinAPI_ShellILCreateFromPath WinAPI_ShellNotifyIcon WinAPI_ShellNotifyIconGetRect WinAPI_ShellObjectProperties WinAPI_ShellOpenFolderAndSelectItems WinAPI_ShellOpenWithDlg WinAPI_ShellQueryRecycleBin WinAPI_ShellQueryUserNotificationState WinAPI_ShellRemoveLocalizedName WinAPI_ShellRestricted WinAPI_ShellSetKnownFolderPath WinAPI_ShellSetLocalizedName WinAPI_ShellSetSettings WinAPI_ShellStartNetConnectionDlg WinAPI_ShellUpdateImage WinAPI_ShellUserAuthenticationDlg WinAPI_ShellUserAuthenticationDlgEx WinAPI_ShortToWord WinAPI_ShowCaret WinAPI_ShowCursor WinAPI_ShowError WinAPI_ShowLastError WinAPI_ShowMsg WinAPI_ShowOwnedPopups WinAPI_ShowWindow WinAPI_ShutdownBlockReasonCreate WinAPI_ShutdownBlockReasonDestroy WinAPI_ShutdownBlockReasonQuery WinAPI_SizeOfResource WinAPI_StretchBlt WinAPI_StretchDIBits WinAPI_StrFormatByteSize WinAPI_StrFormatByteSizeEx WinAPI_StrFormatKBSize WinAPI_StrFromTimeInterval WinAPI_StringFromGUID WinAPI_StringLenA WinAPI_StringLenW WinAPI_StrLen WinAPI_StrokeAndFillPath WinAPI_StrokePath WinAPI_StructToArray WinAPI_SubLangId WinAPI_SubtractRect WinAPI_SwapDWord WinAPI_SwapQWord WinAPI_SwapWord WinAPI_SwitchColor WinAPI_SwitchDesktop WinAPI_SwitchToThisWindow WinAPI_SystemParametersInfo WinAPI_TabbedTextOut WinAPI_TerminateJobObject WinAPI_TerminateProcess WinAPI_TextOut WinAPI_TileWindows WinAPI_TrackMouseEvent WinAPI_TransparentBlt WinAPI_TwipsPerPixelX WinAPI_TwipsPerPixelY WinAPI_UnhookWindowsHookEx WinAPI_UnhookWinEvent WinAPI_UnionRect WinAPI_UnionStruct WinAPI_UniqueHardwareID WinAPI_UnloadKeyboardLayout WinAPI_UnlockFile WinAPI_UnmapViewOfFile WinAPI_UnregisterApplicationRestart WinAPI_UnregisterClass WinAPI_UnregisterHotKey WinAPI_UnregisterPowerSettingNotification WinAPI_UpdateLayeredWindow WinAPI_UpdateLayeredWindowEx WinAPI_UpdateLayeredWindowIndirect WinAPI_UpdateResource WinAPI_UpdateWindow WinAPI_UrlApplyScheme WinAPI_UrlCanonicalize WinAPI_UrlCombine WinAPI_UrlCompare WinAPI_UrlCreateFromPath WinAPI_UrlFixup WinAPI_UrlGetPart WinAPI_UrlHash WinAPI_UrlIs WinAPI_UserHandleGrantAccess WinAPI_ValidateRect WinAPI_ValidateRgn WinAPI_VerQueryRoot WinAPI_VerQueryValue WinAPI_VerQueryValueEx WinAPI_WaitForInputIdle WinAPI_WaitForMultipleObjects WinAPI_WaitForSingleObject WinAPI_WideCharToMultiByte WinAPI_WidenPath WinAPI_WindowFromDC WinAPI_WindowFromPoint WinAPI_WordToShort WinAPI_Wow64EnableWow64FsRedirection WinAPI_WriteConsole WinAPI_WriteFile WinAPI_WriteProcessMemory WinAPI_ZeroMemory WinNet_AddConnection WinNet_AddConnection2 WinNet_AddConnection3 WinNet_CancelConnection WinNet_CancelConnection2 WinNet_CloseEnum WinNet_ConnectionDialog WinNet_ConnectionDialog1 WinNet_DisconnectDialog WinNet_DisconnectDialog1 WinNet_EnumResource WinNet_GetConnection WinNet_GetConnectionPerformance WinNet_GetLastError WinNet_GetNetworkInformation WinNet_GetProviderName WinNet_GetResourceInformation WinNet_GetResourceParent WinNet_GetUniversalName WinNet_GetUser WinNet_OpenEnum WinNet_RestoreConnection WinNet_UseConnection Word_Create Word_DocAdd Word_DocAttach Word_DocClose Word_DocExport Word_DocFind Word_DocFindReplace Word_DocGet Word_DocLinkAdd Word_DocLinkGet Word_DocOpen Word_DocPictureAdd Word_DocPrint Word_DocRangeSet Word_DocSave Word_DocSaveAs Word_DocTableRead Word_DocTableWrite Word_Quit",e={ +function $notificationProvider(){function a(a,c,d){function e(c,d){function f(){d=angular.extend({focusWindowOnClick:!0},b.options||{},d);try{g.baseNotification=new a.Notification(c,d)}catch(e){return}d.delay&&setTimeout(angular.bind(g,g.close),d.delay),d.focusWindowOnClick&&g.$on("click",function(){a.focus()}),g._events.forEach(function(a){g.$on.apply(g,a)}),g._events=[]}if(!a.Notification)return!1;d=d||{};var g=this;return this._events=[],"granted"===a.Notification.permission?f():void("denied"!==a.Notification.permission&&e.requestPermission().then(f))}function f(a,b){return new e(a,b)}return e.prototype.$on=function(a,b){function d(){var a=arguments;c.$apply(function(){b.apply(e,a)})}var e=this;return this.baseNotification?(this.baseNotification.addEventListener(a,d),function(){this.baseNotification.removeListener(event,d)}):this._events.push([a,b])},e.prototype.close=function(){this.baseNotification&&this.baseNotification.close()},e.requestPermission=function(){return d(function(b,c){return a.Notification?void a.Notification.requestPermission(function(c){a.Notification.permission=a.Notification.permission||c,b(a.Notification.permission)}):c()})},f.requestPermission=e.requestPermission,f}var b=this;this.$get=["$window","$rootScope","$q",a],this.setOptions=function(a){this.options=a}}function wizardButtonDirective(a){angular.module("mgo-angular-wizard").directive(a,function(){return{restrict:"A",replace:!1,require:"^wizard",link:function(b,c,d,e){c.on("click",function(c){c.preventDefault(),b.$apply(function(){b.$eval(d[a]),e[a.replace("wz","").toLowerCase()]()})})}}})}function _typeof(a){return a&&"undefined"!=typeof Symbol&&a.constructor===Symbol?"symbol":typeof a}function getRandomColors(){for(var a="0123456789ABCDEF".split(""),b="#",c=0;6>c;c++)b+=a[Math.floor(16*Math.random())];return b}function getFirstAndLastName(a){var b=a.split(" ");if(b&&b.length>=2){var c=b[0],d=b[1];if(c&&d){var e=c.substr(0,1)+d.substr(0,1);return e}return a.substr(0,2)}}function getImgTag(a,b,c){var d=angular.element("").attr({xmlns:"http://www.w3.org/2000/svg","pointer-events":"none",width:a,height:b}).css({"background-color":c,width:a+"px",height:b+"px"});return d}function getCharacterObject(a,b,c,d,e){var f=angular.element('').attr({y:"50%",x:"50%",dy:"0.35em","pointer-events":"auto",fill:b,"font-family":c}).html(a).css({"font-weight":d,"font-size":e+"px"});return f}if(function(a,b){"object"==typeof module&&"object"==typeof module.exports?module.exports=a.document?b(a,!0):function(a){if(!a.document)throw new Error("jQuery requires a window with a document");return b(a)}:b(a)}("undefined"!=typeof window?window:this,function(a,b){function c(a){var b="length"in a&&a.length,c=_.type(a);return"function"===c||_.isWindow(a)?!1:1===a.nodeType&&b?!0:"array"===c||0===b||"number"==typeof b&&b>0&&b-1 in a}function d(a,b,c){if(_.isFunction(b))return _.grep(a,function(a,d){return!!b.call(a,d,a)!==c});if(b.nodeType)return _.grep(a,function(a){return a===b!==c});if("string"==typeof b){if(ha.test(b))return _.filter(b,a,c);b=_.filter(b,a)}return _.grep(a,function(a){return U.call(b,a)>=0!==c})}function e(a,b){for(;(a=a[b])&&1!==a.nodeType;);return a}function f(a){var b=oa[a]={};return _.each(a.match(na)||[],function(a,c){b[c]=!0}),b}function g(){Z.removeEventListener("DOMContentLoaded",g,!1),a.removeEventListener("load",g,!1),_.ready()}function h(){Object.defineProperty(this.cache={},0,{get:function(){return{}}}),this.expando=_.expando+h.uid++}function i(a,b,c){var d;if(void 0===c&&1===a.nodeType)if(d="data-"+b.replace(ua,"-$1").toLowerCase(),c=a.getAttribute(d),"string"==typeof c){try{c="true"===c?!0:"false"===c?!1:"null"===c?null:+c+""===c?+c:ta.test(c)?_.parseJSON(c):c}catch(e){}sa.set(a,b,c)}else c=void 0;return c}function j(){return!0}function k(){return!1}function l(){try{return Z.activeElement}catch(a){}}function m(a,b){return _.nodeName(a,"table")&&_.nodeName(11!==b.nodeType?b:b.firstChild,"tr")?a.getElementsByTagName("tbody")[0]||a.appendChild(a.ownerDocument.createElement("tbody")):a}function n(a){return a.type=(null!==a.getAttribute("type"))+"/"+a.type,a}function o(a){var b=Ka.exec(a.type);return b?a.type=b[1]:a.removeAttribute("type"),a}function p(a,b){for(var c=0,d=a.length;d>c;c++)ra.set(a[c],"globalEval",!b||ra.get(b[c],"globalEval"))}function q(a,b){var c,d,e,f,g,h,i,j;if(1===b.nodeType){if(ra.hasData(a)&&(f=ra.access(a),g=ra.set(b,f),j=f.events)){delete g.handle,g.events={};for(e in j)for(c=0,d=j[e].length;d>c;c++)_.event.add(b,e,j[e][c])}sa.hasData(a)&&(h=sa.access(a),i=_.extend({},h),sa.set(b,i))}}function r(a,b){var c=a.getElementsByTagName?a.getElementsByTagName(b||"*"):a.querySelectorAll?a.querySelectorAll(b||"*"):[];return void 0===b||b&&_.nodeName(a,b)?_.merge([a],c):c}function s(a,b){var c=b.nodeName.toLowerCase();"input"===c&&ya.test(a.type)?b.checked=a.checked:"input"!==c&&"textarea"!==c||(b.defaultValue=a.defaultValue)}function t(b,c){var d,e=_(c.createElement(b)).appendTo(c.body),f=a.getDefaultComputedStyle&&(d=a.getDefaultComputedStyle(e[0]))?d.display:_.css(e[0],"display");return e.detach(),f}function u(a){var b=Z,c=Oa[a];return c||(c=t(a,b),"none"!==c&&c||(Na=(Na||_("':''),v=a(d.theme?'':''),d.theme&&q?(x='"):d.theme?(x='"):x=q?'':'',w=a(x),r&&(d.theme?(w.css(p),w.addClass("ui-widget-content")):w.css(f)),d.theme||v.css(d.overlayCSS),v.css("position",q?"fixed":"absolute"),(k||d.forceIframe)&&u.css("opacity",0);var z=[u,v,w],A=a(q?"body":b);a.each(z,function(){this.appendTo(A)}),d.theme&&d.draggable&&a.fn.draggable&&w.draggable({handle:".ui-dialog-titlebar",cancel:"li"});var B=m&&(!a.support.boxModel||a("object,embed",q?null:b).length>0);if(l||B){if(q&&d.allowBodyStretch&&a.support.boxModel&&a("html,body").css("height","100%"),(l||!a.support.boxModel)&&!q)var C=i(b,"borderTopWidth"),D=i(b,"borderLeftWidth"),E=C?"(0 - "+C+")":0,F=D?"(0 - "+D+")":0;a.each(z,function(a,b){var c=b[0].style;if(c.position="absolute",2>a)q?c.setExpression("height","Math.max(document.body.scrollHeight, document.body.offsetHeight) - (jQuery.support.boxModel?0:"+d.quirksmodeOffsetHack+') + "px"'):c.setExpression("height",'this.parentNode.offsetHeight + "px"'),q?c.setExpression("width",'jQuery.support.boxModel && document.documentElement.clientWidth || document.body.clientWidth + "px"'):c.setExpression("width",'this.parentNode.offsetWidth + "px"'),F&&c.setExpression("left",F),E&&c.setExpression("top",E);else if(d.centerY)q&&c.setExpression("top",'(document.documentElement.clientHeight || document.body.clientHeight) / 2 - (this.offsetHeight / 2) + (blah = document.documentElement.scrollTop ? document.documentElement.scrollTop : document.body.scrollTop) + "px"'),c.marginTop=0;else if(!d.centerY&&q){var e=d.css&&d.css.top?parseInt(d.css.top,10):0,f="((document.documentElement.scrollTop ? document.documentElement.scrollTop : document.body.scrollTop) + "+e+') + "px"';c.setExpression("top",f)}})}if(r&&(d.theme?w.find(".ui-widget-content").append(r):w.append(r),(r.jquery||r.nodeType)&&a(r).show()),(k||d.forceIframe)&&d.showOverlay&&u.show(),d.fadeIn){var G=d.onBlock?d.onBlock:j,H=d.showOverlay&&!r?G:j,I=r?G:j;d.showOverlay&&v._fadeIn(d.fadeIn,H),r&&w._fadeIn(d.fadeIn,I)}else d.showOverlay&&v.show(),r&&w.show(),d.onBlock&&d.onBlock.bind(w)();if(e(1,b,d),q?(n=w[0],o=a(d.focusableElements,n),d.focusInput&&setTimeout(g,20)):h(w[0],d.centerX,d.centerY),d.timeout){var J=setTimeout(function(){q?a.unblockUI(d):a(b).unblock(d)},d.timeout);a(b).data("blockUI.timeout",J)}}}function c(b,c){var f,g=b==window,h=a(b),i=h.data("blockUI.history"),j=h.data("blockUI.timeout");j&&(clearTimeout(j),h.removeData("blockUI.timeout")),c=a.extend({},a.blockUI.defaults,c||{}),e(0,b,c),null===c.onUnblock&&(c.onUnblock=h.data("blockUI.onUnblock"),h.removeData("blockUI.onUnblock"));var k;k=g?a("body").children().filter(".blockUI").add("body > .blockUI"):h.find(">.blockUI"),c.cursorReset&&(k.length>1&&(k[1].style.cursor=c.cursorReset),k.length>2&&(k[2].style.cursor=c.cursorReset)),g&&(n=o=null),c.fadeOut?(f=k.length,k.stop().fadeOut(c.fadeOut,function(){0===--f&&d(k,i,c,b)})):d(k,i,c,b)}function d(b,c,d,e){var f=a(e);if(!f.data("blockUI.isBlocked")){b.each(function(a,b){this.parentNode&&this.parentNode.removeChild(this)}),c&&c.el&&(c.el.style.display=c.display,c.el.style.position=c.position,c.el.style.cursor="default",c.parent&&c.parent.appendChild(c.el),f.removeData("blockUI.history")),f.data("blockUI.static")&&f.css("position","static"),"function"==typeof d.onUnblock&&d.onUnblock(e,d);var g=a(document.body),h=g.width(),i=g[0].style.width;g.width(h-1).width(h),g[0].style.width=i}}function e(b,c,d){var e=c==window,g=a(c);if((b||(!e||n)&&(e||g.data("blockUI.isBlocked")))&&(g.data("blockUI.isBlocked",b),e&&d.bindEvents&&(!b||d.showOverlay))){var h="mousedown mouseup keydown keypress keyup touchstart touchend touchmove";b?a(document).bind(h,d,f):a(document).unbind(h,f)}}function f(b){if("keydown"===b.type&&b.keyCode&&9==b.keyCode&&n&&b.data.constrainTabKey){var c=o,d=!b.shiftKey&&b.target===c[c.length-1],e=b.shiftKey&&b.target===c[0];if(d||e)return setTimeout(function(){g(e)},10),!1}var f=b.data,h=a(b.target);return h.hasClass("blockOverlay")&&f.onOverlayClick&&f.onOverlayClick(b),h.parents("div."+f.blockMsgClass).length>0?!0:0===h.parents().children().filter("div.blockUI").length}function g(a){if(o){var b=o[a===!0?o.length-1:0];b&&b.focus()}}function h(a,b,c){var d=a.parentNode,e=a.style,f=(d.offsetWidth-a.offsetWidth)/2-i(d,"borderLeftWidth"),g=(d.offsetHeight-a.offsetHeight)/2-i(d,"borderTopWidth");b&&(e.left=f>0?f+"px":"0"),c&&(e.top=g>0?g+"px":"0")}function i(b,c){return parseInt(a.css(b,c),10)||0}a.fn._fadeIn=a.fn.fadeIn;var j=a.noop||function(){},k=/MSIE/.test(navigator.userAgent),l=/MSIE 6.0/.test(navigator.userAgent)&&!/MSIE 8.0/.test(navigator.userAgent),m=(document.documentMode||0,a.isFunction(document.createElement("div").style.setExpression));a.blockUI=function(a){b(window,a)},a.unblockUI=function(a){c(window,a)},a.growlUI=function(b,c,d,e){var f=a('
          ');b&&f.append("

          "+b+"

          "),c&&f.append("

          "+c+"

          "),void 0===d&&(d=3e3);var g=function(b){b=b||{},a.blockUI({message:f,fadeIn:"undefined"!=typeof b.fadeIn?b.fadeIn:700,fadeOut:"undefined"!=typeof b.fadeOut?b.fadeOut:1e3,timeout:"undefined"!=typeof b.timeout?b.timeout:d,centerY:!1,showOverlay:!1,onUnblock:e,css:a.blockUI.defaults.growlCSS})};g();f.css("opacity");f.mouseover(function(){g({fadeIn:0,timeout:3e4});var b=a(".blockMsg");b.stop(),b.fadeTo(300,1)}).mouseout(function(){a(".blockMsg").fadeOut(1e3)})},a.fn.block=function(c){if(this[0]===window)return a.blockUI(c),this;var d=a.extend({},a.blockUI.defaults,c||{});return this.each(function(){var b=a(this);d.ignoreIfBlocked&&b.data("blockUI.isBlocked")||b.unblock({fadeOut:0})}),this.each(function(){"static"==a.css(this,"position")&&(this.style.position="relative",a(this).data("blockUI.static",!0)),this.style.zoom=1,b(this,c)})},a.fn.unblock=function(b){return this[0]===window?(a.unblockUI(b),this):this.each(function(){c(this,b)})},a.blockUI.version=2.7,a.blockUI.defaults={message:"

          Please wait...

          ",title:null,draggable:!0,theme:!1,css:{padding:0,margin:0,width:"30%",top:"40%",left:"35%",textAlign:"center",color:"#000",border:"3px solid #aaa",backgroundColor:"#fff",cursor:"wait"},themedCSS:{width:"30%",top:"40%",left:"35%"},overlayCSS:{backgroundColor:"#000",opacity:.6,cursor:"wait"},cursorReset:"default",growlCSS:{width:"350px",top:"10px",left:"",right:"10px",border:"none",padding:"5px",opacity:.6,cursor:"default",color:"#fff",backgroundColor:"#000","-webkit-border-radius":"10px","-moz-border-radius":"10px","border-radius":"10px"},iframeSrc:/^https/i.test(window.location.href||"")?"javascript:false":"about:blank",forceIframe:!1,baseZ:1e3,centerX:!0,centerY:!0,allowBodyStretch:!0,bindEvents:!0,constrainTabKey:!0,fadeIn:200,fadeOut:400,timeout:0,showOverlay:!0,focusInput:!0,focusableElements:":input:enabled:visible",onBlock:null,onUnblock:null,onOverlayClick:null,quirksmodeOffsetHack:4,blockMsgClass:"blockMsg",ignoreIfBlocked:!1};var n=null,o=[]}"function"==typeof define&&define.amd&&define.amd.jQuery?define(["jquery"],a):a(jQuery)}(),function(a,b,c){var d=a();a.fn.dropdownHover=function(c){return"ontouchstart"in document?this:(d=d.add(this.parent()),this.each(function(){function e(a){b.clearTimeout(f),b.clearTimeout(g),g=b.setTimeout(function(){d.find(":focus").blur(),n.instantlyCloseOthers===!0&&d.removeClass("open"),b.clearTimeout(g),h.attr("aria-expanded","true"),i.addClass("open"),h.trigger(l)},n.hoverDelay)}var f,g,h=a(this),i=h.parent(),j={delay:500,hoverDelay:0,instantlyCloseOthers:!0},k={delay:a(this).data("delay"),hoverDelay:a(this).data("hover-delay"),instantlyCloseOthers:a(this).data("close-others")},l="show.bs.dropdown",m="hide.bs.dropdown",n=a.extend(!0,{},j,c,k);i.hover(function(a){return i.hasClass("open")||h.is(a.target)?void e(a):!0},function(){b.clearTimeout(g),f=b.setTimeout(function(){h.attr("aria-expanded","false"),i.removeClass("open"),h.trigger(m)},n.delay)}),h.hover(function(a){return i.hasClass("open")||i.is(a.target)?void e(a):!0}),i.find(".dropdown-submenu").each(function(){var c,d=a(this);d.hover(function(){b.clearTimeout(c),d.children(".dropdown-menu").show(),d.siblings().children(".dropdown-menu").hide()},function(){var a=d.children(".dropdown-menu");c=b.setTimeout(function(){a.hide()},n.delay)})})}))},a(document).ready(function(){a('[data-hover="dropdown"]').dropdownHover()})}(jQuery,window),function(a,b){"function"==typeof define&&define.amd?define(["jquery"],function(a){return b(a)}):"object"==typeof exports?module.exports=b(require("jquery")):b(jQuery)}(this,function(a){!function(a){"use strict";function b(b){var c=[{re:/[\xC0-\xC6]/g,ch:"A"},{re:/[\xE0-\xE6]/g,ch:"a"},{re:/[\xC8-\xCB]/g,ch:"E"},{re:/[\xE8-\xEB]/g,ch:"e"},{re:/[\xCC-\xCF]/g,ch:"I"},{re:/[\xEC-\xEF]/g,ch:"i"},{re:/[\xD2-\xD6]/g,ch:"O"},{re:/[\xF2-\xF6]/g,ch:"o"},{re:/[\xD9-\xDC]/g,ch:"U"},{re:/[\xF9-\xFC]/g,ch:"u"},{re:/[\xC7-\xE7]/g,ch:"c"},{re:/[\xD1]/g,ch:"N"},{re:/[\xF1]/g,ch:"n"}];return a.each(c,function(){b=b.replace(this.re,this.ch)}),b}function c(a){var b={"&":"&","<":"<",">":">",'"':""","'":"'","`":"`"},c="(?:"+Object.keys(b).join("|")+")",d=new RegExp(c),e=new RegExp(c,"g"),f=null==a?"":""+a;return d.test(f)?f.replace(e,function(a){return b[a]}):f}function d(b,c){var d=arguments,f=b,g=c;[].shift.apply(d);var h,i=this.each(function(){var b=a(this);if(b.is("select")){var c=b.data("selectpicker"),i="object"==typeof f&&f;if(c){if(i)for(var j in i)i.hasOwnProperty(j)&&(c.options[j]=i[j])}else{var k=a.extend({},e.DEFAULTS,a.fn.selectpicker.defaults||{},b.data(),i);k.template=a.extend({},e.DEFAULTS.template,a.fn.selectpicker.defaults?a.fn.selectpicker.defaults.template:{},b.data().template,i.template),b.data("selectpicker",c=new e(this,k,g))}"string"==typeof f&&(h=c[f]instanceof Function?c[f].apply(c,d):c.options[f])}});return"undefined"!=typeof h?h:i}String.prototype.includes||!function(){var a={}.toString,b=function(){try{var a={},b=Object.defineProperty,c=b(a,a,a)&&b}catch(d){}return c}(),c="".indexOf,d=function(b){if(null==this)throw new TypeError;var d=String(this);if(b&&"[object RegExp]"==a.call(b))throw new TypeError;var e=d.length,f=String(b),g=f.length,h=arguments.length>1?arguments[1]:void 0,i=h?Number(h):0;i!=i&&(i=0);var j=Math.min(Math.max(i,0),e);return g+j>e?!1:-1!=c.call(d,f,i)};b?b(String.prototype,"includes",{value:d,configurable:!0,writable:!0}):String.prototype.includes=d}(),String.prototype.startsWith||!function(){var a=function(){try{var a={},b=Object.defineProperty,c=b(a,a,a)&&b}catch(d){}return c}(),b={}.toString,c=function(a){if(null==this)throw new TypeError;var c=String(this);if(a&&"[object RegExp]"==b.call(a))throw new TypeError;var d=c.length,e=String(a),f=e.length,g=arguments.length>1?arguments[1]:void 0,h=g?Number(g):0;h!=h&&(h=0);var i=Math.min(Math.max(h,0),d);if(f+i>d)return!1;for(var j=-1;++j'},maxOptions:!1,mobile:!1,selectOnTab:!1,dropdownAlignRight:!1},e.prototype={constructor:e,init:function(){var b=this,c=this.$element.attr("id");this.$element.addClass("bs-select-hidden"),this.liObj={},this.multiple=this.$element.prop("multiple"),this.autofocus=this.$element.prop("autofocus"),this.$newElement=this.createView(),this.$element.after(this.$newElement),this.$button=this.$newElement.children("button"),this.$menu=this.$newElement.children(".dropdown-menu"),this.$menuInner=this.$menu.children(".inner"),this.$searchbox=this.$menu.find("input"),this.options.dropdownAlignRight&&this.$menu.addClass("dropdown-menu-right"),"undefined"!=typeof c&&(this.$button.attr("data-id",c),a('label[for="'+c+'"]').click(function(a){a.preventDefault(),b.$button.focus()})),this.checkDisabled(),this.clickListener(),this.options.liveSearch&&this.liveSearchListener(),this.render(),this.setStyle(),this.setWidth(),this.options.container&&this.selectPosition(),this.$menu.data("this",this),this.$newElement.data("this",this),this.options.mobile&&this.mobile(),this.$newElement.on({"hide.bs.dropdown":function(a){b.$element.trigger("hide.bs.select",a)},"hidden.bs.dropdown":function(a){b.$element.trigger("hidden.bs.select",a)},"show.bs.dropdown":function(a){b.$element.trigger("show.bs.select",a)},"shown.bs.dropdown":function(a){b.$element.trigger("shown.bs.select",a)}}),setTimeout(function(){b.$element.trigger("loaded.bs.select")})},createDropdown:function(){var b=this.multiple?" show-tick":"",d=this.$element.parent().hasClass("input-group")?" input-group-btn":"",e=this.autofocus?" autofocus":"",f=this.options.header?'
          '+this.options.header+"
          ":"",g=this.options.liveSearch?'":"",h=this.multiple&&this.options.actionsBox?'
          ":"",i=this.multiple&&this.options.doneButton?'
          ":"",j='
          ";return a(j)},createView:function(){var a=this.createDropdown(),b=this.createLi();return a.find("ul")[0].innerHTML=b,a},reloadLi:function(){this.destroyLi();var a=this.createLi();this.$menuInner[0].innerHTML=a},destroyLi:function(){this.$menu.find("li").remove()},createLi:function(){var d=this,e=[],f=0,g=document.createElement("option"),h=-1,i=function(a,b,c,d){return""+a+"
        • "},j=function(a,e,f,g){return'"+a+''};if(this.options.title&&!this.multiple&&(h--,!this.$element.find(".bs-title-option").length)){var k=this.$element[0];g.className="bs-title-option",g.appendChild(document.createTextNode(this.options.title)),g.value="",k.insertBefore(g,k.firstChild),void 0===a(k.options[k.selectedIndex]).attr("selected")&&(g.selected=!0)}return this.$element.find("option").each(function(b){var c=a(this);if(h++,!c.hasClass("bs-title-option")){var g=this.className||"",k=this.style.cssText,l=c.data("content")?c.data("content"):c.html(),m=c.data("tokens")?c.data("tokens"):null,n="undefined"!=typeof c.data("subtext")?''+c.data("subtext")+"":"",o="undefined"!=typeof c.data("icon")?' ':"",p=this.disabled||"OPTGROUP"===this.parentNode.tagName&&this.parentNode.disabled;if(""!==o&&p&&(o=""+o+""),d.options.hideDisabled&&p)return void h--;if(c.data("content")||(l=o+''+l+n+""),"OPTGROUP"===this.parentNode.tagName&&c.data("divider")!==!0){var q=" "+this.parentNode.className||"";if(0===c.index()){f+=1;var r=this.parentNode.label,s="undefined"!=typeof c.parent().data("subtext")?''+c.parent().data("subtext")+"":"",t=c.parent().data("icon")?' ':"";r=t+''+r+s+"",0!==b&&e.length>0&&(h++,e.push(i("",null,"divider",f+"div"))),h++,e.push(i(r,null,"dropdown-header"+q,f))}e.push(i(j(l,"opt "+g+q,k,m),b,"",f))}else c.data("divider")===!0?e.push(i("",b,"divider")):c.data("hidden")===!0?e.push(i(j(l,g,k,m),b,"hidden is-hidden")):(this.previousElementSibling&&"OPTGROUP"===this.previousElementSibling.tagName&&(h++,e.push(i("",null,"divider",f+"div"))),e.push(i(j(l,g,k,m),b)));d.liObj[b]=h}}),this.multiple||0!==this.$element.find("option:selected").length||this.options.title||this.$element.find("option").eq(0).prop("selected",!0).attr("selected","selected"),e.join("")},findLis:function(){return null==this.$lis&&(this.$lis=this.$menu.find("li")),this.$lis},render:function(b){var c,d=this;b!==!1&&this.$element.find("option").each(function(a){var b=d.findLis().eq(d.liObj[a]);d.setDisabled(a,this.disabled||"OPTGROUP"===this.parentNode.tagName&&this.parentNode.disabled,b),d.setSelected(a,this.selected,b)}),this.tabIndex();var e=this.$element.find("option").map(function(){if(this.selected){if(d.options.hideDisabled&&(this.disabled||"OPTGROUP"===this.parentNode.tagName&&this.parentNode.disabled))return;var b,c=a(this),e=c.data("icon")&&d.options.showIcon?' ':"";return b=d.options.showSubtext&&c.data("subtext")&&!d.multiple?' '+c.data("subtext")+"":"","undefined"!=typeof c.attr("title")?c.attr("title"):c.data("content")&&d.options.showContent?c.data("content"):e+c.html()+b}}).toArray(),f=this.multiple?e.join(this.options.multipleSeparator):e[0];if(this.multiple&&this.options.selectedTextFormat.indexOf("count")>-1){var g=this.options.selectedTextFormat.split(">");if(g.length>1&&e.length>g[1]||1==g.length&&e.length>=2){c=this.options.hideDisabled?", [disabled]":"";var h=this.$element.find("option").not('[data-divider="true"], [data-hidden="true"]'+c).length,i="function"==typeof this.options.countSelectedText?this.options.countSelectedText(e.length,h):this.options.countSelectedText;f=i.replace("{0}",e.length.toString()).replace("{1}",h.toString())}}void 0==this.options.title&&(this.options.title=this.$element.attr("title")),"static"==this.options.selectedTextFormat&&(f=this.options.title),f||(f="undefined"!=typeof this.options.title?this.options.title:this.options.noneSelectedText),this.$button.attr("title",a.trim(f.replace(/<[^>]*>?/g,""))),this.$button.children(".filter-option").html(f),this.$element.trigger("rendered.bs.select")},setStyle:function(a,b){this.$element.attr("class")&&this.$newElement.addClass(this.$element.attr("class").replace(/selectpicker|mobile-device|bs-select-hidden|validate\[.*\]/gi,""));var c=a?a:this.options.style;"add"==b?this.$button.addClass(c):"remove"==b?this.$button.removeClass(c):(this.$button.removeClass(this.options.style),this.$button.addClass(c))},liHeight:function(b){if(b||this.options.size!==!1&&!this.sizeInfo){var c=document.createElement("div"),d=document.createElement("div"),e=document.createElement("ul"),f=document.createElement("li"),g=document.createElement("li"),h=document.createElement("a"),i=document.createElement("span"),j=this.options.header&&this.$menu.find(".popover-title").length>0?this.$menu.find(".popover-title")[0].cloneNode(!0):null,k=this.options.liveSearch?document.createElement("div"):null,l=this.options.actionsBox&&this.multiple&&this.$menu.find(".bs-actionsbox").length>0?this.$menu.find(".bs-actionsbox")[0].cloneNode(!0):null,m=this.options.doneButton&&this.multiple&&this.$menu.find(".bs-donebutton").length>0?this.$menu.find(".bs-donebutton")[0].cloneNode(!0):null;if(i.className="text",c.className=this.$menu[0].parentNode.className+" open",d.className="dropdown-menu open",e.className="dropdown-menu inner",f.className="divider",i.appendChild(document.createTextNode("Inner text")),h.appendChild(i),g.appendChild(h),e.appendChild(g),e.appendChild(f),j&&d.appendChild(j),k){var n=document.createElement("span");k.className="bs-searchbox",n.className="form-control",k.appendChild(n),d.appendChild(k)}l&&d.appendChild(l),d.appendChild(e),m&&d.appendChild(m),c.appendChild(d),document.body.appendChild(c);var o=h.offsetHeight,p=j?j.offsetHeight:0,q=k?k.offsetHeight:0,r=l?l.offsetHeight:0,s=m?m.offsetHeight:0,t=a(f).outerHeight(!0),u="function"==typeof getComputedStyle?getComputedStyle(d):!1,v=u?null:a(d),w=parseInt(u?u.paddingTop:v.css("paddingTop"))+parseInt(u?u.paddingBottom:v.css("paddingBottom"))+parseInt(u?u.borderTopWidth:v.css("borderTopWidth"))+parseInt(u?u.borderBottomWidth:v.css("borderBottomWidth")),x=w+parseInt(u?u.marginTop:v.css("marginTop"))+parseInt(u?u.marginBottom:v.css("marginBottom"))+2;document.body.removeChild(c),this.sizeInfo={liHeight:o,headerHeight:p,searchHeight:q,actionsHeight:r,doneButtonHeight:s,dividerHeight:t,menuPadding:w,menuExtras:x}}},setSize:function(){if(this.findLis(),this.liHeight(),this.options.header&&this.$menu.css("padding-top",0),this.options.size!==!1){var b,c,d,e,f=this,g=this.$menu,h=this.$menuInner,i=a(window),j=this.$newElement[0].offsetHeight,k=this.sizeInfo.liHeight,l=this.sizeInfo.headerHeight,m=this.sizeInfo.searchHeight,n=this.sizeInfo.actionsHeight,o=this.sizeInfo.doneButtonHeight,p=this.sizeInfo.dividerHeight,q=this.sizeInfo.menuPadding,r=this.sizeInfo.menuExtras,s=this.options.hideDisabled?".disabled":"",t=function(){d=f.$newElement.offset().top-i.scrollTop(),e=i.height()-d-j};if(t(),"auto"===this.options.size){var u=function(){var i,j=function(b,c){return function(d){return c?d.classList?d.classList.contains(b):a(d).hasClass(b):!(d.classList?d.classList.contains(b):a(d).hasClass(b))}},p=f.$menuInner[0].getElementsByTagName("li"),s=Array.prototype.filter?Array.prototype.filter.call(p,j("hidden",!1)):f.$lis.not(".hidden"),u=Array.prototype.filter?Array.prototype.filter.call(s,j("dropdown-header",!0)):s.filter(".dropdown-header");t(),b=e-r,f.options.container?(g.data("height")||g.data("height",g.height()),c=g.data("height")):c=g.height(),f.options.dropupAuto&&f.$newElement.toggleClass("dropup",d>e&&c>b-r),f.$newElement.hasClass("dropup")&&(b=d-r),i=s.length+u.length>3?3*k+r-2:0,g.css({"max-height":b+"px",overflow:"hidden","min-height":i+l+m+n+o+"px"}),h.css({"max-height":b-l-m-n-o-q+"px","overflow-y":"auto","min-height":Math.max(i-q,0)+"px"})};u(),this.$searchbox.off("input.getSize propertychange.getSize").on("input.getSize propertychange.getSize",u),i.off("resize.getSize scroll.getSize").on("resize.getSize scroll.getSize",u)}else if(this.options.size&&"auto"!=this.options.size&&this.$lis.not(s).length>this.options.size){var v=this.$lis.not(".divider").not(s).children().slice(0,this.options.size).last().parent().index(),w=this.$lis.slice(0,v+1).filter(".divider").length;b=k*this.options.size+w*p+q,f.options.container?(g.data("height")||g.data("height",g.height()),c=g.data("height")):c=g.height(),f.options.dropupAuto&&this.$newElement.toggleClass("dropup",d>e&&c>b-r),g.css({"max-height":b+l+m+n+o+"px",overflow:"hidden","min-height":""}),h.css({"max-height":b-q+"px","overflow-y":"auto","min-height":""})}}},setWidth:function(){if("auto"===this.options.width){this.$menu.css("min-width","0");var a=this.$menu.parent().clone().appendTo("body"),b=this.options.container?this.$newElement.clone().appendTo("body"):a,c=a.children(".dropdown-menu").outerWidth(),d=b.css("width","auto").children("button").outerWidth();a.remove(),b.remove(),this.$newElement.css("width",Math.max(c,d)+"px")}else"fit"===this.options.width?(this.$menu.css("min-width",""),this.$newElement.css("width","").addClass("fit-width")):this.options.width?(this.$menu.css("min-width",""),this.$newElement.css("width",this.options.width)):(this.$menu.css("min-width",""),this.$newElement.css("width",""));this.$newElement.hasClass("fit-width")&&"fit"!==this.options.width&&this.$newElement.removeClass("fit-width")},selectPosition:function(){this.$bsContainer=a('
          ');var b,c,d=this,e=function(a){d.$bsContainer.addClass(a.attr("class").replace(/form-control|fit-width/gi,"")).toggleClass("dropup",a.hasClass("dropup")),b=a.offset(),c=a.hasClass("dropup")?0:a[0].offsetHeight,d.$bsContainer.css({top:b.top+c,left:b.left,width:a[0].offsetWidth})};this.$newElement.on("click",function(){var b=a(this);d.isDisabled()||(e(b),d.$bsContainer.appendTo(d.options.container).toggleClass("open",!b.hasClass("open")).append(d.$menu))}),a(window).on("resize scroll",function(){e(d.$newElement)}),this.$element.on("hide.bs.select",function(){d.$menu.data("height",d.$menu.height()),d.$bsContainer.detach()})},setSelected:function(a,b,c){c||(c=this.findLis().eq(this.liObj[a])),c.toggleClass("selected",b)},setDisabled:function(a,b,c){c||(c=this.findLis().eq(this.liObj[a])),b?c.addClass("disabled").children("a").attr("href","#").attr("tabindex",-1):c.removeClass("disabled").children("a").removeAttr("href").attr("tabindex",0)},isDisabled:function(){return this.$element[0].disabled},checkDisabled:function(){var a=this;this.isDisabled()?(this.$newElement.addClass("disabled"),this.$button.addClass("disabled").attr("tabindex",-1)):(this.$button.hasClass("disabled")&&(this.$newElement.removeClass("disabled"),this.$button.removeClass("disabled")),-1!=this.$button.attr("tabindex")||this.$element.data("tabindex")||this.$button.removeAttr("tabindex")),this.$button.click(function(){return!a.isDisabled()})},tabIndex:function(){this.$element.is("[tabindex]")&&(this.$element.data("tabindex",this.$element.attr("tabindex")),this.$button.attr("tabindex",this.$element.data("tabindex")))},clickListener:function(){var b=this,c=a(document);this.$newElement.on("touchstart.dropdown",".dropdown-menu",function(a){a.stopPropagation()}),c.data("spaceSelect",!1),this.$button.on("keyup",function(a){/(32)/.test(a.keyCode.toString(10))&&c.data("spaceSelect")&&(a.preventDefault(), +c.data("spaceSelect",!1))}),this.$newElement.on("click",function(){b.setSize(),b.$element.on("shown.bs.select",function(){if(b.options.liveSearch||b.multiple){if(!b.multiple){var a=b.liObj[b.$element[0].selectedIndex];if("number"!=typeof a||b.options.size===!1)return;var c=b.$lis.eq(a)[0].offsetTop-b.$menuInner[0].offsetTop;c=c-b.$menuInner[0].offsetHeight/2+b.sizeInfo.liHeight/2,b.$menuInner[0].scrollTop=c}}else b.$menuInner.find(".selected a").focus()})}),this.$menuInner.on("click","li a",function(c){var d=a(this),e=d.parent().data("originalIndex"),f=b.$element.val(),g=b.$element.prop("selectedIndex");if(b.multiple&&c.stopPropagation(),c.preventDefault(),!b.isDisabled()&&!d.parent().hasClass("disabled")){var h=b.$element.find("option"),i=h.eq(e),j=i.prop("selected"),k=i.parent("optgroup"),l=b.options.maxOptions,m=k.data("maxOptions")||!1;if(b.multiple){if(i.prop("selected",!j),b.setSelected(e,!j),d.blur(),l!==!1||m!==!1){var n=l
          ');q[2]&&(r=r.replace("{var}",q[2][l>1?0:1]),s=s.replace("{var}",q[2][m>1?0:1])),i.prop("selected",!1),b.$menu.append(t),l&&n&&(t.append(a("
          "+r+"
          ")),b.$element.trigger("maxReached.bs.select")),m&&o&&(t.append(a("
          "+s+"
          ")),b.$element.trigger("maxReachedGrp.bs.select")),setTimeout(function(){b.setSelected(e,!1)},10),t.delay(750).fadeOut(300,function(){a(this).remove()})}}}else h.prop("selected",!1),i.prop("selected",!0),b.$menuInner.find(".selected").removeClass("selected"),b.setSelected(e,!0);b.multiple?b.options.liveSearch&&b.$searchbox.focus():b.$button.focus(),(f!=b.$element.val()&&b.multiple||g!=b.$element.prop("selectedIndex")&&!b.multiple)&&(b.$element.triggerNative("change"),b.$element.trigger("changed.bs.select",[e,i.prop("selected"),j]))}}),this.$menu.on("click","li.disabled a, .popover-title, .popover-title :not(.close)",function(c){c.currentTarget==this&&(c.preventDefault(),c.stopPropagation(),b.options.liveSearch&&!a(c.target).hasClass("close")?b.$searchbox.focus():b.$button.focus())}),this.$menuInner.on("click",".divider, .dropdown-header",function(a){a.preventDefault(),a.stopPropagation(),b.options.liveSearch?b.$searchbox.focus():b.$button.focus()}),this.$menu.on("click",".popover-title .close",function(){b.$button.click()}),this.$searchbox.on("click",function(a){a.stopPropagation()}),this.$menu.on("click",".actions-btn",function(c){b.options.liveSearch?b.$searchbox.focus():b.$button.focus(),c.preventDefault(),c.stopPropagation(),a(this).hasClass("bs-select-all")?b.selectAll():b.deselectAll(),b.$element.triggerNative("change")}),this.$element.change(function(){b.render(!1)})},liveSearchListener:function(){var d=this,e=a('
        • ');this.$newElement.on("click.dropdown.data-api touchstart.dropdown.data-api",function(){d.$menuInner.find(".active").removeClass("active"),d.$searchbox.val()&&(d.$searchbox.val(""),d.$lis.not(".is-hidden").removeClass("hidden"),e.parent().length&&e.remove()),d.multiple||d.$menuInner.find(".selected").addClass("active"),setTimeout(function(){d.$searchbox.focus()},10)}),this.$searchbox.on("click.dropdown.data-api focus.dropdown.data-api touchend.dropdown.data-api",function(a){a.stopPropagation()}),this.$searchbox.on("input propertychange",function(){if(d.$searchbox.val()){var f=d.$lis.not(".is-hidden").removeClass("hidden").children("a");f=d.options.liveSearchNormalize?f.not(":a"+d._searchStyle()+'("'+b(d.$searchbox.val())+'")'):f.not(":"+d._searchStyle()+'("'+d.$searchbox.val()+'")'),f.parent().addClass("hidden"),d.$lis.filter(".dropdown-header").each(function(){var b=a(this),c=b.data("optgroup");0===d.$lis.filter("[data-optgroup="+c+"]").not(b).not(".hidden").length&&(b.addClass("hidden"),d.$lis.filter("[data-optgroup="+c+"div]").addClass("hidden"))});var g=d.$lis.not(".hidden");g.each(function(b){var c=a(this);c.hasClass("divider")&&(c.index()===g.first().index()||c.index()===g.last().index()||g.eq(b+1).hasClass("divider"))&&c.addClass("hidden")}),d.$lis.not(".hidden, .no-results").length?e.parent().length&&e.remove():(e.parent().length&&e.remove(),e.html(d.options.noneResultsText.replace("{0}",'"'+c(d.$searchbox.val())+'"')).show(),d.$menuInner.append(e))}else d.$lis.not(".is-hidden").removeClass("hidden"),e.parent().length&&e.remove();d.$lis.filter(".active").removeClass("active"),d.$searchbox.val()&&d.$lis.not(".hidden, .divider, .dropdown-header").eq(0).addClass("active").children("a").focus(),a(this).focus()})},_searchStyle:function(){var a={begins:"ibegins",startsWith:"ibegins"};return a[this.options.liveSearchStyle]||"icontains"},val:function(a){return"undefined"!=typeof a?(this.$element.val(a),this.render(),this.$element):this.$element.val()},changeAll:function(b){"undefined"==typeof b&&(b=!0),this.findLis();for(var c=this.$element.find("option"),d=this.$lis.not(".divider, .dropdown-header, .disabled, .hidden").toggleClass("selected",b),e=d.length,f=[],g=0;e>g;g++){var h=d[g].getAttribute("data-original-index");f[f.length]=c.eq(h)[0]}a(f).prop("selected",b),this.render(!1)},selectAll:function(){return this.changeAll(!0)},deselectAll:function(){return this.changeAll(!1)},keydown:function(c){var d,e,f,g,h,i,j,k,l,m=a(this),n=m.is("input")?m.parent().parent():m.parent(),o=n.data("this"),p=":not(.disabled, .hidden, .dropdown-header, .divider)",q={32:" ",48:"0",49:"1",50:"2",51:"3",52:"4",53:"5",54:"6",55:"7",56:"8",57:"9",59:";",65:"a",66:"b",67:"c",68:"d",69:"e",70:"f",71:"g",72:"h",73:"i",74:"j",75:"k",76:"l",77:"m",78:"n",79:"o",80:"p",81:"q",82:"r",83:"s",84:"t",85:"u",86:"v",87:"w",88:"x",89:"y",90:"z",96:"0",97:"1",98:"2",99:"3",100:"4",101:"5",102:"6",103:"7",104:"8",105:"9"};if(o.options.liveSearch&&(n=m.parent().parent()),o.options.container&&(n=o.$menu),d=a("[role=menu] li",n),l=o.$menu.parent().hasClass("open"),!l&&(c.keyCode>=48&&c.keyCode<=57||c.keyCode>=96&&c.keyCode<=105||c.keyCode>=65&&c.keyCode<=90)&&(o.options.container?o.$newElement.trigger("click"):(o.setSize(),o.$menu.parent().addClass("open"),l=!0),o.$searchbox.focus()),o.options.liveSearch&&(/(^9$|27)/.test(c.keyCode.toString(10))&&l&&0===o.$menu.find(".active").length&&(c.preventDefault(),o.$menu.parent().removeClass("open"),o.options.container&&o.$newElement.removeClass("open"),o.$button.focus()),d=a("[role=menu] li"+p,n),m.val()||/(38|40)/.test(c.keyCode.toString(10))||0===d.filter(".active").length&&(d=o.$menuInner.find("li"),d=o.options.liveSearchNormalize?d.filter(":a"+o._searchStyle()+"("+b(q[c.keyCode])+")"):d.filter(":"+o._searchStyle()+"("+q[c.keyCode]+")"))),d.length){if(/(38|40)/.test(c.keyCode.toString(10)))e=d.index(d.find("a").filter(":focus").parent()),g=d.filter(p).first().index(),h=d.filter(p).last().index(),f=d.eq(e).nextAll(p).eq(0).index(),i=d.eq(e).prevAll(p).eq(0).index(),j=d.eq(f).prevAll(p).eq(0).index(),o.options.liveSearch&&(d.each(function(b){a(this).hasClass("disabled")||a(this).data("index",b)}),e=d.index(d.filter(".active")),g=d.first().data("index"),h=d.last().data("index"),f=d.eq(e).nextAll().eq(0).data("index"),i=d.eq(e).prevAll().eq(0).data("index"),j=d.eq(f).prevAll().eq(0).data("index")),k=m.data("prevIndex"),38==c.keyCode?(o.options.liveSearch&&e--,e!=j&&e>i&&(e=i),g>e&&(e=g),e==k&&(e=h)):40==c.keyCode&&(o.options.liveSearch&&e++,-1==e&&(e=0),e!=j&&f>e&&(e=f),e>h&&(e=h),e==k&&(e=g)),m.data("prevIndex",e),o.options.liveSearch?(c.preventDefault(),m.hasClass("dropdown-toggle")||(d.removeClass("active").eq(e).addClass("active").children("a").focus(),m.focus())):d.eq(e).children("a").focus();else if(!m.is("input")){var r,s,t=[];d.each(function(){a(this).hasClass("disabled")||a.trim(a(this).children("a").text().toLowerCase()).substring(0,1)==q[c.keyCode]&&t.push(a(this).index())}),r=a(document).data("keycount"),r++,a(document).data("keycount",r),s=a.trim(a(":focus").text().toLowerCase()).substring(0,1),s!=q[c.keyCode]?(r=1,a(document).data("keycount",r)):r>=t.length&&(a(document).data("keycount",0),r>t.length&&(r=1)),d.eq(t[r-1]).children("a").focus()}if((/(13|32)/.test(c.keyCode.toString(10))||/(^9$)/.test(c.keyCode.toString(10))&&o.options.selectOnTab)&&l){if(/(32)/.test(c.keyCode.toString(10))||c.preventDefault(),o.options.liveSearch)/(32)/.test(c.keyCode.toString(10))||(o.$menuInner.find(".active a").click(),m.focus());else{var u=a(":focus");u.click(),u.focus(),c.preventDefault(),a(document).data("spaceSelect",!0)}a(document).data("keycount",0)}(/(^9$|27)/.test(c.keyCode.toString(10))&&l&&(o.multiple||o.options.liveSearch)||/(27)/.test(c.keyCode.toString(10))&&!l)&&(o.$menu.parent().removeClass("open"),o.options.container&&o.$newElement.removeClass("open"),o.$button.focus())}},mobile:function(){this.$element.addClass("mobile-device").appendTo(this.$newElement),this.options.container&&this.$menu.hide()},refresh:function(){this.$lis=null,this.liObj={},this.reloadLi(),this.render(),this.checkDisabled(),this.liHeight(!0),this.setStyle(),this.setWidth(),this.$lis&&this.$searchbox.trigger("propertychange"),this.$element.trigger("refreshed.bs.select")},hide:function(){this.$newElement.hide()},show:function(){this.$newElement.show()},remove:function(){this.$newElement.remove(),this.$element.remove()},destroy:function(){this.$newElement.remove(),this.$bsContainer?this.$bsContainer.remove():this.$menu.remove(),this.$element.off(".bs.select").removeData("selectpicker").removeClass("bs-select-hidden selectpicker")}};var f=a.fn.selectpicker;a.fn.selectpicker=d,a.fn.selectpicker.Constructor=e,a.fn.selectpicker.noConflict=function(){return a.fn.selectpicker=f,this},a(document).data("keycount",0).on("keydown.bs.select",'.bootstrap-select [data-toggle=dropdown], .bootstrap-select [role="menu"], .bs-searchbox input',e.prototype.keydown).on("focusin.modal",'.bootstrap-select [data-toggle=dropdown], .bootstrap-select [role="menu"], .bs-searchbox input',function(a){a.stopPropagation()}),a(window).on("load.bs.select.data-api",function(){a(".selectpicker").each(function(){var b=a(this);d.call(b,b.data())})})}(a)}),angular.module("checklist-model",[]).directive("checklistModel",["$parse","$compile",function(a,b){function c(a,b,c){if(angular.isArray(a))for(var d=a.length;d--;)if(c(a[d],b))return!0;return!1}function d(a,b,d){return a=angular.isArray(a)?a:[],c(a,b,d)||a.push(b),a}function e(a,b,c){if(angular.isArray(a))for(var d=a.length;d--;)if(c(a[d],b)){a.splice(d,1);break}return a}function f(f,g,h){function i(a,b){f[h.ngModel]=c(a,n,o)}var j=h.checklistModel;h.$set("checklistModel",null),b(g)(f),h.$set("checklistModel",j);var k=a(j),l=k.assign,m=a(h.checklistChange),n=h.checklistValue?a(h.checklistValue)(f.$parent):h.value,o=angular.equals;if(h.hasOwnProperty("checklistComparator"))if("."==h.checklistComparator[0]){var p=h.checklistComparator.substring(1);o=function(a,b){return a[p]===b[p]}}else o=a(h.checklistComparator)(f.$parent);f.$watch(h.ngModel,function(a,b){if(a!==b){var c=k(f.$parent);angular.isFunction(l)&&(a===!0?l(f.$parent,d(c,n,o)):l(f.$parent,e(c,n,o))),m&&m(f)}}),angular.isFunction(f.$parent.$watchCollection)?f.$parent.$watchCollection(j,i):f.$parent.$watch(j,i,!0)}return{restrict:"A",priority:1e3,terminal:!0,scope:!0,compile:function(a,b){if(("INPUT"!==a[0].tagName||"checkbox"!==b.type)&&"MD-CHECKBOX"!==a[0].tagName&&!b.btnCheckbox)throw'checklist-model should be applied to `input[type="checkbox"]` or `md-checkbox`.';if(!b.checklistValue&&!b.value)throw"You should provide `value` or `checklist-value`.";return b.ngModel||b.$set("ngModel","checked"),f}}}]),function(a,b,c){"use strict";a.fn.backstretch=function(d,f){return d!==c&&0!==d.length||a.error("No images were supplied for Backstretch"),0===a(b).scrollTop()&&b.scrollTo(0,0),this.each(function(){var b=a(this),c=b.data("backstretch");if(c){if("string"==typeof d&&"function"==typeof c[d])return void c[d](f);f=a.extend(c.options,f),c.destroy(!0)}c=new e(this,d,f),b.data("backstretch",c)})},a.backstretch=function(b,c){return a("body").backstretch(b,c).data("backstretch")},a.expr[":"].backstretch=function(b){return a(b).data("backstretch")!==c},a.fn.backstretch.defaults={centeredX:!0,centeredY:!0,duration:5e3,fade:0};var d={wrap:{left:0,top:0,overflow:"hidden",margin:0,padding:0,height:"100%",width:"100%",zIndex:-999999},img:{position:"absolute",display:"none",margin:0,padding:0,border:"none",width:"auto",height:"auto",maxHeight:"none",maxWidth:"none",zIndex:-999999}},e=function(c,e,g){this.options=a.extend({},a.fn.backstretch.defaults,g||{}),this.images=a.isArray(e)?e:[e],a.each(this.images,function(){a("")[0].src=this}),this.isBody=c===document.body,this.$container=a(c),this.$root=this.isBody?a(f?b:document):this.$container;var h=this.$container.children(".backstretch").first();if(this.$wrap=h.length?h:a('
          ').css(d.wrap).appendTo(this.$container),!this.isBody){var i=this.$container.css("position"),j=this.$container.css("zIndex");this.$container.css({position:"static"===i?"relative":i,zIndex:"auto"===j?0:j,background:"none"}),this.$wrap.css({zIndex:-999998})}this.$wrap.css({position:this.isBody&&f?"fixed":"absolute"}),this.index=0,this.show(this.index),a(b).on("resize.backstretch",a.proxy(this.resize,this)).on("orientationchange.backstretch",a.proxy(function(){this.isBody&&0===b.pageYOffset&&(b.scrollTo(0,1),this.resize())},this))};e.prototype={resize:function(){try{var a,c={left:0,top:0},d=this.isBody?this.$root.width():this.$root.innerWidth(),e=d,f=this.isBody?b.innerHeight?b.innerHeight:this.$root.height():this.$root.innerHeight(),g=e/this.$img.data("ratio");g>=f?(a=(g-f)/2,this.options.centeredY&&(c.top="-"+a+"px")):(g=f,e=g*this.$img.data("ratio"),a=(e-d)/2,this.options.centeredX&&(c.left="-"+a+"px")),this.$wrap.css({width:d,height:f}).find("img:not(.deleteable)").css({width:e,height:g}).css(c)}catch(h){}return this},show:function(b){if(!(Math.abs(b)>this.images.length-1)){var c=this,e=c.$wrap.find("img").addClass("deleteable"),f={relatedTarget:c.$container[0]};return c.$container.trigger(a.Event("backstretch.before",f),[c,b]),this.index=b,clearInterval(c.interval),c.$img=a("").css(d.img).bind("load",function(d){var g=this.width||a(d.target).width(),h=this.height||a(d.target).height();a(this).data("ratio",g/h),a(this).fadeIn(c.options.speed||c.options.fade,function(){e.remove(),c.paused||c.cycle(),a(["after","show"]).each(function(){c.$container.trigger(a.Event("backstretch."+this,f),[c,b])})}),c.resize()}).appendTo(c.$wrap),c.$img.attr("src",c.images[b]),c}},next:function(){return this.show(this.index1&&(clearInterval(this.interval),this.interval=setInterval(a.proxy(function(){this.paused||this.next()},this),this.options.duration)),this},destroy:function(c){a(b).off("resize.backstretch orientationchange.backstretch"),clearInterval(this.interval),c||this.$wrap.remove(),this.$container.removeData("backstretch")}};var f=function(){var a=navigator.userAgent,c=navigator.platform,d=a.match(/AppleWebKit\/([0-9]+)/),e=!!d&&d[1],f=a.match(/Fennec\/([0-9]+)/),g=!!f&&f[1],h=a.match(/Opera Mobi\/([0-9]+)/),i=!!h&&h[1],j=a.match(/MSIE ([0-9]+)/),k=!!j&&j[1];return!((c.indexOf("iPhone")>-1||c.indexOf("iPad")>-1||c.indexOf("iPod")>-1)&&e&&534>e||b.operamini&&"[object OperaMini]"==={}.toString.call(b.operamini)||h&&7458>i||a.indexOf("Android")>-1&&e&&533>e||g&&6>g||"palmGetResource"in b&&e&&534>e||a.indexOf("MeeGo")>-1&&a.indexOf("NokiaBrowser/8.5.0")>-1||k&&6>=k)}()}(jQuery,window),function(a){"function"==typeof define&&define.amd?define(["jquery"],a):a("object"==typeof exports?require("jquery"):jQuery)}(function(a){function b(a){return h.raw?a:encodeURIComponent(a)}function c(a){return h.raw?a:decodeURIComponent(a)}function d(a){return b(h.json?JSON.stringify(a):String(a))}function e(a){0===a.indexOf('"')&&(a=a.slice(1,-1).replace(/\\"/g,'"').replace(/\\\\/g,"\\"));try{return a=decodeURIComponent(a.replace(g," ")),h.json?JSON.parse(a):a}catch(b){}}function f(b,c){var d=h.raw?b:e(b);return a.isFunction(c)?c(d):d}var g=/\+/g,h=a.cookie=function(e,g,i){if(void 0!==g&&!a.isFunction(g)){if(i=a.extend({},h.defaults,i),"number"==typeof i.expires){var j=i.expires,k=i.expires=new Date;k.setTime(+k+864e5*j)}return document.cookie=[b(e),"=",d(g),i.expires?"; expires="+i.expires.toUTCString():"",i.path?"; path="+i.path:"",i.domain?"; domain="+i.domain:"",i.secure?"; secure":""].join("")}for(var l=e?void 0:{},m=document.cookie?document.cookie.split("; "):[],n=0,o=m.length;o>n;n++){var p=m[n].split("="),q=c(p.shift()),r=p.join("=");if(e&&e===q){l=f(r,g);break}e||void 0===(r=f(r))||(l[q]=r)}return l};h.defaults={},a.removeCookie=function(b,c){return void 0===a.cookie(b)?!1:(a.cookie(b,"",a.extend({},c,{expires:-1})),!a.cookie(b))}}),function(a,b,c){function d(c){var d=b.console;f[c]||(f[c]=!0,a.migrateWarnings.push(c),d&&d.warn&&!a.migrateMute&&(d.warn("JQMIGRATE: "+c),a.migrateTrace&&d.trace&&d.trace()))}function e(b,c,e,f){if(Object.defineProperty)try{return void Object.defineProperty(b,c,{configurable:!0,enumerable:!0,get:function(){return d(f),e},set:function(a){d(f),e=a}})}catch(g){}a._definePropertyBroken=!0,b[c]=e}var f={};a.migrateWarnings=[],!a.migrateMute&&b.console&&b.console.log&&b.console.log("JQMIGRATE: Logging is active"),a.migrateTrace===c&&(a.migrateTrace=!0),a.migrateReset=function(){f={},a.migrateWarnings.length=0},"BackCompat"===document.compatMode&&d("jQuery is not compatible with Quirks Mode");var g=a("",{size:1}).attr("size")&&a.attrFn,h=a.attr,i=a.attrHooks.value&&a.attrHooks.value.get||function(){return null},j=a.attrHooks.value&&a.attrHooks.value.set||function(){return c},k=/^(?:input|button)$/i,l=/^[238]$/,m=/^(?:autofocus|autoplay|async|checked|controls|defer|disabled|hidden|loop|multiple|open|readonly|required|scoped|selected)$/i,n=/^(?:checked|selected)$/i;e(a,"attrFn",g||{},"jQuery.attrFn is deprecated"),a.attr=function(b,e,f,i){var j=e.toLowerCase(),o=b&&b.nodeType;return i&&(h.length<4&&d("jQuery.fn.attr( props, pass ) is deprecated"),b&&!l.test(o)&&(g?e in g:a.isFunction(a.fn[e])))?a(b)[e](f):("type"===e&&f!==c&&k.test(b.nodeName)&&b.parentNode&&d("Can't change the 'type' of an input or button in IE 6/7/8"),!a.attrHooks[j]&&m.test(j)&&(a.attrHooks[j]={get:function(b,d){var e,f=a.prop(b,d);return f===!0||"boolean"!=typeof f&&(e=b.getAttributeNode(d))&&e.nodeValue!==!1?d.toLowerCase():c},set:function(b,c,d){var e;return c===!1?a.removeAttr(b,d):(e=a.propFix[d]||d,e in b&&(b[e]=!0),b.setAttribute(d,d.toLowerCase())),d}},n.test(j)&&d("jQuery.fn.attr('"+j+"') may use property instead of attribute")),h.call(a,b,e,f))},a.attrHooks.value={get:function(a,b){var c=(a.nodeName||"").toLowerCase();return"button"===c?i.apply(this,arguments):("input"!==c&&"option"!==c&&d("jQuery.fn.attr('value') no longer gets properties"),b in a?a.value:null)},set:function(a,b){var c=(a.nodeName||"").toLowerCase();return"button"===c?j.apply(this,arguments):("input"!==c&&"option"!==c&&d("jQuery.fn.attr('value', val) no longer sets properties"),void(a.value=b))}};var o,p,q=a.fn.init,r=a.parseJSON,s=/^([^<]*)(<[\w\W]+>)([^>]*)$/;a.fn.init=function(b,c,e){var f;return b&&"string"==typeof b&&!a.isPlainObject(c)&&(f=s.exec(a.trim(b)))&&f[0]&&("<"!==b.charAt(0)&&d("$(html) HTML strings must start with '<' character"),f[3]&&d("$(html) HTML text after last tag is ignored"),"#"===f[0].charAt(0)&&(d("HTML string cannot start with a '#' character"),a.error("JQMIGRATE: Invalid selector string (XSS)")),c&&c.context&&(c=c.context),a.parseHTML)?q.call(this,a.parseHTML(f[2],c,!0),c,e):q.apply(this,arguments)},a.fn.init.prototype=a.fn,a.parseJSON=function(a){return a||null===a?r.apply(this,arguments):(d("jQuery.parseJSON requires a valid JSON string"),null)},a.uaMatch=function(a){a=a.toLowerCase();var b=/(chrome)[ \/]([\w.]+)/.exec(a)||/(webkit)[ \/]([\w.]+)/.exec(a)||/(opera)(?:.*version|)[ \/]([\w.]+)/.exec(a)||/(msie) ([\w.]+)/.exec(a)||a.indexOf("compatible")<0&&/(mozilla)(?:.*? rv:([\w.]+)|)/.exec(a)||[];return{browser:b[1]||"",version:b[2]||"0"}},a.browser||(o=a.uaMatch(navigator.userAgent),p={},o.browser&&(p[o.browser]=!0,p.version=o.version),p.chrome?p.webkit=!0:p.webkit&&(p.safari=!0),a.browser=p),e(a,"browser",a.browser,"jQuery.browser is deprecated"),a.sub=function(){function b(a,c){return new b.fn.init(a,c)}a.extend(!0,b,this),b.superclass=this,b.fn=b.prototype=this(),b.fn.constructor=b,b.sub=this.sub,b.fn.init=function(d,e){return e&&e instanceof a&&!(e instanceof b)&&(e=b(e)),a.fn.init.call(this,d,e,c)},b.fn.init.prototype=b.fn;var c=b(document);return d("jQuery.sub() is deprecated"),b},a.ajaxSetup({converters:{"text json":a.parseJSON}});var t=a.fn.data;a.fn.data=function(b){var e,f,g=this[0];return!g||"events"!==b||1!==arguments.length||(e=a.data(g,b),f=a._data(g,b),e!==c&&e!==f||f===c)?t.apply(this,arguments):(d("Use of jQuery.fn.data('events') is deprecated"),f)};var u=/\/(java|ecma)script/i,v=a.fn.andSelf||a.fn.addBack;a.fn.andSelf=function(){return d("jQuery.fn.andSelf() replaced by jQuery.fn.addBack()"),v.apply(this,arguments)},a.clean||(a.clean=function(b,c,e,f){c=c||document,c=!c.nodeType&&c[0]||c,c=c.ownerDocument||c,d("jQuery.clean() is deprecated");var g,h,i,j,k=[];if(a.merge(k,a.buildFragment(b,c).childNodes),e)for(i=function(a){return!a.type||u.test(a.type)?f?f.push(a.parentNode?a.parentNode.removeChild(a):a):e.appendChild(a):void 0},g=0;null!=(h=k[g]);g++)a.nodeName(h,"script")&&i(h)||(e.appendChild(h),"undefined"!=typeof h.getElementsByTagName&&(j=a.grep(a.merge([],h.getElementsByTagName("script")),i),k.splice.apply(k,[g+1,0].concat(j)),g+=j.length));return k});var w=a.event.add,x=a.event.remove,y=a.event.trigger,z=a.fn.toggle,A=a.fn.live,B=a.fn.die,C="ajaxStart|ajaxStop|ajaxSend|ajaxComplete|ajaxError|ajaxSuccess",D=new RegExp("\\b(?:"+C+")\\b"),E=/(?:^|\s)hover(\.\S+|)\b/,F=function(b){return"string"!=typeof b||a.event.special.hover?b:(E.test(b)&&d("'hover' pseudo-event is deprecated, use 'mouseenter mouseleave'"),b&&b.replace(E,"mouseenter$1 mouseleave$1"))};a.event.props&&"attrChange"!==a.event.props[0]&&a.event.props.unshift("attrChange","attrName","relatedNode","srcElement"),a.event.dispatch&&e(a.event,"handle",a.event.dispatch,"jQuery.event.handle is undocumented and deprecated"),a.event.add=function(a,b,c,e,f){a!==document&&D.test(b)&&d("AJAX events should be attached to document: "+b),w.call(this,a,F(b||""),c,e,f)},a.event.remove=function(a,b,c,d,e){x.call(this,a,F(b)||"",c,d,e)},a.fn.error=function(){var a=Array.prototype.slice.call(arguments,0);return d("jQuery.fn.error() is deprecated"),a.splice(0,0,"error"),arguments.length?this.bind.apply(this,a):(this.triggerHandler.apply(this,a),this)},a.fn.toggle=function(b,c){if(!a.isFunction(b)||!a.isFunction(c))return z.apply(this,arguments);d("jQuery.fn.toggle(handler, handler...) is deprecated");var e=arguments,f=b.guid||a.guid++,g=0,h=function(c){var d=(a._data(this,"lastToggle"+b.guid)||0)%g;return a._data(this,"lastToggle"+b.guid,d+1),c.preventDefault(),e[d].apply(this,arguments)||!1};for(h.guid=f;g").attr("name",c.submitButton.name).val(a(c.submitButton).val()).appendTo(c.currentForm)),e=c.settings.submitHandler.call(c,c.currentForm,b),c.submitButton&&d.remove(),void 0!==e?e:!1):!0}return c.settings.debug&&b.preventDefault(),c.cancelSubmit?(c.cancelSubmit=!1,d()):c.form()?c.pendingRequest?(c.formSubmitted=!0,!1):d():(c.focusInvalid(),!1)})),c)},valid:function(){var b,c,d;return a(this[0]).is("form")?b=this.validate().form():(d=[],b=!0,c=a(this[0].form).validate(),this.each(function(){b=c.element(this)&&b,d=d.concat(c.errorList)}),c.errorList=d),b},rules:function(b,c){var d,e,f,g,h,i,j=this[0];if(b)switch(d=a.data(j.form,"validator").settings,e=d.rules,f=a.validator.staticRules(j),b){case"add":a.extend(f,a.validator.normalizeRule(c)),delete f.messages,e[j.name]=f,c.messages&&(d.messages[j.name]=a.extend(d.messages[j.name],c.messages));break;case"remove":return c?(i={},a.each(c.split(/\s/),function(b,c){i[c]=f[c],delete f[c],"required"===c&&a(j).removeAttr("aria-required")}),i):(delete e[j.name],f)}return g=a.validator.normalizeRules(a.extend({},a.validator.classRules(j),a.validator.attributeRules(j),a.validator.dataRules(j),a.validator.staticRules(j)),j),g.required&&(h=g.required,delete g.required,g=a.extend({required:h},g),a(j).attr("aria-required","true")),g.remote&&(h=g.remote,delete g.remote,g=a.extend(g,{remote:h})),g}}),a.extend(a.expr[":"],{blank:function(b){return!a.trim(""+a(b).val())},filled:function(b){return!!a.trim(""+a(b).val())},unchecked:function(b){return!a(b).prop("checked")}}),a.validator=function(b,c){this.settings=a.extend(!0,{},a.validator.defaults,b),this.currentForm=c,this.init()},a.validator.format=function(b,c){return 1===arguments.length?function(){var c=a.makeArray(arguments);return c.unshift(b),a.validator.format.apply(this,c)}:(arguments.length>2&&c.constructor!==Array&&(c=a.makeArray(arguments).slice(1)),c.constructor!==Array&&(c=[c]),a.each(c,function(a,c){b=b.replace(new RegExp("\\{"+a+"\\}","g"),function(){return c})}),b)},a.extend(a.validator,{defaults:{messages:{},groups:{},rules:{},errorClass:"error",validClass:"valid",errorElement:"label",focusCleanup:!1,focusInvalid:!0,errorContainer:a([]),errorLabelContainer:a([]),onsubmit:!0,ignore:":hidden",ignoreTitle:!1,onfocusin:function(a){this.lastActive=a,this.settings.focusCleanup&&(this.settings.unhighlight&&this.settings.unhighlight.call(this,a,this.settings.errorClass,this.settings.validClass),this.hideThese(this.errorsFor(a)))},onfocusout:function(a){this.checkable(a)||!(a.name in this.submitted)&&this.optional(a)||this.element(a)},onkeyup:function(b,c){var d=[16,17,18,20,35,36,37,38,39,40,45,144,225];9===c.which&&""===this.elementValue(b)||-1!==a.inArray(c.keyCode,d)||(b.name in this.submitted||b===this.lastElement)&&this.element(b)},onclick:function(a){a.name in this.submitted?this.element(a):a.parentNode.name in this.submitted&&this.element(a.parentNode)},highlight:function(b,c,d){"radio"===b.type?this.findByName(b.name).addClass(c).removeClass(d):a(b).addClass(c).removeClass(d)},unhighlight:function(b,c,d){"radio"===b.type?this.findByName(b.name).removeClass(c).addClass(d):a(b).removeClass(c).addClass(d)}},setDefaults:function(b){a.extend(a.validator.defaults,b)},messages:{required:"This field is required.",remote:"Please fix this field.",email:"Please enter a valid email address.",url:"Please enter a valid URL.",date:"Please enter a valid date.",dateISO:"Please enter a valid date ( ISO ).",number:"Please enter a valid number.",digits:"Please enter only digits.",creditcard:"Please enter a valid credit card number.",equalTo:"Please enter the same value again.",maxlength:a.validator.format("Please enter no more than {0} characters."),minlength:a.validator.format("Please enter at least {0} characters."),rangelength:a.validator.format("Please enter a value between {0} and {1} characters long."),range:a.validator.format("Please enter a value between {0} and {1}."),max:a.validator.format("Please enter a value less than or equal to {0}."),min:a.validator.format("Please enter a value greater than or equal to {0}.")},autoCreateRanges:!1,prototype:{init:function(){function b(b){var c=a.data(this.form,"validator"),d="on"+b.type.replace(/^validate/,""),e=c.settings;e[d]&&!a(this).is(e.ignore)&&e[d].call(c,this,b)}this.labelContainer=a(this.settings.errorLabelContainer),this.errorContext=this.labelContainer.length&&this.labelContainer||a(this.currentForm),this.containers=a(this.settings.errorContainer).add(this.settings.errorLabelContainer),this.submitted={},this.valueCache={},this.pendingRequest=0,this.pending={},this.invalid={},this.reset();var c,d=this.groups={};a.each(this.settings.groups,function(b,c){"string"==typeof c&&(c=c.split(/\s/)),a.each(c,function(a,c){d[c]=b})}),c=this.settings.rules,a.each(c,function(b,d){c[b]=a.validator.normalizeRule(d)}),a(this.currentForm).on("focusin.validate focusout.validate keyup.validate",":text, [type='password'], [type='file'], select, textarea, [type='number'], [type='search'], [type='tel'], [type='url'], [type='email'], [type='datetime'], [type='date'], [type='month'], [type='week'], [type='time'], [type='datetime-local'], [type='range'], [type='color'], [type='radio'], [type='checkbox']",b).on("click.validate","select, option, [type='radio'], [type='checkbox']",b),this.settings.invalidHandler&&a(this.currentForm).on("invalid-form.validate",this.settings.invalidHandler),a(this.currentForm).find("[required], [data-rule-required], .required").attr("aria-required","true")},form:function(){return this.checkForm(),a.extend(this.submitted,this.errorMap),this.invalid=a.extend({},this.errorMap),this.valid()||a(this.currentForm).triggerHandler("invalid-form",[this]),this.showErrors(),this.valid()},checkForm:function(){this.prepareForm();for(var a=0,b=this.currentElements=this.elements();b[a];a++)this.check(b[a]);return this.valid()},element:function(b){var c=this.clean(b),d=this.validationTargetFor(c),e=!0;return this.lastElement=d,void 0===d?delete this.invalid[c.name]:(this.prepareElement(d),this.currentElements=a(d),e=this.check(d)!==!1,e?delete this.invalid[d.name]:this.invalid[d.name]=!0),a(b).attr("aria-invalid",!e),this.numberOfInvalids()||(this.toHide=this.toHide.add(this.containers)),this.showErrors(),e},showErrors:function(b){if(b){a.extend(this.errorMap,b),this.errorList=[];for(var c in b)this.errorList.push({message:b[c],element:this.findByName(c)[0]});this.successList=a.grep(this.successList,function(a){return!(a.name in b)})}this.settings.showErrors?this.settings.showErrors.call(this,this.errorMap,this.errorList):this.defaultShowErrors()},resetForm:function(){a.fn.resetForm&&a(this.currentForm).resetForm(),this.submitted={},this.lastElement=null,this.prepareForm(),this.hideErrors();var b,c=this.elements().removeData("previousValue").removeAttr("aria-invalid"); +if(this.settings.unhighlight)for(b=0;c[b];b++)this.settings.unhighlight.call(this,c[b],this.settings.errorClass,"");else c.removeClass(this.settings.errorClass)},numberOfInvalids:function(){return this.objectLength(this.invalid)},objectLength:function(a){var b,c=0;for(b in a)c++;return c},hideErrors:function(){this.hideThese(this.toHide)},hideThese:function(a){a.not(this.containers).text(""),this.addWrapper(a).hide()},valid:function(){return 0===this.size()},size:function(){return this.errorList.length},focusInvalid:function(){if(this.settings.focusInvalid)try{a(this.findLastActive()||this.errorList.length&&this.errorList[0].element||[]).filter(":visible").focus().trigger("focusin")}catch(b){}},findLastActive:function(){var b=this.lastActive;return b&&1===a.grep(this.errorList,function(a){return a.element.name===b.name}).length&&b},elements:function(){var b=this,c={};return a(this.currentForm).find("input, select, textarea").not(":submit, :reset, :image, :disabled").not(this.settings.ignore).filter(function(){return!this.name&&b.settings.debug&&window.console&&console.error("%o has no name assigned",this),this.name in c||!b.objectLength(a(this).rules())?!1:(c[this.name]=!0,!0)})},clean:function(b){return a(b)[0]},errors:function(){var b=this.settings.errorClass.split(" ").join(".");return a(this.settings.errorElement+"."+b,this.errorContext)},reset:function(){this.successList=[],this.errorList=[],this.errorMap={},this.toShow=a([]),this.toHide=a([]),this.currentElements=a([])},prepareForm:function(){this.reset(),this.toHide=this.errors().add(this.containers)},prepareElement:function(a){this.reset(),this.toHide=this.errorsFor(a)},elementValue:function(b){var c,d=a(b),e=b.type;return"radio"===e||"checkbox"===e?this.findByName(b.name).filter(":checked").val():"number"===e&&"undefined"!=typeof b.validity?b.validity.badInput?!1:d.val():(c=d.val(),"string"==typeof c?c.replace(/\r/g,""):c)},check:function(b){b=this.validationTargetFor(this.clean(b));var c,d,e,f=a(b).rules(),g=a.map(f,function(a,b){return b}).length,h=!1,i=this.elementValue(b);for(d in f){e={method:d,parameters:f[d]};try{if(c=a.validator.methods[d].call(this,i,b,e.parameters),"dependency-mismatch"===c&&1===g){h=!0;continue}if(h=!1,"pending"===c)return void(this.toHide=this.toHide.not(this.errorsFor(b)));if(!c)return this.formatAndAdd(b,e),!1}catch(j){throw this.settings.debug&&window.console&&console.log("Exception occurred when checking element "+b.id+", check the '"+e.method+"' method.",j),j instanceof TypeError&&(j.message+=". Exception occurred when checking element "+b.id+", check the '"+e.method+"' method."),j}}if(!h)return this.objectLength(f)&&this.successList.push(b),!0},customDataMessage:function(b,c){return a(b).data("msg"+c.charAt(0).toUpperCase()+c.substring(1).toLowerCase())||a(b).data("msg")},customMessage:function(a,b){var c=this.settings.messages[a];return c&&(c.constructor===String?c:c[b])},findDefined:function(){for(var a=0;aWarning: No message defined for "+b.name+"")},formatAndAdd:function(b,c){var d=this.defaultMessage(b,c.method),e=/\$?\{(\d+)\}/g;"function"==typeof d?d=d.call(this,c.parameters,b):e.test(d)&&(d=a.validator.format(d.replace(e,"{$1}"),c.parameters)),this.errorList.push({message:d,element:b,method:c.method}),this.errorMap[b.name]=d,this.submitted[b.name]=d},addWrapper:function(a){return this.settings.wrapper&&(a=a.add(a.parent(this.settings.wrapper))),a},defaultShowErrors:function(){var a,b,c;for(a=0;this.errorList[a];a++)c=this.errorList[a],this.settings.highlight&&this.settings.highlight.call(this,c.element,this.settings.errorClass,this.settings.validClass),this.showLabel(c.element,c.message);if(this.errorList.length&&(this.toShow=this.toShow.add(this.containers)),this.settings.success)for(a=0;this.successList[a];a++)this.showLabel(this.successList[a]);if(this.settings.unhighlight)for(a=0,b=this.validElements();b[a];a++)this.settings.unhighlight.call(this,b[a],this.settings.errorClass,this.settings.validClass);this.toHide=this.toHide.not(this.toShow),this.hideErrors(),this.addWrapper(this.toShow).show()},validElements:function(){return this.currentElements.not(this.invalidElements())},invalidElements:function(){return a(this.errorList).map(function(){return this.element})},showLabel:function(b,c){var d,e,f,g=this.errorsFor(b),h=this.idOrName(b),i=a(b).attr("aria-describedby");g.length?(g.removeClass(this.settings.validClass).addClass(this.settings.errorClass),g.html(c)):(g=a("<"+this.settings.errorElement+">").attr("id",h+"-error").addClass(this.settings.errorClass).html(c||""),d=g,this.settings.wrapper&&(d=g.hide().show().wrap("<"+this.settings.wrapper+"/>").parent()),this.labelContainer.length?this.labelContainer.append(d):this.settings.errorPlacement?this.settings.errorPlacement(d,a(b)):d.insertAfter(b),g.is("label")?g.attr("for",h):0===g.parents("label[for='"+h+"']").length&&(f=g.attr("id").replace(/(:|\.|\[|\]|\$)/g,"\\$1"),i?i.match(new RegExp("\\b"+f+"\\b"))||(i+=" "+f):i=f,a(b).attr("aria-describedby",i),e=this.groups[b.name],e&&a.each(this.groups,function(b,c){c===e&&a("[name='"+b+"']",this.currentForm).attr("aria-describedby",g.attr("id"))}))),!c&&this.settings.success&&(g.text(""),"string"==typeof this.settings.success?g.addClass(this.settings.success):this.settings.success(g,b)),this.toShow=this.toShow.add(g)},errorsFor:function(b){var c=this.idOrName(b),d=a(b).attr("aria-describedby"),e="label[for='"+c+"'], label[for='"+c+"'] *";return d&&(e=e+", #"+d.replace(/\s+/g,", #")),this.errors().filter(e)},idOrName:function(a){return this.groups[a.name]||(this.checkable(a)?a.name:a.id||a.name)},validationTargetFor:function(b){return this.checkable(b)&&(b=this.findByName(b.name)),a(b).not(this.settings.ignore)[0]},checkable:function(a){return/radio|checkbox/i.test(a.type)},findByName:function(b){return a(this.currentForm).find("[name='"+b+"']")},getLength:function(b,c){switch(c.nodeName.toLowerCase()){case"select":return a("option:selected",c).length;case"input":if(this.checkable(c))return this.findByName(c.name).filter(":checked").length}return b.length},depend:function(a,b){return this.dependTypes[typeof a]?this.dependTypes[typeof a](a,b):!0},dependTypes:{"boolean":function(a){return a},string:function(b,c){return!!a(b,c.form).length},"function":function(a,b){return a(b)}},optional:function(b){var c=this.elementValue(b);return!a.validator.methods.required.call(this,c,b)&&"dependency-mismatch"},startRequest:function(a){this.pending[a.name]||(this.pendingRequest++,this.pending[a.name]=!0)},stopRequest:function(b,c){this.pendingRequest--,this.pendingRequest<0&&(this.pendingRequest=0),delete this.pending[b.name],c&&0===this.pendingRequest&&this.formSubmitted&&this.form()?(a(this.currentForm).submit(),this.formSubmitted=!1):!c&&0===this.pendingRequest&&this.formSubmitted&&(a(this.currentForm).triggerHandler("invalid-form",[this]),this.formSubmitted=!1)},previousValue:function(b){return a.data(b,"previousValue")||a.data(b,"previousValue",{old:null,valid:!0,message:this.defaultMessage(b,"remote")})},destroy:function(){this.resetForm(),a(this.currentForm).off(".validate").removeData("validator")}},classRuleSettings:{required:{required:!0},email:{email:!0},url:{url:!0},date:{date:!0},dateISO:{dateISO:!0},number:{number:!0},digits:{digits:!0},creditcard:{creditcard:!0}},addClassRules:function(b,c){b.constructor===String?this.classRuleSettings[b]=c:a.extend(this.classRuleSettings,b)},classRules:function(b){var c={},d=a(b).attr("class");return d&&a.each(d.split(" "),function(){this in a.validator.classRuleSettings&&a.extend(c,a.validator.classRuleSettings[this])}),c},normalizeAttributeRule:function(a,b,c,d){/min|max/.test(c)&&(null===b||/number|range|text/.test(b))&&(d=Number(d),isNaN(d)&&(d=void 0)),d||0===d?a[c]=d:b===c&&"range"!==b&&(a[c]=!0)},attributeRules:function(b){var c,d,e={},f=a(b),g=b.getAttribute("type");for(c in a.validator.methods)"required"===c?(d=b.getAttribute(c),""===d&&(d=!0),d=!!d):d=f.attr(c),this.normalizeAttributeRule(e,g,c,d);return e.maxlength&&/-1|2147483647|524288/.test(e.maxlength)&&delete e.maxlength,e},dataRules:function(b){var c,d,e={},f=a(b),g=b.getAttribute("type");for(c in a.validator.methods)d=f.data("rule"+c.charAt(0).toUpperCase()+c.substring(1).toLowerCase()),this.normalizeAttributeRule(e,g,c,d);return e},staticRules:function(b){var c={},d=a.data(b.form,"validator");return d.settings.rules&&(c=a.validator.normalizeRule(d.settings.rules[b.name])||{}),c},normalizeRules:function(b,c){return a.each(b,function(d,e){if(e===!1)return void delete b[d];if(e.param||e.depends){var f=!0;switch(typeof e.depends){case"string":f=!!a(e.depends,c.form).length;break;case"function":f=e.depends.call(c,c)}f?b[d]=void 0!==e.param?e.param:!0:delete b[d]}}),a.each(b,function(d,e){b[d]=a.isFunction(e)?e(c):e}),a.each(["minlength","maxlength"],function(){b[this]&&(b[this]=Number(b[this]))}),a.each(["rangelength","range"],function(){var c;b[this]&&(a.isArray(b[this])?b[this]=[Number(b[this][0]),Number(b[this][1])]:"string"==typeof b[this]&&(c=b[this].replace(/[\[\]]/g,"").split(/[\s,]+/),b[this]=[Number(c[0]),Number(c[1])]))}),a.validator.autoCreateRanges&&(null!=b.min&&null!=b.max&&(b.range=[b.min,b.max],delete b.min,delete b.max),null!=b.minlength&&null!=b.maxlength&&(b.rangelength=[b.minlength,b.maxlength],delete b.minlength,delete b.maxlength)),b},normalizeRule:function(b){if("string"==typeof b){var c={};a.each(b.split(/\s/),function(){c[this]=!0}),b=c}return b},addMethod:function(b,c,d){a.validator.methods[b]=c,a.validator.messages[b]=void 0!==d?d:a.validator.messages[b],c.length<3&&a.validator.addClassRules(b,a.validator.normalizeRule(b))},methods:{required:function(b,c,d){if(!this.depend(d,c))return"dependency-mismatch";if("select"===c.nodeName.toLowerCase()){var e=a(c).val();return e&&e.length>0}return this.checkable(c)?this.getLength(b,c)>0:b.length>0},email:function(a,b){return this.optional(b)||/^[a-zA-Z0-9.!#$%&'*+\/=?^_`{|}~-]+@[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?(?:\.[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?)*$/.test(a)},url:function(a,b){return this.optional(b)||/^(?:(?:(?:https?|ftp):)?\/\/)(?:\S+(?::\S*)?@)?(?:(?!(?:10|127)(?:\.\d{1,3}){3})(?!(?:169\.254|192\.168)(?:\.\d{1,3}){2})(?!172\.(?:1[6-9]|2\d|3[0-1])(?:\.\d{1,3}){2})(?:[1-9]\d?|1\d\d|2[01]\d|22[0-3])(?:\.(?:1?\d{1,2}|2[0-4]\d|25[0-5])){2}(?:\.(?:[1-9]\d?|1\d\d|2[0-4]\d|25[0-4]))|(?:(?:[a-z\u00a1-\uffff0-9]-*)*[a-z\u00a1-\uffff0-9]+)(?:\.(?:[a-z\u00a1-\uffff0-9]-*)*[a-z\u00a1-\uffff0-9]+)*(?:\.(?:[a-z\u00a1-\uffff]{2,})).?)(?::\d{2,5})?(?:[\/?#]\S*)?$/i.test(a)},date:function(a,b){return this.optional(b)||!/Invalid|NaN/.test(new Date(a).toString())},dateISO:function(a,b){return this.optional(b)||/^\d{4}[\/\-](0?[1-9]|1[012])[\/\-](0?[1-9]|[12][0-9]|3[01])$/.test(a)},number:function(a,b){return this.optional(b)||/^(?:-?\d+|-?\d{1,3}(?:,\d{3})+)?(?:\.\d+)?$/.test(a)},digits:function(a,b){return this.optional(b)||/^\d+$/.test(a)},creditcard:function(a,b){if(this.optional(b))return"dependency-mismatch";if(/[^0-9 \-]+/.test(a))return!1;var c,d,e=0,f=0,g=!1;if(a=a.replace(/\D/g,""),a.length<13||a.length>19)return!1;for(c=a.length-1;c>=0;c--)d=a.charAt(c),f=parseInt(d,10),g&&(f*=2)>9&&(f-=9),e+=f,g=!g;return e%10===0},minlength:function(b,c,d){var e=a.isArray(b)?b.length:this.getLength(b,c);return this.optional(c)||e>=d},maxlength:function(b,c,d){var e=a.isArray(b)?b.length:this.getLength(b,c);return this.optional(c)||d>=e},rangelength:function(b,c,d){var e=a.isArray(b)?b.length:this.getLength(b,c);return this.optional(c)||e>=d[0]&&e<=d[1]},min:function(a,b,c){return this.optional(b)||a>=c},max:function(a,b,c){return this.optional(b)||c>=a},range:function(a,b,c){return this.optional(b)||a>=c[0]&&a<=c[1]},equalTo:function(b,c,d){var e=a(d);return this.settings.onfocusout&&e.off(".validate-equalTo").on("blur.validate-equalTo",function(){a(c).valid()}),b===e.val()},remote:function(b,c,d){if(this.optional(c))return"dependency-mismatch";var e,f,g=this.previousValue(c);return this.settings.messages[c.name]||(this.settings.messages[c.name]={}),g.originalMessage=this.settings.messages[c.name].remote,this.settings.messages[c.name].remote=g.message,d="string"==typeof d&&{url:d}||d,g.old===b?g.valid:(g.old=b,e=this,this.startRequest(c),f={},f[c.name]=b,a.ajax(a.extend(!0,{mode:"abort",port:"validate"+c.name,dataType:"json",data:f,context:e.currentForm,success:function(d){var f,h,i,j=d===!0||"true"===d;e.settings.messages[c.name].remote=g.originalMessage,j?(i=e.formSubmitted,e.prepareElement(c),e.formSubmitted=i,e.successList.push(c),delete e.invalid[c.name],e.showErrors()):(f={},h=d||e.defaultMessage(c,"remote"),f[c.name]=g.message=a.isFunction(h)?h(b):h,e.invalid[c.name]=!0,e.showErrors(f)),g.valid=j,e.stopRequest(c,j)}},d)),"pending")}}});var b,c={};a.ajaxPrefilter?a.ajaxPrefilter(function(a,b,d){var e=a.port;"abort"===a.mode&&(c[e]&&c[e].abort(),c[e]=d)}):(b=a.ajax,a.ajax=function(d){var e=("mode"in d?d:a.ajaxSettings).mode,f=("port"in d?d:a.ajaxSettings).port;return"abort"===e?(c[f]&&c[f].abort(),c[f]=b.apply(this,arguments),c[f]):b.apply(this,arguments)})}),function(a){"function"==typeof define&&define.amd?define(["jquery"],a):a(jQuery)}(function(a){a.fn.addBack=a.fn.addBack||a.fn.andSelf,a.fn.extend({actual:function(b,c){if(!this[b])throw'$.actual => The jQuery method "'+b+'" you called does not exist';var d,e,f={absolute:!1,clone:!1,includeMargin:!1,display:"block"},g=a.extend(f,c),h=this.eq(0);if(g.clone===!0)d=function(){var a="position: absolute !important; top: -1000 !important; ";h=h.clone().attr("style",a).appendTo("body")},e=function(){h.remove()};else{var i,j=[],k="";d=function(){i=h.parents().addBack().filter(":hidden"),k+="visibility: hidden !important; display: "+g.display+" !important; ",g.absolute===!0&&(k+="position: absolute !important; "),i.each(function(){var b=a(this),c=b.attr("style");j.push(c),b.attr("style",c?c+";"+k:k)})},e=function(){i.each(function(b){var c=a(this),d=j[b];void 0===d?c.removeAttr("style"):c.attr("style",d)})}}d();var l=/(outer)/.test(b)?h[b](g.includeMargin):h[b]();return e(),l}})}),function(a,b){"object"==typeof exports&&"undefined"!=typeof module?module.exports=b():"function"==typeof define&&define.amd?define(b):a.moment=b()}(this,function(){"use strict";function a(){return Hc.apply(null,arguments)}function b(a){Hc=a}function c(a){return"[object Array]"===Object.prototype.toString.call(a)}function d(a){return a instanceof Date||"[object Date]"===Object.prototype.toString.call(a)}function e(a,b){var c,d=[];for(c=0;c0)for(c in Jc)d=Jc[c],e=b[d],"undefined"!=typeof e&&(a[d]=e);return a}function n(b){m(this,b),this._d=new Date(null!=b._d?b._d.getTime():NaN),Kc===!1&&(Kc=!0,a.updateOffset(this),Kc=!1)}function o(a){return a instanceof n||null!=a&&null!=a._isAMomentObject}function p(a){return 0>a?Math.ceil(a):Math.floor(a)}function q(a){var b=+a,c=0;return 0!==b&&isFinite(b)&&(c=p(b)),c}function r(a,b,c){var d,e=Math.min(a.length,b.length),f=Math.abs(a.length-b.length),g=0;for(d=0;e>d;d++)(c&&a[d]!==b[d]||!c&&q(a[d])!==q(b[d]))&&g++;return g+f}function s(){}function t(a){return a?a.toLowerCase().replace("_","-"):a}function u(a){for(var b,c,d,e,f=0;f0;){if(d=v(e.slice(0,b).join("-")))return d;if(c&&c.length>=b&&r(e,c,!0)>=b-1)break;b--}f++}return null}function v(a){var b=null;if(!Lc[a]&&"undefined"!=typeof module&&module&&module.exports)try{b=Ic._abbr,require("./locale/"+a),w(b)}catch(c){}return Lc[a]}function w(a,b){var c;return a&&(c="undefined"==typeof b?y(a):x(a,b),c&&(Ic=c)),Ic._abbr}function x(a,b){return null!==b?(b.abbr=a,Lc[a]=Lc[a]||new s,Lc[a].set(b),w(a),Lc[a]):(delete Lc[a],null)}function y(a){var b;if(a&&a._locale&&a._locale._abbr&&(a=a._locale._abbr),!a)return Ic;if(!c(a)){if(b=v(a))return b;a=[a]}return u(a)}function z(a,b){var c=a.toLowerCase();Mc[c]=Mc[c+"s"]=Mc[b]=a}function A(a){return"string"==typeof a?Mc[a]||Mc[a.toLowerCase()]:void 0}function B(a){var b,c,d={};for(c in a)f(a,c)&&(b=A(c),b&&(d[b]=a[c]));return d}function C(b,c){return function(d){return null!=d?(E(this,b,d),a.updateOffset(this,c),this):D(this,b)}}function D(a,b){return a._d["get"+(a._isUTC?"UTC":"")+b]()}function E(a,b,c){return a._d["set"+(a._isUTC?"UTC":"")+b](c)}function F(a,b){var c;if("object"==typeof a)for(c in a)this.set(c,a[c]);else if(a=A(a),"function"==typeof this[a])return this[a](b);return this}function G(a,b,c){var d=""+Math.abs(a),e=b-d.length,f=a>=0;return(f?c?"+":"":"-")+Math.pow(10,Math.max(0,e)).toString().substr(1)+d}function H(a,b,c,d){var e=d;"string"==typeof d&&(e=function(){return this[d]()}),a&&(Qc[a]=e),b&&(Qc[b[0]]=function(){return G(e.apply(this,arguments),b[1],b[2])}),c&&(Qc[c]=function(){return this.localeData().ordinal(e.apply(this,arguments),a)})}function I(a){return a.match(/\[[\s\S]/)?a.replace(/^\[|\]$/g,""):a.replace(/\\/g,"")}function J(a){var b,c,d=a.match(Nc);for(b=0,c=d.length;c>b;b++)Qc[d[b]]?d[b]=Qc[d[b]]:d[b]=I(d[b]);return function(e){var f="";for(b=0;c>b;b++)f+=d[b]instanceof Function?d[b].call(e,a):d[b];return f}}function K(a,b){return a.isValid()?(b=L(b,a.localeData()),Pc[b]=Pc[b]||J(b),Pc[b](a)):a.localeData().invalidDate()}function L(a,b){function c(a){return b.longDateFormat(a)||a}var d=5;for(Oc.lastIndex=0;d>=0&&Oc.test(a);)a=a.replace(Oc,c),Oc.lastIndex=0,d-=1;return a}function M(a){return"function"==typeof a&&"[object Function]"===Object.prototype.toString.call(a)}function N(a,b,c){dd[a]=M(b)?b:function(a){return a&&c?c:b}}function O(a,b){return f(dd,a)?dd[a](b._strict,b._locale):new RegExp(P(a))}function P(a){return a.replace("\\","").replace(/\\(\[)|\\(\])|\[([^\]\[]*)\]|\\(.)/g,function(a,b,c,d,e){return b||c||d||e}).replace(/[-\/\\^$*+?.()|[\]{}]/g,"\\$&")}function Q(a,b){var c,d=b;for("string"==typeof a&&(a=[a]),"number"==typeof b&&(d=function(a,c){c[b]=q(a)}),c=0;cd;d++){if(e=h([2e3,d]),c&&!this._longMonthsParse[d]&&(this._longMonthsParse[d]=new RegExp("^"+this.months(e,"").replace(".","")+"$","i"),this._shortMonthsParse[d]=new RegExp("^"+this.monthsShort(e,"").replace(".","")+"$","i")),c||this._monthsParse[d]||(f="^"+this.months(e,"")+"|^"+this.monthsShort(e,""),this._monthsParse[d]=new RegExp(f.replace(".",""),"i")),c&&"MMMM"===b&&this._longMonthsParse[d].test(a))return d;if(c&&"MMM"===b&&this._shortMonthsParse[d].test(a))return d;if(!c&&this._monthsParse[d].test(a))return d}}function X(a,b){var c;return"string"==typeof b&&(b=a.localeData().monthsParse(b),"number"!=typeof b)?a:(c=Math.min(a.date(),T(a.year(),b)),a._d["set"+(a._isUTC?"UTC":"")+"Month"](b,c),a)}function Y(b){return null!=b?(X(this,b),a.updateOffset(this,!0),this):D(this,"Month")}function Z(){return T(this.year(),this.month())}function $(a){var b,c=a._a;return c&&-2===j(a).overflow&&(b=c[gd]<0||c[gd]>11?gd:c[hd]<1||c[hd]>T(c[fd],c[gd])?hd:c[id]<0||c[id]>24||24===c[id]&&(0!==c[jd]||0!==c[kd]||0!==c[ld])?id:c[jd]<0||c[jd]>59?jd:c[kd]<0||c[kd]>59?kd:c[ld]<0||c[ld]>999?ld:-1,j(a)._overflowDayOfYear&&(fd>b||b>hd)&&(b=hd),j(a).overflow=b),a}function _(b){a.suppressDeprecationWarnings===!1&&"undefined"!=typeof console&&console.warn&&console.warn("Deprecation warning: "+b)}function aa(a,b){var c=!0;return g(function(){return c&&(_(a+"\n"+(new Error).stack),c=!1),b.apply(this,arguments)},b)}function ba(a,b){od[a]||(_(b),od[a]=!0)}function ca(a){var b,c,d=a._i,e=pd.exec(d);if(e){for(j(a).iso=!0,b=0,c=qd.length;c>b;b++)if(qd[b][1].exec(d)){a._f=qd[b][0];break}for(b=0,c=rd.length;c>b;b++)if(rd[b][1].exec(d)){a._f+=(e[6]||" ")+rd[b][0];break}d.match(ad)&&(a._f+="Z"),va(a)}else a._isValid=!1}function da(b){var c=sd.exec(b._i);return null!==c?void(b._d=new Date(+c[1])):(ca(b),void(b._isValid===!1&&(delete b._isValid,a.createFromInputFallback(b))))}function ea(a,b,c,d,e,f,g){var h=new Date(a,b,c,d,e,f,g);return 1970>a&&h.setFullYear(a),h}function fa(a){var b=new Date(Date.UTC.apply(null,arguments));return 1970>a&&b.setUTCFullYear(a),b}function ga(a){return ha(a)?366:365}function ha(a){return a%4===0&&a%100!==0||a%400===0}function ia(){return ha(this.year())}function ja(a,b,c){var d,e=c-b,f=c-a.day();return f>e&&(f-=7),e-7>f&&(f+=7),d=Da(a).add(f,"d"),{week:Math.ceil(d.dayOfYear()/7),year:d.year()}}function ka(a){return ja(a,this._week.dow,this._week.doy).week}function la(){return this._week.dow}function ma(){return this._week.doy}function na(a){var b=this.localeData().week(this);return null==a?b:this.add(7*(a-b),"d")}function oa(a){var b=ja(this,1,4).week;return null==a?b:this.add(7*(a-b),"d")}function pa(a,b,c,d,e){var f,g=6+e-d,h=fa(a,0,1+g),i=h.getUTCDay();return e>i&&(i+=7),c=null!=c?1*c:e,f=1+g+7*(b-1)-i+c,{year:f>0?a:a-1,dayOfYear:f>0?f:ga(a-1)+f}}function qa(a){var b=Math.round((this.clone().startOf("day")-this.clone().startOf("year"))/864e5)+1;return null==a?b:this.add(a-b,"d")}function ra(a,b,c){return null!=a?a:null!=b?b:c}function sa(a){var b=new Date;return a._useUTC?[b.getUTCFullYear(),b.getUTCMonth(),b.getUTCDate()]:[b.getFullYear(),b.getMonth(),b.getDate()]}function ta(a){var b,c,d,e,f=[];if(!a._d){for(d=sa(a),a._w&&null==a._a[hd]&&null==a._a[gd]&&ua(a),a._dayOfYear&&(e=ra(a._a[fd],d[fd]),a._dayOfYear>ga(e)&&(j(a)._overflowDayOfYear=!0),c=fa(e,0,a._dayOfYear),a._a[gd]=c.getUTCMonth(),a._a[hd]=c.getUTCDate()),b=0;3>b&&null==a._a[b];++b)a._a[b]=f[b]=d[b];for(;7>b;b++)a._a[b]=f[b]=null==a._a[b]?2===b?1:0:a._a[b];24===a._a[id]&&0===a._a[jd]&&0===a._a[kd]&&0===a._a[ld]&&(a._nextDay=!0,a._a[id]=0),a._d=(a._useUTC?fa:ea).apply(null,f),null!=a._tzm&&a._d.setUTCMinutes(a._d.getUTCMinutes()-a._tzm),a._nextDay&&(a._a[id]=24)}}function ua(a){var b,c,d,e,f,g,h;b=a._w,null!=b.GG||null!=b.W||null!=b.E?(f=1,g=4,c=ra(b.GG,a._a[fd],ja(Da(),1,4).year),d=ra(b.W,1),e=ra(b.E,1)):(f=a._locale._week.dow,g=a._locale._week.doy,c=ra(b.gg,a._a[fd],ja(Da(),f,g).year),d=ra(b.w,1),null!=b.d?(e=b.d,f>e&&++d):e=null!=b.e?b.e+f:f),h=pa(c,d,e,g,f),a._a[fd]=h.year,a._dayOfYear=h.dayOfYear}function va(b){if(b._f===a.ISO_8601)return void ca(b);b._a=[],j(b).empty=!0;var c,d,e,f,g,h=""+b._i,i=h.length,k=0;for(e=L(b._f,b._locale).match(Nc)||[],c=0;c0&&j(b).unusedInput.push(g),h=h.slice(h.indexOf(d)+d.length),k+=d.length),Qc[f]?(d?j(b).empty=!1:j(b).unusedTokens.push(f),S(f,d,b)):b._strict&&!d&&j(b).unusedTokens.push(f);j(b).charsLeftOver=i-k,h.length>0&&j(b).unusedInput.push(h),j(b).bigHour===!0&&b._a[id]<=12&&b._a[id]>0&&(j(b).bigHour=void 0),b._a[id]=wa(b._locale,b._a[id],b._meridiem),ta(b),$(b)}function wa(a,b,c){var d;return null==c?b:null!=a.meridiemHour?a.meridiemHour(b,c):null!=a.isPM?(d=a.isPM(c),d&&12>b&&(b+=12),d||12!==b||(b=0),b):b}function xa(a){var b,c,d,e,f;if(0===a._f.length)return j(a).invalidFormat=!0,void(a._d=new Date(NaN));for(e=0;ef)&&(d=f,c=b));g(a,c||b)}function ya(a){if(!a._d){var b=B(a._i);a._a=[b.year,b.month,b.day||b.date,b.hour,b.minute,b.second,b.millisecond],ta(a)}}function za(a){var b=new n($(Aa(a)));return b._nextDay&&(b.add(1,"d"),b._nextDay=void 0),b}function Aa(a){var b=a._i,e=a._f;return a._locale=a._locale||y(a._l),null===b||void 0===e&&""===b?l({nullInput:!0}):("string"==typeof b&&(a._i=b=a._locale.preparse(b)),o(b)?new n($(b)):(c(e)?xa(a):e?va(a):d(b)?a._d=b:Ba(a),a))}function Ba(b){var f=b._i;void 0===f?b._d=new Date:d(f)?b._d=new Date(+f):"string"==typeof f?da(b):c(f)?(b._a=e(f.slice(0),function(a){return parseInt(a,10)}),ta(b)):"object"==typeof f?ya(b):"number"==typeof f?b._d=new Date(f):a.createFromInputFallback(b)}function Ca(a,b,c,d,e){var f={};return"boolean"==typeof c&&(d=c,c=void 0),f._isAMomentObject=!0,f._useUTC=f._isUTC=e,f._l=c,f._i=a,f._f=b,f._strict=d,za(f)}function Da(a,b,c,d){return Ca(a,b,c,d,!1)}function Ea(a,b){var d,e;if(1===b.length&&c(b[0])&&(b=b[0]),!b.length)return Da();for(d=b[0],e=1;ea&&(a=-a,c="-"),c+G(~~(a/60),2)+b+G(~~a%60,2)})}function Ka(a){var b=(a||"").match(ad)||[],c=b[b.length-1]||[],d=(c+"").match(xd)||["-",0,0],e=+(60*d[1])+q(d[2]);return"+"===d[0]?e:-e}function La(b,c){var e,f;return c._isUTC?(e=c.clone(),f=(o(b)||d(b)?+b:+Da(b))-+e,e._d.setTime(+e._d+f),a.updateOffset(e,!1),e):Da(b).local()}function Ma(a){return 15*-Math.round(a._d.getTimezoneOffset()/15)}function Na(b,c){var d,e=this._offset||0;return null!=b?("string"==typeof b&&(b=Ka(b)),Math.abs(b)<16&&(b=60*b),!this._isUTC&&c&&(d=Ma(this)),this._offset=b,this._isUTC=!0,null!=d&&this.add(d,"m"),e!==b&&(!c||this._changeInProgress?bb(this,Ya(b-e,"m"),1,!1):this._changeInProgress||(this._changeInProgress=!0,a.updateOffset(this,!0),this._changeInProgress=null)),this):this._isUTC?e:Ma(this)}function Oa(a,b){return null!=a?("string"!=typeof a&&(a=-a),this.utcOffset(a,b),this):-this.utcOffset()}function Pa(a){return this.utcOffset(0,a)}function Qa(a){return this._isUTC&&(this.utcOffset(0,a),this._isUTC=!1,a&&this.subtract(Ma(this),"m")),this}function Ra(){return this._tzm?this.utcOffset(this._tzm):"string"==typeof this._i&&this.utcOffset(Ka(this._i)),this}function Sa(a){return a=a?Da(a).utcOffset():0,(this.utcOffset()-a)%60===0}function Ta(){return this.utcOffset()>this.clone().month(0).utcOffset()||this.utcOffset()>this.clone().month(5).utcOffset()}function Ua(){if("undefined"!=typeof this._isDSTShifted)return this._isDSTShifted;var a={};if(m(a,this),a=Aa(a),a._a){var b=a._isUTC?h(a._a):Da(a._a);this._isDSTShifted=this.isValid()&&r(a._a,b.toArray())>0}else this._isDSTShifted=!1;return this._isDSTShifted}function Va(){return!this._isUTC}function Wa(){return this._isUTC}function Xa(){return this._isUTC&&0===this._offset}function Ya(a,b){var c,d,e,g=a,h=null;return Ia(a)?g={ms:a._milliseconds,d:a._days,M:a._months}:"number"==typeof a?(g={},b?g[b]=a:g.milliseconds=a):(h=yd.exec(a))?(c="-"===h[1]?-1:1,g={y:0,d:q(h[hd])*c,h:q(h[id])*c,m:q(h[jd])*c,s:q(h[kd])*c,ms:q(h[ld])*c}):(h=zd.exec(a))?(c="-"===h[1]?-1:1,g={y:Za(h[2],c),M:Za(h[3],c),d:Za(h[4],c),h:Za(h[5],c),m:Za(h[6],c),s:Za(h[7],c),w:Za(h[8],c)}):null==g?g={}:"object"==typeof g&&("from"in g||"to"in g)&&(e=_a(Da(g.from),Da(g.to)),g={},g.ms=e.milliseconds,g.M=e.months),d=new Ha(g),Ia(a)&&f(a,"_locale")&&(d._locale=a._locale),d}function Za(a,b){var c=a&&parseFloat(a.replace(",","."));return(isNaN(c)?0:c)*b}function $a(a,b){var c={milliseconds:0,months:0};return c.months=b.month()-a.month()+12*(b.year()-a.year()),a.clone().add(c.months,"M").isAfter(b)&&--c.months,c.milliseconds=+b-+a.clone().add(c.months,"M"),c}function _a(a,b){var c;return b=La(b,a),a.isBefore(b)?c=$a(a,b):(c=$a(b,a),c.milliseconds=-c.milliseconds,c.months=-c.months),c}function ab(a,b){return function(c,d){var e,f;return null===d||isNaN(+d)||(ba(b,"moment()."+b+"(period, number) is deprecated. Please use moment()."+b+"(number, period)."),f=c,c=d,d=f),c="string"==typeof c?+c:c,e=Ya(c,d),bb(this,e,a),this}}function bb(b,c,d,e){var f=c._milliseconds,g=c._days,h=c._months;e=null==e?!0:e,f&&b._d.setTime(+b._d+f*d),g&&E(b,"Date",D(b,"Date")+g*d),h&&X(b,D(b,"Month")+h*d),e&&a.updateOffset(b,g||h)}function cb(a,b){var c=a||Da(),d=La(c,this).startOf("day"),e=this.diff(d,"days",!0),f=-6>e?"sameElse":-1>e?"lastWeek":0>e?"lastDay":1>e?"sameDay":2>e?"nextDay":7>e?"nextWeek":"sameElse";return this.format(b&&b[f]||this.localeData().calendar(f,this,Da(c)))}function db(){return new n(this)}function eb(a,b){var c;return b=A("undefined"!=typeof b?b:"millisecond"),"millisecond"===b?(a=o(a)?a:Da(a),+this>+a):(c=o(a)?+a:+Da(a),c<+this.clone().startOf(b))}function fb(a,b){var c;return b=A("undefined"!=typeof b?b:"millisecond"),"millisecond"===b?(a=o(a)?a:Da(a),+a>+this):(c=o(a)?+a:+Da(a),+this.clone().endOf(b)b-f?(c=a.clone().add(e-1,"months"),d=(b-f)/(f-c)):(c=a.clone().add(e+1,"months"),d=(b-f)/(c-f)),-(e+d)}function kb(){return this.clone().locale("en").format("ddd MMM DD YYYY HH:mm:ss [GMT]ZZ")}function lb(){var a=this.clone().utc();return 0b;b++)if(this._weekdaysParse[b]||(c=Da([2e3,1]).day(b),d="^"+this.weekdays(c,"")+"|^"+this.weekdaysShort(c,"")+"|^"+this.weekdaysMin(c,""),this._weekdaysParse[b]=new RegExp(d.replace(".",""),"i")),this._weekdaysParse[b].test(a))return b}function Pb(a){var b=this._isUTC?this._d.getUTCDay():this._d.getDay();return null!=a?(a=Kb(a,this.localeData()),this.add(a-b,"d")):b}function Qb(a){var b=(this.day()+7-this.localeData()._week.dow)%7;return null==a?b:this.add(a-b,"d")}function Rb(a){return null==a?this.day()||7:this.day(this.day()%7?a:a-7)}function Sb(a,b){H(a,0,0,function(){return this.localeData().meridiem(this.hours(),this.minutes(),b)})}function Tb(a,b){return b._meridiemParse}function Ub(a){return"p"===(a+"").toLowerCase().charAt(0)}function Vb(a,b,c){return a>11?c?"pm":"PM":c?"am":"AM"}function Wb(a,b){b[ld]=q(1e3*("0."+a))}function Xb(){return this._isUTC?"UTC":""}function Yb(){return this._isUTC?"Coordinated Universal Time":""}function Zb(a){return Da(1e3*a)}function $b(){return Da.apply(null,arguments).parseZone()}function _b(a,b,c){var d=this._calendar[a];return"function"==typeof d?d.call(b,c):d}function ac(a){var b=this._longDateFormat[a],c=this._longDateFormat[a.toUpperCase()];return b||!c?b:(this._longDateFormat[a]=c.replace(/MMMM|MM|DD|dddd/g,function(a){return a.slice(1)}),this._longDateFormat[a])}function bc(){return this._invalidDate}function cc(a){return this._ordinal.replace("%d",a)}function dc(a){return a}function ec(a,b,c,d){var e=this._relativeTime[c];return"function"==typeof e?e(a,b,c,d):e.replace(/%d/i,a)}function fc(a,b){var c=this._relativeTime[a>0?"future":"past"];return"function"==typeof c?c(b):c.replace(/%s/i,b)}function gc(a){var b,c;for(c in a)b=a[c],"function"==typeof b?this[c]=b:this["_"+c]=b;this._ordinalParseLenient=new RegExp(this._ordinalParse.source+"|"+/\d{1,2}/.source)}function hc(a,b,c,d){var e=y(),f=h().set(d,b);return e[c](f,a)}function ic(a,b,c,d,e){if("number"==typeof a&&(b=a,a=void 0),a=a||"",null!=b)return hc(a,b,c,e);var f,g=[];for(f=0;d>f;f++)g[f]=hc(a,f,c,e);return g}function jc(a,b){return ic(a,b,"months",12,"month")}function kc(a,b){return ic(a,b,"monthsShort",12,"month")}function lc(a,b){return ic(a,b,"weekdays",7,"day")}function mc(a,b){return ic(a,b,"weekdaysShort",7,"day")}function nc(a,b){return ic(a,b,"weekdaysMin",7,"day")}function oc(){var a=this._data;return this._milliseconds=Wd(this._milliseconds),this._days=Wd(this._days),this._months=Wd(this._months),a.milliseconds=Wd(a.milliseconds),a.seconds=Wd(a.seconds),a.minutes=Wd(a.minutes),a.hours=Wd(a.hours),a.months=Wd(a.months),a.years=Wd(a.years),this}function pc(a,b,c,d){var e=Ya(b,c);return a._milliseconds+=d*e._milliseconds,a._days+=d*e._days,a._months+=d*e._months,a._bubble()}function qc(a,b){return pc(this,a,b,1)}function rc(a,b){return pc(this,a,b,-1)}function sc(a){return 0>a?Math.floor(a):Math.ceil(a)}function tc(){var a,b,c,d,e,f=this._milliseconds,g=this._days,h=this._months,i=this._data;return f>=0&&g>=0&&h>=0||0>=f&&0>=g&&0>=h||(f+=864e5*sc(vc(h)+g),g=0,h=0),i.milliseconds=f%1e3,a=p(f/1e3),i.seconds=a%60,b=p(a/60),i.minutes=b%60,c=p(b/60),i.hours=c%24,g+=p(c/24),e=p(uc(g)),h+=e,g-=sc(vc(e)),d=p(h/12),h%=12,i.days=g,i.months=h,i.years=d,this}function uc(a){return 4800*a/146097}function vc(a){return 146097*a/4800}function wc(a){var b,c,d=this._milliseconds;if(a=A(a),"month"===a||"year"===a)return b=this._days+d/864e5,c=this._months+uc(b),"month"===a?c:c/12;switch(b=this._days+Math.round(vc(this._months)),a){case"week":return b/7+d/6048e5;case"day":return b+d/864e5;case"hour":return 24*b+d/36e5;case"minute":return 1440*b+d/6e4;case"second":return 86400*b+d/1e3;case"millisecond":return Math.floor(864e5*b)+d;default:throw new Error("Unknown unit "+a)}}function xc(){return this._milliseconds+864e5*this._days+this._months%12*2592e6+31536e6*q(this._months/12)}function yc(a){return function(){return this.as(a)}}function zc(a){return a=A(a),this[a+"s"]()}function Ac(a){return function(){return this._data[a]}}function Bc(){return p(this.days()/7)}function Cc(a,b,c,d,e){return e.relativeTime(b||1,!!c,a,d)}function Dc(a,b,c){var d=Ya(a).abs(),e=ke(d.as("s")),f=ke(d.as("m")),g=ke(d.as("h")),h=ke(d.as("d")),i=ke(d.as("M")),j=ke(d.as("y")),k=e0,k[4]=c,Cc.apply(null,k)}function Ec(a,b){return void 0===le[a]?!1:void 0===b?le[a]:(le[a]=b,!0)}function Fc(a){var b=this.localeData(),c=Dc(this,!a,b);return a&&(c=b.pastFuture(+this,c)),b.postformat(c)}function Gc(){var a,b,c,d=me(this._milliseconds)/1e3,e=me(this._days),f=me(this._months);a=p(d/60),b=p(a/60),d%=60,a%=60,c=p(f/12),f%=12;var g=c,h=f,i=e,j=b,k=a,l=d,m=this.asSeconds();return m?(0>m?"-":"")+"P"+(g?g+"Y":"")+(h?h+"M":"")+(i?i+"D":"")+(j||k||l?"T":"")+(j?j+"H":"")+(k?k+"M":"")+(l?l+"S":""):"P0D"}var Hc,Ic,Jc=a.momentProperties=[],Kc=!1,Lc={},Mc={},Nc=/(\[[^\[]*\])|(\\)?(Mo|MM?M?M?|Do|DDDo|DD?D?D?|ddd?d?|do?|w[o|w]?|W[o|W]?|Q|YYYYYY|YYYYY|YYYY|YY|gg(ggg?)?|GG(GGG?)?|e|E|a|A|hh?|HH?|mm?|ss?|S{1,9}|x|X|zz?|ZZ?|.)/g,Oc=/(\[[^\[]*\])|(\\)?(LTS|LT|LL?L?L?|l{1,4})/g,Pc={},Qc={},Rc=/\d/,Sc=/\d\d/,Tc=/\d{3}/,Uc=/\d{4}/,Vc=/[+-]?\d{6}/,Wc=/\d\d?/,Xc=/\d{1,3}/,Yc=/\d{1,4}/,Zc=/[+-]?\d{1,6}/,$c=/\d+/,_c=/[+-]?\d+/,ad=/Z|[+-]\d\d:?\d\d/gi,bd=/[+-]?\d+(\.\d{1,3})?/,cd=/[0-9]*['a-z\u00A0-\u05FF\u0700-\uD7FF\uF900-\uFDCF\uFDF0-\uFFEF]+|[\u0600-\u06FF\/]+(\s*?[\u0600-\u06FF]+){1,2}/i,dd={},ed={},fd=0,gd=1,hd=2,id=3,jd=4,kd=5,ld=6;H("M",["MM",2],"Mo",function(){return this.month()+1}),H("MMM",0,0,function(a){return this.localeData().monthsShort(this,a)}),H("MMMM",0,0,function(a){return this.localeData().months(this,a)}),z("month","M"),N("M",Wc),N("MM",Wc,Sc),N("MMM",cd),N("MMMM",cd),Q(["M","MM"],function(a,b){b[gd]=q(a)-1}),Q(["MMM","MMMM"],function(a,b,c,d){var e=c._locale.monthsParse(a,d,c._strict);null!=e?b[gd]=e:j(c).invalidMonth=a});var md="January_February_March_April_May_June_July_August_September_October_November_December".split("_"),nd="Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_"),od={};a.suppressDeprecationWarnings=!1;var pd=/^\s*(?:[+-]\d{6}|\d{4})-(?:(\d\d-\d\d)|(W\d\d$)|(W\d\d-\d)|(\d\d\d))((T| )(\d\d(:\d\d(:\d\d(\.\d+)?)?)?)?([\+\-]\d\d(?::?\d\d)?|\s*Z)?)?$/,qd=[["YYYYYY-MM-DD",/[+-]\d{6}-\d{2}-\d{2}/],["YYYY-MM-DD",/\d{4}-\d{2}-\d{2}/],["GGGG-[W]WW-E",/\d{4}-W\d{2}-\d/],["GGGG-[W]WW",/\d{4}-W\d{2}/],["YYYY-DDD",/\d{4}-\d{3}/]],rd=[["HH:mm:ss.SSSS",/(T| )\d\d:\d\d:\d\d\.\d+/],["HH:mm:ss",/(T| )\d\d:\d\d:\d\d/],["HH:mm",/(T| )\d\d:\d\d/],["HH",/(T| )\d\d/]],sd=/^\/?Date\((\-?\d+)/i;a.createFromInputFallback=aa("moment construction falls back to js Date. This is discouraged and will be removed in upcoming major release. Please refer to https://github.com/moment/moment/issues/1407 for more info.",function(a){a._d=new Date(a._i+(a._useUTC?" UTC":""))}),H(0,["YY",2],0,function(){return this.year()%100}),H(0,["YYYY",4],0,"year"),H(0,["YYYYY",5],0,"year"),H(0,["YYYYYY",6,!0],0,"year"),z("year","y"),N("Y",_c),N("YY",Wc,Sc),N("YYYY",Yc,Uc),N("YYYYY",Zc,Vc),N("YYYYYY",Zc,Vc),Q(["YYYYY","YYYYYY"],fd),Q("YYYY",function(b,c){c[fd]=2===b.length?a.parseTwoDigitYear(b):q(b)}),Q("YY",function(b,c){c[fd]=a.parseTwoDigitYear(b)}),a.parseTwoDigitYear=function(a){return q(a)+(q(a)>68?1900:2e3)};var td=C("FullYear",!1);H("w",["ww",2],"wo","week"),H("W",["WW",2],"Wo","isoWeek"),z("week","w"),z("isoWeek","W"),N("w",Wc),N("ww",Wc,Sc),N("W",Wc),N("WW",Wc,Sc),R(["w","ww","W","WW"],function(a,b,c,d){b[d.substr(0,1)]=q(a)});var ud={dow:0,doy:6};H("DDD",["DDDD",3],"DDDo","dayOfYear"),z("dayOfYear","DDD"),N("DDD",Xc),N("DDDD",Tc),Q(["DDD","DDDD"],function(a,b,c){c._dayOfYear=q(a)}),a.ISO_8601=function(){};var vd=aa("moment().min is deprecated, use moment.min instead. https://github.com/moment/moment/issues/1548",function(){var a=Da.apply(null,arguments);return this>a?this:a}),wd=aa("moment().max is deprecated, use moment.max instead. https://github.com/moment/moment/issues/1548",function(){var a=Da.apply(null,arguments);return a>this?this:a});Ja("Z",":"),Ja("ZZ",""),N("Z",ad),N("ZZ",ad),Q(["Z","ZZ"],function(a,b,c){c._useUTC=!0,c._tzm=Ka(a)});var xd=/([\+\-]|\d\d)/gi;a.updateOffset=function(){};var yd=/(\-)?(?:(\d*)\.)?(\d+)\:(\d+)(?:\:(\d+)\.?(\d{3})?)?/,zd=/^(-)?P(?:(?:([0-9,.]*)Y)?(?:([0-9,.]*)M)?(?:([0-9,.]*)D)?(?:T(?:([0-9,.]*)H)?(?:([0-9,.]*)M)?(?:([0-9,.]*)S)?)?|([0-9,.]*)W)$/;Ya.fn=Ha.prototype;var Ad=ab(1,"add"),Bd=ab(-1,"subtract");a.defaultFormat="YYYY-MM-DDTHH:mm:ssZ";var Cd=aa("moment().lang() is deprecated. Instead, use moment().localeData() to get the language configuration. Use moment().locale() to change languages.",function(a){return void 0===a?this.localeData():this.locale(a)});H(0,["gg",2],0,function(){return this.weekYear()%100}),H(0,["GG",2],0,function(){return this.isoWeekYear()%100}),Db("gggg","weekYear"),Db("ggggg","weekYear"),Db("GGGG","isoWeekYear"),Db("GGGGG","isoWeekYear"),z("weekYear","gg"),z("isoWeekYear","GG"),N("G",_c),N("g",_c),N("GG",Wc,Sc),N("gg",Wc,Sc),N("GGGG",Yc,Uc),N("gggg",Yc,Uc),N("GGGGG",Zc,Vc),N("ggggg",Zc,Vc),R(["gggg","ggggg","GGGG","GGGGG"],function(a,b,c,d){b[d.substr(0,2)]=q(a)}),R(["gg","GG"],function(b,c,d,e){c[e]=a.parseTwoDigitYear(b)}),H("Q",0,0,"quarter"),z("quarter","Q"),N("Q",Rc),Q("Q",function(a,b){b[gd]=3*(q(a)-1)}),H("D",["DD",2],"Do","date"),z("date","D"),N("D",Wc),N("DD",Wc,Sc),N("Do",function(a,b){return a?b._ordinalParse:b._ordinalParseLenient}),Q(["D","DD"],hd),Q("Do",function(a,b){b[hd]=q(a.match(Wc)[0],10)});var Dd=C("Date",!0);H("d",0,"do","day"),H("dd",0,0,function(a){return this.localeData().weekdaysMin(this,a)}),H("ddd",0,0,function(a){return this.localeData().weekdaysShort(this,a)}),H("dddd",0,0,function(a){return this.localeData().weekdays(this,a)}),H("e",0,0,"weekday"),H("E",0,0,"isoWeekday"),z("day","d"),z("weekday","e"),z("isoWeekday","E"),N("d",Wc),N("e",Wc),N("E",Wc),N("dd",cd),N("ddd",cd),N("dddd",cd),R(["dd","ddd","dddd"],function(a,b,c){var d=c._locale.weekdaysParse(a);null!=d?b.d=d:j(c).invalidWeekday=a}),R(["d","e","E"],function(a,b,c,d){b[d]=q(a)});var Ed="Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),Fd="Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),Gd="Su_Mo_Tu_We_Th_Fr_Sa".split("_");H("H",["HH",2],0,"hour"),H("h",["hh",2],0,function(){return this.hours()%12||12}),Sb("a",!0),Sb("A",!1),z("hour","h"),N("a",Tb),N("A",Tb),N("H",Wc),N("h",Wc),N("HH",Wc,Sc),N("hh",Wc,Sc),Q(["H","HH"],id),Q(["a","A"],function(a,b,c){c._isPm=c._locale.isPM(a),c._meridiem=a}),Q(["h","hh"],function(a,b,c){b[id]=q(a),j(c).bigHour=!0});var Hd=/[ap]\.?m?\.?/i,Id=C("Hours",!0);H("m",["mm",2],0,"minute"),z("minute","m"),N("m",Wc),N("mm",Wc,Sc),Q(["m","mm"],jd);var Jd=C("Minutes",!1);H("s",["ss",2],0,"second"),z("second","s"),N("s",Wc),N("ss",Wc,Sc),Q(["s","ss"],kd);var Kd=C("Seconds",!1);H("S",0,0,function(){return~~(this.millisecond()/100)}),H(0,["SS",2],0,function(){return~~(this.millisecond()/10)}),H(0,["SSS",3],0,"millisecond"),H(0,["SSSS",4],0,function(){return 10*this.millisecond()}),H(0,["SSSSS",5],0,function(){return 100*this.millisecond()}),H(0,["SSSSSS",6],0,function(){return 1e3*this.millisecond()}),H(0,["SSSSSSS",7],0,function(){return 1e4*this.millisecond()}),H(0,["SSSSSSSS",8],0,function(){return 1e5*this.millisecond()}),H(0,["SSSSSSSSS",9],0,function(){return 1e6*this.millisecond()}),z("millisecond","ms"),N("S",Xc,Rc),N("SS",Xc,Sc),N("SSS",Xc,Tc);var Ld;for(Ld="SSSS";Ld.length<=9;Ld+="S")N(Ld,$c);for(Ld="S";Ld.length<=9;Ld+="S")Q(Ld,Wb);var Md=C("Milliseconds",!1);H("z",0,0,"zoneAbbr"),H("zz",0,0,"zoneName");var Nd=n.prototype;Nd.add=Ad,Nd.calendar=cb,Nd.clone=db,Nd.diff=ib,Nd.endOf=ub,Nd.format=mb,Nd.from=nb,Nd.fromNow=ob,Nd.to=pb,Nd.toNow=qb,Nd.get=F,Nd.invalidAt=Cb,Nd.isAfter=eb,Nd.isBefore=fb,Nd.isBetween=gb,Nd.isSame=hb,Nd.isValid=Ab,Nd.lang=Cd,Nd.locale=rb,Nd.localeData=sb,Nd.max=wd,Nd.min=vd,Nd.parsingFlags=Bb,Nd.set=F,Nd.startOf=tb,Nd.subtract=Bd,Nd.toArray=yb,Nd.toObject=zb,Nd.toDate=xb,Nd.toISOString=lb,Nd.toJSON=lb,Nd.toString=kb,Nd.unix=wb,Nd.valueOf=vb,Nd.year=td,Nd.isLeapYear=ia,Nd.weekYear=Fb,Nd.isoWeekYear=Gb,Nd.quarter=Nd.quarters=Jb,Nd.month=Y,Nd.daysInMonth=Z,Nd.week=Nd.weeks=na,Nd.isoWeek=Nd.isoWeeks=oa,Nd.weeksInYear=Ib,Nd.isoWeeksInYear=Hb,Nd.date=Dd,Nd.day=Nd.days=Pb,Nd.weekday=Qb,Nd.isoWeekday=Rb,Nd.dayOfYear=qa,Nd.hour=Nd.hours=Id,Nd.minute=Nd.minutes=Jd,Nd.second=Nd.seconds=Kd,Nd.millisecond=Nd.milliseconds=Md,Nd.utcOffset=Na,Nd.utc=Pa,Nd.local=Qa,Nd.parseZone=Ra,Nd.hasAlignedHourOffset=Sa,Nd.isDST=Ta,Nd.isDSTShifted=Ua,Nd.isLocal=Va,Nd.isUtcOffset=Wa,Nd.isUtc=Xa,Nd.isUTC=Xa,Nd.zoneAbbr=Xb,Nd.zoneName=Yb,Nd.dates=aa("dates accessor is deprecated. Use date instead.",Dd),Nd.months=aa("months accessor is deprecated. Use month instead",Y),Nd.years=aa("years accessor is deprecated. Use year instead",td),Nd.zone=aa("moment().zone is deprecated, use moment().utcOffset instead. https://github.com/moment/moment/issues/1779",Oa);var Od=Nd,Pd={sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},Qd={LTS:"h:mm:ss A",LT:"h:mm A",L:"MM/DD/YYYY",LL:"MMMM D, YYYY",LLL:"MMMM D, YYYY h:mm A",LLLL:"dddd, MMMM D, YYYY h:mm A"},Rd="Invalid date",Sd="%d",Td=/\d{1,2}/,Ud={future:"in %s",past:"%s ago",s:"a few seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},Vd=s.prototype;Vd._calendar=Pd,Vd.calendar=_b,Vd._longDateFormat=Qd,Vd.longDateFormat=ac,Vd._invalidDate=Rd,Vd.invalidDate=bc,Vd._ordinal=Sd,Vd.ordinal=cc,Vd._ordinalParse=Td,Vd.preparse=dc,Vd.postformat=dc,Vd._relativeTime=Ud,Vd.relativeTime=ec,Vd.pastFuture=fc,Vd.set=gc,Vd.months=U,Vd._months=md,Vd.monthsShort=V,Vd._monthsShort=nd,Vd.monthsParse=W,Vd.week=ka,Vd._week=ud,Vd.firstDayOfYear=ma,Vd.firstDayOfWeek=la,Vd.weekdays=Lb,Vd._weekdays=Ed,Vd.weekdaysMin=Nb,Vd._weekdaysMin=Gd,Vd.weekdaysShort=Mb,Vd._weekdaysShort=Fd,Vd.weekdaysParse=Ob,Vd.isPM=Ub,Vd._meridiemParse=Hd,Vd.meridiem=Vb,w("en",{ordinalParse:/\d{1,2}(th|st|nd|rd)/,ordinal:function(a){var b=a%10,c=1===q(a%100/10)?"th":1===b?"st":2===b?"nd":3===b?"rd":"th";return a+c}}),a.lang=aa("moment.lang is deprecated. Use moment.locale instead.",w),a.langData=aa("moment.langData is deprecated. Use moment.localeData instead.",y);var Wd=Math.abs,Xd=yc("ms"),Yd=yc("s"),Zd=yc("m"),$d=yc("h"),_d=yc("d"),ae=yc("w"),be=yc("M"),ce=yc("y"),de=Ac("milliseconds"),ee=Ac("seconds"),fe=Ac("minutes"),ge=Ac("hours"),he=Ac("days"),ie=Ac("months"),je=Ac("years"),ke=Math.round,le={s:45,m:45,h:22,d:26,M:11},me=Math.abs,ne=Ha.prototype;ne.abs=oc,ne.add=qc,ne.subtract=rc,ne.as=wc,ne.asMilliseconds=Xd,ne.asSeconds=Yd,ne.asMinutes=Zd,ne.asHours=$d,ne.asDays=_d,ne.asWeeks=ae,ne.asMonths=be,ne.asYears=ce,ne.valueOf=xc,ne._bubble=tc,ne.get=zc,ne.milliseconds=de,ne.seconds=ee,ne.minutes=fe,ne.hours=ge,ne.days=he,ne.weeks=Bc,ne.months=ie,ne.years=je,ne.humanize=Fc,ne.toISOString=Gc,ne.toString=Gc,ne.toJSON=Gc,ne.locale=rb,ne.localeData=sb,ne.toIsoString=aa("toIsoString() is deprecated. Please use toISOString() instead (notice the capitals)",Gc),ne.lang=Cd,H("X",0,0,"unix"),H("x",0,0,"valueOf"),N("x",_c),N("X",bd),Q("X",function(a,b,c){c._d=new Date(1e3*parseFloat(a,10))}),Q("x",function(a,b,c){c._d=new Date(q(a))}),a.version="2.10.6",b(Da),a.fn=Od,a.min=Fa,a.max=Ga,a.utc=h,a.unix=Zb,a.months=jc,a.isDate=d,a.locale=w,a.invalid=l,a.duration=Ya,a.isMoment=o,a.weekdays=lc,a.parseZone=$b,a.localeData=y,a.isDuration=Ia,a.monthsShort=kc,a.weekdaysMin=nc,a.defineLocale=x,a.weekdaysShort=mc,a.normalizeUnits=A,a.relativeTimeThreshold=Ec;var oe=a;return oe}),!function(a){angular.module("ngCsv.config",[]).value("ngCsv.config",{debug:!0}).config(["$compileProvider",function(a){angular.isDefined(a.urlSanitizationWhitelist)?a.urlSanitizationWhitelist(/^\s*(https?|ftp|mailto|file|data):/):a.aHrefSanitizationWhitelist(/^\s*(https?|ftp|mailto|file|data):/)}]),angular.module("ngCsv.directives",["ngCsv.services"]),angular.module("ngCsv.services",[]),angular.module("ngCsv",["ngCsv.config","ngCsv.services","ngCsv.directives","ngSanitize"]),"undefined"!=typeof module&&"undefined"!=typeof exports&&module.exports===exports&&(module.exports="ngCsv"),angular.module("ngCsv.services").service("CSV",["$q",function(a){var b="\r\n",c="\ufeff",d={"\\t":" ","\\b":"\b","\\v":"\x0B","\\f":"\f","\\r":"\r"};this.stringifyField=function(a,b){return"locale"===b.decimalSep&&this.isFloat(a)?a.toLocaleString():"."!==b.decimalSep&&this.isFloat(a)?a.toString().replace(".",b.decimalSep):"string"==typeof a?(a=a.replace(/"/g,'""'),(b.quoteStrings||a.indexOf(",")>-1||a.indexOf("\n")>-1||a.indexOf("\r")>-1)&&(a=b.txtDelim+a+b.txtDelim),a):"boolean"==typeof a?a?"TRUE":"FALSE":a},this.isFloat=function(a){return+a===a&&(!isFinite(a)||Boolean(a%1))},this.stringify=function(d,e){var f=a.defer(),g=this,h="",i="",j=a.when(d).then(function(a){if(angular.isDefined(e.header)&&e.header){var d,j;d=[],angular.forEach(e.header,function(a){this.push(g.stringifyField(a,e))},d),j=d.join(e.fieldSep?e.fieldSep:","),i+=j+b}var k=[];if(angular.isArray(a)?k=a:angular.isFunction(a)&&(k=a()),angular.isDefined(e.label)&&e.label&&"boolean"==typeof e.label){var l,m;l=[],angular.forEach(k[0],function(a,b){this.push(g.stringifyField(b,e))},l),m=l.join(e.fieldSep?e.fieldSep:","),i+=m+b}angular.forEach(k,function(a,c){var d,f,h=angular.copy(k[c]);f=[];var j=e.columnOrder?e.columnOrder:h;angular.forEach(j,function(a){var b=e.columnOrder?h[a]:a;this.push(g.stringifyField(b,e))},f),d=f.join(e.fieldSep?e.fieldSep:","),i+=c
        '),h=angular.element(g.children()[0]);h.attr("href",a.URL.createObjectURL(d)),h.attr("download",b.getFilename()),h.attr("target","_blank"),e.find("body").append(g),f(function(){h[0].click(),h.remove()},null)}}c.bind("click",function(){b.buildCSV().then(function(){d()}),b.$apply()})}}}])}(window,document),function(angular){"use strict";if(!angular)throw"Missing something? Please add angular.js to your project or move this script below the angular.js reference";var directiveId="ngRemoteValidate",remoteValidate=function($http,$timeout,$q){return{restrict:"A",require:["^form","ngModel"],scope:{ngRemoteInterceptors:"=?"},link:function(scope,el,attrs,ctrls){var cache={},handleChange,setValidation,addToCache,request,shouldProcess,ngForm=ctrls[0],ngModel=ctrls[1],options={ngRemoteThrottle:400,ngRemoteMethod:"POST"};angular.extend(options,attrs),"["===options.ngRemoteValidate.charAt(0)?options.urls=eval(options.ngRemoteValidate):"{"===options.ngRemoteValidate.charAt(0)?(options.keys=eval("("+options.ngRemoteValidate+")"),options.urls=Object.keys(options.keys)):options.urls=[options.ngRemoteValidate],addToCache=function(a){var b=a[0].data.value;return cache[b]?cache[b]:void(cache[b]=a)},shouldProcess=function(a){var b=!1;for(var c in ngModel.$error){var d=!options.hasOwnProperty("keys")||!Object.keys(options.keys).filter(function(a){return options.keys[a]===c})[0];if(ngModel.$error[c]&&c!=directiveId&&d){b=!0;break}}return!(ngModel.$pristine||b)},setValidation=function(a,b){for(var c=0,d=a.length,e=options.hasOwnProperty("keys"),f=!0;d>c&&(scope.ngRemoteInterceptors&&scope.ngRemoteInterceptors.response&&(a[c]=scope.ngRemoteInterceptors.response(a[c])),a[c].data.isValid||(f=!1,e));c++){var g=e&&a[c].hasOwnProperty("config")&&options.keys[a[c].config.url];if(g){var h=options.keys[a[c].config.url];ngModel.$setValidity(h,a[c].data.isValid)}}b||addToCache(a),ngModel.$setValidity(directiveId,f),ngModel.$processing=ngModel.$pending=ngForm.$pending=!1},handleChange=function(a){return"undefined"==typeof a||""===a?void ngModel.$setPristine():shouldProcess(a)?cache[a]?setValidation(cache[a],!0):(ngModel.$pending||(ngModel.$processing=ngModel.$pending=ngForm.$pending=!0),request&&$timeout.cancel(request),request=$timeout(function(){var b=[],c=0,d=options.urls.length,e={value:a},f={method:options.ngRemoteMethod};for(scope[el[0].name+"SetArgs"]&&(e=scope[el[0].name+"SetArgs"](a,el,attrs,ngModel)),"POST"==options.ngRemoteMethod?f.data=e:f.params=e;d>c;c++)f.url=options.urls[c],scope.ngRemoteInterceptors&&scope.ngRemoteInterceptors.request&&(f=scope.ngRemoteInterceptors.request(f)),b.push($http(f));$q.all(b).then(setValidation)},options.ngRemoteThrottle),!0):setValidation([{data:{isValid:!0,value:a}}],!0)},scope.$watch(function(){return ngModel.$viewValue},handleChange)}}};angular.module("remoteValidation",[]).constant("MODULE_VERSION","0.6.1").directive(directiveId,["$http","$timeout","$q",remoteValidate])}(this.angular),function(a){"function"==typeof define&&define.amd?define(["jquery"],a):"object"==typeof exports?module.exports=a:a(jQuery)}(function(a){function b(b){var g=b||window.event,h=i.call(arguments,1),j=0,l=0,m=0,n=0,o=0,p=0;if(b=a.event.fix(g),b.type="mousewheel","detail"in g&&(m=-1*g.detail),"wheelDelta"in g&&(m=g.wheelDelta),"wheelDeltaY"in g&&(m=g.wheelDeltaY),"wheelDeltaX"in g&&(l=-1*g.wheelDeltaX),"axis"in g&&g.axis===g.HORIZONTAL_AXIS&&(l=-1*m,m=0),j=0===m?l:m,"deltaY"in g&&(m=-1*g.deltaY,j=m),"deltaX"in g&&(l=g.deltaX,0===m&&(j=-1*l)),0!==m||0!==l){if(1===g.deltaMode){var q=a.data(this,"mousewheel-line-height");j*=q,m*=q,l*=q}else if(2===g.deltaMode){var r=a.data(this,"mousewheel-page-height");j*=r,m*=r,l*=r}if(n=Math.max(Math.abs(m),Math.abs(l)),(!f||f>n)&&(f=n,d(g,n)&&(f/=40)),d(g,n)&&(j/=40,l/=40,m/=40),j=Math[j>=1?"floor":"ceil"](j/f),l=Math[l>=1?"floor":"ceil"](l/f),m=Math[m>=1?"floor":"ceil"](m/f),k.settings.normalizeOffset&&this.getBoundingClientRect){var s=this.getBoundingClientRect();o=b.clientX-s.left,p=b.clientY-s.top}return b.deltaX=l,b.deltaY=m,b.deltaFactor=f,b.offsetX=o,b.offsetY=p,b.deltaMode=0,h.unshift(b,j,l,m),e&&clearTimeout(e),e=setTimeout(c,200),(a.event.dispatch||a.event.handle).apply(this,h)}}function c(){f=null}function d(a,b){return k.settings.adjustOldDeltas&&"mousewheel"===a.type&&b%120===0}var e,f,g=["wheel","mousewheel","DOMMouseScroll","MozMousePixelScroll"],h="onwheel"in document||document.documentMode>=9?["wheel"]:["mousewheel","DomMouseScroll","MozMousePixelScroll"],i=Array.prototype.slice;if(a.event.fixHooks)for(var j=g.length;j;)a.event.fixHooks[g[--j]]=a.event.mouseHooks;var k=a.event.special.mousewheel={version:"3.1.12",setup:function(){if(this.addEventListener)for(var c=h.length;c;)this.addEventListener(h[--c],b,!1);else this.onmousewheel=b;a.data(this,"mousewheel-line-height",k.getLineHeight(this)),a.data(this,"mousewheel-page-height",k.getPageHeight(this))},teardown:function(){if(this.removeEventListener)for(var c=h.length;c;)this.removeEventListener(h[--c],b,!1);else this.onmousewheel=null;a.removeData(this,"mousewheel-line-height"),a.removeData(this,"mousewheel-page-height")},getLineHeight:function(b){var c=a(b),d=c["offsetParent"in a.fn?"offsetParent":"parent"]();return d.length||(d=a("body")),parseInt(d.css("fontSize"),10)||parseInt(c.css("fontSize"),10)||16},getPageHeight:function(b){return a(b).height()},settings:{adjustOldDeltas:!0,normalizeOffset:!0}};a.fn.extend({mousewheel:function(a){return a?this.bind("mousewheel",a):this.trigger("mousewheel")},unmousewheel:function(a){return this.unbind("mousewheel",a)}})}),function(a){"undefined"!=typeof module&&module.exports?module.exports=a:a(jQuery,window,document)}(function(a){!function(b){var c="function"==typeof define&&define.amd,d="undefined"!=typeof module&&module.exports,e="https:"==document.location.protocol?"https:":"http:",f="cdnjs.cloudflare.com/ajax/libs/jquery-mousewheel/3.1.13/jquery.mousewheel.min.js";c||(d?require("jquery-mousewheel")(a):a.event.special.mousewheel||a("head").append(decodeURI("%3Cscript src="+e+"//"+f+"%3E%3C/script%3E"))),b()}(function(){var b,c="mCustomScrollbar",d="mCS",e=".mCustomScrollbar",f={setTop:0,setLeft:0,axis:"y",scrollbarPosition:"inside",scrollInertia:950,autoDraggerLength:!0,alwaysShowScrollbar:0,snapOffset:0,mouseWheel:{enable:!0,scrollAmount:"auto",axis:"y",deltaFactor:"auto",disableOver:["select","option","keygen","datalist","textarea"]},scrollButtons:{scrollType:"stepless",scrollAmount:"auto"},keyboard:{enable:!0,scrollType:"stepless",scrollAmount:"auto"},contentTouchScroll:25,documentTouchScroll:!0,advanced:{autoScrollOnFocus:"input,textarea,select,button,datalist,keygen,a[tabindex],area,object,[contenteditable='true']",updateOnContentResize:!0,updateOnImageLoad:"auto",autoUpdateTimeout:60},theme:"light",callbacks:{onTotalScrollOffset:0,onTotalScrollBackOffset:0,alwaysTriggerOffsets:!0}},g=0,h={},i=window.attachEvent&&!window.addEventListener?1:0,j=!1,k=["mCSB_dragger_onDrag","mCSB_scrollTools_onDrag","mCS_img_loaded","mCS_disabled","mCS_destroyed","mCS_no_scrollbar","mCS-autoHide","mCS-dir-rtl","mCS_no_scrollbar_y","mCS_no_scrollbar_x","mCS_y_hidden","mCS_x_hidden","mCSB_draggerContainer","mCSB_buttonUp","mCSB_buttonDown","mCSB_buttonLeft","mCSB_buttonRight"],l={init:function(b){var b=a.extend(!0,{},f,b),c=m.call(this);if(b.live){var i=b.liveSelector||this.selector||e,j=a(i);if("off"===b.live)return void o(i);h[i]=setTimeout(function(){j.mCustomScrollbar(b),"once"===b.live&&j.length&&o(i)},500)}else o(i);return b.setWidth=b.set_width?b.set_width:b.setWidth,b.setHeight=b.set_height?b.set_height:b.setHeight,b.axis=b.horizontalScroll?"x":p(b.axis),b.scrollInertia=b.scrollInertia>0&&b.scrollInertia<17?17:b.scrollInertia,"object"!=typeof b.mouseWheel&&1==b.mouseWheel&&(b.mouseWheel={enable:!0,scrollAmount:"auto",axis:"y",preventDefault:!1,deltaFactor:"auto",normalizeDelta:!1,invert:!1}),b.mouseWheel.scrollAmount=b.mouseWheelPixels?b.mouseWheelPixels:b.mouseWheel.scrollAmount,b.mouseWheel.normalizeDelta=b.advanced.normalizeMouseWheelDelta?b.advanced.normalizeMouseWheelDelta:b.mouseWheel.normalizeDelta,b.scrollButtons.scrollType=q(b.scrollButtons.scrollType),n(b),a(c).each(function(){var c=a(this);if(!c.data(d)){c.data(d,{idx:++g,opt:b,scrollRatio:{y:null,x:null},overflowed:null,contentReset:{y:null,x:null},bindEvents:!1,tweenRunning:!1,sequential:{},langDir:c.css("direction"),cbOffsets:null,trigger:null,poll:{size:{o:0,n:0},img:{o:0,n:0},change:{o:0,n:0}}});var e=c.data(d),f=e.opt,h=c.data("mcs-axis"),i=c.data("mcs-scrollbar-position"),j=c.data("mcs-theme");h&&(f.axis=h),i&&(f.scrollbarPosition=i),j&&(f.theme=j,n(f)),r.call(this),e&&f.callbacks.onCreate&&"function"==typeof f.callbacks.onCreate&&f.callbacks.onCreate.call(this),a("#mCSB_"+e.idx+"_container img:not(."+k[2]+")").addClass(k[2]),l.update.call(null,c)}})},update:function(b,c){var e=b||m.call(this);return a(e).each(function(){var b=a(this);if(b.data(d)){var e=b.data(d),f=e.opt,g=a("#mCSB_"+e.idx+"_container"),h=a("#mCSB_"+e.idx),i=[a("#mCSB_"+e.idx+"_dragger_vertical"),a("#mCSB_"+e.idx+"_dragger_horizontal")];if(!g.length)return;e.tweenRunning&&U(b),c&&e&&f.callbacks.onBeforeUpdate&&"function"==typeof f.callbacks.onBeforeUpdate&&f.callbacks.onBeforeUpdate.call(this),b.hasClass(k[3])&&b.removeClass(k[3]),b.hasClass(k[4])&&b.removeClass(k[4]),h.css("max-height","none"),h.height()!==b.height()&&h.css("max-height",b.height()),t.call(this),"y"===f.axis||f.advanced.autoExpandHorizontalScroll||g.css("width",s(g)),e.overflowed=y.call(this),C.call(this),f.autoDraggerLength&&v.call(this),w.call(this),A.call(this);var j=[Math.abs(g[0].offsetTop),Math.abs(g[0].offsetLeft)];"x"!==f.axis&&(e.overflowed[0]?i[0].height()>i[0].parent().height()?z.call(this):(V(b,j[0].toString(),{dir:"y",dur:0,overwrite:"none"}),e.contentReset.y=null):(z.call(this),"y"===f.axis?B.call(this):"yx"===f.axis&&e.overflowed[1]&&V(b,j[1].toString(),{dir:"x",dur:0,overwrite:"none"}))),"y"!==f.axis&&(e.overflowed[1]?i[1].width()>i[1].parent().width()?z.call(this):(V(b,j[1].toString(),{dir:"x",dur:0,overwrite:"none"}),e.contentReset.x=null):(z.call(this),"x"===f.axis?B.call(this):"yx"===f.axis&&e.overflowed[0]&&V(b,j[0].toString(),{dir:"y",dur:0,overwrite:"none"}))),c&&e&&(2===c&&f.callbacks.onImageLoad&&"function"==typeof f.callbacks.onImageLoad?f.callbacks.onImageLoad.call(this):3===c&&f.callbacks.onSelectorChange&&"function"==typeof f.callbacks.onSelectorChange?f.callbacks.onSelectorChange.call(this):f.callbacks.onUpdate&&"function"==typeof f.callbacks.onUpdate&&f.callbacks.onUpdate.call(this)), +S.call(this)}})},scrollTo:function(b,c){if("undefined"!=typeof b&&null!=b){var e=m.call(this);return a(e).each(function(){var e=a(this);if(e.data(d)){var f=e.data(d),g=f.opt,h={trigger:"external",scrollInertia:g.scrollInertia,scrollEasing:"mcsEaseInOut",moveDragger:!1,timeout:60,callbacks:!0,onStart:!0,onUpdate:!0,onComplete:!0},i=a.extend(!0,{},h,c),j=Q.call(this,b),k=i.scrollInertia>0&&i.scrollInertia<17?17:i.scrollInertia;j[0]=R.call(this,j[0],"y"),j[1]=R.call(this,j[1],"x"),i.moveDragger&&(j[0]*=f.scrollRatio.y,j[1]*=f.scrollRatio.x),i.dur=ca()?0:k,setTimeout(function(){null!==j[0]&&"undefined"!=typeof j[0]&&"x"!==g.axis&&f.overflowed[0]&&(i.dir="y",i.overwrite="all",V(e,j[0].toString(),i)),null!==j[1]&&"undefined"!=typeof j[1]&&"y"!==g.axis&&f.overflowed[1]&&(i.dir="x",i.overwrite="none",V(e,j[1].toString(),i))},i.timeout)}})}},stop:function(){var b=m.call(this);return a(b).each(function(){var b=a(this);b.data(d)&&U(b)})},disable:function(b){var c=m.call(this);return a(c).each(function(){var c=a(this);if(c.data(d)){c.data(d);S.call(this,"remove"),B.call(this),b&&z.call(this),C.call(this,!0),c.addClass(k[3])}})},destroy:function(){var b=m.call(this);return a(b).each(function(){var e=a(this);if(e.data(d)){var f=e.data(d),g=f.opt,h=a("#mCSB_"+f.idx),i=a("#mCSB_"+f.idx+"_container"),j=a(".mCSB_"+f.idx+"_scrollbar");g.live&&o(g.liveSelector||a(b).selector),S.call(this,"remove"),B.call(this),z.call(this),e.removeData(d),Z(this,"mcs"),j.remove(),i.find("img."+k[2]).removeClass(k[2]),h.replaceWith(i.contents()),e.removeClass(c+" _"+d+"_"+f.idx+" "+k[6]+" "+k[7]+" "+k[5]+" "+k[3]).addClass(k[4])}})}},m=function(){return"object"!=typeof a(this)||a(this).length<1?e:this},n=function(b){var c=["rounded","rounded-dark","rounded-dots","rounded-dots-dark"],d=["rounded-dots","rounded-dots-dark","3d","3d-dark","3d-thick","3d-thick-dark","inset","inset-dark","inset-2","inset-2-dark","inset-3","inset-3-dark"],e=["minimal","minimal-dark"],f=["minimal","minimal-dark"],g=["minimal","minimal-dark"];b.autoDraggerLength=a.inArray(b.theme,c)>-1?!1:b.autoDraggerLength,b.autoExpandScrollbar=a.inArray(b.theme,d)>-1?!1:b.autoExpandScrollbar,b.scrollButtons.enable=a.inArray(b.theme,e)>-1?!1:b.scrollButtons.enable,b.autoHideScrollbar=a.inArray(b.theme,f)>-1?!0:b.autoHideScrollbar,b.scrollbarPosition=a.inArray(b.theme,g)>-1?"outside":b.scrollbarPosition},o=function(a){h[a]&&(clearTimeout(h[a]),Z(h,a))},p=function(a){return"yx"===a||"xy"===a||"auto"===a?"yx":"x"===a||"horizontal"===a?"x":"y"},q=function(a){return"stepped"===a||"pixels"===a||"step"===a||"click"===a?"stepped":"stepless"},r=function(){var b=a(this),e=b.data(d),f=e.opt,g=f.autoExpandScrollbar?" "+k[1]+"_expand":"",h=["
        ","
        "],i="yx"===f.axis?"mCSB_vertical_horizontal":"x"===f.axis?"mCSB_horizontal":"mCSB_vertical",j="yx"===f.axis?h[0]+h[1]:"x"===f.axis?h[1]:h[0],l="yx"===f.axis?"
        ":"",m=f.autoHideScrollbar?" "+k[6]:"",n="x"!==f.axis&&"rtl"===e.langDir?" "+k[7]:"";f.setWidth&&b.css("width",f.setWidth),f.setHeight&&b.css("height",f.setHeight),f.setLeft="y"!==f.axis&&"rtl"===e.langDir?"989999px":f.setLeft,b.addClass(c+" _"+d+"_"+e.idx+m+n).wrapInner("
        ");var o=a("#mCSB_"+e.idx),p=a("#mCSB_"+e.idx+"_container");"y"===f.axis||f.advanced.autoExpandHorizontalScroll||p.css("width",s(p)),"outside"===f.scrollbarPosition?("static"===b.css("position")&&b.css("position","relative"),b.css("overflow","visible"),o.addClass("mCSB_outside").after(j)):(o.addClass("mCSB_inside").append(j),p.wrap(l)),u.call(this);var q=[a("#mCSB_"+e.idx+"_dragger_vertical"),a("#mCSB_"+e.idx+"_dragger_horizontal")];q[0].css("min-height",q[0].height()),q[1].css("min-width",q[1].width())},s=function(b){var c=[b[0].scrollWidth,Math.max.apply(Math,b.children().map(function(){return a(this).outerWidth(!0)}).get())],d=b.parent().width();return c[0]>d?c[0]:c[1]>d?c[1]:"100%"},t=function(){var b=a(this),c=b.data(d),e=c.opt,f=a("#mCSB_"+c.idx+"_container");if(e.advanced.autoExpandHorizontalScroll&&"y"!==e.axis){f.css({width:"auto","min-width":0,"overflow-x":"scroll"});var g=Math.ceil(f[0].scrollWidth);3===e.advanced.autoExpandHorizontalScroll||2!==e.advanced.autoExpandHorizontalScroll&&g>f.parent().width()?f.css({width:g,"min-width":"100%","overflow-x":"inherit"}):f.css({"overflow-x":"inherit",position:"absolute"}).wrap("
        ").css({width:Math.ceil(f[0].getBoundingClientRect().right+.4)-Math.floor(f[0].getBoundingClientRect().left),"min-width":"100%",position:"relative"}).unwrap()}},u=function(){var b=a(this),c=b.data(d),e=c.opt,f=a(".mCSB_"+c.idx+"_scrollbar:first"),g=aa(e.scrollButtons.tabindex)?"tabindex='"+e.scrollButtons.tabindex+"'":"",h=["","","",""],i=["x"===e.axis?h[2]:h[0],"x"===e.axis?h[3]:h[1],h[2],h[3]];e.scrollButtons.enable&&f.prepend(i[0]).append(i[1]).next(".mCSB_scrollTools").prepend(i[2]).append(i[3])},v=function(){var b=a(this),c=b.data(d),e=a("#mCSB_"+c.idx),f=a("#mCSB_"+c.idx+"_container"),g=[a("#mCSB_"+c.idx+"_dragger_vertical"),a("#mCSB_"+c.idx+"_dragger_horizontal")],h=[e.height()/f.outerHeight(!1),e.width()/f.outerWidth(!1)],j=[parseInt(g[0].css("min-height")),Math.round(h[0]*g[0].parent().height()),parseInt(g[1].css("min-width")),Math.round(h[1]*g[1].parent().width())],k=i&&j[1]g&&(g=i),j>h&&(h=j),[g>e.height(),h>e.width()]},z=function(){var b=a(this),c=b.data(d),e=c.opt,f=a("#mCSB_"+c.idx),g=a("#mCSB_"+c.idx+"_container"),h=[a("#mCSB_"+c.idx+"_dragger_vertical"),a("#mCSB_"+c.idx+"_dragger_horizontal")];if(U(b),("x"!==e.axis&&!c.overflowed[0]||"y"===e.axis&&c.overflowed[0])&&(h[0].add(g).css("top",0),V(b,"_resetY")),"y"!==e.axis&&!c.overflowed[1]||"x"===e.axis&&c.overflowed[1]){var i=dx=0;"rtl"===c.langDir&&(i=f.width()-g.outerWidth(!1),dx=Math.abs(i/c.scrollRatio.x)),g.css("left",i),h[1].css("left",dx),V(b,"_resetX")}},A=function(){function b(){g=setTimeout(function(){a.event.special.mousewheel?(clearTimeout(g),H.call(c[0])):b()},100)}var c=a(this),e=c.data(d),f=e.opt;if(!e.bindEvents){if(E.call(this),f.contentTouchScroll&&F.call(this),G.call(this),f.mouseWheel.enable){var g;b()}K.call(this),M.call(this),f.advanced.autoScrollOnFocus&&L.call(this),f.scrollButtons.enable&&N.call(this),f.keyboard.enable&&O.call(this),e.bindEvents=!0}},B=function(){var b=a(this),c=b.data(d),e=c.opt,f=d+"_"+c.idx,g=".mCSB_"+c.idx+"_scrollbar",h=a("#mCSB_"+c.idx+",#mCSB_"+c.idx+"_container,#mCSB_"+c.idx+"_container_wrapper,"+g+" ."+k[12]+",#mCSB_"+c.idx+"_dragger_vertical,#mCSB_"+c.idx+"_dragger_horizontal,"+g+">a"),i=a("#mCSB_"+c.idx+"_container");e.advanced.releaseDraggableSelectors&&h.add(a(e.advanced.releaseDraggableSelectors)),e.advanced.extraDraggableSelectors&&h.add(a(e.advanced.extraDraggableSelectors)),c.bindEvents&&(a(document).add(a(!I()||top.document)).unbind("."+f),h.each(function(){a(this).unbind("."+f)}),clearTimeout(b[0]._focusTimeout),Z(b[0],"_focusTimeout"),clearTimeout(c.sequential.step),Z(c.sequential,"step"),clearTimeout(i[0].onCompleteTimeout),Z(i[0],"onCompleteTimeout"),c.bindEvents=!1)},C=function(b){var c=a(this),e=c.data(d),f=e.opt,g=a("#mCSB_"+e.idx+"_container_wrapper"),h=g.length?g:a("#mCSB_"+e.idx+"_container"),i=[a("#mCSB_"+e.idx+"_scrollbar_vertical"),a("#mCSB_"+e.idx+"_scrollbar_horizontal")],j=[i[0].find(".mCSB_dragger"),i[1].find(".mCSB_dragger")];"x"!==f.axis&&(e.overflowed[0]&&!b?(i[0].add(j[0]).add(i[0].children("a")).css("display","block"),h.removeClass(k[8]+" "+k[10])):(f.alwaysShowScrollbar?(2!==f.alwaysShowScrollbar&&j[0].css("display","none"),h.removeClass(k[10])):(i[0].css("display","none"),h.addClass(k[10])),h.addClass(k[8]))),"y"!==f.axis&&(e.overflowed[1]&&!b?(i[1].add(j[1]).add(i[1].children("a")).css("display","block"),h.removeClass(k[9]+" "+k[11])):(f.alwaysShowScrollbar?(2!==f.alwaysShowScrollbar&&j[1].css("display","none"),h.removeClass(k[11])):(i[1].css("display","none"),h.addClass(k[11])),h.addClass(k[9]))),e.overflowed[0]||e.overflowed[1]?c.removeClass(k[5]):c.addClass(k[5])},D=function(b){var c=b.type,d=b.target.ownerDocument!==document?[a(frameElement).offset().top,a(frameElement).offset().left]:null,e=I()&&b.target.ownerDocument!==top.document?[a(b.view.frameElement).offset().top,a(b.view.frameElement).offset().left]:[0,0];switch(c){case"pointerdown":case"MSPointerDown":case"pointermove":case"MSPointerMove":case"pointerup":case"MSPointerUp":return d?[b.originalEvent.pageY-d[0]+e[0],b.originalEvent.pageX-d[1]+e[1],!1]:[b.originalEvent.pageY,b.originalEvent.pageX,!1];case"touchstart":case"touchmove":case"touchend":var f=b.originalEvent.touches[0]||b.originalEvent.changedTouches[0],g=b.originalEvent.touches.length||b.originalEvent.changedTouches.length;return b.target.ownerDocument!==document?[f.screenY,f.screenX,g>1]:[f.pageY,f.pageX,g>1];default:return d?[b.pageY-d[0]+e[0],b.pageX-d[1]+e[1],!1]:[b.pageY,b.pageX,!1]}},E=function(){function b(a){var b=o.find("iframe");if(b.length){var c=a?"auto":"none";b.css("pointer-events",c)}}function c(a,b,c,d){if(o[0].idleTimer=l.scrollInertia<233?250:0,e.attr("id")===n[1])var f="x",g=(e[0].offsetLeft-b+d)*k.scrollRatio.x;else var f="y",g=(e[0].offsetTop-a+c)*k.scrollRatio.y;V(h,g.toString(),{dir:f,drag:!0})}var e,f,g,h=a(this),k=h.data(d),l=k.opt,m=d+"_"+k.idx,n=["mCSB_"+k.idx+"_dragger_vertical","mCSB_"+k.idx+"_dragger_horizontal"],o=a("#mCSB_"+k.idx+"_container"),p=a("#"+n[0]+",#"+n[1]),q=l.advanced.releaseDraggableSelectors?p.add(a(l.advanced.releaseDraggableSelectors)):p,r=l.advanced.extraDraggableSelectors?a(!I()||top.document).add(a(l.advanced.extraDraggableSelectors)):a(!I()||top.document);p.bind("mousedown."+m+" touchstart."+m+" pointerdown."+m+" MSPointerDown."+m,function(c){if(c.stopImmediatePropagation(),c.preventDefault(),$(c)){j=!0,i&&(document.onselectstart=function(){return!1}),b(!1),U(h),e=a(this);var d=e.offset(),k=D(c)[0]-d.top,m=D(c)[1]-d.left,n=e.height()+d.top,o=e.width()+d.left;n>k&&k>0&&o>m&&m>0&&(f=k,g=m),x(e,"active",l.autoExpandScrollbar)}}).bind("touchmove."+m,function(a){a.stopImmediatePropagation(),a.preventDefault();var b=e.offset(),d=D(a)[0]-b.top,h=D(a)[1]-b.left;c(f,g,d,h)}),a(document).add(r).bind("mousemove."+m+" pointermove."+m+" MSPointerMove."+m,function(a){if(e){var b=e.offset(),d=D(a)[0]-b.top,h=D(a)[1]-b.left;if(f===d&&g===h)return;c(f,g,d,h)}}).add(q).bind("mouseup."+m+" touchend."+m+" pointerup."+m+" MSPointerUp."+m,function(a){e&&(x(e,"active",l.autoExpandScrollbar),e=null),j=!1,i&&(document.onselectstart=null),b(!0)})},F=function(){function c(a){if(!_(a)||j||D(a)[2])return void(b=0);b=1,w=0,x=0,k=1,y.removeClass("mCS_touch_action");var c=E.offset();l=D(a)[0]-c.top,m=D(a)[1]-c.left,L=[D(a)[0],D(a)[1]]}function e(a){if(_(a)&&!j&&!D(a)[2]&&(A.documentTouchScroll||a.preventDefault(),a.stopImmediatePropagation(),(!x||w)&&k)){q=X();var b=C.offset(),c=D(a)[0]-b.top,d=D(a)[1]-b.left,e="mcsLinearOut";if(G.push(c),H.push(d),L[2]=Math.abs(D(a)[0]-L[0]),L[3]=Math.abs(D(a)[1]-L[1]),z.overflowed[0])var f=F[0].parent().height()-F[0].height(),g=l-c>0&&c-l>-(f*z.scrollRatio.y)&&(2*L[3]0&&d-m>-(h*z.scrollRatio.x)&&(2*L[2]30)){t=1e3/(r-p);var e="mcsEaseOut",f=2.5>t,g=f?[G[G.length-2],H[H.length-2]]:[0,0];s=f?[c-g[0],d-g[1]]:[c-n,d-o];var l=[Math.abs(s[0]),Math.abs(s[1])];t=f?[Math.abs(s[0]/4),Math.abs(s[1]/4)]:[t,t];var m=[Math.abs(E[0].offsetTop)-s[0]*h(l[0]/t[0],t[0]),Math.abs(E[0].offsetLeft)-s[1]*h(l[1]/t[1],t[1])];u="yx"===A.axis?[m[0],m[1]]:"x"===A.axis?[null,m[1]]:[m[0],null],v=[4*l[0]+A.scrollInertia,4*l[1]+A.scrollInertia];var y=parseInt(A.contentTouchScroll)||0;u[0]=l[0]>y?u[0]:0,u[1]=l[1]>y?u[1]:0,z.overflowed[0]&&i(u[0],v[0],e,"y",K,!1),z.overflowed[1]&&i(u[1],v[1],e,"x",K,!1)}}}function h(a,b){var c=[1.5*b,2*b,b/1.5,b/2];return a>90?b>4?c[0]:c[3]:a>60?b>3?c[3]:c[2]:a>30?b>8?c[1]:b>6?c[0]:b>4?b:c[2]:b>8?b:c[3]}function i(a,b,c,d,e,f){a&&V(y,a.toString(),{dur:b,scrollEasing:c,dir:d,overwrite:e,drag:f})}var k,l,m,n,o,p,q,r,s,t,u,v,w,x,y=a(this),z=y.data(d),A=z.opt,B=d+"_"+z.idx,C=a("#mCSB_"+z.idx),E=a("#mCSB_"+z.idx+"_container"),F=[a("#mCSB_"+z.idx+"_dragger_vertical"),a("#mCSB_"+z.idx+"_dragger_horizontal")],G=[],H=[],J=0,K="yx"===A.axis?"none":"all",L=[],M=E.find("iframe"),N=["touchstart."+B+" pointerdown."+B+" MSPointerDown."+B,"touchmove."+B+" pointermove."+B+" MSPointerMove."+B,"touchend."+B+" pointerup."+B+" MSPointerUp."+B],O=void 0!==document.body.style.touchAction;E.bind(N[0],function(a){c(a)}).bind(N[1],function(a){e(a)}),C.bind(N[0],function(a){f(a)}).bind(N[2],function(a){g(a)}),M.length&&M.each(function(){a(this).load(function(){I(this)&&a(this.contentDocument||this.contentWindow.document).bind(N[0],function(a){c(a),f(a)}).bind(N[1],function(a){e(a)}).bind(N[2],function(a){g(a)})})})},G=function(){function c(){return window.getSelection?window.getSelection().toString():document.selection&&"Control"!=document.selection.type?document.selection.createRange().text:0}function e(a,b,c){k.type=c&&f?"stepped":"stepless",k.scrollAmount=10,P(g,a,b,"mcsLinearOut",c?60:null)}var f,g=a(this),h=g.data(d),i=h.opt,k=h.sequential,l=d+"_"+h.idx,m=a("#mCSB_"+h.idx+"_container"),n=m.parent();m.bind("mousedown."+l,function(a){b||f||(f=1,j=!0)}).add(document).bind("mousemove."+l,function(a){if(!b&&f&&c()){var d=m.offset(),g=D(a)[0]-d.top+m[0].offsetTop,j=D(a)[1]-d.left+m[0].offsetLeft;g>0&&g0&&jg?e("on",38):g>n.height()&&e("on",40)),"y"!==i.axis&&h.overflowed[1]&&(0>j?e("on",37):j>n.width()&&e("on",39)))}}).bind("mouseup."+l+" dragend."+l,function(a){b||(f&&(f=0,e("off",null)),j=!1)})},H=function(){function b(b,d){if(U(c),!J(c,b.target)){var g="auto"!==f.mouseWheel.deltaFactor?parseInt(f.mouseWheel.deltaFactor):i&&b.deltaFactor<100?100:b.deltaFactor||100,k=f.scrollInertia;if("x"===f.axis||"x"===f.mouseWheel.axis)var l="x",m=[Math.round(g*e.scrollRatio.x),parseInt(f.mouseWheel.scrollAmount)],n="auto"!==f.mouseWheel.scrollAmount?m[1]:m[0]>=h.width()?.9*h.width():m[0],o=Math.abs(a("#mCSB_"+e.idx+"_container")[0].offsetLeft),p=j[1][0].offsetLeft,q=j[1].parent().width()-j[1].width(),r=b.deltaX||b.deltaY||d;else var l="y",m=[Math.round(g*e.scrollRatio.y),parseInt(f.mouseWheel.scrollAmount)],n="auto"!==f.mouseWheel.scrollAmount?m[1]:m[0]>=h.height()?.9*h.height():m[0],o=Math.abs(a("#mCSB_"+e.idx+"_container")[0].offsetTop),p=j[0][0].offsetTop,q=j[0].parent().height()-j[0].height(),r=b.deltaY||d;"y"===l&&!e.overflowed[0]||"x"===l&&!e.overflowed[1]||((f.mouseWheel.invert||b.webkitDirectionInvertedFromDevice)&&(r=-r),f.mouseWheel.normalizeDelta&&(r=0>r?-1:1),(r>0&&0!==p||0>r&&p!==q||f.mouseWheel.preventDefault)&&(b.stopImmediatePropagation(),b.preventDefault()),b.deltaFactor<2&&!f.mouseWheel.normalizeDelta&&(n=b.deltaFactor,k=17),V(c,(o-r*n).toString(),{dir:l,dur:k}))}}if(a(this).data(d)){var c=a(this),e=c.data(d),f=e.opt,g=d+"_"+e.idx,h=a("#mCSB_"+e.idx),j=[a("#mCSB_"+e.idx+"_dragger_vertical"),a("#mCSB_"+e.idx+"_dragger_horizontal")],k=a("#mCSB_"+e.idx+"_container").find("iframe");k.length&&k.each(function(){a(this).load(function(){I(this)&&a(this.contentDocument||this.contentWindow.document).bind("mousewheel."+g,function(a,c){b(a,c)})})}),h.bind("mousewheel."+g,function(a,c){b(a,c)})}},I=function(a){var b=null;if(a){try{var c=a.contentDocument||a.contentWindow.document;b=c.body.innerHTML}catch(d){}return null!==b}try{var c=top.document;b=c.body.innerHTML}catch(d){}return null!==b},J=function(b,c){var e=c.nodeName.toLowerCase(),f=b.data(d).opt.mouseWheel.disableOver,g=["select","textarea"];return a.inArray(e,f)>-1&&!(a.inArray(e,g)>-1&&!a(c).is(":focus"))},K=function(){var b,c=a(this),e=c.data(d),f=d+"_"+e.idx,g=a("#mCSB_"+e.idx+"_container"),h=g.parent(),i=a(".mCSB_"+e.idx+"_scrollbar ."+k[12]);i.bind("mousedown."+f+" touchstart."+f+" pointerdown."+f+" MSPointerDown."+f,function(c){j=!0,a(c.target).hasClass("mCSB_dragger")||(b=1)}).bind("touchend."+f+" pointerup."+f+" MSPointerUp."+f,function(a){j=!1}).bind("click."+f,function(d){if(b&&(b=0,a(d.target).hasClass(k[12])||a(d.target).hasClass("mCSB_draggerRail"))){U(c);var f=a(this),i=f.find(".mCSB_dragger");if(f.parent(".mCSB_scrollTools_horizontal").length>0){if(!e.overflowed[1])return;var j="x",l=d.pageX>i.offset().left?-1:1,m=Math.abs(g[0].offsetLeft)-l*(.9*h.width())}else{if(!e.overflowed[0])return;var j="y",l=d.pageY>i.offset().top?-1:1,m=Math.abs(g[0].offsetTop)-l*(.9*h.height())}V(c,m.toString(),{dir:j,scrollEasing:"mcsEaseInOut"})}})},L=function(){var b=a(this),c=b.data(d),e=c.opt,f=d+"_"+c.idx,g=a("#mCSB_"+c.idx+"_container"),h=g.parent();g.bind("focusin."+f,function(c){var d=a(document.activeElement),f=g.find(".mCustomScrollBox").length,i=0;d.is(e.advanced.autoScrollOnFocus)&&(U(b),clearTimeout(b[0]._focusTimeout),b[0]._focusTimer=f?(i+17)*f:0,b[0]._focusTimeout=setTimeout(function(){var a=[ba(d)[0],ba(d)[1]],c=[g[0].offsetTop,g[0].offsetLeft],f=[c[0]+a[0]>=0&&c[0]+a[0]=0&&c[0]+a[1]a");i.bind("mousedown."+g+" touchstart."+g+" pointerdown."+g+" MSPointerDown."+g+" mouseup."+g+" touchend."+g+" pointerup."+g+" MSPointerUp."+g+" mouseout."+g+" pointerout."+g+" MSPointerOut."+g+" click."+g,function(d){function g(a,c){f.scrollAmount=e.scrollButtons.scrollAmount,P(b,a,c)}if(d.preventDefault(),$(d)){var h=a(this).attr("class");switch(f.type=e.scrollButtons.scrollType,d.type){case"mousedown":case"touchstart":case"pointerdown":case"MSPointerDown":if("stepped"===f.type)return;j=!0,c.tweenRunning=!1,g("on",h);break;case"mouseup":case"touchend":case"pointerup":case"MSPointerUp":case"mouseout":case"pointerout":case"MSPointerOut":if("stepped"===f.type)return;j=!1,f.dir&&g("off",h);break;case"click":if("stepped"!==f.type||c.tweenRunning)return;g("on",h)}}})},O=function(){function b(b){function d(a,b){g.type=f.keyboard.scrollType,g.scrollAmount=f.keyboard.scrollAmount,"stepped"===g.type&&e.tweenRunning||P(c,a,b)}switch(b.type){case"blur":e.tweenRunning&&g.dir&&d("off",null);break;case"keydown":case"keyup":var h=b.keyCode?b.keyCode:b.which,i="on";if("x"!==f.axis&&(38===h||40===h)||"y"!==f.axis&&(37===h||39===h)){if((38===h||40===h)&&!e.overflowed[0]||(37===h||39===h)&&!e.overflowed[1])return;"keyup"===b.type&&(i="off"),a(document.activeElement).is(l)||(b.preventDefault(),b.stopImmediatePropagation(),d(i,h))}else if(33===h||34===h){if((e.overflowed[0]||e.overflowed[1])&&(b.preventDefault(),b.stopImmediatePropagation()),"keyup"===b.type){U(c);var m=34===h?-1:1;if("x"===f.axis||"yx"===f.axis&&e.overflowed[1]&&!e.overflowed[0])var n="x",o=Math.abs(j[0].offsetLeft)-m*(.9*k.width());else var n="y",o=Math.abs(j[0].offsetTop)-m*(.9*k.height());V(c,o.toString(),{dir:n,scrollEasing:"mcsEaseInOut"})}}else if((35===h||36===h)&&!a(document.activeElement).is(l)&&((e.overflowed[0]||e.overflowed[1])&&(b.preventDefault(),b.stopImmediatePropagation()),"keyup"===b.type)){if("x"===f.axis||"yx"===f.axis&&e.overflowed[1]&&!e.overflowed[0])var n="x",o=35===h?Math.abs(k.width()-j.outerWidth(!1)):0;else var n="y",o=35===h?Math.abs(k.height()-j.outerHeight(!1)):0;V(c,o.toString(),{dir:n,scrollEasing:"mcsEaseInOut"})}}}var c=a(this),e=c.data(d),f=e.opt,g=e.sequential,h=d+"_"+e.idx,i=a("#mCSB_"+e.idx),j=a("#mCSB_"+e.idx+"_container"),k=j.parent(),l="input,textarea,select,datalist,keygen,[contenteditable='true']",m=j.find("iframe"),n=["blur."+h+" keydown."+h+" keyup."+h];m.length&&m.each(function(){a(this).load(function(){I(this)&&a(this.contentDocument||this.contentWindow.document).bind(n[0],function(a){b(a)})})}),i.attr("tabindex","0").bind(n[0],function(a){b(a)})},P=function(b,c,e,f,g){function h(a){l.snapAmount&&(m.scrollAmount=l.snapAmount instanceof Array?"x"===m.dir[0]?l.snapAmount[1]:l.snapAmount[0]:l.snapAmount);var c="stepped"!==m.type,d=g?g:a?c?p/1.5:q:1e3/60,e=a?c?7.5:40:2.5,i=[Math.abs(n[0].offsetTop),Math.abs(n[0].offsetLeft)],k=[j.scrollRatio.y>10?10:j.scrollRatio.y,j.scrollRatio.x>10?10:j.scrollRatio.x],o="x"===m.dir[0]?i[1]+m.dir[1]*(k[1]*e):i[0]+m.dir[1]*(k[0]*e),r="x"===m.dir[0]?i[1]+m.dir[1]*parseInt(m.scrollAmount):i[0]+m.dir[1]*parseInt(m.scrollAmount),s="auto"!==m.scrollAmount?r:o,t=f?f:a?c?"mcsLinearOut":"mcsEaseInOut":"mcsLinear",u=!!a;return a&&17>d&&(s="x"===m.dir[0]?i[1]:i[0]),V(b,s.toString(),{dir:m.dir[0],scrollEasing:t,dur:d,onComplete:u}),a?void(m.dir=!1):(clearTimeout(m.step),void(m.step=setTimeout(function(){h()},d)))}function i(){clearTimeout(m.step),Z(m,"step"),U(b)}var j=b.data(d),l=j.opt,m=j.sequential,n=a("#mCSB_"+j.idx+"_container"),o="stepped"===m.type,p=l.scrollInertia<26?26:l.scrollInertia,q=l.scrollInertia<1?17:l.scrollInertia;switch(c){case"on":if(m.dir=[e===k[16]||e===k[15]||39===e||37===e?"x":"y",e===k[13]||e===k[15]||38===e||37===e?-1:1],U(b),aa(e)&&"stepped"===m.type)return;h(o);break;case"off":i(),(o||j.tweenRunning&&m.dir)&&h(!0)}},Q=function(b){var c=a(this).data(d).opt,e=[];return"function"==typeof b&&(b=b()),b instanceof Array?e=b.length>1?[b[0],b[1]]:"x"===c.axis?[null,b[0]]:[b[0],null]:(e[0]=b.y?b.y:b.x||"x"===c.axis?null:b,e[1]=b.x?b.x:b.y||"y"===c.axis?null:b),"function"==typeof e[0]&&(e[0]=e[0]()),"function"==typeof e[1]&&(e[1]=e[1]()),e},R=function(b,c){if(null!=b&&"undefined"!=typeof b){var e=a(this),f=e.data(d),g=f.opt,h=a("#mCSB_"+f.idx+"_container"),i=h.parent(),j=typeof b;c||(c="x"===g.axis?"x":"y");var k="x"===c?h.outerWidth(!1):h.outerHeight(!1),m="x"===c?h[0].offsetLeft:h[0].offsetTop,n="x"===c?"left":"top";switch(j){case"function":return b();case"object":var o=b.jquery?b:a(b);if(!o.length)return;return"x"===c?ba(o)[1]:ba(o)[0];case"string":case"number":if(aa(b))return Math.abs(b);if(-1!==b.indexOf("%"))return Math.abs(k*parseInt(b)/100);if(-1!==b.indexOf("-="))return Math.abs(m-parseInt(b.split("-=")[1]));if(-1!==b.indexOf("+=")){var p=m+parseInt(b.split("+=")[1]);return p>=0?0:Math.abs(p)}if(-1!==b.indexOf("px")&&aa(b.split("px")[0]))return Math.abs(b.split("px")[0]);if("top"===b||"left"===b)return 0;if("bottom"===b)return Math.abs(i.height()-h.outerHeight(!1));if("right"===b)return Math.abs(i.width()-h.outerWidth(!1));if("first"===b||"last"===b){var o=h.find(":"+b);return"x"===c?ba(o)[1]:ba(o)[0]}return a(b).length?"x"===c?ba(a(b))[1]:ba(a(b))[0]:(h.css(n,b),void l.update.call(null,e[0]))}}},S=function(b){function c(){return clearTimeout(m[0].autoUpdate),0===h.parents("html").length?void(h=null):void(m[0].autoUpdate=setTimeout(function(){return j.advanced.updateOnSelectorChange&&(i.poll.change.n=f(),i.poll.change.n!==i.poll.change.o)?(i.poll.change.o=i.poll.change.n,void g(3)):j.advanced.updateOnContentResize&&(i.poll.size.n=h[0].scrollHeight+h[0].scrollWidth+m[0].offsetHeight+h[0].offsetHeight+h[0].offsetWidth,i.poll.size.n!==i.poll.size.o)?(i.poll.size.o=i.poll.size.n,void g(1)):!j.advanced.updateOnImageLoad||"auto"===j.advanced.updateOnImageLoad&&"y"===j.axis||(i.poll.img.n=m.find("img").length,i.poll.img.n===i.poll.img.o)?void((j.advanced.updateOnSelectorChange||j.advanced.updateOnContentResize||j.advanced.updateOnImageLoad)&&c()):(i.poll.img.o=i.poll.img.n,void m.find("img").each(function(){e(this)}))},j.advanced.autoUpdateTimeout))}function e(b){function c(a,b){return function(){return b.apply(a,arguments)}}function d(){this.onload=null,a(b).addClass(k[2]),g(2)}if(a(b).hasClass(k[2]))return void g();var e=new Image;e.onload=c(e,d),e.src=b.src}function f(){j.advanced.updateOnSelectorChange===!0&&(j.advanced.updateOnSelectorChange="*");var a=0,b=m.find(j.advanced.updateOnSelectorChange);return j.advanced.updateOnSelectorChange&&b.length>0&&b.each(function(){a+=this.offsetHeight+this.offsetWidth}),a}function g(a){clearTimeout(m[0].autoUpdate),l.update.call(null,h[0],a)}var h=a(this),i=h.data(d),j=i.opt,m=a("#mCSB_"+i.idx+"_container");return b?(clearTimeout(m[0].autoUpdate),void Z(m[0],"autoUpdate")):void c()},T=function(a,b,c){return Math.round(a/b)*b-c},U=function(b){var c=b.data(d),e=a("#mCSB_"+c.idx+"_container,#mCSB_"+c.idx+"_container_wrapper,#mCSB_"+c.idx+"_dragger_vertical,#mCSB_"+c.idx+"_dragger_horizontal");e.each(function(){Y.call(this)})},V=function(b,c,e){function f(a){return i&&j.callbacks[a]&&"function"==typeof j.callbacks[a]}function g(){return[j.callbacks.alwaysTriggerOffsets||u>=v[0]+y,j.callbacks.alwaysTriggerOffsets||-z>=u]}function h(){var a=[n[0].offsetTop,n[0].offsetLeft],c=[s[0].offsetTop,s[0].offsetLeft],d=[n.outerHeight(!1),n.outerWidth(!1)],f=[m.height(),m.width()];b[0].mcs={content:n,top:a[0],left:a[1],draggerTop:c[0],draggerLeft:c[1],topPct:Math.round(100*Math.abs(a[0])/(Math.abs(d[0])-f[0])),leftPct:Math.round(100*Math.abs(a[1])/(Math.abs(d[1])-f[1])),direction:e.dir}}var i=b.data(d),j=i.opt,k={trigger:"internal",dir:"y",scrollEasing:"mcsEaseOut",drag:!1,dur:j.scrollInertia,overwrite:"all",callbacks:!0,onStart:!0,onUpdate:!0,onComplete:!0},e=a.extend(k,e),l=[e.dur,e.drag?0:e.dur],m=a("#mCSB_"+i.idx),n=a("#mCSB_"+i.idx+"_container"),o=n.parent(),p=j.callbacks.onTotalScrollOffset?Q.call(b,j.callbacks.onTotalScrollOffset):[0,0],q=j.callbacks.onTotalScrollBackOffset?Q.call(b,j.callbacks.onTotalScrollBackOffset):[0,0];if(i.trigger=e.trigger,0===o.scrollTop()&&0===o.scrollLeft()||(a(".mCSB_"+i.idx+"_scrollbar").css("visibility","visible"),o.scrollTop(0).scrollLeft(0)),"_resetY"!==c||i.contentReset.y||(f("onOverflowYNone")&&j.callbacks.onOverflowYNone.call(b[0]),i.contentReset.y=1),"_resetX"!==c||i.contentReset.x||(f("onOverflowXNone")&&j.callbacks.onOverflowXNone.call(b[0]),i.contentReset.x=1),"_resetY"!==c&&"_resetX"!==c){if(!i.contentReset.y&&b[0].mcs||!i.overflowed[0]||(f("onOverflowY")&&j.callbacks.onOverflowY.call(b[0]),i.contentReset.x=null),!i.contentReset.x&&b[0].mcs||!i.overflowed[1]||(f("onOverflowX")&&j.callbacks.onOverflowX.call(b[0]),i.contentReset.x=null),j.snapAmount){var r=j.snapAmount instanceof Array?"x"===e.dir?j.snapAmount[1]:j.snapAmount[0]:j.snapAmount;c=T(c,r,j.snapOffset)}switch(e.dir){case"x":var s=a("#mCSB_"+i.idx+"_dragger_horizontal"),t="left",u=n[0].offsetLeft,v=[m.width()-n.outerWidth(!1),s.parent().width()-s.width()],w=[c,0===c?0:c/i.scrollRatio.x],y=p[1],z=q[1],A=y>0?y/i.scrollRatio.x:0,B=z>0?z/i.scrollRatio.x:0;break;case"y":var s=a("#mCSB_"+i.idx+"_dragger_vertical"),t="top",u=n[0].offsetTop,v=[m.height()-n.outerHeight(!1),s.parent().height()-s.height()],w=[c,0===c?0:c/i.scrollRatio.y],y=p[0],z=q[0],A=y>0?y/i.scrollRatio.y:0,B=z>0?z/i.scrollRatio.y:0}w[1]<0||0===w[0]&&0===w[1]?w=[0,0]:w[1]>=v[1]?w=[v[0],v[1]]:w[0]=-w[0],b[0].mcs||(h(),f("onInit")&&j.callbacks.onInit.call(b[0])),clearTimeout(n[0].onCompleteTimeout),W(s[0],t,Math.round(w[1]),l[1],e.scrollEasing),!i.tweenRunning&&(0===u&&w[0]>=0||u===v[0]&&w[0]<=v[0])||W(n[0],t,Math.round(w[0]),l[0],e.scrollEasing,e.overwrite,{onStart:function(){e.callbacks&&e.onStart&&!i.tweenRunning&&(f("onScrollStart")&&(h(),j.callbacks.onScrollStart.call(b[0])),i.tweenRunning=!0,x(s),i.cbOffsets=g())},onUpdate:function(){e.callbacks&&e.onUpdate&&f("whileScrolling")&&(h(),j.callbacks.whileScrolling.call(b[0]))},onComplete:function(){if(e.callbacks&&e.onComplete){"yx"===j.axis&&clearTimeout(n[0].onCompleteTimeout);var a=n[0].idleTimer||0;n[0].onCompleteTimeout=setTimeout(function(){f("onScroll")&&(h(),j.callbacks.onScroll.call(b[0])),f("onTotalScroll")&&w[1]>=v[1]-A&&i.cbOffsets[0]&&(h(),j.callbacks.onTotalScroll.call(b[0])),f("onTotalScrollBack")&&w[1]<=B&&i.cbOffsets[1]&&(h(),j.callbacks.onTotalScrollBack.call(b[0])),i.tweenRunning=!1,n[0].idleTimer=0,x(s,"hide")},a)}}})}},W=function(a,b,c,d,e,f,g){function h(){v.stop||(s||o.call(),s=X()-r,i(),s>=v.time&&(v.time=s>v.time?s+m-(s-v.time):s+m-1,v.time0?(v.currVal=l(v.time,t,w,d,e),u[b]=Math.round(v.currVal)+"px"):u[b]=c+"px",p.call()}function j(){m=1e3/60,v.time=s+m,n=window.requestAnimationFrame?window.requestAnimationFrame:function(a){return i(),setTimeout(a,.01)},v.id=n(h)}function k(){null!=v.id&&(window.requestAnimationFrame?window.cancelAnimationFrame(v.id):clearTimeout(v.id),v.id=null)}function l(a,b,c,d,e){switch(e){case"linear":case"mcsLinear":return c*a/d+b;case"mcsLinearOut":return a/=d,a--,c*Math.sqrt(1-a*a)+b;case"easeInOutSmooth":return a/=d/2,1>a?c/2*a*a+b:(a--,-c/2*(a*(a-2)-1)+b);case"easeInOutStrong":return a/=d/2,1>a?c/2*Math.pow(2,10*(a-1))+b:(a--,c/2*(-Math.pow(2,-10*a)+2)+b);case"easeInOut":case"mcsEaseInOut":return a/=d/2,1>a?c/2*a*a*a+b:(a-=2,c/2*(a*a*a+2)+b);case"easeOutSmooth":return a/=d,a--,-c*(a*a*a*a-1)+b;case"easeOutStrong":return c*(-Math.pow(2,-10*a/d)+1)+b; +case"easeOut":case"mcsEaseOut":default:var f=(a/=d)*a,g=f*a;return b+c*(.499999999999997*g*f+-2.5*f*f+5.5*g+-6.5*f+4*a)}}a._mTween||(a._mTween={top:{},left:{}});var m,n,g=g||{},o=g.onStart||function(){},p=g.onUpdate||function(){},q=g.onComplete||function(){},r=X(),s=0,t=a.offsetTop,u=a.style,v=a._mTween[b];"left"===b&&(t=a.offsetLeft);var w=c-t;v.stop=0,"none"!==f&&k(),j()},X=function(){return window.performance&&window.performance.now?window.performance.now():window.performance&&window.performance.webkitNow?window.performance.webkitNow():Date.now?Date.now():(new Date).getTime()},Y=function(){var a=this;a._mTween||(a._mTween={top:{},left:{}});for(var b=["top","left"],c=0;c=0&&d[0]+ba(e)[0]=0&&d[1]+ba(e)[1]
        ":"
        "},c=function(b,c,d,e,f){var g,h,i,j,k,l,m,n,o,p,q;return h=d.duration||1,q=d.timingFunction||"ease-in-out",i=c.scope(),j=d.emitOnClose,n=d.onClose,m=void 0!==d.lazyRender,g=null,o=null,k=function(a){var b,d,e,f,g;for(e=0,d=c.children(),f=0,g=d.length;g>f;f++)b=d[f],e+=b.clientHeight;return""+e+"px"},p=function(){return g&&a.cancel(g),m&&(b.lazyRender=!0),a(function(){return o&&a.cancel(o),c.css({overflow:"hidden",transitionProperty:"height",transitionDuration:""+h+"s",transitionTimingFunction:q,height:k()}),o=a(function(){return c.css({overflow:"visible",transition:"none",height:"auto"})},1e3*h)})},l=function(){return o&&a.cancel(o),c.css({overflow:"hidden",transitionProperty:"height",transitionDuration:""+h+"s",transitionTimingFunction:q,height:"0px"}),j||n||m?g=a(function(){return j&&b.$emit(j,{}),n&&i.$eval(n),m?b.lazyRender=!1:void 0},1e3*h):void 0},b.$watch("expanded",function(b,d){return b?a(p):(null!=b&&(c.css({height:k()}),c[0].clientHeight),a(l))})},{restrict:"A",scope:{expanded:"=ngSlideDown"},transclude:!0,link:c,template:function(a,c){return b(a,c)}}}])}.call(this);var mod;mod=angular.module("infinite-scroll",[]),mod.directive("infiniteScroll",["$rootScope","$window","$timeout",function(a,b,c){return{link:function(d,e,f){var g,h,i,j;return b=angular.element(b),i=0,null!=f.infiniteScrollDistance&&d.$watch(f.infiniteScrollDistance,function(a){return i=parseInt(a,10)}),j=!0,g=!1,null!=f.infiniteScrollDisabled&&d.$watch(f.infiniteScrollDisabled,function(a){return j=!a,j&&g?(g=!1,h()):void 0}),h=function(){var c,h,k,l;return l=b.height()+b.scrollTop(),c=e.offset().top+e.height(),h=c-l,k=h<=b.height()*i,k&&j?a.$$phase?d.$eval(f.infiniteScroll):d.$apply(f.infiniteScroll):k?g=!0:void 0},b.on("scroll",h),d.$on("$destroy",function(){return b.off("scroll",h)}),c(function(){return f.infiniteScrollImmediateCheck?d.$eval(f.infiniteScrollImmediateCheck)?h():void 0:h()},0)}}}]),angular.module("ngMap",[]),function(){"use strict";function camelCase(a){return a.replace(SPECIAL_CHARS_REGEXP,function(a,b,c,d){return d?c.toUpperCase():c}).replace(MOZ_HACK_REGEXP,"Moz$1")}function JSONize(a){try{return JSON.parse(a),a}catch(b){return a.replace(/([\$\w]+)\s*:/g,function(a,b){return'"'+b+'":'}).replace(/'([^']+)'/g,function(a,b){return'"'+b+'"'})}}var SPECIAL_CHARS_REGEXP=/([\:\-\_]+(.))/g,MOZ_HACK_REGEXP=/^moz([A-Z])/,Attr2Options=function($parse,$timeout,$log,NavigatorGeolocation,GeoCoder){var orgAttributes=function(a){a.length>0&&(a=a[0]);for(var b={},c=0;c-1&&b.splice(c,1),this.el.className=b.join(" ")},d.prototype.onAdd=function(){this.getPanes().overlayMouseTarget.appendChild(this.el)},d.prototype.draw=function(){this.setPosition(),this.setZIndex(this.zIndex),this.setVisible(this.visible)},d.prototype.onRemove=function(){this.el.parentNode.removeChild(this.el),this.el=null}},f=function(c,e){return function(f,g,h,i){var j=(a.orgAttributes(g),a.filter(h)),k=a.getOptions(j,f),l=a.getEvents(f,j),m=g[0].parentElement.removeChild(g[0]),n=new d(k);b(function(){f.$watch("["+e.join(",")+"]",function(a){n.setContent(c,f)}),n.setContent(m.innerHTML,f);var a=m.firstElementChild.className;n.addClass("custom-marker"),n.addClass(a),k.position instanceof google.maps.LatLng||i.getGeoLocation(k.position).then(function(a){n.setPosition(a)})});for(var o in l)google.maps.event.addDomListener(n.el,o,l[o]);i.addObject("customMarkers",n),g.bind("$destroy",function(){i.deleteObject("customMarkers",n)})}},g=function(d,g,h){return a=d,b=g,c=h,e(),{restrict:"E",require:"^map",compile:function(a){var b=a.html(),c=b.match(/{{([^}]+)}}/g),d=[];return(c||[]).forEach(function(a){var b=a.replace("{{","").replace("}}","");-1==a.indexOf("::")&&-1==a.indexOf("this.")&&-1==d.indexOf(b)&&d.push(a.replace("{{","").replace("}}",""))}),f(b,d)}}};g.$inject=["Attr2Options","$timeout","$compile"],angular.module("ngMap").directive("customMarker",g)}(),function(){"use strict";var a=function(a,b){a.panel&&(a.panel=document.getElementById(a.panel)||document.querySelector(a.panel));var c=new google.maps.DirectionsRenderer(a);for(var d in b)google.maps.event.addListener(c,d,b[d]);return c},b=function(b,c,d){var e=b,f=new google.maps.DirectionsService,g=function(a,b){var e=b;e.travelMode=e.travelMode||"DRIVING";var g=["origin","destination","travelMode","transitOptions","unitSystem","durationInTraffic","waypoints","optimizeWaypoints","provideRouteAlternatives","avoidHighways","avoidTolls","region"];for(var h in e)-1===g.indexOf(h)&&delete e[h];e.waypoints&&("[]"!=e.waypoints&&""!=e.waypoints||delete e.waypoints);var i=function(b){f.route(b,function(b,d){d==google.maps.DirectionsStatus.OK&&c(function(){a.setDirections(b)})})};e.origin&&e.destination&&("current-location"==e.origin?d.getCurrentPosition().then(function(a){e.origin=new google.maps.LatLng(a.coords.latitude,a.coords.longitude),i(e)}):"current-location"==e.destination?d.getCurrentPosition().then(function(a){e.destination=new google.maps.LatLng(a.coords.latitude,a.coords.longitude),i(e)}):i(e))},h=function(b,d,f,h){var i=e.orgAttributes(d),j=e.filter(f),k=e.getOptions(j),l=e.getEvents(b,j),m=e.getAttrsToObserve(i),n=a(k,l);h.addObject("directionsRenderers",n),m.forEach(function(a){!function(a){f.$observe(a,function(b){if("panel"==a)c(function(){var a=document.getElementById(b)||document.querySelector(b);a&&n.setPanel(a)});else if(k[a]!==b){var d=e.toOptionValue(b,{key:a});k[a]=d,g(n,k)}})}(a)}),b.$on("mapInitialized",function(a,b){g(n,k)}),b.$on("$destroy",function(a,b){h.deleteObject("directionsRenderers",n)})};return{restrict:"E",require:"^map",link:h}};b.$inject=["Attr2Options","$timeout","NavigatorGeolocation"],angular.module("ngMap").directive("directions",b)}(),function(){"use strict";angular.module("ngMap").directive("drawingManager",["Attr2Options",function(a){var b=a;return{restrict:"E",require:"^map",link:function(a,c,d,e){var f=(b.orgAttributes(c),b.filter(d)),g=b.getOptions(f),h=b.getControlOptions(f),i=b.getEvents(a,f),j=new google.maps.drawing.DrawingManager({drawingMode:g.drawingmode,drawingControl:g.drawingcontrol,drawingControlOptions:h.drawingControlOptions,circleOptions:g.circleoptions,markerOptions:g.markeroptions,polygonOptions:g.polygonoptions,polylineOptions:g.polylineoptions,rectangleOptions:g.rectangleoptions}),i=b.getEvents(a,f);for(var k in i)google.maps.event.addListener(j,k,i[k]);e.addObject("mapDrawingManager",j)}}}])}(),function(){"use strict";angular.module("ngMap").directive("dynamicMapsEngineLayer",["Attr2Options",function(a){var b=a,c=function(a,b){var c=new google.maps.visualization.DynamicMapsEngineLayer(a);for(var d in b)google.maps.event.addListener(c,d,b[d]);return c};return{restrict:"E",require:"^map",link:function(a,d,e,f){var g=b.filter(e),h=b.getOptions(g),i=b.getEvents(a,g,i),j=c(h,i);f.addObject("mapsEngineLayers",j)}}}])}(),function(){"use strict";angular.module("ngMap").directive("fusionTablesLayer",["Attr2Options",function(a){var b=a,c=function(a,b){var c=new google.maps.FusionTablesLayer(a);for(var d in b)google.maps.event.addListener(c,d,b[d]);return c};return{restrict:"E",require:"^map",link:function(a,d,e,f){var g=b.filter(e),h=b.getOptions(g),i=b.getEvents(a,g,i),j=c(h,i);f.addObject("fusionTablesLayers",j)}}}])}(),function(){"use strict";angular.module("ngMap").directive("heatmapLayer",["Attr2Options","$window",function(a,b){var c=a;return{restrict:"E",require:"^map",link:function(a,d,e,f){var g=c.filter(e),h=c.getOptions(g);if(h.data=b[e.data]||a[e.data],!(h.data instanceof Array))throw"invalid heatmap data";h.data=new google.maps.MVCArray(h.data);var i=new google.maps.visualization.HeatmapLayer(h);c.getEvents(a,g);f.addObject("heatmapLayers",i)}}}])}(),function(){"use strict";var a=function(a,b,c,d){var e=a,f=function(a,d,e){var f;!a.position||a.position instanceof google.maps.LatLng||delete a.position,f=new google.maps.InfoWindow(a),Object.keys(d).length>0;for(var g in d)g&&google.maps.event.addListener(f,g,d[g]);var h=e.html().trim();if(1!=angular.element(h).length)throw"info-window working as a template must have a container";return f.__template=h.replace(/\s?ng-non-bindable[='"]+/,""),f.__compile=function(a,c){c&&(a["this"]=c);var d=b(f.__template)(a);f.setContent(d[0]),a.$apply()},f.__open=function(a,b,d){c(function(){f.__compile(b,d),d&&d.getPosition?f.open(a,d):d&&d instanceof google.maps.LatLng?(f.open(a),f.setPosition(d)):f.open(a)})},f},g=function(a,b,c,g){b.css("display","none");var h,i=e.orgAttributes(b),j=e.filter(c),k=e.getOptions(j,a),l=e.getEvents(a,j);!k.position||k.position instanceof google.maps.LatLng||(h=k.position);var m=f(k,l,b);h&&g.getGeoLocation(h).then(function(b){m.setPosition(b),m.__open(g.map,a,b);var e=c.geoCallback;e&&d(e)(a)}),g.addObject("infoWindows",m),g.observeAttrSetObj(i,c,m),a.$on("mapInitialized",function(b,c){if(m.visible&&m.__open(c,a),m.visibleOnMarker){var d=m.visibleOnMarker;m.__open(c,a,c.markers[d])}}),a.showInfoWindow=function(b,c,d){var e=g.map.infoWindows[c],f=d?d:this.getPosition?this:null;e.__open(g.map,a,f),g.singleInfoWindow&&(g.lastInfoWindow&&a.hideInfoWindow(b,g.lastInfoWindow),g.lastInfoWindow=c)},a.hideInfoWindow=a.hideInfoWindow||function(a,b){var c=g.map.infoWindows[b];c.close()}};return{restrict:"E",require:"^map",link:g}};a.$inject=["Attr2Options","$compile","$timeout","$parse"],angular.module("ngMap").directive("infoWindow",a)}(),function(){"use strict";angular.module("ngMap").directive("kmlLayer",["Attr2Options",function(a){var b=a,c=function(a,b){var c=new google.maps.KmlLayer(a);for(var d in b)google.maps.event.addListener(c,d,b[d]);return c};return{restrict:"E",require:"^map",link:function(a,d,e,f){var g=b.orgAttributes(d),h=b.filter(e),i=b.getOptions(h),j=b.getEvents(a,h),k=c(i,j);f.addObject("kmlLayers",k),f.observeAttrSetObj(g,e,k),d.bind("$destroy",function(){f.deleteObject("kmlLayers",k)})}}}])}(),function(){"use strict";angular.module("ngMap").directive("mapData",["Attr2Options",function(a){var b=a;return{restrict:"E",require:"^map",link:function(a,c,d,e){var f=b.filter(d),g=b.getOptions(f),h=b.getEvents(a,f,h);a.$on("mapInitialized",function(b,c){for(var d in g)if(d){var e=g[d];"function"==typeof a[e]?c.data[d](a[e]):c.data[d](e)}for(var f in h)h[f]&&c.data.addListener(f,h[f])})}}}])}(),function(){"use strict";var a,b,c,d,e=function(c,e,f){var g=f.mapLazyLoadParams||f.mapLazyLoad;if(window.lazyLoadCallback=function(){a(function(){e.html(d),b(e.contents())(c)},100)},void 0===window.google||void 0===window.google.maps){var h=document.createElement("script");h.src=g+(g.indexOf("?")>-1?"&":"?")+"callback=lazyLoadCallback",document.body.appendChild(h)}else e.html(d),b(e.contents())(c)},f=function(a,b){return!b.mapLazyLoad&&void 0,d=a.html(),c=b.mapLazyLoad,document.querySelector('script[src="'+c+(c.indexOf("?")>-1?"&":"?")+'callback=lazyLoadCallback"]')?!1:(a.html(""),{pre:e})},g=function(c,d){return b=c,a=d,{compile:f}};g.$inject=["$compile","$timeout"],angular.module("ngMap").directive("mapLazyLoad",g)}(),function(){"use strict";angular.module("ngMap").directive("mapType",["Attr2Options","$window",function(a,b){return{restrict:"E",require:"^map",link:function(a,c,d,e){var f,g=d.name;if(!g)throw"invalid map-type name";if(d.object){var h=a[d.object]?a:b;f=h[d.object],"function"==typeof f&&(f=new f)}if(!f)throw"invalid map-type object";a.$on("mapInitialized",function(a,b){b.mapTypes.set(g,f)}),e.addObject("mapTypes",f)}}}])}(),function(){"use strict";function a(a,b){var c;return a.currentStyle?c=a.currentStyle[b]:window.getComputedStyle&&(c=document.defaultView.getComputedStyle(a,null).getPropertyValue(b)),c}var b=function(b,c,d){var e=b,f=function(b,f,g,h){var i=e.orgAttributes(f);b.google=google;var j=document.createElement("div");j.style.width="100%",j.style.height="100%",f.prepend(j),"false"!==g.defaultStyle&&("block"!=a(f[0],"display")&&f.css("display","block"),a(f[0],"height").match(/^(0|auto)/)&&f.css("height","300px")),f[0].addEventListener("dragstart",function(a){return a.preventDefault(),!1});var k=function(a,e){var f=new google.maps.Map(j,{});f.markers={},f.shapes={},c(function(){google.maps.event.trigger(f,"resize")}),a.zoom=a.zoom||15;var k=a.center;k?k instanceof google.maps.LatLng||(delete a.center,h.getGeoLocation(k,m.geoLocationOptions).then(function(a){f.setCenter(a);var c=g.geoCallback;c&&d(c)(b)},function(a){f.setCenter(m.geoFallbackCenter)})):a.center=new google.maps.LatLng(0,0),f.setOptions(a),h.singleInfoWindow=a.singleInfoWindow;for(var l in e)l&&google.maps.event.addListener(f,l,e[l]);h.observeAttrSetObj(i,g,f),h.map=f,h.addObjects(h._objects),b.map=f,b.map.scope=b,google.maps.event.addListenerOnce(f,"idle",function(){b.$emit("mapInitialized",f),g.zoomToIncludeMarkers&&(h.zoomToIncludeMarkers(),"auto"==g.zoomToIncludeMarkers&&b.$on("objectChanged",function(a,b){"markers"==b[0]&&h.zoomToIncludeMarkers()}))})},l=e.filter(g),m=e.getOptions(l,b),n=e.getControlOptions(l),o=angular.extend(m,n),p=e.getEvents(b,l);g.initEvent?b.$on(g.initEvent,function(){!h.map&&k(o,p)}):k(o,p)};return{restrict:"AE",controller:"MapController",link:f}};angular.module("ngMap").directive("map",["Attr2Options","$timeout","$parse",b])}(),function(){"use strict";var a=function(a,b,c,d,e){var f=e,g=this,h=function(a,b,c){a.$observe(b,function(a){if(a){var d=f.camelCase("set-"+b),e=f.toOptionValue(a,{key:b});c[d]&&(b.match(/center|position/)&&"string"==typeof e?g.getGeoLocation(e).then(function(a){c[d](a)}):c[d](e))}})};this.map=null,this._objects=[],this.addObject=function(b,c){if(this.map){this.map[b]=this.map[b]||{};var d=Object.keys(this.map[b]).length;this.map[b][c.id||d]=c,"infoWindows"!=b&&c.setMap&&c.setMap&&c.setMap(this.map),c.centered&&c.position&&this.map.setCenter(c.position),a.$emit("objectChanged",[b,this.map[b]])}else c.groupName=b,this._objects.push(c)},this.deleteObject=function(b,c){if(c.map){var d=c.map[b];for(var e in d)d[e]===c&&delete d[e];c.map&&c.setMap&&c.setMap(null),a.$emit("objectChanged",[b,this.map[b]])}},this.addObjects=function(a){for(var b=0;b0;for(var d in b)d&&google.maps.event.addListener(c,d,b[d]);return c},b=function(b,c){var d=b,e=function(b,e,f,g){var h,i=d.orgAttributes(e),j=d.filter(f),k=d.getOptions(j,b),l=d.getEvents(b,j);k.position instanceof google.maps.LatLng||(h=k.position);var m=a(k,l);g.addObject("markers",m),h&&g.getGeoLocation(h).then(function(a){m.setPosition(a),k.centered&&m.map.setCenter(a);var d=f.geoCallback;d&&c(d)(b)}),k.noWatcher||g.observeAttrSetObj(i,f,m),e.bind("$destroy",function(){g.deleteObject("markers",m)})};return{restrict:"E",require:"^map",link:e}};b.$inject=["Attr2Options","$parse"],angular.module("ngMap").directive("marker",b)}(),function(){"use strict";angular.module("ngMap").directive("overlayMapType",["Attr2Options","$window",function(a,b){return{restrict:"E",require:"^map",link:function(a,c,d,e){var f,g=d.initMethod||"insertAt";if(d.object){var h=a[d.object]?a:b;f=h[d.object],"function"==typeof f&&(f=new f)}if(!f)throw"invalid map-type object";a.$on("mapInitialized",function(a,b){if("insertAt"==g){var c=parseInt(d.index,10);b.overlayMapTypes.insertAt(c,f)}else"push"==g&&b.overlayMapTypes.push(f)}),e.addObject("overlayMapTypes",f)}}}])}(),function(){"use strict";var a=function(a,b){var c=a,d=function(a,d,e,f){if("false"===e.placesAutoComplete)return!1;var g=c.filter(e),h=c.getOptions(g),i=c.getEvents(a,g),j=new google.maps.places.Autocomplete(d[0],h);for(var k in i)google.maps.event.addListener(j,k,i[k]);var l=function(){b(function(){f&&f.$setViewValue(d.val())},100)};google.maps.event.addListener(j,"place_changed",l),d[0].addEventListener("change",l),e.$observe("types",function(a){if(a){var b=c.toOptionValue(a,{key:"types"});j.setTypes(b)}})};return{restrict:"A",require:"?ngModel",link:d}};a.$inject=["Attr2Options","$timeout"],angular.module("ngMap").directive("placesAutoComplete",a)}(),function(){"use strict";var a=function(a,b){var c,d=a.name;switch(delete a.name,d){case"circle":a.center instanceof google.maps.LatLng||(a.center=new google.maps.LatLng(0,0)),c=new google.maps.Circle(a);break;case"polygon":c=new google.maps.Polygon(a);break;case"polyline":c=new google.maps.Polyline(a);break;case"rectangle":c=new google.maps.Rectangle(a);break;case"groundOverlay":case"image":var e=a.url,f={opacity:a.opacity,clickable:a.clickable,id:a.id};c=new google.maps.GroundOverlay(e,a.bounds,f)}for(var g in b)b[g]&&google.maps.event.addListener(c,g,b[g]);return c},b=function(b,c){var d=b,e=function(b,e,f,g){var h,i,j=d.orgAttributes(e),k=d.filter(f),l=d.getOptions(k),m=d.getEvents(b,k);i=l.name,l.center instanceof google.maps.LatLng||(h=l.center);var n=a(l,m);g.addObject("shapes",n),h&&"circle"==i&&g.getGeoLocation(h).then(function(a){n.setCenter(a),n.centered&&n.map.setCenter(a);var d=f.geoCallback;d&&c(d)(b)}),g.observeAttrSetObj(j,f,n),e.bind("$destroy",function(){g.deleteObject("shapes",n)})};return{restrict:"E",require:"^map",link:e}};b.$inject=["Attr2Options","$parse"],angular.module("ngMap").directive("shape",b)}(),function(){"use strict";var a=function(a){var b=a,c=function(a,b,c){var d,e;b.container&&(e=document.getElementById(b.container),e=e||document.querySelector(b.container)),e?d=new google.maps.StreetViewPanorama(e,b):(d=a.getStreetView(),d.setOptions(b));for(var f in c)f&&google.maps.event.addListener(d,f,c[f]);return d},d=function(a,d,e,f){var g=(b.orgAttributes(d),b.filter(e)),h=b.getOptions(g),i=b.getControlOptions(g),j=angular.extend(h,i),k=b.getEvents(a,g);a.$on("mapInitialized",function(a,b){var d=c(b,j,k);b.setStreetView(d),!d.getPosition()&&d.setPosition(b.getCenter()),google.maps.event.addListener(d,"position_changed",function(){d.getPosition()!==b.getCenter()&&b.setCenter(d.getPosition())});var e=google.maps.event.addListener(b,"center_changed",function(){d.setPosition(b.getCenter()),google.maps.event.removeListener(e)})})};return{restrict:"E",require:"^map",link:d}};a.$inject=["Attr2Options"],angular.module("ngMap").directive("streetViewPanorama",a)}(),function(){"use strict";angular.module("ngMap").directive("trafficLayer",["Attr2Options",function(a){var b=a,c=function(a,b){var c=new google.maps.TrafficLayer(a);for(var d in b)google.maps.event.addListener(c,d,b[d]);return c};return{restrict:"E",require:"^map",link:function(a,d,e,f){var g=b.orgAttributes(d),h=b.filter(e),i=b.getOptions(h),j=b.getEvents(a,h),k=c(i,j);f.addObject("trafficLayers",k),f.observeAttrSetObj(g,e,k),d.bind("$destroy",function(){f.deleteObject("trafficLayers",k)})}}}])}(),function(){"use strict";angular.module("ngMap").directive("transitLayer",["Attr2Options",function(a){var b=a,c=function(a,b){var c=new google.maps.TransitLayer(a);for(var d in b)google.maps.event.addListener(c,d,b[d]);return c};return{restrict:"E",require:"^map",link:function(a,d,e,f){var g=b.orgAttributes(d),h=b.filter(e),i=b.getOptions(h),j=b.getEvents(a,h),k=c(i,j);f.addObject("transitLayers",k),f.observeAttrSetObj(g,e,k), +d.bind("$destroy",function(){f.deleteObject("transitLayers",k)})}}}])}(),function(){"use strict";angular.module("ngMap").directive("weatherLayer",["Attr2Options",function(a){var b=a,c=function(a,b){var c=new google.maps.weather.WeatherLayer(a);for(var d in b)google.maps.event.addListener(c,d,b[d]);return c};return{restrict:"E",require:"^map",link:function(a,d,e,f){var g=b.orgAttributes(d),h=b.filter(e),i=b.getOptions(h),j=b.getEvents(a,h),k=c(i,j);f.addObject("weatherLayers",k),f.observeAttrSetObj(g,e,k),d.bind("$destroy",function(){f.deleteObject("weatherLayers",k)})}}}])}(),function(a,b){"use strict";var c=["ng","oc.lazyLoad"],d={},e=[],f=[],g=[],h=[],i=a.noop,j={},k=[],l=a.module("oc.lazyLoad",["ng"]);l.provider("$ocLazyLoad",["$controllerProvider","$provide","$compileProvider","$filterProvider","$injector","$animateProvider",function(l,m,p,q,r,s){function t(b,d,e){if(d){var f,h,l,m=[];for(f=d.length-1;f>=0;f--)if(h=d[f],a.isString(h)||(h=w(h)),h&&-1===k.indexOf(h)&&(!y[h]||-1!==g.indexOf(h))){var n=-1===c.indexOf(h);if(l=o(h),n&&(c.push(h),t(b,l.requires,e)),l._runBlocks.length>0)for(j[h]=[];l._runBlocks.length>0;)j[h].push(l._runBlocks.shift());a.isDefined(j[h])&&(n||e.rerun)&&(m=m.concat(j[h])),v(b,l._invokeQueue,h,e.reconfig),v(b,l._configBlocks,h,e.reconfig),i(n?"ocLazyLoad.moduleLoaded":"ocLazyLoad.moduleReloaded",h),d.pop(),k.push(h)}var p=b.getInstanceInjector();a.forEach(m,function(a){p.invoke(a)})}}function u(b,c){function e(b,c){var d,e=!0;return c.length&&(d=f(b),a.forEach(c,function(a){e=e&&f(a)!==d})),e}function f(b){return a.isArray(b)?F(b.toString()):a.isObject(b)?F(E(b)):a.isDefined(b)&&null!==b?F(b.toString()):b}var g=b[2][0],h=b[1],j=!1;a.isUndefined(d[c])&&(d[c]={}),a.isUndefined(d[c][h])&&(d[c][h]={});var k=function(a,b){d[c][h].hasOwnProperty(a)||(d[c][h][a]=[]),e(b,d[c][h][a])&&(j=!0,d[c][h][a].push(b),i("ocLazyLoad.componentLoaded",[c,h,a]))};if(a.isString(g))k(g,b[2][1]);else{if(!a.isObject(g))return!1;a.forEach(g,function(b,c){a.isString(b)?k(b,g[1]):k(c,b)})}return j}function v(b,c,d,f){if(c){var g,h,i,j;for(g=0,h=c.length;h>g;g++)if(i=c[g],a.isArray(i)){if(null!==b){if(!b.hasOwnProperty(i[0]))throw new Error("unsupported provider "+i[0]);j=b[i[0]]}var k=u(i,d);if("invoke"!==i[1])k&&a.isDefined(j)&&j[i[1]].apply(j,i[2]);else{var l=function(b){var c=e.indexOf(d+"-"+b);(-1===c||f)&&(-1===c&&e.push(d+"-"+b),a.isDefined(j)&&j[i[1]].apply(j,i[2]))};if(a.isFunction(i[2][0]))l(i[2][0]);else if(a.isArray(i[2][0]))for(var m=0,n=i[2][0].length;n>m;m++)a.isFunction(i[2][0][m])&&l(i[2][0][m])}}}}function w(b){var c=null;return a.isString(b)?c=b:a.isObject(b)&&b.hasOwnProperty("name")&&a.isString(b.name)&&(c=b.name),c}function x(b){if(!a.isString(b))return!1;try{return o(b)}catch(c){if(/No module/.test(c)||c.message.indexOf("$injector:nomod")>-1)return!1}}var y={},z={$controllerProvider:l,$compileProvider:p,$filterProvider:q,$provide:m,$injector:r,$animateProvider:s},A=!1,B=!1,C=[],D={};C.push=function(a){-1===this.indexOf(a)&&Array.prototype.push.apply(this,arguments)},this.config=function(b){a.isDefined(b.modules)&&(a.isArray(b.modules)?a.forEach(b.modules,function(a){y[a.name]=a}):y[b.modules.name]=b.modules),a.isDefined(b.debug)&&(A=b.debug),a.isDefined(b.events)&&(B=b.events)},this._init=function(d){if(0===f.length){var e=[d],g=["ng:app","ng-app","x-ng-app","data-ng-app"],i=/\sng[:\-]app(:\s*([\w\d_]+);?)?\s/,j=function(a){return a&&e.push(a)};a.forEach(g,function(b){g[b]=!0,j(document.getElementById(b)),b=b.replace(":","\\:"),"undefined"!=typeof d[0]&&d[0].querySelectorAll&&(a.forEach(d[0].querySelectorAll("."+b),j),a.forEach(d[0].querySelectorAll("."+b+"\\:"),j),a.forEach(d[0].querySelectorAll("["+b+"]"),j))}),a.forEach(e,function(b){if(0===f.length){var c=" "+d.className+" ",e=i.exec(c);e?f.push((e[2]||"").replace(/\s+/g,",")):a.forEach(b.attributes,function(a){0===f.length&&g[a.name]&&f.push(a.value)})}})}0!==f.length||(b.jasmine||b.mocha)&&a.isDefined(a.mock)||console.error("No module found during bootstrap, unable to init ocLazyLoad. You should always use the ng-app directive or angular.boostrap when you use ocLazyLoad.");var k=function l(b){if(-1===c.indexOf(b)){c.push(b);var d=a.module(b);v(null,d._invokeQueue,b),v(null,d._configBlocks,b),a.forEach(d.requires,l)}};a.forEach(f,function(a){k(a)}),f=[],h.pop()};var E=function(b){try{return JSON.stringify(b)}catch(c){var d=[];return JSON.stringify(b,function(b,c){if(a.isObject(c)&&null!==c){if(-1!==d.indexOf(c))return;d.push(c)}return c})}},F=function(a){var b,c,d,e=0;if(0==a.length)return e;for(b=0,d=a.length;d>b;b++)c=a.charCodeAt(b),e=(e<<5)-e+c,e|=0;return e};this.$get=["$log","$rootElement","$rootScope","$cacheFactory","$q",function(b,e,g,j,l){function m(a){var c=l.defer();return b.error(a.message),c.reject(a),c.promise}var p,q=j("ocLazyLoad");return A||(b={},b.error=a.noop,b.warn=a.noop,b.info=a.noop),z.getInstanceInjector=function(){return p?p:p=e.data("$injector")||a.injector()},i=function(a,c){B&&g.$broadcast(a,c),A&&b.info(a,c)},{_broadcast:i,_$log:b,_getFilesCache:function(){return q},toggleWatch:function(a){a?h.push(!0):h.pop()},getModuleConfig:function(b){if(!a.isString(b))throw new Error("You need to give the name of the module to get");return y[b]?a.copy(y[b]):null},setModuleConfig:function(b){if(!a.isObject(b))throw new Error("You need to give the module config object to set");return y[b.name]=b,b},getModules:function(){return c},isLoaded:function(b){var d=function(a){var b=c.indexOf(a)>-1;return b||(b=!!x(a)),b};if(a.isString(b)&&(b=[b]),a.isArray(b)){var e,f;for(e=0,f=b.length;f>e;e++)if(!d(b[e]))return!1;return!0}throw new Error("You need to define the module(s) name(s)")},_getModuleName:w,_getModule:function(a){try{return o(a)}catch(b){throw(/No module/.test(b)||b.message.indexOf("$injector:nomod")>-1)&&(b.message='The module "'+E(a)+'" that you are trying to load does not exist. '+b.message),b}},moduleExists:x,_loadDependencies:function(b,c){var d,e,f,g=[],h=this;if(b=h._getModuleName(b),null===b)return l.when();try{d=h._getModule(b)}catch(i){return m(i)}return e=h.getRequires(d),a.forEach(e,function(d){if(a.isString(d)){var e=h.getModuleConfig(d);if(null===e)return void C.push(d);d=e,e.name=void 0}if(h.moduleExists(d.name))return f=d.files.filter(function(a){return h.getModuleConfig(d.name).files.indexOf(a)<0}),0!==f.length&&h._$log.warn('Module "',b,'" attempted to redefine configuration for dependency. "',d.name,'"\n Additional Files Loaded:',f),a.isDefined(h.filesLoader)?void g.push(h.filesLoader(d,c).then(function(){return h._loadDependencies(d)})):m(new Error("Error: New dependencies need to be loaded from external files ("+d.files+"), but no loader has been defined."));if(a.isArray(d)){var i=[];a.forEach(d,function(a){var b=h.getModuleConfig(a);null===b?i.push(a):b.files&&(i=i.concat(b.files))}),i.length>0&&(d={files:i})}else a.isObject(d)&&d.hasOwnProperty("name")&&d.name&&(h.setModuleConfig(d),C.push(d.name));if(a.isDefined(d.files)&&0!==d.files.length){if(!a.isDefined(h.filesLoader))return m(new Error('Error: the module "'+d.name+'" is defined in external files ('+d.files+"), but no loader has been defined."));g.push(h.filesLoader(d,c).then(function(){return h._loadDependencies(d)}))}}),l.all(g)},inject:function(b){var c=arguments.length<=1||void 0===arguments[1]?{}:arguments[1],d=arguments.length<=2||void 0===arguments[2]?!1:arguments[2],e=this,g=l.defer();if(a.isDefined(b)&&null!==b){if(a.isArray(b)){var h=[];return a.forEach(b,function(a){h.push(e.inject(a,c,d))}),l.all(h)}e._addToLoadList(e._getModuleName(b),!0,d)}if(f.length>0){var i=f.slice(),j=function m(a){C.push(a),D[a]=g.promise,e._loadDependencies(a,c).then(function(){try{k=[],t(z,C,c)}catch(a){return e._$log.error(a.message),void g.reject(a)}f.length>0?m(f.shift()):g.resolve(i)},function(a){g.reject(a)})};j(f.shift())}else{if(c&&c.name&&D[c.name])return D[c.name];g.resolve()}return g.promise},getRequires:function(b){var d=[];return a.forEach(b.requires,function(a){-1===c.indexOf(a)&&d.push(a)}),d},_invokeQueue:v,_registerInvokeList:u,_register:t,_addToLoadList:n,_unregister:function(b){a.isDefined(b)&&a.isArray(b)&&a.forEach(b,function(a){d[a]=void 0})}}}],this._init(a.element(b.document))}]);var m=a.bootstrap;a.bootstrap=function(b,c,d){return a.forEach(c.slice(),function(a){n(a,!0,!0)}),m(b,c,d)};var n=function(b,c,d){(h.length>0||c)&&a.isString(b)&&-1===f.indexOf(b)&&(f.push(b),d&&g.push(b))},o=a.module;a.module=function(a,b,c){return n(a,!1,!0),o(a,b,c)},"undefined"!=typeof module&&"undefined"!=typeof exports&&module.exports===exports&&(module.exports="oc.lazyLoad")}(angular,window),function(a){"use strict";a.module("oc.lazyLoad").directive("ocLazyLoad",["$ocLazyLoad","$compile","$animate","$parse","$timeout",function(b,c,d,e,f){return{restrict:"A",terminal:!0,priority:1e3,compile:function(f,g){var h=f[0].innerHTML;return f.html(""),function(f,g,i){var j=e(i.ocLazyLoad);f.$watch(function(){return j(f)||i.ocLazyLoad},function(e){a.isDefined(e)&&b.load(e).then(function(){d.enter(h,g),c(g.contents())(f)})},!0)}}}}])}(angular),function(a){"use strict";a.module("oc.lazyLoad").config(["$provide",function(b){b.decorator("$ocLazyLoad",["$delegate","$q","$window","$interval",function(b,c,d,e){var f=!1,g=!1,h=d.document.getElementsByTagName("head")[0]||d.document.getElementsByTagName("body")[0];return b.buildElement=function(i,j,k){var l,m,n=c.defer(),o=b._getFilesCache(),p=function(a){var b=(new Date).getTime();return a.indexOf("?")>=0?"&"===a.substring(0,a.length-1)?a+"_dc="+b:a+"&_dc="+b:a+"?_dc="+b};switch(a.isUndefined(o.get(j))&&o.put(j,n.promise),i){case"css":l=d.document.createElement("link"),l.type="text/css",l.rel="stylesheet",l.href=k.cache===!1?p(j):j;break;case"js":l=d.document.createElement("script"),l.src=k.cache===!1?p(j):j;break;default:o.remove(j),n.reject(new Error('Requested type "'+i+'" is not known. Could not inject "'+j+'"'))}l.onload=l.onreadystatechange=function(a){l.readyState&&!/^c|loade/.test(l.readyState)||m||(l.onload=l.onreadystatechange=null,m=1,b._broadcast("ocLazyLoad.fileLoaded",j),n.resolve())},l.onerror=function(){o.remove(j),n.reject(new Error("Unable to load "+j))},l.async=k.serie?0:1;var q=h.lastChild;if(k.insertBefore){var r=a.element(a.isDefined(window.jQuery)?k.insertBefore:document.querySelector(k.insertBefore));r&&r.length>0&&(q=r[0])}if(q.parentNode.insertBefore(l,q),"css"==i){if(!f){var s=d.navigator.userAgent.toLowerCase();if(/iP(hone|od|ad)/.test(d.navigator.platform)){var t=d.navigator.appVersion.match(/OS (\d+)_(\d+)_?(\d+)?/),u=parseFloat([parseInt(t[1],10),parseInt(t[2],10),parseInt(t[3]||0,10)].join("."));g=6>u}else if(s.indexOf("android")>-1){var v=parseFloat(s.slice(s.indexOf("android")+8));g=4.4>v}else if(s.indexOf("safari")>-1){var w=s.match(/version\/([\.\d]+)/i);g=w&&w[1]&&parseFloat(w[1])<6}}if(g)var x=1e3,y=e(function(){try{l.sheet.cssRules,e.cancel(y),l.onload()}catch(a){--x<=0&&l.onerror()}},20)}return n.promise},b}])}])}(angular),function(a){"use strict";a.module("oc.lazyLoad").config(["$provide",function(b){b.decorator("$ocLazyLoad",["$delegate","$q",function(b,c){return b.filesLoader=function(d){var e=arguments.length<=1||void 0===arguments[1]?{}:arguments[1],f=[],g=[],h=[],i=[],j=null,k=b._getFilesCache();b.toggleWatch(!0),a.extend(e,d);var l=function(c){var d,l=null;if(a.isObject(c)&&(l=c.type,c=c.path),j=k.get(c),a.isUndefined(j)||e.cache===!1){if(null!==(d=/^(css|less|html|htm|js)?(?=!)/.exec(c))&&(l=d[1],c=c.substr(d[1].length+1,c.length)),!l)if(null!==(d=/[.](css|less|html|htm|js)?((\?|#).*)?$/.exec(c)))l=d[1];else{if(b.jsLoader.hasOwnProperty("ocLazyLoadLoader")||!b.jsLoader.hasOwnProperty("requirejs"))return void b._$log.error("File type could not be determined. "+c);l="js"}"css"!==l&&"less"!==l||-1!==f.indexOf(c)?"html"!==l&&"htm"!==l||-1!==g.indexOf(c)?"js"===l||-1===h.indexOf(c)?h.push(c):b._$log.error("File type is not valid. "+c):g.push(c):f.push(c)}else j&&i.push(j)};if(e.serie?l(e.files.shift()):a.forEach(e.files,function(a){l(a)}),f.length>0){var m=c.defer();b.cssLoader(f,function(c){a.isDefined(c)&&b.cssLoader.hasOwnProperty("ocLazyLoadLoader")?(b._$log.error(c),m.reject(c)):m.resolve()},e),i.push(m.promise)}if(g.length>0){var n=c.defer();b.templatesLoader(g,function(c){a.isDefined(c)&&b.templatesLoader.hasOwnProperty("ocLazyLoadLoader")?(b._$log.error(c),n.reject(c)):n.resolve()},e),i.push(n.promise)}if(h.length>0){var o=c.defer();b.jsLoader(h,function(c){a.isDefined(c)&&(b.jsLoader.hasOwnProperty("ocLazyLoadLoader")||b.jsLoader.hasOwnProperty("requirejs"))?(b._$log.error(c),o.reject(c)):o.resolve()},e),i.push(o.promise)}if(0===i.length){var p=c.defer(),q="Error: no file to load has been found, if you're trying to load an existing module you should use the 'inject' method instead of 'load'.";return b._$log.error(q),p.reject(q),p.promise}return e.serie&&e.files.length>0?c.all(i).then(function(){return b.filesLoader(d,e)}):c.all(i)["finally"](function(a){return b.toggleWatch(!1),a})},b.load=function(d){var e,f=arguments.length<=1||void 0===arguments[1]?{}:arguments[1],g=this,h=null,i=[],j=c.defer(),k=a.copy(d),l=a.copy(f);if(a.isArray(k))return a.forEach(k,function(a){i.push(g.load(a,l))}),c.all(i).then(function(a){j.resolve(a)},function(a){j.reject(a)}),j.promise;if(a.isString(k)?(h=g.getModuleConfig(k),h||(h={files:[k]})):a.isObject(k)&&(h=a.isDefined(k.path)&&a.isDefined(k.type)?{files:[k]}:g.setModuleConfig(k)),null===h){var m=g._getModuleName(k);return e='Module "'+(m||"unknown")+'" is not configured, cannot load.',b._$log.error(e),j.reject(new Error(e)),j.promise}a.isDefined(h.template)&&(a.isUndefined(h.files)&&(h.files=[]),a.isString(h.template)?h.files.push(h.template):a.isArray(h.template)&&h.files.concat(h.template));var n=a.extend({},l,h);return a.isUndefined(h.files)&&a.isDefined(h.name)&&b.moduleExists(h.name)?b.inject(h.name,n,!0):(b.filesLoader(h,n).then(function(){b.inject(null,n).then(function(a){j.resolve(a)},function(a){j.reject(a)})},function(a){j.reject(a)}),j.promise)},b}])}])}(angular),function(a){"use strict";a.module("oc.lazyLoad").config(["$provide",function(b){b.decorator("$ocLazyLoad",["$delegate","$q",function(b,c){return b.cssLoader=function(d,e,f){var g=[];a.forEach(d,function(a){g.push(b.buildElement("css",a,f))}),c.all(g).then(function(){e()},function(a){e(a)})},b.cssLoader.ocLazyLoadLoader=!0,b}])}])}(angular),function(a){"use strict";a.module("oc.lazyLoad").config(["$provide",function(b){b.decorator("$ocLazyLoad",["$delegate","$q",function(b,c){return b.jsLoader=function(d,e,f){var g=[];a.forEach(d,function(a){g.push(b.buildElement("js",a,f))}),c.all(g).then(function(){e()},function(a){e(a)})},b.jsLoader.ocLazyLoadLoader=!0,b}])}])}(angular),function(a){"use strict";a.module("oc.lazyLoad").config(["$provide",function(b){b.decorator("$ocLazyLoad",["$delegate","$templateCache","$q","$http",function(b,c,d,e){return b.templatesLoader=function(f,g,h){var i=[],j=b._getFilesCache();return a.forEach(f,function(b){var f=d.defer();i.push(f.promise),e.get(b,h).success(function(d){a.isString(d)&&d.length>0&&a.forEach(a.element(d),function(a){"SCRIPT"===a.nodeName&&"text/ng-template"===a.type&&c.put(a.id,a.innerHTML)}),a.isUndefined(j.get(b))&&j.put(b,!0),f.resolve()}).error(function(a){f.reject(new Error('Unable to load template file "'+b+'": '+a))})}),d.all(i).then(function(){g()},function(a){g(a)})},b.templatesLoader.ocLazyLoadLoader=!0,b}])}])}(angular),Array.prototype.indexOf||(Array.prototype.indexOf=function(a,b){var c;if(null==this)throw new TypeError('"this" is null or not defined');var d=Object(this),e=d.length>>>0;if(0===e)return-1;var f=+b||0;if(Math.abs(f)===1/0&&(f=0),f>=e)return-1;for(c=Math.max(f>=0?f:e-Math.abs(f),0);e>c;){if(c in d&&d[c]===a)return c;c++}return-1}),"undefined"==typeof PDFJS&&(("undefined"!=typeof window?window:this).PDFJS={}),PDFJS.version="1.1.551",PDFJS.build="2a5616c",function(){"use strict";function a(a){PDFJS.verbosity>=PDFJS.VERBOSITY_LEVELS.infos&&console.log("Info: "+a)}function b(a){PDFJS.verbosity>=PDFJS.VERBOSITY_LEVELS.warnings&&console.log("Warning: "+a)}function c(a){b("Deprecated API usage: "+a)}function d(a){throw PDFJS.verbosity>=PDFJS.VERBOSITY_LEVELS.errors&&(console.log("Error: "+a),console.log(e())),I.notify(H.unknown),new Error(a)}function e(){try{throw new Error}catch(a){return a.stack?a.stack.split("\n").slice(2).join("\n"):""}}function f(a,b){a||d(b)}function g(a,b){if(!b)return a;if(/^[a-z][a-z0-9+\-.]*:/i.test(b))return b;var c;if("/"===b.charAt(0))return c=a.indexOf("://"),"/"===b.charAt(1)?++c:c=a.indexOf("/",c+3),a.substring(0,c)+b;var d=a.length;c=a.lastIndexOf("#"),d=c>=0?c:d,c=a.lastIndexOf("?",d),d=c>=0?c:d;var e=a.lastIndexOf("/",d);return a.substring(0,e+1)+b}function h(a,b){if(!a)return!1;var c=/^[a-z][a-z0-9+\-.]*(?=:)/i.exec(a);if(!c)return b;switch(c=c[0].toLowerCase()){case"http":case"https":case"ftp":case"mailto":case"tel":return!0;default:return!1}}function i(a,b,c){return Object.defineProperty(a,b,{value:c,enumerable:!0,configurable:!0,writable:!1}),c}function j(){switch(PDFJS.openExternalLinksInNewWindow&&(b("PDFJS.openExternalLinksInNewWindow is deprecated, use PDFJS.externalLinkTarget instead."),PDFJS.externalLinkTarget===J.NONE&&(PDFJS.externalLinkTarget=J.BLANK),PDFJS.openExternalLinksInNewWindow=!1),PDFJS.externalLinkTarget){case J.NONE:return!1;case J.SELF:case J.BLANK:case J.PARENT:case J.TOP:return!0}return b("PDFJS.externalLinkTarget is invalid: "+PDFJS.externalLinkTarget),PDFJS.externalLinkTarget=J.NONE,!1}function k(a){f(null!==a&&"object"==typeof a&&void 0!==a.length,"Invalid argument for bytesToString");var b=a.length,c=8192;if(c>b)return String.fromCharCode.apply(null,a);for(var d=[],e=0;b>e;e+=c){var g=Math.min(e+c,b),h=a.subarray(e,g);d.push(String.fromCharCode.apply(null,h))}return d.join("")}function l(a){f("string"==typeof a,"Invalid argument for stringToBytes");for(var b=a.length,c=new Uint8Array(b),d=0;b>d;++d)c[d]=255&a.charCodeAt(d);return c}function m(a){return String.fromCharCode(a>>24&255,a>>16&255,a>>8&255,255&a)}function n(){var a=new Uint8Array(2);a[0]=1;var b=new Uint16Array(a.buffer);return 1===b[0]}function o(){var a=document.createElement("canvas");a.width=a.height=1;var b=a.getContext("2d"),c=b.createImageData(1,1);return"undefined"!=typeof c.data.buffer}function p(a){return"number"==typeof a}function q(a){return a instanceof Array}function r(a){return"object"==typeof a&&null!==a&&void 0!==a.byteLength}function s(){var a={};return a.promise=new Promise(function(b,c){a.resolve=b,a.reject=c}),a}function t(a,b){this.name=a,this.comObj=b,this.callbackIndex=1,this.postMessageTransfers=!0;var c=this.callbacksCapabilities={},e=this.actionHandler={};e.console_log=[function(a){console.log.apply(console,a)}],e.console_error=[function(a){console.error.apply(console,a)}],e._unsupported_feature=[function(a){I.notify(a)}],b.onmessage=function(a){var f=a.data;if(f.isReply){var g=f.callbackId;if(f.callbackId in c){var h=c[g];delete c[g],"error"in f?h.reject(f.error):h.resolve(f.data)}else d("Cannot resolve callback "+g)}else if(f.action in e){var i=e[f.action];f.callbackId?Promise.resolve().then(function(){return i[0].call(i[1],f.data)}).then(function(a){b.postMessage({isReply:!0,callbackId:f.callbackId,data:a})},function(a){a instanceof Error&&(a+=""),b.postMessage({isReply:!0,callbackId:f.callbackId,error:a})}):i[0].call(i[1],f.data)}else d("Unknown action from worker: "+f.action)}}function u(a,c,d){var e=new Image;e.onload=function(){d.resolve(a,e)},e.onerror=function(){d.resolve(a,null),b("Error during JPEG image loading")},e.src=c}function v(a,b){var c=document.createElement("canvas");return c.width=a,c.height=b,c}function w(a){a.mozCurrentTransform||(a._originalSave=a.save,a._originalRestore=a.restore,a._originalRotate=a.rotate,a._originalScale=a.scale,a._originalTranslate=a.translate,a._originalTransform=a.transform,a._originalSetTransform=a.setTransform,a._transformMatrix=a._transformMatrix||[1,0,0,1,0,0],a._transformStack=[],Object.defineProperty(a,"mozCurrentTransform",{get:function(){return this._transformMatrix}}),Object.defineProperty(a,"mozCurrentTransformInverse",{get:function(){var a=this._transformMatrix,b=a[0],c=a[1],d=a[2],e=a[3],f=a[4],g=a[5],h=b*e-c*d,i=c*d-b*e;return[e/h,c/i,d/i,b/h,(e*f-d*g)/i,(c*f-b*g)/h]}}),a.save=function(){var a=this._transformMatrix;this._transformStack.push(a),this._transformMatrix=a.slice(0,6),this._originalSave()},a.restore=function(){var a=this._transformStack.pop();a&&(this._transformMatrix=a,this._originalRestore())},a.translate=function(a,b){var c=this._transformMatrix;c[4]=c[0]*a+c[2]*b+c[4],c[5]=c[1]*a+c[3]*b+c[5],this._originalTranslate(a,b)},a.scale=function(a,b){var c=this._transformMatrix;c[0]=c[0]*a,c[1]=c[1]*a,c[2]=c[2]*b,c[3]=c[3]*b,this._originalScale(a,b)},a.transform=function(b,c,d,e,f,g){var h=this._transformMatrix;this._transformMatrix=[h[0]*b+h[2]*c,h[1]*b+h[3]*c,h[0]*d+h[2]*e,h[1]*d+h[3]*e,h[0]*f+h[2]*g+h[4],h[1]*f+h[3]*g+h[5]],a._originalTransform(b,c,d,e,f,g)},a.setTransform=function(b,c,d,e,f,g){this._transformMatrix=[b,c,d,e,f,g],a._originalSetTransform(b,c,d,e,f,g)},a.rotate=function(a){var b=Math.cos(a),c=Math.sin(a),d=this._transformMatrix;this._transformMatrix=[d[0]*b+d[2]*c,d[1]*b+d[3]*c,d[0]*-c+d[2]*b,d[1]*-c+d[3]*b,d[4],d[5]],this._originalRotate(a)})}function x(a){var b,c,d,e,f=1e3,g=a.width,h=a.height,i=g+1,j=new Uint8Array(i*(h+1)),k=new Uint8Array([0,2,4,0,1,0,5,4,8,10,0,8,0,2,1,0]),l=g+7&-8,m=a.data,n=new Uint8Array(l*h),o=0;for(b=0,e=m.length;e>b;b++)for(var p=128,q=m[b];p>0;)n[o++]=q&p?0:255,p>>=1;var r=0;for(o=0,0!==n[o]&&(j[0]=1,++r),c=1;g>c;c++)n[o]!==n[o+1]&&(j[c]=n[o]?2:1,++r),o++;for(0!==n[o]&&(j[c]=2,++r),b=1;h>b;b++){o=b*l,d=b*i,n[o-l]!==n[o]&&(j[d]=n[o]?1:8,++r);var s=(n[o]?4:0)+(n[o-l]?8:0);for(c=1;g>c;c++)s=(s>>2)+(n[o+1]?4:0)+(n[o-l+1]?8:0),k[s]&&(j[d+c]=k[s],++r),o++;if(n[o-l]!==n[o]&&(j[d+c]=n[o]?2:4,++r),r>f)return null}for(o=l*(h-1),d=b*i,0!==n[o]&&(j[d]=8,++r),c=1;g>c;c++)n[o]!==n[o+1]&&(j[d+c]=n[o]?4:8,++r),o++;if(0!==n[o]&&(j[d+c]=4,++r),r>f)return null;var t=new Int32Array([0,i,-1,0,-i,0,0,0,1]),u=[];for(b=0;r&&h>=b;b++){for(var v=b*i,w=v+g;w>v&&!j[v];)v++;if(v!==w){var x,y=[v%i,b],z=j[v],A=v;do{var B=t[z];do v+=B;while(!j[v]);x=j[v],5!==x&&10!==x?(z=x,j[v]=0):(z=x&51*z>>4,j[v]&=z>>2|z<<2),y.push(v%i),y.push(v/i|0),--r}while(A!==v);u.push(y),--b}}var C=function(a){a.save(),a.scale(1/g,-1/h),a.translate(0,-h),a.beginPath();for(var b=0,c=u.length;c>b;b++){var d=u[b];a.moveTo(d[0],d[1]);for(var e=2,f=d.length;f>e;e+=2)a.lineTo(d[e],d[e+1])}a.fill(),a.beginPath(),a.restore()};return C}function y(a){var b=ma[a[0]];return b||d("Unknown IR type: "+a[0]),b.fromIR(a)}var z="undefined"==typeof window?this:window,A="undefined"==typeof window,B=[.001,0,0,.001,0,0],C={FILL:0,STROKE:1,FILL_STROKE:2,INVISIBLE:3,FILL_ADD_TO_PATH:4,STROKE_ADD_TO_PATH:5,FILL_STROKE_ADD_TO_PATH:6,ADD_TO_PATH:7,FILL_STROKE_MASK:3,ADD_TO_PATH_FLAG:4},D={GRAYSCALE_1BPP:1,RGB_24BPP:2,RGBA_32BPP:3},E={WIDGET:1,TEXT:2,LINK:3},F={SOLID:1,DASHED:2,BEVELED:3,INSET:4,UNDERLINE:5};z.PDFJS||(z.PDFJS={}),z.PDFJS.pdfBug=!1,PDFJS.VERBOSITY_LEVELS={errors:0,warnings:1,infos:5};var G=PDFJS.OPS={dependency:1,setLineWidth:2,setLineCap:3,setLineJoin:4,setMiterLimit:5,setDash:6,setRenderingIntent:7,setFlatness:8,setGState:9,save:10,restore:11,transform:12,moveTo:13,lineTo:14,curveTo:15,curveTo2:16,curveTo3:17,closePath:18,rectangle:19,stroke:20,closeStroke:21,fill:22,eoFill:23,fillStroke:24,eoFillStroke:25,closeFillStroke:26,closeEOFillStroke:27,endPath:28,clip:29,eoClip:30,beginText:31,endText:32,setCharSpacing:33,setWordSpacing:34,setHScale:35,setLeading:36,setFont:37,setTextRenderingMode:38,setTextRise:39,moveText:40,setLeadingMoveText:41,setTextMatrix:42,nextLine:43,showText:44,showSpacedText:45,nextLineShowText:46,nextLineSetSpacingShowText:47,setCharWidth:48,setCharWidthAndBounds:49,setStrokeColorSpace:50,setFillColorSpace:51,setStrokeColor:52,setStrokeColorN:53,setFillColor:54,setFillColorN:55,setStrokeGray:56,setFillGray:57,setStrokeRGBColor:58,setFillRGBColor:59,setStrokeCMYKColor:60,setFillCMYKColor:61,shadingFill:62,beginInlineImage:63,beginImageData:64,endInlineImage:65,paintXObject:66,markPoint:67,markPointProps:68,beginMarkedContent:69,beginMarkedContentProps:70,endMarkedContent:71,beginCompat:72,endCompat:73,paintFormXObjectBegin:74,paintFormXObjectEnd:75,beginGroup:76,endGroup:77,beginAnnotations:78,endAnnotations:79,beginAnnotation:80,endAnnotation:81,paintJpegXObject:82,paintImageMaskXObject:83,paintImageMaskXObjectGroup:84,paintImageXObject:85,paintInlineImageXObject:86,paintInlineImageXObjectGroup:87,paintImageXObjectRepeat:88,paintImageMaskXObjectRepeat:89,paintSolidColorImageMask:90,constructPath:91},H=PDFJS.UNSUPPORTED_FEATURES={unknown:"unknown",forms:"forms",javaScript:"javaScript",smask:"smask",shadingPattern:"shadingPattern",font:"font"},I=PDFJS.UnsupportedManager=function(){var a=[];return{listen:function(b){a.push(b)},notify:function(c){b('Unsupported feature "'+c+'"');for(var d=0,e=a.length;e>d;d++)a[d](c)}}}();PDFJS.isValidUrl=h,PDFJS.shadow=i;var J=PDFJS.LinkTarget={NONE:0,SELF:1,BLANK:2,PARENT:3,TOP:4},K=["","_self","_blank","_parent","_top"];PDFJS.isExternalLinkTargetSet=j;var L=PDFJS.PasswordResponses={NEED_PASSWORD:1,INCORRECT_PASSWORD:2},M=function(){function a(a,b){this.name="PasswordException",this.message=a,this.code=b}return a.prototype=new Error,a.constructor=a,a}();PDFJS.PasswordException=M;var N=function(){function a(a,b){this.name="UnknownErrorException",this.message=a,this.details=b}return a.prototype=new Error,a.constructor=a,a}();PDFJS.UnknownErrorException=N;var O=function(){function a(a){this.name="InvalidPDFException",this.message=a}return a.prototype=new Error,a.constructor=a,a}();PDFJS.InvalidPDFException=O;var P=function(){function a(a){this.name="MissingPDFException",this.message=a}return a.prototype=new Error,a.constructor=a,a}();PDFJS.MissingPDFException=P;var Q=function(){function a(a,b){this.name="UnexpectedResponseException",this.message=a,this.status=b}return a.prototype=new Error,a.constructor=a,a}();PDFJS.UnexpectedResponseException=Q;(function(){function a(a){this.message=a}return a.prototype=new Error,a.prototype.name="NotImplementedException",a.constructor=a,a})(),function(){function a(a,b){this.begin=a,this.end=b,this.message="Missing data ["+a+", "+b+")"}return a.prototype=new Error,a.prototype.name="MissingDataException",a.constructor=a,a}(),function(){function a(a){this.message=a}return a.prototype=new Error,a.prototype.name="XRefParseException",a.constructor=a,a}();Object.defineProperty(PDFJS,"isLittleEndian",{configurable:!0,get:function(){return i(PDFJS,"isLittleEndian",n())}}),Object.defineProperty(PDFJS,"hasCanvasTypedArrays",{configurable:!0,get:function(){return i(PDFJS,"hasCanvasTypedArrays",o())}});var R=function(){function a(a,b){this.buffer=a,this.byteLength=a.length,this.length=void 0===b?this.byteLength>>2:b,c(this.length)}function b(a){return{get:function(){var b=this.buffer,c=a<<2;return(b[c]|b[c+1]<<8|b[c+2]<<16|b[c+3]<<24)>>>0},set:function(b){var c=this.buffer,d=a<<2;c[d]=255&b,c[d+1]=b>>8&255,c[d+2]=b>>16&255,c[d+3]=b>>>24&255}}}function c(c){for(;c>d;)Object.defineProperty(a.prototype,d,b(d)),d++}a.prototype=Object.create(null);var d=0;return a}(),S=[1,0,0,1,0,0],T=PDFJS.Util=function(){function a(){}var b=["rgb(",0,",",0,",",0,")"];return a.makeCssRgb=function(a,c,d){return b[1]=a,b[3]=c,b[5]=d,b.join("")},a.transform=function(a,b){return[a[0]*b[0]+a[2]*b[1],a[1]*b[0]+a[3]*b[1],a[0]*b[2]+a[2]*b[3],a[1]*b[2]+a[3]*b[3],a[0]*b[4]+a[2]*b[5]+a[4],a[1]*b[4]+a[3]*b[5]+a[5]]},a.applyTransform=function(a,b){var c=a[0]*b[0]+a[1]*b[2]+b[4],d=a[0]*b[1]+a[1]*b[3]+b[5];return[c,d]},a.applyInverseTransform=function(a,b){var c=b[0]*b[3]-b[1]*b[2],d=(a[0]*b[3]-a[1]*b[2]+b[2]*b[5]-b[4]*b[3])/c,e=(-a[0]*b[1]+a[1]*b[0]+b[4]*b[1]-b[5]*b[0])/c;return[d,e]},a.getAxialAlignedBoundingBox=function(b,c){var d=a.applyTransform(b,c),e=a.applyTransform(b.slice(2,4),c),f=a.applyTransform([b[0],b[3]],c),g=a.applyTransform([b[2],b[1]],c);return[Math.min(d[0],e[0],f[0],g[0]),Math.min(d[1],e[1],f[1],g[1]),Math.max(d[0],e[0],f[0],g[0]),Math.max(d[1],e[1],f[1],g[1])]},a.inverseTransform=function(a){var b=a[0]*a[3]-a[1]*a[2];return[a[3]/b,-a[1]/b,-a[2]/b,a[0]/b,(a[2]*a[5]-a[4]*a[3])/b,(a[4]*a[1]-a[5]*a[0])/b]},a.apply3dTransform=function(a,b){return[a[0]*b[0]+a[1]*b[1]+a[2]*b[2],a[3]*b[0]+a[4]*b[1]+a[5]*b[2],a[6]*b[0]+a[7]*b[1]+a[8]*b[2]]},a.singularValueDecompose2dScale=function(a){var b=[a[0],a[2],a[1],a[3]],c=a[0]*b[0]+a[1]*b[2],d=a[0]*b[1]+a[1]*b[3],e=a[2]*b[0]+a[3]*b[2],f=a[2]*b[1]+a[3]*b[3],g=(c+f)/2,h=Math.sqrt((c+f)*(c+f)-4*(c*f-e*d))/2,i=g+h||1,j=g-h||1;return[Math.sqrt(i),Math.sqrt(j)]},a.normalizeRect=function(a){var b=a.slice(0);return a[0]>a[2]&&(b[0]=a[2],b[2]=a[0]),a[1]>a[3]&&(b[1]=a[3],b[3]=a[1]),b},a.intersect=function(b,c){function d(a,b){return a-b}var e=[b[0],b[2],c[0],c[2]].sort(d),f=[b[1],b[3],c[1],c[3]].sort(d),g=[];return b=a.normalizeRect(b),c=a.normalizeRect(c),e[0]===b[0]&&e[1]===c[0]||e[0]===c[0]&&e[1]===b[0]?(g[0]=e[1],g[2]=e[2],f[0]===b[1]&&f[1]===c[1]||f[0]===c[1]&&f[1]===b[1]?(g[1]=f[1],g[3]=f[2],g):!1):!1},a.sign=function(a){return 0>a?-1:1},a.appendToArray=function(a,b){Array.prototype.push.apply(a,b)},a.prependToArray=function(a,b){Array.prototype.unshift.apply(a,b)},a.extendObj=function(a,b){for(var c in b)a[c]=b[c]},a.getInheritableProperty=function(a,b){for(;a&&!a.has(b);)a=a.get("Parent");return a?a.get(b):null},a.inherit=function(a,b,c){a.prototype=Object.create(b.prototype),a.prototype.constructor=a;for(var d in c)a.prototype[d]=c[d]},a.loadScript=function(a,b){var c=document.createElement("script"),d=!1;c.setAttribute("src",a),b&&(c.onload=function(){d||b(),d=!0}),document.getElementsByTagName("head")[0].appendChild(c)},a}();PDFJS.PageViewport=function(){function a(a,b,c,d,e,f){this.viewBox=a,this.scale=b,this.rotation=c,this.offsetX=d,this.offsetY=e;var g,h,i,j,k=(a[2]+a[0])/2,l=(a[3]+a[1])/2;switch(c%=360,c=0>c?c+360:c){case 180:g=-1,h=0,i=0,j=1;break;case 90:g=0,h=1,i=1,j=0;break;case 270:g=0,h=-1,i=-1,j=0;break;default:g=1,h=0,i=0,j=-1}f&&(i=-i,j=-j);var m,n,o,p;0===g?(m=Math.abs(l-a[1])*b+d,n=Math.abs(k-a[0])*b+e,o=Math.abs(a[3]-a[1])*b,p=Math.abs(a[2]-a[0])*b):(m=Math.abs(k-a[0])*b+d,n=Math.abs(l-a[1])*b+e,o=Math.abs(a[2]-a[0])*b,p=Math.abs(a[3]-a[1])*b),this.transform=[g*b,h*b,i*b,j*b,m-g*b*k-i*b*l,n-h*b*k-j*b*l],this.width=o,this.height=p,this.fontScale=b}return a.prototype={clone:function(b){b=b||{};var c="scale"in b?b.scale:this.scale,d="rotation"in b?b.rotation:this.rotation;return new a(this.viewBox.slice(),c,d,this.offsetX,this.offsetY,b.dontFlip)},convertToViewportPoint:function(a,b){return T.applyTransform([a,b],this.transform)},convertToViewportRectangle:function(a){var b=T.applyTransform([a[0],a[1]],this.transform),c=T.applyTransform([a[2],a[3]],this.transform);return[b[0],b[1],c[0],c[1]]},convertToPdfPoint:function(a,b){return T.applyInverseTransform([a,b],this.transform)}},a}();PDFJS.createPromiseCapability=s,function(){function a(a){this._status=c,this._handlers=[];try{a.call(this,this._resolve.bind(this),this._reject.bind(this))}catch(b){this._reject(b)}}if(z.Promise)return"function"!=typeof z.Promise.all&&(z.Promise.all=function(a){var b,c,d=0,e=[],f=new z.Promise(function(a,d){b=a,c=d});return a.forEach(function(a,f){d++,a.then(function(a){e[f]=a,d--,0===d&&b(e)},c)}),0===d&&b(e),f}),"function"!=typeof z.Promise.resolve&&(z.Promise.resolve=function(a){return new z.Promise(function(b){b(a)})}),"function"!=typeof z.Promise.reject&&(z.Promise.reject=function(a){return new z.Promise(function(b,c){c(a)})}),void("function"!=typeof z.Promise.prototype["catch"]&&(z.Promise.prototype["catch"]=function(a){return z.Promise.prototype.then(void 0,a)}));var c=0,d=1,e=2,f=500,g={handlers:[],running:!1,unhandledRejections:[],pendingRejectionCheck:!1,scheduleHandlers:function(a){a._status!==c&&(this.handlers=this.handlers.concat(a._handlers),a._handlers=[],this.running||(this.running=!0, +setTimeout(this.runHandlers.bind(this),0)))},runHandlers:function(){for(var a=1,b=Date.now()+a;this.handlers.length>0;){var c=this.handlers.shift(),f=c.thisPromise._status,g=c.thisPromise._value;try{f===d?"function"==typeof c.onResolve&&(g=c.onResolve(g)):"function"==typeof c.onReject&&(g=c.onReject(g),f=d,c.thisPromise._unhandledRejection&&this.removeUnhandeledRejection(c.thisPromise))}catch(h){f=e,g=h}if(c.nextPromise._updateStatus(f,g),Date.now()>=b)break}return this.handlers.length>0?void setTimeout(this.runHandlers.bind(this),0):void(this.running=!1)},addUnhandledRejection:function(a){this.unhandledRejections.push({promise:a,time:Date.now()}),this.scheduleRejectionCheck()},removeUnhandeledRejection:function(a){a._unhandledRejection=!1;for(var b=0;bf){var d=this.unhandledRejections[c].promise._value,e="Unhandled rejection: "+d;d.stack&&(e+="\n"+d.stack),b(e),this.unhandledRejections.splice(c),c--}this.unhandledRejections.length&&this.scheduleRejectionCheck()}.bind(this),f))}};a.all=function(b){function c(a){g._status!==e&&(i=[],f(a))}var d,f,g=new a(function(a,b){d=a,f=b}),h=b.length,i=[];if(0===h)return d(i),g;for(var j=0,k=b.length;k>j;++j){var l=b[j],m=function(a){return function(b){g._status!==e&&(i[a]=b,h--,0===h&&d(i))}}(j);a.isPromise(l)?l.then(m,c):m(l)}return g},a.isPromise=function(a){return a&&"function"==typeof a.then},a.resolve=function(b){return new a(function(a){a(b)})},a.reject=function(b){return new a(function(a,c){c(b)})},a.prototype={_status:null,_value:null,_handlers:null,_unhandledRejection:null,_updateStatus:function(b,c){if(this._status!==d&&this._status!==e){if(b===d&&a.isPromise(c))return void c.then(this._updateStatus.bind(this,d),this._updateStatus.bind(this,e));this._status=b,this._value=c,b===e&&0===this._handlers.length&&(this._unhandledRejection=!0,g.addUnhandledRejection(this)),g.scheduleHandlers(this)}},_resolve:function(a){this._updateStatus(d,a)},_reject:function(a){this._updateStatus(e,a)},then:function(b,c){var d=new a(function(a,b){this.resolve=a,this.reject=b});return this._handlers.push({thisPromise:this,onResolve:b,onReject:c,nextPromise:d}),g.scheduleHandlers(this),d},"catch":function(a){return this.then(void 0,a)}},z.Promise=a}();var U=function(){function a(a,b,c){for(;a.lengthb;++b){var g=d[b].name;g.length>f&&(f=g.length)}for(b=0,c=d.length;c>b;++b){var h=d[b],i=h.end-h.start;e+=a(h.name," ",f)+" "+i+"ms\n"}return e}},c}();PDFJS.createBlob=function(a,b){if("undefined"!=typeof Blob)return new Blob([a],{type:b});var c=new MozBlobBuilder;return c.append(a),c.getBlob(b)},PDFJS.createObjectURL=function(){var a="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/=";return function(b,c){if(!PDFJS.disableCreateObjectURL&&"undefined"!=typeof URL&&URL.createObjectURL){var d=PDFJS.createBlob(b,c);return URL.createObjectURL(d)}for(var e="data:"+c+";base64,",f=0,g=b.length;g>f;f+=3){var h=255&b[f],i=255&b[f+1],j=255&b[f+2],k=h>>2,l=(3&h)<<4|i>>4,m=g>f+1?(15&i)<<2|j>>6:64,n=g>f+2?63&j:64;e+=a[k]+a[l]+a[m]+a[n]}return e}}(),t.prototype={on:function(a,b,c){var e=this.actionHandler;e[a]&&d('There is already an actionName called "'+a+'"'),e[a]=[b,c]},send:function(a,b,c){var d={action:a,data:b};this.postMessage(d,c)},sendWithPromise:function(a,b,c){var d=this.callbackIndex++,e={action:a,data:b,callbackId:d},f=s();this.callbacksCapabilities[d]=f;try{this.postMessage(e,c)}catch(g){f.reject(g)}return f.promise},postMessage:function(a,b){b&&this.postMessageTransfers?this.comObj.postMessage(a,b):this.comObj.postMessage(a)}},PDFJS.maxImageSize=void 0===PDFJS.maxImageSize?-1:PDFJS.maxImageSize,PDFJS.cMapUrl=void 0===PDFJS.cMapUrl?null:PDFJS.cMapUrl,PDFJS.cMapPacked=void 0===PDFJS.cMapPacked?!1:PDFJS.cMapPacked,PDFJS.disableFontFace=void 0===PDFJS.disableFontFace?!1:PDFJS.disableFontFace,PDFJS.imageResourcesPath=void 0===PDFJS.imageResourcesPath?"":PDFJS.imageResourcesPath,PDFJS.disableWorker=void 0===PDFJS.disableWorker?!1:PDFJS.disableWorker,PDFJS.workerSrc=void 0===PDFJS.workerSrc?null:PDFJS.workerSrc,PDFJS.disableRange=void 0===PDFJS.disableRange?!1:PDFJS.disableRange,PDFJS.disableStream=void 0===PDFJS.disableStream?!1:PDFJS.disableStream,PDFJS.disableAutoFetch=void 0===PDFJS.disableAutoFetch?!1:PDFJS.disableAutoFetch,PDFJS.pdfBug=void 0===PDFJS.pdfBug?!1:PDFJS.pdfBug,PDFJS.postMessageTransfers=void 0===PDFJS.postMessageTransfers?!0:PDFJS.postMessageTransfers,PDFJS.disableCreateObjectURL=void 0===PDFJS.disableCreateObjectURL?!1:PDFJS.disableCreateObjectURL,PDFJS.disableWebGL=void 0===PDFJS.disableWebGL?!0:PDFJS.disableWebGL,PDFJS.disableFullscreen=void 0===PDFJS.disableFullscreen?!1:PDFJS.disableFullscreen,PDFJS.useOnlyCssZoom=void 0===PDFJS.useOnlyCssZoom?!1:PDFJS.useOnlyCssZoom,PDFJS.verbosity=void 0===PDFJS.verbosity?PDFJS.VERBOSITY_LEVELS.warnings:PDFJS.verbosity,PDFJS.maxCanvasPixels=void 0===PDFJS.maxCanvasPixels?16777216:PDFJS.maxCanvasPixels,PDFJS.openExternalLinksInNewWindow=void 0===PDFJS.openExternalLinksInNewWindow?!1:PDFJS.openExternalLinksInNewWindow,PDFJS.externalLinkTarget=void 0===PDFJS.externalLinkTarget?PDFJS.LinkTarget.NONE:PDFJS.externalLinkTarget,PDFJS.isEvalSupported=void 0===PDFJS.isEvalSupported?!0:PDFJS.isEvalSupported,PDFJS.getDocument=function(a,b,e,f){var h=new V;arguments.length>1&&c("getDocument is called with pdfDataRangeTransport, passwordCallback or progressCallback argument"),b&&(b instanceof W||(b=Object.create(b),b.length=a.length,b.initialData=a.initialData,b.abort||(b.abort=function(){})),a=Object.create(a),a.range=b),h.onPassword=e||null,h.onProgress=f||null;var i,j,k;"string"==typeof a?k={url:a}:r(a)?k={data:a}:a instanceof W?k={range:a}:("object"!=typeof a&&d("Invalid parameter in getDocument, need either Uint8Array, string or a parameter object"),a.url||a.data||a.range||d("Invalid parameter object: need either .data, .range or .url"),k=a);var m={};for(var n in k)if("url"!==n||"undefined"==typeof window){if("range"!==n)if("data"!==n||k[n]instanceof Uint8Array)m[n]=k[n];else{var o=k[n];"string"==typeof o?m[n]=l(o):"object"!=typeof o||null===o||isNaN(o.length)?r(o)?m[n]=new Uint8Array(o):d("Invalid PDF binary data: either typed array, string or array-like object is expected in the data property."):m[n]=new Uint8Array(o)}}else m[n]=g(window.location.href,k[n]);return i=s(),j=new Z(i,k.range),i.promise.then(function(){j.fetchDocument(h,m)}),h._transport=j,h};var V=function(){function a(){this._capability=s(),this._transport=null,this.onPassword=null,this.onProgress=null}return a.prototype={get promise(){return this._capability.promise},destroy:function(){return this._transport.destroy()},then:function(a,b){return this.promise.then.apply(this.promise,arguments)}},a}(),W=function(){function a(a,b){this.length=a,this.initialData=b,this._rangeListeners=[],this._progressListeners=[],this._progressiveReadListeners=[],this._readyCapability=s()}return a.prototype={addRangeListener:function(a){this._rangeListeners.push(a)},addProgressListener:function(a){this._progressListeners.push(a)},addProgressiveReadListener:function(a){this._progressiveReadListeners.push(a)},onDataRange:function(a,b){for(var c=this._rangeListeners,d=0,e=c.length;e>d;++d)c[d](a,b)},onDataProgress:function(a){this._readyCapability.promise.then(function(){for(var b=this._progressListeners,c=0,d=b.length;d>c;++c)b[c](a)}.bind(this))},onDataProgressiveRead:function(a){this._readyCapability.promise.then(function(){for(var b=this._progressiveReadListeners,c=0,d=b.length;d>c;++c)b[c](a)}.bind(this))},transportReady:function(){this._readyCapability.resolve()},requestDataRange:function(a,b){throw new Error("Abstract method PDFDataRangeTransport.requestDataRange")},abort:function(){}},a}();PDFJS.PDFDataRangeTransport=W;var X=function(){function a(a,b,c){this.pdfInfo=a,this.transport=b,this.loadingTask=c}return a.prototype={get numPages(){return this.pdfInfo.numPages},get fingerprint(){return this.pdfInfo.fingerprint},getPage:function(a){return this.transport.getPage(a)},getPageIndex:function(a){return this.transport.getPageIndex(a)},getDestinations:function(){return this.transport.getDestinations()},getDestination:function(a){return this.transport.getDestination(a)},getAttachments:function(){return this.transport.getAttachments()},getJavaScript:function(){return this.transport.getJavaScript()},getOutline:function(){return this.transport.getOutline()},getMetadata:function(){return this.transport.getMetadata()},getData:function(){return this.transport.getData()},getDownloadInfo:function(){return this.transport.downloadInfoCapability.promise},getStats:function(){return this.transport.getStats()},cleanup:function(){this.transport.startCleanup()},destroy:function(){return this.transport.destroy()}},a}(),Y=function(){function a(a,b,c){this.pageIndex=a,this.pageInfo=b,this.transport=c,this.stats=new U,this.stats.enabled=!!z.PDFJS.enableStats,this.commonObjs=c.commonObjs,this.objs=new $,this.cleanupAfterRender=!1,this.pendingCleanup=!1,this.intentStates={},this.destroyed=!1}return a.prototype={get pageNumber(){return this.pageIndex+1},get rotate(){return this.pageInfo.rotate},get ref(){return this.pageInfo.ref},get view(){return this.pageInfo.view},getViewport:function(a,b){return arguments.length<2&&(b=this.rotate),new PDFJS.PageViewport(this.view,a,b,0,0)},getAnnotations:function(){return this.annotationsPromise||(this.annotationsPromise=this.transport.getAnnotations(this.pageIndex)),this.annotationsPromise},render:function(a){function b(a){var b=f.renderTasks.indexOf(g);b>=0&&f.renderTasks.splice(b,1),i.cleanupAfterRender&&(i.pendingCleanup=!0),i._tryCleanup(),a?g.capability.reject(a):g.capability.resolve(),d.timeEnd("Rendering"),d.timeEnd("Overall")}var d=this.stats;d.time("Overall"),this.pendingCleanup=!1;var e="print"===a.intent?"print":"display";this.intentStates[e]||(this.intentStates[e]={});var f=this.intentStates[e];f.displayReadyCapability||(f.receivingOperatorList=!0,f.displayReadyCapability=s(),f.operatorList={fnArray:[],argsArray:[],lastChunk:!1},this.stats.time("Page Request"),this.transport.messageHandler.send("RenderPageRequest",{pageIndex:this.pageNumber-1,intent:e}));var g=new aa(b,a,this.objs,this.commonObjs,f.operatorList,this.pageNumber);g.useRequestAnimationFrame="print"!==e,f.renderTasks||(f.renderTasks=[]),f.renderTasks.push(g);var h=g.task;a.continueCallback&&(c("render is used with continueCallback parameter"),h.onContinue=a.continueCallback);var i=this;return f.displayReadyCapability.promise.then(function(a){return i.pendingCleanup?void b():(d.time("Rendering"),g.initalizeGraphics(a),void g.operatorListChanged())},function(a){b(a)}),h},getOperatorList:function(){function a(){c.operatorList.lastChunk&&c.opListReadCapability.resolve(c.operatorList)}var b="oplist";this.intentStates[b]||(this.intentStates[b]={});var c=this.intentStates[b];if(!c.opListReadCapability){var d={};d.operatorListChanged=a,c.receivingOperatorList=!0,c.opListReadCapability=s(),c.renderTasks=[],c.renderTasks.push(d),c.operatorList={fnArray:[],argsArray:[],lastChunk:!1},this.transport.messageHandler.send("RenderPageRequest",{pageIndex:this.pageIndex,intent:b})}return c.opListReadCapability.promise},getTextContent:function(){return this.transport.messageHandler.sendWithPromise("GetTextContent",{pageIndex:this.pageNumber-1})},_destroy:function(){this.destroyed=!0,this.transport.pageCache[this.pageIndex]=null;var a=[];return Object.keys(this.intentStates).forEach(function(b){var c=this.intentStates[b];c.renderTasks.forEach(function(b){var c=b.capability.promise["catch"](function(){});a.push(c),b.cancel()})},this),this.objs.clear(),this.annotationsPromise=null,this.pendingCleanup=!1,Promise.all(a)},destroy:function(){c("page destroy method, use cleanup() instead"),this.cleanup()},cleanup:function(){this.pendingCleanup=!0,this._tryCleanup()},_tryCleanup:function(){this.pendingCleanup&&!Object.keys(this.intentStates).some(function(a){var b=this.intentStates[a];return 0!==b.renderTasks.length||b.receivingOperatorList},this)&&(Object.keys(this.intentStates).forEach(function(a){delete this.intentStates[a]},this),this.objs.clear(),this.annotationsPromise=null,this.pendingCleanup=!1)},_startRenderPage:function(a,b){var c=this.intentStates[b];c.displayReadyCapability&&c.displayReadyCapability.resolve(a)},_renderPageChunk:function(a,b){var c,d,e=this.intentStates[b];for(c=0,d=a.length;d>c;c++)e.operatorList.fnArray.push(a.fnArray[c]),e.operatorList.argsArray.push(a.argsArray[c]);for(e.operatorList.lastChunk=a.lastChunk,c=0;ch&&(g.cleanupAfterRender=!0);break;default:d("Got unknown object type "+f)}},this),a.on("DocProgress",function(a){var b=this.loadingTask;b.onProgress&&b.onProgress({loaded:a.loaded,total:a.total})},this),a.on("PageError",function(a){var b=this.pageCache[a.pageNum-1],c=b.intentStates[a.intent];c.displayReadyCapability?c.displayReadyCapability.reject(a.error):d(a.error)},this),a.on("JpegDecode",function(a){var b=a[0],c=a[1];return 3!==c&&1!==c?Promise.reject(new Error("Only 3 components or 1 component can be returned")):new Promise(function(a,d){var e=new Image;e.onload=function(){var b=e.width,d=e.height,f=b*d,g=4*f,h=new Uint8Array(f*c),i=v(b,d),j=i.getContext("2d");j.drawImage(e,0,0);var k,l,m=j.getImageData(0,0,b,d).data;if(3===c)for(k=0,l=0;g>k;k+=4,l+=3)h[l]=m[k],h[l+1]=m[k+1],h[l+2]=m[k+2];else if(1===c)for(k=0,l=0;g>k;k+=4,l++)h[l]=m[k];a({data:h,width:b,height:d})},e.onerror=function(){d(new Error("JpegDecode failed to load image"))},e.src=b})})},fetchDocument:function(a,b){return this.destroyed?(a._capability.reject(new Error("Loading aborted")),void this.destroyCapability.resolve()):(this.loadingTask=a,b.disableAutoFetch=PDFJS.disableAutoFetch,b.disableStream=PDFJS.disableStream,b.chunkedViewerLoading=!!this.pdfDataRangeTransport,this.pdfDataRangeTransport&&(b.length=this.pdfDataRangeTransport.length,b.initialData=this.pdfDataRangeTransport.initialData),void this.messageHandler.send("GetDocRequest",{source:b,disableRange:PDFJS.disableRange,maxImageSize:PDFJS.maxImageSize,cMapUrl:PDFJS.cMapUrl,cMapPacked:PDFJS.cMapPacked,disableFontFace:PDFJS.disableFontFace,disableCreateObjectURL:PDFJS.disableCreateObjectURL,verbosity:PDFJS.verbosity}))},getData:function(){return this.messageHandler.sendWithPromise("GetData",null)},getPage:function(a,b){if(0>=a||a>this.numPages||(0|a)!==a)return Promise.reject(new Error("Invalid page request"));var c=a-1;if(c in this.pagePromises)return this.pagePromises[c];var d=this.messageHandler.sendWithPromise("GetPage",{pageIndex:c}).then(function(a){if(this.destroyed)throw new Error("Transport destroyed");var b=new Y(c,a,this);return this.pageCache[c]=b,b}.bind(this));return this.pagePromises[c]=d,d},getPageIndex:function(a){return this.messageHandler.sendWithPromise("GetPageIndex",{ref:a})},getAnnotations:function(a){return this.messageHandler.sendWithPromise("GetAnnotations",{pageIndex:a})},getDestinations:function(){return this.messageHandler.sendWithPromise("GetDestinations",null)},getDestination:function(a){return this.messageHandler.sendWithPromise("GetDestination",{id:a})},getAttachments:function(){return this.messageHandler.sendWithPromise("GetAttachments",null)},getJavaScript:function(){return this.messageHandler.sendWithPromise("GetJavaScript",null)},getOutline:function(){return this.messageHandler.sendWithPromise("GetOutline",null)},getMetadata:function(){return this.messageHandler.sendWithPromise("GetMetadata",null).then(function(a){return{info:a[0],metadata:a[1]?new PDFJS.Metadata(a[1]):null}})},getStats:function(){return this.messageHandler.sendWithPromise("GetStats",null)},startCleanup:function(){this.messageHandler.sendWithPromise("Cleanup",null).then(function(){for(var a=0,b=this.pageCache.length;b>a;a++){var c=this.pageCache[a];c&&c.cleanup()}this.commonObjs.clear(),pa.clear()}.bind(this))}},c}(),$=function(){function a(){this.objs={}}return a.prototype={ensureObj:function(a){if(this.objs[a])return this.objs[a];var b={capability:s(),data:null,resolved:!1};return this.objs[a]=b,b},get:function(a,b){if(b)return this.ensureObj(a).capability.promise.then(b),null;var c=this.objs[a];return c&&c.resolved||d("Requesting object that isn't resolved yet "+a),c.data},resolve:function(a,b){var c=this.ensureObj(a);c.resolved=!0,c.data=b,c.capability.resolve(b)},isResolved:function(a){var b=this.objs;return b[a]?b[a].resolved:!1},hasData:function(a){return this.isResolved(a)},getData:function(a){var b=this.objs;return b[a]&&b[a].resolved?b[a].data:null},clear:function(){this.objs={}}},a}(),_=function(){function a(a){this._internalRenderTask=a,this.onContinue=null}return a.prototype={get promise(){return this._internalRenderTask.capability.promise},cancel:function(){this._internalRenderTask.cancel()},then:function(a,b){return this.promise.then.apply(this.promise,arguments)}},a}(),aa=function(){function a(a,b,c,d,e,f){this.callback=a,this.params=b,this.objs=c,this.commonObjs=d,this.operatorListIdx=null,this.operatorList=e,this.pageNumber=f,this.running=!1,this.graphicsReadyCallback=null,this.graphicsReady=!1,this.useRequestAnimationFrame=!1,this.cancelled=!1,this.capability=s(),this.task=new _(this),this._continueBound=this._continue.bind(this),this._scheduleNextBound=this._scheduleNext.bind(this),this._nextBound=this._next.bind(this)}return a.prototype={initalizeGraphics:function(a){if(!this.cancelled){PDFJS.pdfBug&&"StepperManager"in z&&z.StepperManager.enabled&&(this.stepper=z.StepperManager.create(this.pageNumber-1),this.stepper.init(this.operatorList),this.stepper.nextBreakPoint=this.stepper.getNextBreakPoint());var b=this.params;this.gfx=new ka(b.canvasContext,this.commonObjs,this.objs,b.imageLayer),this.gfx.beginDrawing(b.viewport,a),this.operatorListIdx=0,this.graphicsReady=!0,this.graphicsReadyCallback&&this.graphicsReadyCallback()}},cancel:function(){this.running=!1,this.cancelled=!0,this.callback("cancelled")},operatorListChanged:function(){return this.graphicsReady?(this.stepper&&this.stepper.updateOperatorList(this.operatorList),void(this.running||this._continue())):void(this.graphicsReadyCallback||(this.graphicsReadyCallback=this._continueBound))},_continue:function(){this.running=!0,this.cancelled||(this.task.onContinue?this.task.onContinue.call(this.task,this._scheduleNextBound):this._scheduleNext())},_scheduleNext:function(){this.useRequestAnimationFrame?window.requestAnimationFrame(this._nextBound):Promise.resolve(void 0).then(this._nextBound)},_next:function(){this.cancelled||(this.operatorListIdx=this.gfx.executeOperatorList(this.operatorList,this.operatorListIdx,this._continueBound,this.stepper),this.operatorListIdx===this.operatorList.argsArray.length&&(this.running=!1,this.operatorList.lastChunk&&(this.gfx.endDrawing(),this.callback())))}},a}(),ba=(PDFJS.Metadata=function(){function a(a){return a.replace(/>\\376\\377([^<]+)/g,function(a,b){for(var c=b.replace(/\\([0-3])([0-7])([0-7])/g,function(a,b,c,d){return String.fromCharCode(64*b+8*c+1*d)}),d="",e=0;e"+d})}function b(b){if("string"==typeof b){b=a(b);var c=new DOMParser;b=c.parseFromString(b,"application/xml")}else b instanceof Document||d("Metadata: Invalid metadata object");this.metaDocument=b,this.metadata={},this.parse()}return b.prototype={parse:function(){var a=this.metaDocument,b=a.documentElement;if("rdf:rdf"!==b.nodeName.toLowerCase())for(b=b.firstChild;b&&"rdf:rdf"!==b.nodeName.toLowerCase();)b=b.nextSibling;var c=b?b.nodeName.toLowerCase():null;if(b&&"rdf:rdf"===c&&b.hasChildNodes()){var d,e,f,g,h,i,j,k=b.childNodes;for(g=0,i=k.length;i>g;g++)if(d=k[g],"rdf:description"===d.nodeName.toLowerCase())for(h=0,j=d.childNodes.length;j>h;h++)"#text"!==d.childNodes[h].nodeName.toLowerCase()&&(e=d.childNodes[h],f=e.nodeName.toLowerCase(),this.metadata[f]=e.textContent.trim())}},get:function(a){return this.metadata[a]||null},has:function(a){return"undefined"!=typeof this.metadata[a]}},b}(),16),ca=100,da=4096,ea=.65,fa=!0,ga=1e3,ha=16,ia=function(){var a={};return{getCanvas:function(b,c,d,e){var f;if(void 0!==a[b])f=a[b],f.canvas.width=c,f.canvas.height=d,f.context.setTransform(1,0,0,1,0,0);else{var g=v(c,d),h=g.getContext("2d");e&&w(h),a[b]=f={canvas:g,context:h}}return f},clear:function(){for(var b in a){var c=a[b];c.canvas.width=0,c.canvas.height=0,delete a[b]}}}}(),ja=function(){function a(a){this.alphaIsShape=!1,this.fontSize=0,this.fontSizeScale=1,this.textMatrix=S,this.textMatrixScale=1,this.fontMatrix=B,this.leading=0,this.x=0,this.y=0,this.lineX=0,this.lineY=0,this.charSpacing=0,this.wordSpacing=0,this.textHScale=1,this.textRenderingMode=C.FILL,this.textRise=0,this.fillColor="#000000",this.strokeColor="#000000",this.patternFill=!1,this.fillAlpha=1,this.strokeAlpha=1,this.lineWidth=1,this.activeSMask=null,this.old=a}return a.prototype={clone:function(){return Object.create(this)},setCurrentPoint:function(a,b){this.x=a,this.y=b}},a}(),ka=function(){function c(a,b,c,d){this.ctx=a,this.current=new ja,this.stateStack=[],this.pendingClip=null,this.pendingEOFill=!1,this.res=null,this.xobjs=null,this.commonObjs=b,this.objs=c,this.imageLayer=d,this.groupStack=[],this.processingType3=null,this.baseTransform=null,this.baseTransformStack=[],this.groupLevel=0,this.smaskStack=[],this.smaskCounter=0,this.tempSMask=null,a&&w(a),this.cachedGetSinglePixelWidth=null}function e(a,b){if("undefined"!=typeof ImageData&&b instanceof ImageData)return void a.putImageData(b,0,0);var c,e,f,g,h,i=b.height,j=b.width,k=i%ha,l=(i-k)/ha,m=0===k?l:l+1,n=a.createImageData(j,ha),o=0,p=b.data,q=n.data;if(b.kind===D.GRAYSCALE_1BPP){var r=p.byteLength,s=PDFJS.hasCanvasTypedArrays?new Uint32Array(q.buffer):new R(q),t=s.length,u=j+7>>3,v=4294967295,w=PDFJS.isLittleEndian||!PDFJS.hasCanvasTypedArrays?4278190080:255;for(e=0;m>e;e++){for(g=l>e?ha:k,c=0,f=0;g>f;f++){for(var x=r-o,y=0,z=x>u?j:8*x-7,A=-8&z,B=0,C=0;A>y;y+=8)C=p[o++],s[c++]=128&C?v:w,s[c++]=64&C?v:w,s[c++]=32&C?v:w,s[c++]=16&C?v:w,s[c++]=8&C?v:w,s[c++]=4&C?v:w,s[c++]=2&C?v:w,s[c++]=1&C?v:w;for(;z>y;y++)0===B&&(C=p[o++],B=128),s[c++]=C&B?v:w,B>>=1}for(;t>c;)s[c++]=0;a.putImageData(n,0,e*ha)}}else if(b.kind===D.RGBA_32BPP){for(f=0,h=j*ha*4,e=0;l>e;e++)q.set(p.subarray(o,o+h)),o+=h,a.putImageData(n,0,f),f+=ha;m>e&&(h=j*k*4,q.set(p.subarray(o,o+h)),a.putImageData(n,0,f))}else if(b.kind===D.RGB_24BPP)for(g=ha,h=j*g,e=0;m>e;e++){for(e>=l&&(g=k,h=j*g),c=0,f=h;f--;)q[c++]=p[o++],q[c++]=p[o++],q[c++]=p[o++],q[c++]=255;a.putImageData(n,0,e*ha)}else d("bad image kind: "+b.kind)}function g(a,b){for(var c=b.height,d=b.width,e=c%ha,f=(c-e)/ha,g=0===e?f:f+1,h=a.createImageData(d,ha),i=0,j=b.data,k=h.data,l=0;g>l;l++){for(var m=f>l?ha:e,n=3,o=0;m>o;o++)for(var p=0,q=0;d>q;q++){if(!p){var r=j[i++];p=128}k[n]=r&p?0:255,n+=4,p>>=1}a.putImageData(h,0,l*ha)}}function h(a,b){for(var c=["strokeStyle","fillStyle","fillRule","globalAlpha","lineWidth","lineCap","lineJoin","miterLimit","globalCompositeOperation","font"],d=0,e=c.length;e>d;d++){var f=c[d];void 0!==a[f]&&(b[f]=a[f])}void 0!==a.setLineDash?(b.setLineDash(a.getLineDash()),b.lineDashOffset=a.lineDashOffset):void 0!==a.mozDashOffset&&(b.mozDash=a.mozDash,b.mozDashOffset=a.mozDashOffset)}function j(a,b,c,d){for(var e=a.length,f=3;e>f;f+=4){var g=a[f];if(0===g)a[f-3]=b,a[f-2]=c,a[f-1]=d;else if(255>g){var h=255-g;a[f-3]=a[f-3]*g+b*h>>8,a[f-2]=a[f-2]*g+c*h>>8,a[f-1]=a[f-1]*g+d*h>>8}}}function k(a,b){for(var c=a.length,d=1/255,e=3;c>e;e+=4){var f=a[e];b[e]=b[e]*f*d|0}}function l(a,b){for(var c=a.length,d=3;c>d;d+=4){var e=77*a[d-3]+152*a[d-2]+28*a[d-1];b[d]=b[d]*e>>16}}function m(a,b,c,d,e,f){var g,h=!!f,i=h?f[0]:0,m=h?f[1]:0,n=h?f[2]:0;g="Luminosity"===e?l:k;for(var o=1048576,p=Math.min(d,Math.ceil(o/c)),q=0;d>q;q+=p){var r=Math.min(p,d-q),s=a.getImageData(0,q,c,r),t=b.getImageData(0,q,c,r);h&&j(s.data,i,m,n),g(s.data,t.data),a.putImageData(t,0,q)}}function n(a,b,c){var d=b.canvas,e=b.context;a.setTransform(b.scaleX,0,0,b.scaleY,b.offsetX,b.offsetY);var f=b.backdrop||null;if(la.isEnabled){var g=la.composeSMask(c.canvas,d,{subtype:b.subtype,backdrop:f});return a.setTransform(1,0,0,1,0,0),void a.drawImage(g,b.offsetX,b.offsetY)}m(e,c,d.width,d.height,b.subtype,f),a.drawImage(d,0,0)}var o=15,r=10,s=["butt","round","square"],t=["miter","round","bevel"],u={},v={};c.prototype={beginDrawing:function(a,b){var c=this.ctx.canvas.width,d=this.ctx.canvas.height;b?this.ctx.clearRect(0,0,c,d):(this.ctx.mozOpaque=!0,this.ctx.save(),this.ctx.fillStyle="rgb(255, 255, 255)",this.ctx.fillRect(0,0,c,d),this.ctx.restore());var e=a.transform;this.ctx.save(),this.ctx.transform.apply(this.ctx,e),this.baseTransform=this.ctx.mozCurrentTransform.slice(),this.imageLayer&&this.imageLayer.beginLayout()},executeOperatorList:function(a,b,c,d){var e=a.argsArray,f=a.fnArray,g=b||0,h=e.length;if(h===g)return g;for(var i,j=h-g>r&&"function"==typeof c,k=j?Date.now()+o:0,l=0,m=this.commonObjs,n=this.objs;;){if(void 0!==d&&g===d.nextBreakPoint)return d.breakIt(g,c),g;if(i=f[g],i!==G.dependency)this[i].apply(this,e[g]);else for(var p=e[g],q=0,s=p.length;s>q;q++){var t=p[q],u="g"===t[0]&&"_"===t[1],v=u?m:n;if(!v.isResolved(t))return v.get(t,c),g}if(g++,g===h)return g;if(j&&++l>r){if(Date.now()>k)return c(),g;l=0}}},endDrawing:function(){this.ctx.restore(),ia.clear(),la.clear(),this.imageLayer&&this.imageLayer.endLayout()},setLineWidth:function(a){this.current.lineWidth=a,this.ctx.lineWidth=a},setLineCap:function(a){this.ctx.lineCap=s[a]},setLineJoin:function(a){this.ctx.lineJoin=t[a]},setMiterLimit:function(a){this.ctx.miterLimit=a},setDash:function(a,b){var c=this.ctx;void 0!==c.setLineDash?(c.setLineDash(a),c.lineDashOffset=b):(c.mozDash=a,c.mozDashOffset=b)},setRenderingIntent:function(a){},setFlatness:function(a){},setGState:function(a){for(var c=0,d=a.length;d>c;c++){var e=a[c],f=e[0],g=e[1];switch(f){case"LW":this.setLineWidth(g);break;case"LC":this.setLineCap(g);break;case"LJ":this.setLineJoin(g);break;case"ML":this.setMiterLimit(g);break;case"D":this.setDash(g[0],g[1]);break;case"RI":this.setRenderingIntent(g);break;case"FL":this.setFlatness(g);break;case"Font":this.setFont(g[0],g[1]);break;case"CA":this.current.strokeAlpha=e[1];break;case"ca":this.current.fillAlpha=e[1],this.ctx.globalAlpha=e[1];break;case"BM":if(g&&g.name&&"Normal"!==g.name){var h=g.name.replace(/([A-Z])/g,function(a){return"-"+a.toLowerCase()}).substring(1);this.ctx.globalCompositeOperation=h,this.ctx.globalCompositeOperation!==h&&b('globalCompositeOperation "'+h+'" is not supported')}else this.ctx.globalCompositeOperation="source-over";break;case"SMask":this.current.activeSMask&&this.endSMaskGroup(),this.current.activeSMask=g?this.tempSMask:null, +this.current.activeSMask&&this.beginSMaskGroup(),this.tempSMask=null}}},beginSMaskGroup:function(){var a=this.current.activeSMask,b=a.canvas.width,c=a.canvas.height,d="smaskGroupAt"+this.groupLevel,e=ia.getCanvas(d,b,c,!0),f=this.ctx,g=f.mozCurrentTransform;this.ctx.save();var i=e.context;i.scale(1/a.scaleX,1/a.scaleY),i.translate(-a.offsetX,-a.offsetY),i.transform.apply(i,g),h(f,i),this.ctx=i,this.setGState([["BM","Normal"],["ca",1],["CA",1]]),this.groupStack.push(f),this.groupLevel++},endSMaskGroup:function(){var a=this.ctx;this.groupLevel--,this.ctx=this.groupStack.pop(),n(this.ctx,this.current.activeSMask,a),this.ctx.restore()},save:function(){this.ctx.save();var a=this.current;this.stateStack.push(a),this.current=a.clone(),this.current.activeSMask=null},restore:function(){0!==this.stateStack.length&&(null!==this.current.activeSMask&&this.endSMaskGroup(),this.current=this.stateStack.pop(),this.ctx.restore(),this.pendingClip=null,this.cachedGetSinglePixelWidth=null)},transform:function(a,b,c,d,e,f){this.ctx.transform(a,b,c,d,e,f),this.cachedGetSinglePixelWidth=null},constructPath:function(a,b){for(var c=this.ctx,d=this.current,e=d.x,f=d.y,g=0,h=0,i=a.length;i>g;g++)switch(0|a[g]){case G.rectangle:e=b[h++],f=b[h++];var j=b[h++],k=b[h++];0===j&&(j=this.getSinglePixelWidth()),0===k&&(k=this.getSinglePixelWidth());var l=e+j,m=f+k;this.ctx.moveTo(e,f),this.ctx.lineTo(l,f),this.ctx.lineTo(l,m),this.ctx.lineTo(e,m),this.ctx.lineTo(e,f),this.ctx.closePath();break;case G.moveTo:e=b[h++],f=b[h++],c.moveTo(e,f);break;case G.lineTo:e=b[h++],f=b[h++],c.lineTo(e,f);break;case G.curveTo:e=b[h+4],f=b[h+5],c.bezierCurveTo(b[h],b[h+1],b[h+2],b[h+3],e,f),h+=6;break;case G.curveTo2:c.bezierCurveTo(e,f,b[h],b[h+1],b[h+2],b[h+3]),e=b[h+2],f=b[h+3],h+=4;break;case G.curveTo3:e=b[h+2],f=b[h+3],c.bezierCurveTo(b[h],b[h+1],e,f,e,f),h+=4;break;case G.closePath:c.closePath()}d.setCurrentPoint(e,f)},closePath:function(){this.ctx.closePath()},stroke:function(a){a="undefined"!=typeof a?a:!0;var b=this.ctx,c=this.current.strokeColor;b.lineWidth=Math.max(this.getSinglePixelWidth()*ea,this.current.lineWidth),b.globalAlpha=this.current.strokeAlpha,c&&c.hasOwnProperty("type")&&"Pattern"===c.type?(b.save(),b.strokeStyle=c.getPattern(b,this),b.stroke(),b.restore()):b.stroke(),a&&this.consumePath(),b.globalAlpha=this.current.fillAlpha},closeStroke:function(){this.closePath(),this.stroke()},fill:function(a){a="undefined"!=typeof a?a:!0;var b=this.ctx,c=this.current.fillColor,d=this.current.patternFill,e=!1;d&&(b.save(),b.fillStyle=c.getPattern(b,this),e=!0),this.pendingEOFill?(void 0!==b.mozFillRule?(b.mozFillRule="evenodd",b.fill(),b.mozFillRule="nonzero"):b.fill("evenodd"),this.pendingEOFill=!1):b.fill(),e&&b.restore(),a&&this.consumePath()},eoFill:function(){this.pendingEOFill=!0,this.fill()},fillStroke:function(){this.fill(!1),this.stroke(!1),this.consumePath()},eoFillStroke:function(){this.pendingEOFill=!0,this.fillStroke()},closeFillStroke:function(){this.closePath(),this.fillStroke()},closeEOFillStroke:function(){this.pendingEOFill=!0,this.closePath(),this.fillStroke()},endPath:function(){this.consumePath()},clip:function(){this.pendingClip=u},eoClip:function(){this.pendingClip=v},beginText:function(){this.current.textMatrix=S,this.current.textMatrixScale=1,this.current.x=this.current.lineX=0,this.current.y=this.current.lineY=0},endText:function(){var a=this.pendingTextPaths,b=this.ctx;if(void 0===a)return void b.beginPath();b.save(),b.beginPath();for(var c=0;cc?(c=-c,f.fontDirection=-1):f.fontDirection=1,this.current.font=e,this.current.fontSize=c,!e.isType3Font){var g=e.loadedName||"sans-serif",h=e.black?e.bold?"900":"bold":e.bold?"bold":"normal",i=e.italic?"italic":"normal",j='"'+g+'", '+e.fallbackName,k=ba>c?ba:c>ca?ca:c;this.current.fontSizeScale=c/k;var l=i+" "+h+" "+k+"px "+j;this.ctx.font=l}},setTextRenderingMode:function(a){this.current.textRenderingMode=a},setTextRise:function(a){this.current.textRise=a},moveText:function(a,b){this.current.x=this.current.lineX+=a,this.current.y=this.current.lineY+=b},setLeadingMoveText:function(a,b){this.setLeading(-b),this.moveText(a,b)},setTextMatrix:function(a,b,c,d,e,f){this.current.textMatrix=[a,b,c,d,e,f],this.current.textMatrixScale=Math.sqrt(a*a+b*b),this.current.x=this.current.lineX=0,this.current.y=this.current.lineY=0},nextLine:function(){this.moveText(0,this.current.leading)},paintChar:function(a,b,c){var d,e=this.ctx,f=this.current,g=f.font,h=f.textRenderingMode,i=f.fontSize/f.fontSizeScale,j=h&C.FILL_STROKE_MASK,k=!!(h&C.ADD_TO_PATH_FLAG);if((g.disableFontFace||k)&&(d=g.getPathGenerator(this.commonObjs,a)),g.disableFontFace?(e.save(),e.translate(b,c),e.beginPath(),d(e,i),j!==C.FILL&&j!==C.FILL_STROKE||e.fill(),j!==C.STROKE&&j!==C.FILL_STROKE||e.stroke(),e.restore()):(j!==C.FILL&&j!==C.FILL_STROKE||e.fillText(a,b,c),j!==C.STROKE&&j!==C.FILL_STROKE||e.strokeText(a,b,c)),k){var l=this.pendingTextPaths||(this.pendingTextPaths=[]);l.push({transform:e.mozCurrentTransform,x:b,y:c,fontSize:i,addToPath:d})}},get isFontSubpixelAAEnabled(){var a=document.createElement("canvas").getContext("2d");a.scale(1.5,1),a.fillText("I",0,10);for(var b=a.getImageData(0,0,10,10).data,c=!1,d=3;d0&&b[d]<255){c=!0;break}return i(this,"isFontSubpixelAAEnabled",c)},showText:function(a){var b=this.current,c=b.font;if(c.isType3Font)return this.showType3Text(a);var d=b.fontSize;if(0!==d){var e=this.ctx,f=b.fontSizeScale,g=b.charSpacing,h=b.wordSpacing,i=b.fontDirection,j=b.textHScale*i,k=a.length,l=c.vertical,m=l?1:-1,n=c.defaultVMetrics,o=d*b.fontMatrix[0],q=b.textRenderingMode===C.FILL&&!c.disableFontFace;e.save(),e.transform.apply(e,b.textMatrix),e.translate(b.x,b.y+b.textRise),i>0?e.scale(j,-1):e.scale(j,1);var r=b.lineWidth,s=b.textMatrixScale;if(0===s||0===r){var t=b.textRenderingMode&C.FILL_STROKE_MASK;t!==C.STROKE&&t!==C.FILL_STROKE||(this.cachedGetSinglePixelWidth=null,r=this.getSinglePixelWidth()*ea)}else r/=s;1!==f&&(e.scale(f,f),r/=f),e.lineWidth=r;var u,v=0;for(u=0;k>u;++u){var w=a[u];if(null!==w)if(p(w))v+=m*w*d/1e3;else{var x,y,z,A,B=!1,D=w.fontChar,E=w.accent,F=w.width;if(l){var G,H,I;G=w.vmetric||n,H=w.vmetric?G[1]:.5*F,H=-H*o,I=G[2]*o,F=G?-G[0]:F,x=H/f,y=(v+I)/f}else x=v/f,y=0;if(c.remeasure&&F>0&&this.isFontSubpixelAAEnabled){var J=1e3*e.measureText(D).width/d*f,K=F/J;B=!0,e.save(),e.scale(K,1),x/=K}q&&!E?e.fillText(D,x,y):(this.paintChar(D,x,y),E&&(z=x+E.offset.x/f,A=y-E.offset.y/f,this.paintChar(E.fontChar,z,A)));var L=F*o+g*i;v+=L,B&&e.restore()}else v+=i*h}l?b.y-=v*j:b.x+=v*j,e.restore()}},showType3Text:function(a){var c,d,e,f,g=this.ctx,h=this.current,i=h.font,j=h.fontSize,k=h.fontDirection,l=i.vertical?1:-1,m=h.charSpacing,n=h.wordSpacing,o=h.textHScale*k,q=h.fontMatrix||B,r=a.length,s=h.textRenderingMode===C.INVISIBLE;if(!s&&0!==j){for(this.cachedGetSinglePixelWidth=null,g.save(),g.transform.apply(g,h.textMatrix),g.translate(h.x,h.y),g.scale(o,k),c=0;r>c;++c)if(d=a[c],null!==d)if(p(d))f=l*d*j/1e3,this.ctx.translate(f,0),h.x+=f*o;else{var t=i.charProcOperatorList[d.operatorListId];if(t){this.processingType3=d,this.save(),g.scale(j,j),g.transform.apply(g,q),this.executeOperatorList(t),this.restore();var u=T.applyTransform([d.width,0],q);e=u[0]*j+m,g.translate(e,0),h.x+=e*o}else b('Type3 character "'+d.operatorListId+'" is not available')}else this.ctx.translate(n,0),h.x+=n*o;g.restore(),this.processingType3=null}},setCharWidth:function(a,b){},setCharWidthAndBounds:function(a,b,c,d,e,f){this.ctx.rect(c,d,e-c,f-d),this.clip(),this.endPath()},getColorN_Pattern:function(a){var b;if("TilingPattern"===a[0]){var c=a[1],d=this.baseTransform||this.ctx.mozCurrentTransform.slice();b=new oa(a,c,this.ctx,this.objs,this.commonObjs,d)}else b=y(a);return b},setStrokeColorN:function(){this.current.strokeColor=this.getColorN_Pattern(arguments)},setFillColorN:function(){this.current.fillColor=this.getColorN_Pattern(arguments),this.current.patternFill=!0},setStrokeRGBColor:function(a,b,c){var d=T.makeCssRgb(a,b,c);this.ctx.strokeStyle=d,this.current.strokeColor=d},setFillRGBColor:function(a,b,c){var d=T.makeCssRgb(a,b,c);this.ctx.fillStyle=d,this.current.fillColor=d,this.current.patternFill=!1},shadingFill:function(a){var b=this.ctx;this.save();var c=y(a);b.fillStyle=c.getPattern(b,this,!0);var d=b.mozCurrentTransformInverse;if(d){var e=b.canvas,f=e.width,g=e.height,h=T.applyTransform([0,0],d),i=T.applyTransform([0,g],d),j=T.applyTransform([f,0],d),k=T.applyTransform([f,g],d),l=Math.min(h[0],i[0],j[0],k[0]),m=Math.min(h[1],i[1],j[1],k[1]),n=Math.max(h[0],i[0],j[0],k[0]),o=Math.max(h[1],i[1],j[1],k[1]);this.ctx.fillRect(l,m,n-l,o-m)}else this.ctx.fillRect(-1e10,-1e10,2e10,2e10);this.restore()},beginInlineImage:function(){d("Should not call beginInlineImage")},beginImageData:function(){d("Should not call beginImageData")},paintFormXObjectBegin:function(a,b){if(this.save(),this.baseTransformStack.push(this.baseTransform),q(a)&&6===a.length&&this.transform.apply(this,a),this.baseTransform=this.ctx.mozCurrentTransform,q(b)&&4===b.length){var c=b[2]-b[0],d=b[3]-b[1];this.ctx.rect(b[0],b[1],c,d),this.clip(),this.endPath()}},paintFormXObjectEnd:function(){this.restore(),this.baseTransform=this.baseTransformStack.pop()},beginGroup:function(c){this.save();var d=this.ctx;c.isolated||a("TODO: Support non-isolated groups."),c.knockout&&b("Knockout groups not supported.");var e=d.mozCurrentTransform;c.matrix&&d.transform.apply(d,c.matrix),f(c.bbox,"Bounding box is required.");var g=T.getAxialAlignedBoundingBox(c.bbox,d.mozCurrentTransform),i=[0,0,d.canvas.width,d.canvas.height];g=T.intersect(g,i)||[0,0,0,0];var j=Math.floor(g[0]),k=Math.floor(g[1]),l=Math.max(Math.ceil(g[2])-j,1),m=Math.max(Math.ceil(g[3])-k,1),n=1,o=1;l>da&&(n=l/da,l=da),m>da&&(o=m/da,m=da);var p="groupAt"+this.groupLevel;c.smask&&(p+="_smask_"+this.smaskCounter++%2);var q=ia.getCanvas(p,l,m,!0),r=q.context;r.scale(1/n,1/o),r.translate(-j,-k),r.transform.apply(r,e),c.smask?this.smaskStack.push({canvas:q.canvas,context:r,offsetX:j,offsetY:k,scaleX:n,scaleY:o,subtype:c.smask.subtype,backdrop:c.smask.backdrop}):(d.setTransform(1,0,0,1,0,0),d.translate(j,k),d.scale(n,o)),h(d,r),this.ctx=r,this.setGState([["BM","Normal"],["ca",1],["CA",1]]),this.groupStack.push(d),this.groupLevel++},endGroup:function(a){this.groupLevel--;var b=this.ctx;this.ctx=this.groupStack.pop(),void 0!==this.ctx.imageSmoothingEnabled?this.ctx.imageSmoothingEnabled=!1:this.ctx.mozImageSmoothingEnabled=!1,a.smask?this.tempSMask=this.smaskStack.pop():this.ctx.drawImage(b.canvas,0,0),this.restore()},beginAnnotations:function(){this.save(),this.current=new ja},endAnnotations:function(){this.restore()},beginAnnotation:function(a,b,c){if(this.save(),q(a)&&4===a.length){var d=a[2]-a[0],e=a[3]-a[1];this.ctx.rect(a[0],a[1],d,e),this.clip(),this.endPath()}this.transform.apply(this,b),this.transform.apply(this,c)},endAnnotation:function(){this.restore()},paintJpegXObject:function(a,c,d){var e=this.objs.get(a);if(!e)return void b("Dependent image isn't ready yet");this.save();var f=this.ctx;if(f.scale(1/c,-1/d),f.drawImage(e,0,0,e.width,e.height,0,-d,c,d),this.imageLayer){var g=f.mozCurrentTransformInverse,h=this.getCanvasPosition(0,0);this.imageLayer.appendImage({objId:a,left:h[0],top:h[1],width:c/g[0],height:d/g[3]})}this.restore()},paintImageMaskXObject:function(a){var b=this.ctx,c=a.width,d=a.height,e=this.current.fillColor,f=this.current.patternFill,h=this.processingType3;if(fa&&h&&void 0===h.compiled&&(ga>=c&&ga>=d?h.compiled=x({data:a.data,width:c,height:d}):h.compiled=null),h&&h.compiled)return void h.compiled(b);var i=ia.getCanvas("maskCanvas",c,d),j=i.context;j.save(),g(j,a),j.globalCompositeOperation="source-in",j.fillStyle=f?e.getPattern(j,this):e,j.fillRect(0,0,c,d),j.restore(),this.paintInlineImageXObject(i.canvas)},paintImageMaskXObjectRepeat:function(a,b,c,d){var e=a.width,f=a.height,h=this.current.fillColor,i=this.current.patternFill,j=ia.getCanvas("maskCanvas",e,f),k=j.context;k.save(),g(k,a),k.globalCompositeOperation="source-in",k.fillStyle=i?h.getPattern(k,this):h,k.fillRect(0,0,e,f),k.restore();for(var l=this.ctx,m=0,n=d.length;n>m;m+=2)l.save(),l.transform(b,0,0,c,d[m],d[m+1]),l.scale(1,-1),l.drawImage(j.canvas,0,0,e,f,0,-1,1,1),l.restore()},paintImageMaskXObjectGroup:function(a){for(var b=this.ctx,c=this.current.fillColor,d=this.current.patternFill,e=0,f=a.length;f>e;e++){var h=a[e],i=h.width,j=h.height,k=ia.getCanvas("maskCanvas",i,j),l=k.context;l.save(),g(l,h),l.globalCompositeOperation="source-in",l.fillStyle=d?c.getPattern(l,this):c,l.fillRect(0,0,i,j),l.restore(),b.save(),b.transform.apply(b,h.transform),b.scale(1,-1),b.drawImage(k.canvas,0,0,i,j,0,-1,1,1),b.restore()}},paintImageXObject:function(a){var c=this.objs.get(a);return c?void this.paintInlineImageXObject(c):void b("Dependent image isn't ready yet")},paintImageXObjectRepeat:function(a,c,d,e){var f=this.objs.get(a);if(!f)return void b("Dependent image isn't ready yet");for(var g=f.width,h=f.height,i=[],j=0,k=e.length;k>j;j+=2)i.push({transform:[c,0,0,d,e[j],e[j+1]],x:0,y:0,w:g,h:h});this.paintInlineImageXObjectGroup(f,i)},paintInlineImageXObject:function(a){var b=a.width,c=a.height,d=this.ctx;this.save(),d.scale(1/b,-1/c);var f,g,h=d.mozCurrentTransformInverse,i=h[0],j=h[1],k=Math.max(Math.sqrt(i*i+j*j),1),l=h[2],m=h[3],n=Math.max(Math.sqrt(l*l+m*m),1);if(a instanceof HTMLElement||!a.data)f=a;else{g=ia.getCanvas("inlineImage",b,c);var o=g.context;e(o,a),f=g.canvas}for(var p=b,q=c,r="prescale1";k>2&&p>1||n>2&&q>1;){var s=p,t=q;k>2&&p>1&&(s=Math.ceil(p/2),k/=p/s),n>2&&q>1&&(t=Math.ceil(q/2),n/=q/t),g=ia.getCanvas(r,s,t),o=g.context,o.clearRect(0,0,s,t),o.drawImage(f,0,0,p,q,0,0,s,t),f=g.canvas,p=s,q=t,r="prescale1"===r?"prescale2":"prescale1"}if(d.drawImage(f,0,0,p,q,0,-c,b,c),this.imageLayer){var u=this.getCanvasPosition(0,-c);this.imageLayer.appendImage({imgData:a,left:u[0],top:u[1],width:b/h[0],height:c/h[3]})}this.restore()},paintInlineImageXObjectGroup:function(a,b){var c=this.ctx,d=a.width,f=a.height,g=ia.getCanvas("inlineImage",d,f),h=g.context;e(h,a);for(var i=0,j=b.length;j>i;i++){var k=b[i];if(c.save(),c.transform.apply(c,k.transform),c.scale(1,-1),c.drawImage(g.canvas,k.x,k.y,k.w,k.h,0,-1,1,1),this.imageLayer){var l=this.getCanvasPosition(k.x,k.y);this.imageLayer.appendImage({imgData:a,left:l[0],top:l[1],width:d,height:f})}c.restore()}},paintSolidColorImageMask:function(){this.ctx.fillRect(0,0,1,1)},paintXObject:function(){I.notify(H.unknown),b("Unsupported 'paintXObject' command.")},markPoint:function(a){},markPointProps:function(a,b){},beginMarkedContent:function(a){},beginMarkedContentProps:function(a,b){},endMarkedContent:function(){},beginCompat:function(){},endCompat:function(){},consumePath:function(){var a=this.ctx;this.pendingClip&&(this.pendingClip===v?void 0!==a.mozFillRule?(a.mozFillRule="evenodd",a.clip(),a.mozFillRule="nonzero"):a.clip("evenodd"):a.clip(),this.pendingClip=null),a.beginPath()},getSinglePixelWidth:function(a){if(null===this.cachedGetSinglePixelWidth){var b=this.ctx.mozCurrentTransformInverse;this.cachedGetSinglePixelWidth=Math.sqrt(Math.max(b[0]*b[0]+b[1]*b[1],b[2]*b[2]+b[3]*b[3]))}return this.cachedGetSinglePixelWidth},getCanvasPosition:function(a,b){var c=this.ctx.mozCurrentTransform;return[c[0]*a+c[2]*b+c[4],c[1]*a+c[3]*b+c[5]]}};for(var z in G)c.prototype[G[z]]=c.prototype[z];return c}(),la=function(){function a(a,b,c){var d=a.createShader(c);a.shaderSource(d,b),a.compileShader(d);var e=a.getShaderParameter(d,a.COMPILE_STATUS);if(!e){var f=a.getShaderInfoLog(d);throw new Error("Error during shader compilation: "+f)}return d}function b(b,c){return a(b,c,b.VERTEX_SHADER)}function c(b,c){return a(b,c,b.FRAGMENT_SHADER)}function d(a,b){for(var c=a.createProgram(),d=0,e=b.length;e>d;++d)a.attachShader(c,b[d]);a.linkProgram(c);var f=a.getProgramParameter(c,a.LINK_STATUS);if(!f){var g=a.getProgramInfoLog(c);throw new Error("Error during program linking: "+g)}return c}function e(a,b,c){a.activeTexture(c);var d=a.createTexture();return a.bindTexture(a.TEXTURE_2D,d),a.texParameteri(a.TEXTURE_2D,a.TEXTURE_WRAP_S,a.CLAMP_TO_EDGE),a.texParameteri(a.TEXTURE_2D,a.TEXTURE_WRAP_T,a.CLAMP_TO_EDGE),a.texParameteri(a.TEXTURE_2D,a.TEXTURE_MIN_FILTER,a.NEAREST),a.texParameteri(a.TEXTURE_2D,a.TEXTURE_MAG_FILTER,a.NEAREST),a.texImage2D(a.TEXTURE_2D,0,a.RGBA,a.RGBA,a.UNSIGNED_BYTE,b),d}function f(){m||(n=document.createElement("canvas"),m=n.getContext("webgl",{premultipliedalpha:!1}))}function g(){var a,e;f(),a=n,n=null,e=m,m=null;var g=b(e,o),h=c(e,p),i=d(e,[g,h]);e.useProgram(i);var j={};j.gl=e,j.canvas=a,j.resolutionLocation=e.getUniformLocation(i,"u_resolution"),j.positionLocation=e.getAttribLocation(i,"a_position"),j.backdropLocation=e.getUniformLocation(i,"u_backdrop"),j.subtypeLocation=e.getUniformLocation(i,"u_subtype");var k=e.getAttribLocation(i,"a_texCoord"),l=e.getUniformLocation(i,"u_image"),r=e.getUniformLocation(i,"u_mask"),s=e.createBuffer();e.bindBuffer(e.ARRAY_BUFFER,s),e.bufferData(e.ARRAY_BUFFER,new Float32Array([0,0,1,0,0,1,0,1,1,0,1,1]),e.STATIC_DRAW),e.enableVertexAttribArray(k),e.vertexAttribPointer(k,2,e.FLOAT,!1,0,0),e.uniform1i(l,0),e.uniform1i(r,1),q=j}function h(a,b,c){var d=a.width,f=a.height;q||g();var h=q,i=h.canvas,j=h.gl;i.width=d,i.height=f,j.viewport(0,0,j.drawingBufferWidth,j.drawingBufferHeight),j.uniform2f(h.resolutionLocation,d,f),c.backdrop?j.uniform4f(h.resolutionLocation,c.backdrop[0],c.backdrop[1],c.backdrop[2],1):j.uniform4f(h.resolutionLocation,0,0,0,0),j.uniform1i(h.subtypeLocation,"Luminosity"===c.subtype?1:0);var k=e(j,a,j.TEXTURE0),l=e(j,b,j.TEXTURE1),m=j.createBuffer();return j.bindBuffer(j.ARRAY_BUFFER,m),j.bufferData(j.ARRAY_BUFFER,new Float32Array([0,0,d,0,0,f,0,f,d,0,d,f]),j.STATIC_DRAW),j.enableVertexAttribArray(h.positionLocation),j.vertexAttribPointer(h.positionLocation,2,j.FLOAT,!1,0,0),j.clearColor(0,0,0,0),j.enable(j.BLEND),j.blendFunc(j.ONE,j.ONE_MINUS_SRC_ALPHA),j.clear(j.COLOR_BUFFER_BIT),j.drawArrays(j.TRIANGLES,0,6),j.flush(),j.deleteTexture(k),j.deleteTexture(l),j.deleteBuffer(m),i}function j(){var a,e;f(),a=n,n=null,e=m,m=null;var g=b(e,r),h=c(e,s),i=d(e,[g,h]);e.useProgram(i);var j={};j.gl=e,j.canvas=a,j.resolutionLocation=e.getUniformLocation(i,"u_resolution"),j.scaleLocation=e.getUniformLocation(i,"u_scale"),j.offsetLocation=e.getUniformLocation(i,"u_offset"),j.positionLocation=e.getAttribLocation(i,"a_position"),j.colorLocation=e.getAttribLocation(i,"a_color"),t=j}function k(a,b,c,d,e){t||j();var f=t,g=f.canvas,h=f.gl;g.width=a,g.height=b,h.viewport(0,0,h.drawingBufferWidth,h.drawingBufferHeight),h.uniform2f(f.resolutionLocation,a,b);var i,k,l,m=0;for(i=0,k=d.length;k>i;i++)switch(d[i].type){case"lattice":l=d[i].coords.length/d[i].verticesPerRow|0,m+=(l-1)*(d[i].verticesPerRow-1)*6;break;case"triangles":m+=d[i].coords.length}var n=new Float32Array(2*m),o=new Uint8Array(3*m),p=e.coords,q=e.colors,r=0,s=0;for(i=0,k=d.length;k>i;i++){var u=d[i],v=u.coords,w=u.colors;switch(u.type){case"lattice":var x=u.verticesPerRow;l=v.length/x|0;for(var y=1;l>y;y++)for(var z=y*x+1,A=1;x>A;A++,z++)n[r]=p[v[z-x-1]],n[r+1]=p[v[z-x-1]+1],n[r+2]=p[v[z-x]],n[r+3]=p[v[z-x]+1],n[r+4]=p[v[z-1]],n[r+5]=p[v[z-1]+1],o[s]=q[w[z-x-1]],o[s+1]=q[w[z-x-1]+1],o[s+2]=q[w[z-x-1]+2],o[s+3]=q[w[z-x]],o[s+4]=q[w[z-x]+1],o[s+5]=q[w[z-x]+2],o[s+6]=q[w[z-1]],o[s+7]=q[w[z-1]+1],o[s+8]=q[w[z-1]+2],n[r+6]=n[r+2],n[r+7]=n[r+3],n[r+8]=n[r+4],n[r+9]=n[r+5],n[r+10]=p[v[z]],n[r+11]=p[v[z]+1],o[s+9]=o[s+3],o[s+10]=o[s+4],o[s+11]=o[s+5],o[s+12]=o[s+6],o[s+13]=o[s+7],o[s+14]=o[s+8],o[s+15]=q[w[z]],o[s+16]=q[w[z]+1],o[s+17]=q[w[z]+2],r+=12,s+=18;break;case"triangles":for(var B=0,C=v.length;C>B;B++)n[r]=p[v[B]],n[r+1]=p[v[B]+1],o[s]=q[w[B]],o[s+1]=q[w[B]+1],o[s+2]=q[w[B]+2],r+=2,s+=3}}c?h.clearColor(c[0]/255,c[1]/255,c[2]/255,1):h.clearColor(0,0,0,0),h.clear(h.COLOR_BUFFER_BIT);var D=h.createBuffer();h.bindBuffer(h.ARRAY_BUFFER,D),h.bufferData(h.ARRAY_BUFFER,n,h.STATIC_DRAW),h.enableVertexAttribArray(f.positionLocation),h.vertexAttribPointer(f.positionLocation,2,h.FLOAT,!1,0,0);var E=h.createBuffer();return h.bindBuffer(h.ARRAY_BUFFER,E),h.bufferData(h.ARRAY_BUFFER,o,h.STATIC_DRAW),h.enableVertexAttribArray(f.colorLocation),h.vertexAttribPointer(f.colorLocation,3,h.UNSIGNED_BYTE,!1,0,0),h.uniform2f(f.scaleLocation,e.scaleX,e.scaleY),h.uniform2f(f.offsetLocation,e.offsetX,e.offsetY),h.drawArrays(h.TRIANGLES,0,m),h.flush(),h.deleteBuffer(D),h.deleteBuffer(E),g}function l(){q&&q.canvas&&(q.canvas.width=0,q.canvas.height=0),t&&t.canvas&&(t.canvas.width=0,t.canvas.height=0),q=null,t=null}var m,n,o=" attribute vec2 a_position; attribute vec2 a_texCoord; uniform vec2 u_resolution; varying vec2 v_texCoord; void main() { vec2 clipSpace = (a_position / u_resolution) * 2.0 - 1.0; gl_Position = vec4(clipSpace * vec2(1, -1), 0, 1); v_texCoord = a_texCoord; } ",p=" precision mediump float; uniform vec4 u_backdrop; uniform int u_subtype; uniform sampler2D u_image; uniform sampler2D u_mask; varying vec2 v_texCoord; void main() { vec4 imageColor = texture2D(u_image, v_texCoord); vec4 maskColor = texture2D(u_mask, v_texCoord); if (u_backdrop.a > 0.0) { maskColor.rgb = maskColor.rgb * maskColor.a + u_backdrop.rgb * (1.0 - maskColor.a); } float lum; if (u_subtype == 0) { lum = maskColor.a; } else { lum = maskColor.r * 0.3 + maskColor.g * 0.59 + maskColor.b * 0.11; } imageColor.a *= lum; imageColor.rgb *= imageColor.a; gl_FragColor = imageColor; } ",q=null,r=" attribute vec2 a_position; attribute vec3 a_color; uniform vec2 u_resolution; uniform vec2 u_scale; uniform vec2 u_offset; varying vec4 v_color; void main() { vec2 position = (a_position + u_offset) * u_scale; vec2 clipSpace = (position / u_resolution) * 2.0 - 1.0; gl_Position = vec4(clipSpace * vec2(1, -1), 0, 1); v_color = vec4(a_color / 255.0, 1.0); } ",s=" precision mediump float; varying vec4 v_color; void main() { gl_FragColor = v_color; } ",t=null;return{get isEnabled(){if(PDFJS.disableWebGL)return!1;var a=!1;try{f(),a=!!m}catch(b){}return i(this,"isEnabled",a)},composeSMask:h,drawFigures:k,clear:l}}(),ma={};ma.RadialAxial={fromIR:function(a){var b=a[1],c=a[2],d=a[3],e=a[4],f=a[5],g=a[6];return{type:"Pattern",getPattern:function(a){var h;"axial"===b?h=a.createLinearGradient(d[0],d[1],e[0],e[1]):"radial"===b&&(h=a.createRadialGradient(d[0],d[1],f,e[0],e[1],g));for(var i=0,j=c.length;j>i;++i){var k=c[i];h.addColorStop(k[0],k[1])}return h}}}};var na=function(){function a(a,b,c,d,e,f,g,h){var i,j=b.coords,k=b.colors,l=a.data,m=4*a.width;j[c+1]>j[d+1]&&(i=c,c=d,d=i,i=f,f=g,g=i),j[d+1]>j[e+1]&&(i=d,d=e,e=i,i=g,g=h,h=i),j[c+1]>j[d+1]&&(i=c,c=d,d=i,i=f,f=g,g=i);var n=(j[c]+b.offsetX)*b.scaleX,o=(j[c+1]+b.offsetY)*b.scaleY,p=(j[d]+b.offsetX)*b.scaleX,q=(j[d+1]+b.offsetY)*b.scaleY,r=(j[e]+b.offsetX)*b.scaleX,s=(j[e+1]+b.offsetY)*b.scaleY;if(!(o>=s))for(var t,u,v,w,x,y,z,A,B,C=k[f],D=k[f+1],E=k[f+2],F=k[g],G=k[g+1],H=k[g+2],I=k[h],J=k[h+1],K=k[h+2],L=Math.round(o),M=Math.round(s),N=L;M>=N;N++){q>N?(B=o>N?0:o===q?1:(o-N)/(o-q),t=n-(n-p)*B,u=C-(C-F)*B,v=D-(D-G)*B,w=E-(E-H)*B):(B=N>s?1:q===s?0:(q-N)/(q-s),t=p-(p-r)*B,u=F-(F-I)*B,v=G-(G-J)*B,w=H-(H-K)*B),B=o>N?0:N>s?1:(o-N)/(o-s),x=n-(n-r)*B,y=C-(C-I)*B,z=D-(D-J)*B,A=E-(E-K)*B;for(var O=Math.round(Math.min(t,x)),P=Math.round(Math.max(t,x)),Q=m*N+4*O,R=O;P>=R;R++)B=(t-R)/(t-x),B=0>B?0:B>1?1:B,l[Q++]=u-(u-y)*B|0,l[Q++]=v-(v-z)*B|0,l[Q++]=w-(w-A)*B|0,l[Q++]=255}}function b(b,c,e){var f,g,h=c.coords,i=c.colors;switch(c.type){case"lattice":var j=c.verticesPerRow,k=Math.floor(h.length/j)-1,l=j-1;for(f=0;k>f;f++)for(var m=f*j,n=0;l>n;n++,m++)a(b,e,h[m],h[m+1],h[m+j],i[m],i[m+1],i[m+j]),a(b,e,h[m+j+1],h[m+1],h[m+j],i[m+j+1],i[m+1],i[m+j]);break;case"triangles":for(f=0,g=h.length;g>f;f+=3)a(b,e,h[f],h[f+1],h[f+2],i[f],i[f+1],i[f+2]);break;default:d("illigal figure")}}function c(a,c,d,e,f,g){var h,i,j,k,l=1.1,m=3e3,n=Math.floor(a[0]),o=Math.floor(a[1]),p=Math.ceil(a[2])-n,q=Math.ceil(a[3])-o,r=Math.min(Math.ceil(Math.abs(p*c[0]*l)),m),s=Math.min(Math.ceil(Math.abs(q*c[1]*l)),m),t=p/r,u=q/s,v={coords:d,colors:e,offsetX:-n,offsetY:-o,scaleX:1/t,scaleY:1/u};if(la.isEnabled)h=la.drawFigures(r,s,g,f,v),i=ia.getCanvas("mesh",r,s,!1),i.context.drawImage(h,0,0),h=i.canvas;else{i=ia.getCanvas("mesh",r,s,!1);var w=i.context,x=w.createImageData(r,s);if(g){var y=x.data;for(j=0,k=y.length;k>j;j+=4)y[j]=g[0],y[j+1]=g[1],y[j+2]=g[2],y[j+3]=255}for(j=0;j=14?!0:"node"===a}(),nativeFontFaces:[],isFontLoadingAPISupported:!A&&"undefined"!=typeof document&&!!document.fonts,addNativeFontFace:function(a){this.nativeFontFaces.push(a),document.fonts.add(a)},bind:function(a,c){f(!A,"bind() shall be called from main thread");for(var d=[],e=[],g=[],h=function(a){return a.loaded["catch"](function(c){b('Failed to load font "'+a.family+'": '+c)})},i=0,j=a.length;j>i;i++){var k=a[i];if(!k.attached&&k.loading!==!1)if(k.attached=!0,this.isFontLoadingAPISupported){var l=k.createNativeFontFace();l&&g.push(h(l))}else{var m=k.bindDOM();m&&(d.push(m),e.push(k))}}var n=pa.queueLoadingCallback(c);this.isFontLoadingAPISupported?Promise.all(g).then(function(){n.complete()}):d.length>0&&!this.isSyncFontLoadingSupported?pa.prepareFontLoadEvent(d,e,n):n.complete()},queueLoadingCallback:function(a){function b(){for(f(!e.end,"completeRequest() cannot be called twice"),e.end=Date.now();c.requests.length>0&&c.requests[0].end;){var a=c.requests.shift();setTimeout(a.callback,0)}}var c=pa.loadingContext,d="pdfjs-font-loading-"+c.nextRequestId++,e={id:d,complete:b,callback:a,started:Date.now()};return c.requests.push(e),e},prepareFontLoadEvent:function(a,c,d){function e(a,b){return a.charCodeAt(b)<<24|a.charCodeAt(b+1)<<16|a.charCodeAt(b+2)<<8|255&a.charCodeAt(b+3)}function f(a,b,c,d){var e=a.substr(0,b),f=a.substr(b+c);return e+d+f}function g(a,c){if(l++,l>30)return b("Load test font never loaded."),void c();k.font="30px "+a,k.fillText(".",0,20);var d=k.getImageData(0,0,1,1);return d.data[3]>0?void c():void setTimeout(g.bind(null,a,c))}var h,i,j=document.createElement("canvas");j.width=1,j.height=1;var k=j.getContext("2d"),l=0,n="lt"+Date.now()+this.loadTestFontId++,o=this.loadTestFont,p=976;o=f(o,p,n.length,n);var q=16,r=1482184792,s=e(o,q);for(h=0,i=n.length-3;i>h;h+=4)s=s-r+e(n,h)|0;hh;h++)v.push(c[h].loadedName);v.push(n);var w=document.createElement("div");for(w.setAttribute("style","visibility: hidden;width: 10px; height: 10px;position: absolute; top: 0px; left: 0px;"),h=0,i=v.length;i>h;++h){var x=document.createElement("span");x.textContent="Hi",x.style.fontFamily=v[h],w.appendChild(x)}document.body.appendChild(w),g(n,function(){document.body.removeChild(w),d.complete()})}},qa=function(){function a(a,b,c){if(this.compiledGlyphs={},1!==arguments.length);else{var d=arguments[0];for(var e in d)this[e]=d[e]}}return a.prototype={createNativeFontFace:function(){if(!this.data)return null;if(PDFJS.disableFontFace)return this.disableFontFace=!0,null;var a=new FontFace(this.loadedName,this.data,{});return pa.addNativeFontFace(a),PDFJS.pdfBug&&"FontInspector"in z&&z.FontInspector.enabled&&z.FontInspector.fontAdded(this),a},bindDOM:function(){if(!this.data)return null;if(PDFJS.disableFontFace)return this.disableFontFace=!0,null;var a=k(new Uint8Array(this.data)),b=this.loadedName,c="url(data:"+this.mimetype+";base64,"+window.btoa(a)+");",d='@font-face { font-family:"'+b+'";src:'+c+"}";return pa.insertRule(d),PDFJS.pdfBug&&"FontInspector"in z&&z.FontInspector.enabled&&z.FontInspector.fontAdded(this,c),d},getPathGenerator:function(a,b){if(!(b in this.compiledGlyphs)){var c,d,e,f=a.get(this.loadedName+"_path_"+b);if(pa.isEvalSupported){var g,h="";for(d=0,e=f.length;e>d;d++)c=f[d],g=void 0!==c.args?c.args.join(","):"",h+="c."+c.cmd+"("+g+");\n";this.compiledGlyphs[b]=new Function("c","size",h)}else this.compiledGlyphs[b]=function(a,b){for(d=0,e=f.length;e>d;d++)c=f[d],"scale"===c.cmd&&(c.args=[b,-b]),a[c.cmd].apply(a,c.args)}}return this.compiledGlyphs[b]}},a}(),ra=10,sa=function(){function a(a,b,c){var d=a.style;if(d.fontSize=b.fontSize+"px",d.direction=b.fontDirection<0?"rtl":"ltr",c){d.fontWeight=c.black?c.bold?"bolder":"bold":c.bold?"bold":"normal",d.fontStyle=c.italic?"italic":"normal";var e=c.loadedName,f=e?'"'+e+'", ':"",g=c.fallbackName||"Helvetica, sans-serif";d.fontFamily=f+g}}function c(a){var c=document.createElement("section"),d=c.style,e=a.rect[2]-a.rect[0],f=a.rect[3]-a.rect[1];if(a.borderStyle.width>0){c.style.borderWidth=a.borderStyle.width+"px",a.borderStyle.style!==F.UNDERLINE&&(e-=2*a.borderStyle.width,f-=2*a.borderStyle.width);var g=a.borderStyle.horizontalCornerRadius,h=a.borderStyle.verticalCornerRadius;if(g>0||h>0){var i=g+"px / "+h+"px";CustomStyle.setProp("borderRadius",c,i)}switch(a.borderStyle.style){case F.SOLID:c.style.borderStyle="solid";break;case F.DASHED:c.style.borderStyle="dashed";break;case F.BEVELED:b("Unimplemented border style: beveled");break;case F.INSET:b("Unimplemented border style: inset");break;case F.UNDERLINE:c.style.borderBottomStyle="solid"}a.color?c.style.borderColor=T.makeCssRgb(0|a.color[0],0|a.color[1],0|a.color[2]):c.style.borderWidth=0}return d.width=e+"px",d.height=f+"px",c}function d(b,c){var d=document.createElement("div"),e=b.rect[2]-b.rect[0],f=b.rect[3]-b.rect[1];d.style.width=e+"px",d.style.height=f+"px",d.style.display="table";var g=document.createElement("div");g.textContent=b.fieldValue;var h=b.textAlignment;g.style.textAlign=["left","center","right"][h],g.style.verticalAlign="middle",g.style.display="table-cell";var i=b.fontRefName?c.getData(b.fontRefName):null;return a(g,b,i),d.appendChild(g),d}function e(a){var b=a.rect;b[3]-b[1]i;++i){var t=s[i];r.appendChild(document.createTextNode(t)),j-1>i&&r.appendChild(document.createElement("br"))}q.appendChild(r);var u=!1,v=function(a){a&&(u=!0),h.hasAttribute("hidden")&&(d.style.zIndex+=1,h.removeAttribute("hidden"))},w=function(a){a&&(u=!1),h.hasAttribute("hidden")||u||(d.style.zIndex-=1,h.setAttribute("hidden",!0))},x=function(){u?w(!0):v(!0)};e.addEventListener("click",function(){x()},!1),e.addEventListener("mouseover",function(){v()},!1),e.addEventListener("mouseout",function(){w()},!1),h.addEventListener("click",function(){w(!0)},!1)}else h.setAttribute("hidden",!0);return h.appendChild(p),h.appendChild(q),g.appendChild(h),d.appendChild(e),d.appendChild(g),d}function f(a){var b=c(a);b.className="annotLink";var d=document.createElement("a");return d.href=d.title=a.url||"",a.url&&j()&&(d.target=K[PDFJS.externalLinkTarget]),b.appendChild(d),b}function g(a,b){switch(a.annotationType){case E.WIDGET:return d(a,b);case E.TEXT:return e(a);case E.LINK:return f(a);default:throw new Error("Unsupported annotationType: "+a.annotationType)}}return{getHtmlElement:g}}();PDFJS.AnnotationUtils=sa;var ta={fontStyle:"normal",fontWeight:"normal",fillColor:"#000000"},ua=function(){function a(a,b,c){for(var d=-1,e=b;c>e;e++){var f=255&(d^a[e]),h=g[f];d=d>>>8^h}return-1^d}function b(b,c,d,e){var f=e,g=c.length;d[f]=g>>24&255,d[f+1]=g>>16&255,d[f+2]=g>>8&255,d[f+3]=255&g,f+=4,d[f]=255&b.charCodeAt(0),d[f+1]=255&b.charCodeAt(1),d[f+2]=255&b.charCodeAt(2),d[f+3]=255&b.charCodeAt(3),f+=4,d.set(c,f),f+=c.length;var h=a(d,e+4,f);d[f]=h>>24&255,d[f+1]=h>>16&255,d[f+2]=h>>8&255,d[f+3]=255&h}function c(a,b,c){for(var d=1,e=0,f=b;c>f;++f)d=(d+(255&a[f]))%65521,e=(e+d)%65521;return e<<16|d}function d(a,d){var g,h,i,j=a.width,k=a.height,l=a.data;switch(d){case D.GRAYSCALE_1BPP:h=0,g=1,i=j+7>>3;break;case D.RGB_24BPP:h=2,g=8,i=3*j;break;case D.RGBA_32BPP:h=6,g=8,i=4*j;break;default:throw new Error("invalid format")}var m,n,o=new Uint8Array((1+i)*k),p=0,q=0;for(m=0;k>m;++m)o[p++]=0,o.set(l.subarray(q,q+i),p),q+=i,p+=i;if(d===D.GRAYSCALE_1BPP)for(p=0,m=0;k>m;m++)for(p++,n=0;i>n;n++)o[p++]^=255;var r=new Uint8Array([j>>24&255,j>>16&255,j>>8&255,255&j,k>>24&255,k>>16&255,k>>8&255,255&k,g,h,0,0,0]),s=o.length,t=65535,u=Math.ceil(s/t),v=new Uint8Array(2+s+5*u+4),w=0;v[w++]=120,v[w++]=156;for(var x=0;s>t;)v[w++]=0,v[w++]=255,v[w++]=255,v[w++]=0,v[w++]=0,v.set(o.subarray(x,x+t),w),w+=t,x+=t,s-=t;v[w++]=1,v[w++]=255&s,v[w++]=s>>8&255,v[w++]=65535&~s&255,v[w++]=(65535&~s)>>8&255,v.set(o.subarray(x),w),w+=o.length-x;var y=c(o,0,o.length);v[w++]=y>>24&255,v[w++]=y>>16&255,v[w++]=y>>8&255,v[w++]=255&y;var z=e.length+3*f+r.length+v.length,A=new Uint8Array(z),B=0;return A.set(e,B),B+=e.length,b("IHDR",r,A,B),B+=f+r.length,b("IDATA",v,A,B),B+=f+v.length,b("IEND",new Uint8Array(0),A,B),PDFJS.createObjectURL(A,"image/png")}for(var e=new Uint8Array([137,80,78,71,13,10,26,10]),f=12,g=new Int32Array(256),h=0;256>h;h++){for(var i=h,j=0;8>j;j++)i=1&i?3988292384^i>>1&2147483647:i>>1&2147483647;g[h]=i}return function(a){var b=void 0===a.kind?D.GRAYSCALE_1BPP:a.kind;return d(a,b)}}(),va=function(){function a(){this.fontSizeScale=1,this.fontWeight=ta.fontWeight,this.fontSize=0,this.textMatrix=S,this.fontMatrix=B,this.leading=0,this.x=0,this.y=0,this.lineX=0,this.lineY=0,this.charSpacing=0,this.wordSpacing=0,this.textHScale=1,this.textRise=0,this.fillColor=ta.fillColor,this.strokeColor="#000000",this.fillAlpha=1,this.strokeAlpha=1,this.lineWidth=1,this.lineJoin="",this.lineCap="",this.miterLimit=0,this.dashArray=[],this.dashPhase=0,this.dependencies=[],this.clipId="",this.pendingClip=!1,this.maskId=""}return a.prototype={clone:function(){return Object.create(this)},setCurrentPoint:function(a,b){this.x=a,this.y=b}},a}(),wa=function(){function a(a,b){var c="http://www.w3.org/2000/svg",d=document.createElementNS(c,"svg:svg");return d.setAttributeNS(null,"version","1.1"),d.setAttributeNS(null,"width",a+"px"),d.setAttributeNS(null,"height",b+"px"),d.setAttributeNS(null,"viewBox","0 0 "+a+" "+b),d}function c(a){for(var b=[],c=[],d=a.length,e=0;d>e;e++)"save"!==a[e].fn?"restore"===a[e].fn?b=c.pop():b.push(a[e]):(b.push({fnId:92,fn:"group",items:[]}),c.push(b),b=b[b.length-1].items);return b}function d(a){if(a===(0|a))return a.toString();var b=a.toFixed(10),c=b.length-1;if("0"!==b[c])return b;do c--;while("0"===b[c]);return b.substr(0,"."===b[c]?c:c+1)}function e(a){if(0===a[4]&&0===a[5]){if(0===a[1]&&0===a[2])return 1===a[0]&&1===a[3]?"":"scale("+d(a[0])+" "+d(a[3])+")";if(a[0]===a[3]&&a[1]===-a[2]){var b=180*Math.acos(a[0])/Math.PI;return"rotate("+d(b)+")"}}else if(1===a[0]&&0===a[1]&&0===a[2]&&1===a[3])return"translate("+d(a[4])+" "+d(a[5])+")";return"matrix("+d(a[0])+" "+d(a[1])+" "+d(a[2])+" "+d(a[3])+" "+d(a[4])+" "+d(a[5])+")"}function f(a,b){this.current=new va,this.transformMatrix=S,this.transformStack=[],this.extraStack=[],this.commonObjs=a,this.objs=b,this.pendingEOFill=!1,this.embedFonts=!1,this.embeddedFonts={},this.cssStyle=null}var g="http://www.w3.org/2000/svg",h="http://www.w3.org/XML/1998/namespace",i="http://www.w3.org/1999/xlink",j=["butt","round","square"],k=["miter","round","bevel"],l=0,m=0;return f.prototype={save:function(){this.transformStack.push(this.transformMatrix);var a=this.current;this.extraStack.push(a),this.current=a.clone()},restore:function(){this.transformMatrix=this.transformStack.pop(),this.current=this.extraStack.pop(),this.tgrp=document.createElementNS(g,"svg:g"),this.tgrp.setAttributeNS(null,"transform",e(this.transformMatrix)),this.pgrp.appendChild(this.tgrp)},group:function(a){this.save(),this.executeOpTree(a),this.restore()},loadDependencies:function(a){for(var b=a.fnArray,c=b.length,d=a.argsArray,e=this,f=0;c>f;f++)if(G.dependency===b[f])for(var g=d[f],h=0,i=g.length;i>h;h++){var j,k=g[h],l="g_"===k.substring(0,2);j=l?new Promise(function(a){e.commonObjs.get(k,a)}):new Promise(function(a){e.objs.get(k,a)}),this.current.dependencies.push(j)}return Promise.all(this.current.dependencies)},transform:function(a,b,c,d,f,h){var i=[a,b,c,d,f,h];this.transformMatrix=PDFJS.Util.transform(this.transformMatrix,i),this.tgrp=document.createElementNS(g,"svg:g"),this.tgrp.setAttributeNS(null,"transform",e(this.transformMatrix))},getSVG:function(b,c){return this.svg=a(c.width,c.height),this.viewport=c,this.loadDependencies(b).then(function(){this.transformMatrix=S,this.pgrp=document.createElementNS(g,"svg:g"),this.pgrp.setAttributeNS(null,"transform",e(c.transform)),this.tgrp=document.createElementNS(g,"svg:g"),this.tgrp.setAttributeNS(null,"transform",e(this.transformMatrix)),this.defs=document.createElementNS(g,"svg:defs"),this.pgrp.appendChild(this.defs),this.pgrp.appendChild(this.tgrp),this.svg.appendChild(this.pgrp);var a=this.convertOpList(b);return this.executeOpTree(a),this.svg}.bind(this))},convertOpList:function(a){var b=a.argsArray,d=a.fnArray,e=d.length,f=[],g=[];for(var h in G)f[G[h]]=h;for(var i=0;e>i;i++){var j=d[i];g.push({fnId:j,fn:f[j],args:b[i]})}return c(g)},executeOpTree:function(a){for(var c=a.length,d=0;c>d;d++){var e=a[d].fn,f=a[d].fnId,g=a[d].args;switch(0|f){case G.beginText:this.beginText();break;case G.setLeading:this.setLeading(g);break;case G.setLeadingMoveText:this.setLeadingMoveText(g[0],g[1]);break;case G.setFont:this.setFont(g);break;case G.showText:this.showText(g[0]);break;case G.showSpacedText:this.showText(g[0]);break;case G.endText:this.endText();break;case G.moveText:this.moveText(g[0],g[1]);break;case G.setCharSpacing:this.setCharSpacing(g[0]);break;case G.setWordSpacing:this.setWordSpacing(g[0]);break;case G.setHScale:this.setHScale(g[0]);break;case G.setTextMatrix:this.setTextMatrix(g[0],g[1],g[2],g[3],g[4],g[5]);break;case G.setLineWidth:this.setLineWidth(g[0]);break;case G.setLineJoin:this.setLineJoin(g[0]);break;case G.setLineCap:this.setLineCap(g[0]);break;case G.setMiterLimit:this.setMiterLimit(g[0]);break;case G.setFillRGBColor:this.setFillRGBColor(g[0],g[1],g[2]);break;case G.setStrokeRGBColor:this.setStrokeRGBColor(g[0],g[1],g[2]);break;case G.setDash:this.setDash(g[0],g[1]);break;case G.setGState:this.setGState(g[0]);break;case G.fill:this.fill();break;case G.eoFill:this.eoFill();break;case G.stroke:this.stroke();break;case G.fillStroke:this.fillStroke();break;case G.eoFillStroke:this.eoFillStroke();break;case G.clip:this.clip("nonzero");break;case G.eoClip:this.clip("evenodd");break;case G.paintSolidColorImageMask:this.paintSolidColorImageMask();break;case G.paintJpegXObject:this.paintJpegXObject(g[0],g[1],g[2]);break;case G.paintImageXObject:this.paintImageXObject(g[0]);break;case G.paintInlineImageXObject:this.paintInlineImageXObject(g[0]);break;case G.paintImageMaskXObject:this.paintImageMaskXObject(g[0]);break;case G.paintFormXObjectBegin:this.paintFormXObjectBegin(g[0],g[1]);break;case G.paintFormXObjectEnd:this.paintFormXObjectEnd();break;case G.closePath:this.closePath();break;case G.closeStroke:this.closeStroke();break;case G.closeFillStroke:this.closeFillStroke();break;case G.nextLine:this.nextLine();break;case G.transform:this.transform(g[0],g[1],g[2],g[3],g[4],g[5]);break;case G.constructPath:this.constructPath(g[0],g[1]);break;case G.endPath:this.endPath();break;case 92:this.group(a[d].items);break;default:b("Unimplemented method "+e)}}},setWordSpacing:function(a){this.current.wordSpacing=a},setCharSpacing:function(a){this.current.charSpacing=a},nextLine:function(){this.moveText(0,this.current.leading)},setTextMatrix:function(a,b,c,e,f,h){var i=this.current;this.current.textMatrix=this.current.lineMatrix=[a,b,c,e,f,h],this.current.x=this.current.lineX=0,this.current.y=this.current.lineY=0,i.xcoords=[],i.tspan=document.createElementNS(g,"svg:tspan"),i.tspan.setAttributeNS(null,"font-family",i.fontFamily),i.tspan.setAttributeNS(null,"font-size",d(i.fontSize)+"px"),i.tspan.setAttributeNS(null,"y",d(-i.y)),i.txtElement=document.createElementNS(g,"svg:text"),i.txtElement.appendChild(i.tspan)},beginText:function(){this.current.x=this.current.lineX=0,this.current.y=this.current.lineY=0,this.current.textMatrix=S,this.current.lineMatrix=S,this.current.tspan=document.createElementNS(g,"svg:tspan"),this.current.txtElement=document.createElementNS(g,"svg:text"),this.current.txtgrp=document.createElementNS(g,"svg:g"),this.current.xcoords=[]},moveText:function(a,b){var c=this.current;this.current.x=this.current.lineX+=a,this.current.y=this.current.lineY+=b,c.xcoords=[],c.tspan=document.createElementNS(g,"svg:tspan"),c.tspan.setAttributeNS(null,"font-family",c.fontFamily),c.tspan.setAttributeNS(null,"font-size",d(c.fontSize)+"px"),c.tspan.setAttributeNS(null,"y",d(-c.y))},showText:function(a){var b=this.current,c=b.font,f=b.fontSize;if(0!==f){var g,i=b.charSpacing,j=b.wordSpacing,k=b.fontDirection,l=b.textHScale*k,m=a.length,n=c.vertical,o=f*b.fontMatrix[0],q=0;for(g=0;m>g;++g){var r=a[g];if(null!==r)if(p(r))q+=-r*f*.001;else{b.xcoords.push(b.x+q*l);var s=r.width,t=r.fontChar,u=s*o+i*k;q+=u,b.tspan.textContent+=t}else q+=k*j}n?b.y-=q*l:b.x+=q*l,b.tspan.setAttributeNS(null,"x",b.xcoords.map(d).join(" ")),b.tspan.setAttributeNS(null,"y",d(-b.y)),b.tspan.setAttributeNS(null,"font-family",b.fontFamily),b.tspan.setAttributeNS(null,"font-size",d(b.fontSize)+"px"),b.fontStyle!==ta.fontStyle&&b.tspan.setAttributeNS(null,"font-style",b.fontStyle),b.fontWeight!==ta.fontWeight&&b.tspan.setAttributeNS(null,"font-weight",b.fontWeight),b.fillColor!==ta.fillColor&&b.tspan.setAttributeNS(null,"fill",b.fillColor),b.txtElement.setAttributeNS(null,"transform",e(b.textMatrix)+" scale(1, -1)"),b.txtElement.setAttributeNS(h,"xml:space","preserve"),b.txtElement.appendChild(b.tspan),b.txtgrp.appendChild(b.txtElement),this.tgrp.appendChild(b.txtElement)}},setLeadingMoveText:function(a,b){this.setLeading(-b),this.moveText(a,b)},addFontStyle:function(a){this.cssStyle||(this.cssStyle=document.createElementNS(g,"svg:style"),this.cssStyle.setAttributeNS(null,"type","text/css"),this.defs.appendChild(this.cssStyle));var b=PDFJS.createObjectURL(a.data,a.mimetype);this.cssStyle.textContent+='@font-face { font-family: "'+a.loadedName+'"; src: url('+b+"); }\n"},setFont:function(a){var b=this.current,c=this.commonObjs.get(a[0]),e=a[1];this.current.font=c,this.embedFonts&&c.data&&!this.embeddedFonts[c.loadedName]&&(this.addFontStyle(c),this.embeddedFonts[c.loadedName]=c),b.fontMatrix=c.fontMatrix?c.fontMatrix:B;var f=c.black?c.bold?"bolder":"bold":c.bold?"bold":"normal",h=c.italic?"italic":"normal";0>e?(e=-e,b.fontDirection=-1):b.fontDirection=1,b.fontSize=e,b.fontFamily=c.loadedName,b.fontWeight=f,b.fontStyle=h,b.tspan=document.createElementNS(g,"svg:tspan"),b.tspan.setAttributeNS(null,"y",d(-b.y)),b.xcoords=[]},endText:function(){this.current.pendingClip?(this.cgrp.appendChild(this.tgrp),this.pgrp.appendChild(this.cgrp)):this.pgrp.appendChild(this.tgrp),this.tgrp=document.createElementNS(g,"svg:g"),this.tgrp.setAttributeNS(null,"transform",e(this.transformMatrix))},setLineWidth:function(a){this.current.lineWidth=a},setLineCap:function(a){this.current.lineCap=j[a]},setLineJoin:function(a){this.current.lineJoin=k[a]},setMiterLimit:function(a){this.current.miterLimit=a},setStrokeRGBColor:function(a,b,c){var d=T.makeCssRgb(a,b,c);this.current.strokeColor=d},setFillRGBColor:function(a,b,c){var d=T.makeCssRgb(a,b,c);this.current.fillColor=d,this.current.tspan=document.createElementNS(g,"svg:tspan"),this.current.xcoords=[]},setDash:function(a,b){this.current.dashArray=a,this.current.dashPhase=b},constructPath:function(a,b){var c=this.current,e=c.x,f=c.y;c.path=document.createElementNS(g,"svg:path");for(var h=[],i=a.length,j=0,k=0;i>j;j++)switch(0|a[j]){case G.rectangle:e=b[k++],f=b[k++];var l=b[k++],m=b[k++],n=e+l,o=f+m;h.push("M",d(e),d(f),"L",d(n),d(f),"L",d(n),d(o),"L",d(e),d(o),"Z");break;case G.moveTo:e=b[k++],f=b[k++],h.push("M",d(e),d(f));break;case G.lineTo:e=b[k++],f=b[k++],h.push("L",d(e),d(f));break;case G.curveTo:e=b[k+4],f=b[k+5],h.push("C",d(b[k]),d(b[k+1]),d(b[k+2]),d(b[k+3]),d(e),d(f)),k+=6;break;case G.curveTo2:e=b[k+2],f=b[k+3],h.push("C",d(e),d(f),d(b[k]),d(b[k+1]),d(b[k+2]),d(b[k+3])),k+=4;break;case G.curveTo3:e=b[k+2],f=b[k+3],h.push("C",d(b[k]),d(b[k+1]),d(e),d(f),d(e),d(f)),k+=4;break;case G.closePath:h.push("Z")}c.path.setAttributeNS(null,"d",h.join(" ")),c.path.setAttributeNS(null,"stroke-miterlimit",d(c.miterLimit)),c.path.setAttributeNS(null,"stroke-linecap",c.lineCap),c.path.setAttributeNS(null,"stroke-linejoin",c.lineJoin),c.path.setAttributeNS(null,"stroke-width",d(c.lineWidth)+"px"),c.path.setAttributeNS(null,"stroke-dasharray",c.dashArray.map(d).join(" ")),c.path.setAttributeNS(null,"stroke-dashoffset",d(c.dashPhase)+"px"),c.path.setAttributeNS(null,"fill","none"),this.tgrp.appendChild(c.path),c.pendingClip?(this.cgrp.appendChild(this.tgrp),this.pgrp.appendChild(this.cgrp)):this.pgrp.appendChild(this.tgrp),c.element=c.path,c.setCurrentPoint(e,f)},endPath:function(){var a=this.current;a.pendingClip?(this.cgrp.appendChild(this.tgrp),this.pgrp.appendChild(this.cgrp)):this.pgrp.appendChild(this.tgrp),this.tgrp=document.createElementNS(g,"svg:g"),this.tgrp.setAttributeNS(null,"transform",e(this.transformMatrix))},clip:function(a){var b=this.current;b.clipId="clippath"+l,l++,this.clippath=document.createElementNS(g,"svg:clipPath"),this.clippath.setAttributeNS(null,"id",b.clipId);var c=b.element.cloneNode();"evenodd"===a?c.setAttributeNS(null,"clip-rule","evenodd"):c.setAttributeNS(null,"clip-rule","nonzero"),this.clippath.setAttributeNS(null,"transform",e(this.transformMatrix)),this.clippath.appendChild(c),this.defs.appendChild(this.clippath),b.pendingClip=!0,this.cgrp=document.createElementNS(g,"svg:g"),this.cgrp.setAttributeNS(null,"clip-path","url(#"+b.clipId+")"),this.pgrp.appendChild(this.cgrp)},closePath:function(){var a=this.current,b=a.path.getAttributeNS(null,"d");b+="Z",a.path.setAttributeNS(null,"d",b)},setLeading:function(a){this.current.leading=-a},setTextRise:function(a){this.current.textRise=a},setHScale:function(a){this.current.textHScale=a/100},setGState:function(a){for(var b=0,c=a.length;c>b;b++){var d=a[b],e=d[0],f=d[1];switch(e){case"LW":this.setLineWidth(f);break;case"LC":this.setLineCap(f);break;case"LJ":this.setLineJoin(f);break;case"ML":this.setMiterLimit(f);break;case"D":this.setDash(f[0],f[1]);break;case"RI":break;case"FL":break;case"Font":this.setFont(f);break;case"CA":break;case"ca":break;case"BM":break;case"SMask":}}},fill:function(){var a=this.current;a.element.setAttributeNS(null,"fill",a.fillColor)},stroke:function(){var a=this.current;a.element.setAttributeNS(null,"stroke",a.strokeColor),a.element.setAttributeNS(null,"fill","none")},eoFill:function(){var a=this.current;a.element.setAttributeNS(null,"fill",a.fillColor),a.element.setAttributeNS(null,"fill-rule","evenodd")},fillStroke:function(){this.stroke(),this.fill()},eoFillStroke:function(){this.current.element.setAttributeNS(null,"fill-rule","evenodd"),this.fillStroke()},closeStroke:function(){this.closePath(),this.stroke()},closeFillStroke:function(){this.closePath(),this.fillStroke()},paintSolidColorImageMask:function(){var a=this.current,b=document.createElementNS(g,"svg:rect");b.setAttributeNS(null,"x","0"),b.setAttributeNS(null,"y","0"),b.setAttributeNS(null,"width","1px"),b.setAttributeNS(null,"height","1px"),b.setAttributeNS(null,"fill",a.fillColor),this.tgrp.appendChild(b)},paintJpegXObject:function(a,b,c){var e=this.current,f=this.objs.get(a),h=document.createElementNS(g,"svg:image");h.setAttributeNS(i,"xlink:href",f.src),h.setAttributeNS(null,"width",f.width+"px"),h.setAttributeNS(null,"height",f.height+"px"),h.setAttributeNS(null,"x","0"),h.setAttributeNS(null,"y",d(-c)),h.setAttributeNS(null,"transform","scale("+d(1/b)+" "+d(-1/c)+")"),this.tgrp.appendChild(h),e.pendingClip?(this.cgrp.appendChild(this.tgrp),this.pgrp.appendChild(this.cgrp)):this.pgrp.appendChild(this.tgrp)},paintImageXObject:function(a){var c=this.objs.get(a);return c?void this.paintInlineImageXObject(c):void b("Dependent image isn't ready yet")},paintInlineImageXObject:function(a,b){var c=this.current,e=a.width,f=a.height,h=ua(a),j=document.createElementNS(g,"svg:rect");j.setAttributeNS(null,"x","0"),j.setAttributeNS(null,"y","0"),j.setAttributeNS(null,"width",d(e)),j.setAttributeNS(null,"height",d(f)),c.element=j,this.clip("nonzero");var k=document.createElementNS(g,"svg:image");k.setAttributeNS(i,"xlink:href",h),k.setAttributeNS(null,"x","0"),k.setAttributeNS(null,"y",d(-f)),k.setAttributeNS(null,"width",d(e)+"px"),k.setAttributeNS(null,"height",d(f)+"px"),k.setAttributeNS(null,"transform","scale("+d(1/e)+" "+d(-1/f)+")"),b?b.appendChild(k):this.tgrp.appendChild(k),c.pendingClip?(this.cgrp.appendChild(this.tgrp),this.pgrp.appendChild(this.cgrp)):this.pgrp.appendChild(this.tgrp)},paintImageMaskXObject:function(a){var b=this.current,c=a.width,e=a.height,f=b.fillColor;b.maskId="mask"+m++;var h=document.createElementNS(g,"svg:mask");h.setAttributeNS(null,"id",b.maskId);var i=document.createElementNS(g,"svg:rect");i.setAttributeNS(null,"x","0"),i.setAttributeNS(null,"y","0"),i.setAttributeNS(null,"width",d(c)),i.setAttributeNS(null,"height",d(e)),i.setAttributeNS(null,"fill",f),i.setAttributeNS(null,"mask","url(#"+b.maskId+")"),this.defs.appendChild(h),this.tgrp.appendChild(i),this.paintInlineImageXObject(a,h)},paintFormXObjectBegin:function(a,b){if(this.save(),q(a)&&6===a.length&&this.transform(a[0],a[1],a[2],a[3],a[4],a[5]),q(b)&&4===b.length){var c=b[2]-b[0],e=b[3]-b[1],f=document.createElementNS(g,"svg:rect");f.setAttributeNS(null,"x",b[0]),f.setAttributeNS(null,"y",b[1]),f.setAttributeNS(null,"width",d(c)),f.setAttributeNS(null,"height",d(e)),this.current.element=f,this.clip("nonzero"),this.endPath()}},paintFormXObjectEnd:function(){this.restore()}},f}();PDFJS.SVGGraphics=wa}.call("undefined"==typeof window?this:window),PDFJS.workerSrc||"undefined"==typeof document||(PDFJS.workerSrc=function(){"use strict";var a=document.body||document.getElementsByTagName("head")[0],b=a.lastChild.src;return b&&b.replace(/\.js$/i,".worker.js")}()),"undefined"==typeof PDFJS&&(("undefined"!=typeof window?window:this).PDFJS={}),PDFJS.version="1.1.551",PDFJS.build="2a5616c",function(){"use strict";function a(a){PDFJS.verbosity>=PDFJS.VERBOSITY_LEVELS.infos&&console.log("Info: "+a)}function b(a){PDFJS.verbosity>=PDFJS.VERBOSITY_LEVELS.warnings&&console.log("Warning: "+a)}function c(a){throw PDFJS.verbosity>=PDFJS.VERBOSITY_LEVELS.errors&&(console.log("Error: "+a),console.log(d())),aa.notify(_.unknown),new Error(a)}function d(){try{throw new Error}catch(a){return a.stack?a.stack.split("\n").slice(2).join("\n"):""}}function e(a,b){a||c(b)}function f(a,b){if(!a)return!1;var c=/^[a-z][a-z0-9+\-.]*(?=:)/i.exec(a);if(!c)return b;switch(c=c[0].toLowerCase()){case"http":case"https":case"ftp":case"mailto":case"tel":return!0;default:return!1}}function g(a,b,c){return Object.defineProperty(a,b,{value:c,enumerable:!0,configurable:!0,writable:!1}),c}function h(){switch(PDFJS.openExternalLinksInNewWindow&&(b("PDFJS.openExternalLinksInNewWindow is deprecated, use PDFJS.externalLinkTarget instead."),PDFJS.externalLinkTarget===ba.NONE&&(PDFJS.externalLinkTarget=ba.BLANK),PDFJS.openExternalLinksInNewWindow=!1),PDFJS.externalLinkTarget){case ba.NONE:return!1;case ba.SELF:case ba.BLANK:case ba.PARENT:case ba.TOP:return!0}return b("PDFJS.externalLinkTarget is invalid: "+PDFJS.externalLinkTarget),PDFJS.externalLinkTarget=ba.NONE,!1}function i(a){e(null!==a&&"object"==typeof a&&void 0!==a.length,"Invalid argument for bytesToString");var b=a.length,c=8192;if(c>b)return String.fromCharCode.apply(null,a);for(var d=[],f=0;b>f;f+=c){var g=Math.min(f+c,b),h=a.subarray(f,g);d.push(String.fromCharCode.apply(null,h))}return d.join("")}function j(a){e("string"==typeof a,"Invalid argument for stringToBytes");for(var b=a.length,c=new Uint8Array(b),d=0;b>d;++d)c[d]=255&a.charCodeAt(d);return c}function k(a){return String.fromCharCode(a>>24&255,a>>16&255,a>>8&255,255&a)}function l(a){for(var b=1,c=0;a>b;)b<<=1,c++;return c}function m(a,b){return a[b]<<24>>24}function n(a,b){return a[b]<<8|a[b+1]}function o(a,b){return(a[b]<<24|a[b+1]<<16|a[b+2]<<8|a[b+3])>>>0}function p(){var a=new Uint8Array(2);a[0]=1;var b=new Uint16Array(a.buffer);return 1===b[0]}function q(){var a=document.createElement("canvas");a.width=a.height=1;var b=a.getContext("2d"),c=b.createImageData(1,1);return"undefined"!=typeof c.data.buffer}function r(a){var b,c=a.length,d=[];if("þ"===a[0]&&"ÿ"===a[1])for(b=2;c>b;b+=2)d.push(String.fromCharCode(a.charCodeAt(b)<<8|a.charCodeAt(b+1)));else for(b=0;c>b;++b){var e=oa[a.charCodeAt(b)];d.push(e?String.fromCharCode(e):a.charAt(b))}return d.join("")}function s(a){return decodeURIComponent(escape(a))}function t(a){return unescape(encodeURIComponent(a))}function u(a){for(var b in a)return!1;return!0}function v(a){return"boolean"==typeof a}function w(a){return"number"==typeof a&&(0|a)===a}function x(a){return"number"==typeof a}function y(a){return"string"==typeof a}function z(a){return a instanceof ya}function A(a,b){return a instanceof za&&(void 0===b||a.cmd===b)}function B(a,b){if(!(a instanceof Aa))return!1;if(!b)return!0;var c=a.get("Type");return z(c)&&c.name===b}function C(a){return a instanceof Array}function D(a){return"object"==typeof a&&null!==a&&void 0!==a.getBytes}function E(a){return"object"==typeof a&&null!==a&&void 0!==a.byteLength}function F(a){return a instanceof Ba}function G(){var a={};return a.promise=new Promise(function(b,c){a.resolve=b,a.reject=c}),a}function H(a,b){this.name=a,this.comObj=b,this.callbackIndex=1,this.postMessageTransfers=!0;var d=this.callbacksCapabilities={},e=this.actionHandler={};e.console_log=[function(a){console.log.apply(console,a)}],e.console_error=[function(a){console.error.apply(console,a)}],e._unsupported_feature=[function(a){aa.notify(a)}],b.onmessage=function(a){var f=a.data;if(f.isReply){var g=f.callbackId;if(f.callbackId in d){var h=d[g];delete d[g],"error"in f?h.reject(f.error):h.resolve(f.data)}else c("Cannot resolve callback "+g)}else if(f.action in e){var i=e[f.action];f.callbackId?Promise.resolve().then(function(){return i[0].call(i[1],f.data)}).then(function(a){b.postMessage({isReply:!0,callbackId:f.callbackId,data:a})},function(a){a instanceof Error&&(a+=""),b.postMessage({isReply:!0,callbackId:f.callbackId,error:a})}):i[0].call(i[1],f.data)}else c("Unknown action from worker: "+f.action)}}function I(){}function J(a,b,c){var d=b.get("Matrix"),e=b.get("BBox"),f=b.get("XStep"),g=b.get("YStep"),h=b.get("PaintType"),i=b.get("TilingType");return["TilingPattern",c,a,d,e,f,g,h,i]}function K(a){return a>=65520&&65535>=a?0:a>=62976&&63743>=a?Wb[a]||a:a}function L(a){for(var b=0,c=Xb.length;c>b;b++){var d=Xb[b];if(a>=d.begin&&a=b.begin&&a=b.begin&&a=b||!M(a.charCodeAt(0)))return a;for(var c="",d=b-1;d>=0;d--)c+=a[d];return c}function O(a){if(a.fontMatrix[0]!==T[0]){var b=.001/a.fontMatrix[0],c=a.widths;for(var d in c)c[d]*=b;a.defaultWidth*=b}}function P(a,b){switch(a){case"Type1":return"Type1C"===b?Z.TYPE1C:Z.TYPE1;case"CIDFontType0":return"CIDFontType0C"===b?Z.CIDFONTTYPE0C:Z.CIDFONTTYPE0;case"OpenType":return Z.OPENTYPE;case"TrueType":return Z.TRUETYPE;case"CIDFontType2":return Z.CIDFONTTYPE2;case"MMType1": +return Z.MMTYPE1;case"Type0":return Z.TYPE0;default:return Z.UNKNOWN}}function Q(a,b,c){var d,e,f,g=Object.create(null);if(a.baseEncodingName)for(f=Pb[a.baseEncodingName],e=0;e=0?g[e]=d:g[e]=0;else if(a.flags&Ob.Symbolic)for(e in b)g[e]=b[e];else for(f=Pb.StandardEncoding,e=0;e=0?g[e]=d:g[e]=0;var h=a.differences;if(h)for(e in h){var i=h[e];d=c.indexOf(i),d>=0?g[e]=d:g[e]=0}return g}function R(a){return a===Dc}var S="undefined"==typeof window?this:window,T=("undefined"==typeof window,[.001,0,0,.001,0,0]),U={FILL:0,STROKE:1,FILL_STROKE:2,INVISIBLE:3,FILL_ADD_TO_PATH:4,STROKE_ADD_TO_PATH:5,FILL_STROKE_ADD_TO_PATH:6,ADD_TO_PATH:7,FILL_STROKE_MASK:3,ADD_TO_PATH_FLAG:4},V={GRAYSCALE_1BPP:1,RGB_24BPP:2,RGBA_32BPP:3},W={WIDGET:1,TEXT:2,LINK:3},X={SOLID:1,DASHED:2,BEVELED:3,INSET:4,UNDERLINE:5},Y={UNKNOWN:0,FLATE:1,LZW:2,DCT:3,JPX:4,JBIG:5,A85:6,AHX:7,CCF:8,RL:9},Z={UNKNOWN:0,TYPE1:1,TYPE1C:2,CIDFONTTYPE0:3,CIDFONTTYPE0C:4,TRUETYPE:5,CIDFONTTYPE2:6,TYPE3:7,OPENTYPE:8,TYPE0:9,MMTYPE1:10};S.PDFJS||(S.PDFJS={}),S.PDFJS.pdfBug=!1,PDFJS.VERBOSITY_LEVELS={errors:0,warnings:1,infos:5};var $=PDFJS.OPS={dependency:1,setLineWidth:2,setLineCap:3,setLineJoin:4,setMiterLimit:5,setDash:6,setRenderingIntent:7,setFlatness:8,setGState:9,save:10,restore:11,transform:12,moveTo:13,lineTo:14,curveTo:15,curveTo2:16,curveTo3:17,closePath:18,rectangle:19,stroke:20,closeStroke:21,fill:22,eoFill:23,fillStroke:24,eoFillStroke:25,closeFillStroke:26,closeEOFillStroke:27,endPath:28,clip:29,eoClip:30,beginText:31,endText:32,setCharSpacing:33,setWordSpacing:34,setHScale:35,setLeading:36,setFont:37,setTextRenderingMode:38,setTextRise:39,moveText:40,setLeadingMoveText:41,setTextMatrix:42,nextLine:43,showText:44,showSpacedText:45,nextLineShowText:46,nextLineSetSpacingShowText:47,setCharWidth:48,setCharWidthAndBounds:49,setStrokeColorSpace:50,setFillColorSpace:51,setStrokeColor:52,setStrokeColorN:53,setFillColor:54,setFillColorN:55,setStrokeGray:56,setFillGray:57,setStrokeRGBColor:58,setFillRGBColor:59,setStrokeCMYKColor:60,setFillCMYKColor:61,shadingFill:62,beginInlineImage:63,beginImageData:64,endInlineImage:65,paintXObject:66,markPoint:67,markPointProps:68,beginMarkedContent:69,beginMarkedContentProps:70,endMarkedContent:71,beginCompat:72,endCompat:73,paintFormXObjectBegin:74,paintFormXObjectEnd:75,beginGroup:76,endGroup:77,beginAnnotations:78,endAnnotations:79,beginAnnotation:80,endAnnotation:81,paintJpegXObject:82,paintImageMaskXObject:83,paintImageMaskXObjectGroup:84,paintImageXObject:85,paintInlineImageXObject:86,paintInlineImageXObjectGroup:87,paintImageXObjectRepeat:88,paintImageMaskXObjectRepeat:89,paintSolidColorImageMask:90,constructPath:91},_=PDFJS.UNSUPPORTED_FEATURES={unknown:"unknown",forms:"forms",javaScript:"javaScript",smask:"smask",shadingPattern:"shadingPattern",font:"font"},aa=PDFJS.UnsupportedManager=function(){var a=[];return{listen:function(b){a.push(b)},notify:function(c){b('Unsupported feature "'+c+'"');for(var d=0,e=a.length;e>d;d++)a[d](c)}}}();PDFJS.isValidUrl=f,PDFJS.shadow=g;var ba=PDFJS.LinkTarget={NONE:0,SELF:1,BLANK:2,PARENT:3,TOP:4};PDFJS.isExternalLinkTargetSet=h;var ca=PDFJS.PasswordResponses={NEED_PASSWORD:1,INCORRECT_PASSWORD:2},da=function(){function a(a,b){this.name="PasswordException",this.message=a,this.code=b}return a.prototype=new Error,a.constructor=a,a}();PDFJS.PasswordException=da;var ea=function(){function a(a,b){this.name="UnknownErrorException",this.message=a,this.details=b}return a.prototype=new Error,a.constructor=a,a}();PDFJS.UnknownErrorException=ea;var fa=function(){function a(a){this.name="InvalidPDFException",this.message=a}return a.prototype=new Error,a.constructor=a,a}();PDFJS.InvalidPDFException=fa;var ga=function(){function a(a){this.name="MissingPDFException",this.message=a}return a.prototype=new Error,a.constructor=a,a}();PDFJS.MissingPDFException=ga;var ha=function(){function a(a,b){this.name="UnexpectedResponseException",this.message=a,this.status=b}return a.prototype=new Error,a.constructor=a,a}();PDFJS.UnexpectedResponseException=ha;var ia=function(){function a(a){this.message=a}return a.prototype=new Error,a.prototype.name="NotImplementedException",a.constructor=a,a}(),ja=function(){function a(a,b){this.begin=a,this.end=b,this.message="Missing data ["+a+", "+b+")"}return a.prototype=new Error,a.prototype.name="MissingDataException",a.constructor=a,a}(),ka=function(){function a(a){this.message=a}return a.prototype=new Error,a.prototype.name="XRefParseException",a.constructor=a,a}();Object.defineProperty(PDFJS,"isLittleEndian",{configurable:!0,get:function(){return g(PDFJS,"isLittleEndian",p())}}),Object.defineProperty(PDFJS,"hasCanvasTypedArrays",{configurable:!0,get:function(){return g(PDFJS,"hasCanvasTypedArrays",q())}});var la=function(){function a(a,b){this.buffer=a,this.byteLength=a.length,this.length=void 0===b?this.byteLength>>2:b,c(this.length)}function b(a){return{get:function(){var b=this.buffer,c=a<<2;return(b[c]|b[c+1]<<8|b[c+2]<<16|b[c+3]<<24)>>>0},set:function(b){var c=this.buffer,d=a<<2;c[d]=255&b,c[d+1]=b>>8&255,c[d+2]=b>>16&255,c[d+3]=b>>>24&255}}}function c(c){for(;c>d;)Object.defineProperty(a.prototype,d,b(d)),d++}a.prototype=Object.create(null);var d=0;return a}(),ma=[1,0,0,1,0,0],na=PDFJS.Util=function(){function a(){}var b=["rgb(",0,",",0,",",0,")"];return a.makeCssRgb=function(a,c,d){return b[1]=a,b[3]=c,b[5]=d,b.join("")},a.transform=function(a,b){return[a[0]*b[0]+a[2]*b[1],a[1]*b[0]+a[3]*b[1],a[0]*b[2]+a[2]*b[3],a[1]*b[2]+a[3]*b[3],a[0]*b[4]+a[2]*b[5]+a[4],a[1]*b[4]+a[3]*b[5]+a[5]]},a.applyTransform=function(a,b){var c=a[0]*b[0]+a[1]*b[2]+b[4],d=a[0]*b[1]+a[1]*b[3]+b[5];return[c,d]},a.applyInverseTransform=function(a,b){var c=b[0]*b[3]-b[1]*b[2],d=(a[0]*b[3]-a[1]*b[2]+b[2]*b[5]-b[4]*b[3])/c,e=(-a[0]*b[1]+a[1]*b[0]+b[4]*b[1]-b[5]*b[0])/c;return[d,e]},a.getAxialAlignedBoundingBox=function(b,c){var d=a.applyTransform(b,c),e=a.applyTransform(b.slice(2,4),c),f=a.applyTransform([b[0],b[3]],c),g=a.applyTransform([b[2],b[1]],c);return[Math.min(d[0],e[0],f[0],g[0]),Math.min(d[1],e[1],f[1],g[1]),Math.max(d[0],e[0],f[0],g[0]),Math.max(d[1],e[1],f[1],g[1])]},a.inverseTransform=function(a){var b=a[0]*a[3]-a[1]*a[2];return[a[3]/b,-a[1]/b,-a[2]/b,a[0]/b,(a[2]*a[5]-a[4]*a[3])/b,(a[4]*a[1]-a[5]*a[0])/b]},a.apply3dTransform=function(a,b){return[a[0]*b[0]+a[1]*b[1]+a[2]*b[2],a[3]*b[0]+a[4]*b[1]+a[5]*b[2],a[6]*b[0]+a[7]*b[1]+a[8]*b[2]]},a.singularValueDecompose2dScale=function(a){var b=[a[0],a[2],a[1],a[3]],c=a[0]*b[0]+a[1]*b[2],d=a[0]*b[1]+a[1]*b[3],e=a[2]*b[0]+a[3]*b[2],f=a[2]*b[1]+a[3]*b[3],g=(c+f)/2,h=Math.sqrt((c+f)*(c+f)-4*(c*f-e*d))/2,i=g+h||1,j=g-h||1;return[Math.sqrt(i),Math.sqrt(j)]},a.normalizeRect=function(a){var b=a.slice(0);return a[0]>a[2]&&(b[0]=a[2],b[2]=a[0]),a[1]>a[3]&&(b[1]=a[3],b[3]=a[1]),b},a.intersect=function(b,c){function d(a,b){return a-b}var e=[b[0],b[2],c[0],c[2]].sort(d),f=[b[1],b[3],c[1],c[3]].sort(d),g=[];return b=a.normalizeRect(b),c=a.normalizeRect(c),e[0]===b[0]&&e[1]===c[0]||e[0]===c[0]&&e[1]===b[0]?(g[0]=e[1],g[2]=e[2],f[0]===b[1]&&f[1]===c[1]||f[0]===c[1]&&f[1]===b[1]?(g[1]=f[1],g[3]=f[2],g):!1):!1},a.sign=function(a){return 0>a?-1:1},a.appendToArray=function(a,b){Array.prototype.push.apply(a,b)},a.prependToArray=function(a,b){Array.prototype.unshift.apply(a,b)},a.extendObj=function(a,b){for(var c in b)a[c]=b[c]},a.getInheritableProperty=function(a,b){for(;a&&!a.has(b);)a=a.get("Parent");return a?a.get(b):null},a.inherit=function(a,b,c){a.prototype=Object.create(b.prototype),a.prototype.constructor=a;for(var d in c)a.prototype[d]=c[d]},a.loadScript=function(a,b){var c=document.createElement("script"),d=!1;c.setAttribute("src",a),b&&(c.onload=function(){d||b(),d=!0}),document.getElementsByTagName("head")[0].appendChild(c)},a}(),oa=(PDFJS.PageViewport=function(){function a(a,b,c,d,e,f){this.viewBox=a,this.scale=b,this.rotation=c,this.offsetX=d,this.offsetY=e;var g,h,i,j,k=(a[2]+a[0])/2,l=(a[3]+a[1])/2;switch(c%=360,c=0>c?c+360:c){case 180:g=-1,h=0,i=0,j=1;break;case 90:g=0,h=1,i=1,j=0;break;case 270:g=0,h=-1,i=-1,j=0;break;default:g=1,h=0,i=0,j=-1}f&&(i=-i,j=-j);var m,n,o,p;0===g?(m=Math.abs(l-a[1])*b+d,n=Math.abs(k-a[0])*b+e,o=Math.abs(a[3]-a[1])*b,p=Math.abs(a[2]-a[0])*b):(m=Math.abs(k-a[0])*b+d,n=Math.abs(l-a[1])*b+e,o=Math.abs(a[2]-a[0])*b,p=Math.abs(a[3]-a[1])*b),this.transform=[g*b,h*b,i*b,j*b,m-g*b*k-i*b*l,n-h*b*k-j*b*l],this.width=o,this.height=p,this.fontScale=b}return a.prototype={clone:function(b){b=b||{};var c="scale"in b?b.scale:this.scale,d="rotation"in b?b.rotation:this.rotation;return new a(this.viewBox.slice(),c,d,this.offsetX,this.offsetY,b.dontFlip)},convertToViewportPoint:function(a,b){return na.applyTransform([a,b],this.transform)},convertToViewportRectangle:function(a){var b=na.applyTransform([a[0],a[1]],this.transform),c=na.applyTransform([a[2],a[3]],this.transform);return[b[0],b[1],c[0],c[1]]},convertToPdfPoint:function(a,b){return na.applyInverseTransform([a,b],this.transform)}},a}(),[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,728,711,710,729,733,731,730,732,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,8226,8224,8225,8230,8212,8211,402,8260,8249,8250,8722,8240,8222,8220,8221,8216,8217,8218,8482,64257,64258,321,338,352,376,381,305,322,339,353,382,0,8364]);PDFJS.createPromiseCapability=G,function(){function a(a){this._status=c,this._handlers=[];try{a.call(this,this._resolve.bind(this),this._reject.bind(this))}catch(b){this._reject(b)}}if(S.Promise)return"function"!=typeof S.Promise.all&&(S.Promise.all=function(a){var b,c,d=0,e=[],f=new S.Promise(function(a,d){b=a,c=d});return a.forEach(function(a,f){d++,a.then(function(a){e[f]=a,d--,0===d&&b(e)},c)}),0===d&&b(e),f}),"function"!=typeof S.Promise.resolve&&(S.Promise.resolve=function(a){return new S.Promise(function(b){b(a)})}),"function"!=typeof S.Promise.reject&&(S.Promise.reject=function(a){return new S.Promise(function(b,c){c(a)})}),void("function"!=typeof S.Promise.prototype["catch"]&&(S.Promise.prototype["catch"]=function(a){return S.Promise.prototype.then(void 0,a)}));var c=0,d=1,e=2,f=500,g={handlers:[],running:!1,unhandledRejections:[],pendingRejectionCheck:!1,scheduleHandlers:function(a){a._status!==c&&(this.handlers=this.handlers.concat(a._handlers),a._handlers=[],this.running||(this.running=!0,setTimeout(this.runHandlers.bind(this),0)))},runHandlers:function(){for(var a=1,b=Date.now()+a;this.handlers.length>0;){var c=this.handlers.shift(),f=c.thisPromise._status,g=c.thisPromise._value;try{f===d?"function"==typeof c.onResolve&&(g=c.onResolve(g)):"function"==typeof c.onReject&&(g=c.onReject(g),f=d,c.thisPromise._unhandledRejection&&this.removeUnhandeledRejection(c.thisPromise))}catch(h){f=e,g=h}if(c.nextPromise._updateStatus(f,g),Date.now()>=b)break}return this.handlers.length>0?void setTimeout(this.runHandlers.bind(this),0):void(this.running=!1)},addUnhandledRejection:function(a){this.unhandledRejections.push({promise:a,time:Date.now()}),this.scheduleRejectionCheck()},removeUnhandeledRejection:function(a){a._unhandledRejection=!1;for(var b=0;bf){var d=this.unhandledRejections[c].promise._value,e="Unhandled rejection: "+d;d.stack&&(e+="\n"+d.stack),b(e),this.unhandledRejections.splice(c),c--}this.unhandledRejections.length&&this.scheduleRejectionCheck()}.bind(this),f))}};a.all=function(b){function c(a){g._status!==e&&(i=[],f(a))}var d,f,g=new a(function(a,b){d=a,f=b}),h=b.length,i=[];if(0===h)return d(i),g;for(var j=0,k=b.length;k>j;++j){var l=b[j],m=function(a){return function(b){g._status!==e&&(i[a]=b,h--,0===h&&d(i))}}(j);a.isPromise(l)?l.then(m,c):m(l)}return g},a.isPromise=function(a){return a&&"function"==typeof a.then},a.resolve=function(b){return new a(function(a){a(b)})},a.reject=function(b){return new a(function(a,c){c(b)})},a.prototype={_status:null,_value:null,_handlers:null,_unhandledRejection:null,_updateStatus:function(b,c){if(this._status!==d&&this._status!==e){if(b===d&&a.isPromise(c))return void c.then(this._updateStatus.bind(this,d),this._updateStatus.bind(this,e));this._status=b,this._value=c,b===e&&0===this._handlers.length&&(this._unhandledRejection=!0,g.addUnhandledRejection(this)),g.scheduleHandlers(this)}},_resolve:function(a){this._updateStatus(d,a)},_reject:function(a){this._updateStatus(e,a)},then:function(b,c){var d=new a(function(a,b){this.resolve=a,this.reject=b});return this._handlers.push({thisPromise:this,onResolve:b,onReject:c,nextPromise:d}),g.scheduleHandlers(this),d},"catch":function(a){return this.then(void 0,a)}},S.Promise=a}();(function(){function a(a,b,c){for(;a.lengthb;++b){var g=d[b].name;g.length>f&&(f=g.length)}for(b=0,c=d.length;c>b;++b){var h=d[b],i=h.end-h.start;e+=a(h.name," ",f)+" "+i+"ms\n"}return e}},c})();PDFJS.createBlob=function(a,b){if("undefined"!=typeof Blob)return new Blob([a],{type:b});var c=new MozBlobBuilder;return c.append(a),c.getBlob(b)},PDFJS.createObjectURL=function(){var a="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/=";return function(b,c){if(!PDFJS.disableCreateObjectURL&&"undefined"!=typeof URL&&URL.createObjectURL){var d=PDFJS.createBlob(b,c);return URL.createObjectURL(d)}for(var e="data:"+c+";base64,",f=0,g=b.length;g>f;f+=3){var h=255&b[f],i=255&b[f+1],j=255&b[f+2],k=h>>2,l=(3&h)<<4|i>>4,m=g>f+1?(15&i)<<2|j>>6:64,n=g>f+2?63&j:64;e+=a[k]+a[l]+a[m]+a[n]}return e}}(),H.prototype={on:function(a,b,d){var e=this.actionHandler;e[a]&&c('There is already an actionName called "'+a+'"'),e[a]=[b,d]},send:function(a,b,c){var d={action:a,data:b};this.postMessage(d,c)},sendWithPromise:function(a,b,c){var d=this.callbackIndex++,e={action:a,data:b,callbackId:d},f=G();this.callbacksCapabilities[d]=f;try{this.postMessage(e,c)}catch(g){f.reject(g)}return f.promise},postMessage:function(a,b){b&&this.postMessageTransfers?this.comObj.postMessage(a,b):this.comObj.postMessage(a)}};var pa=function(){function a(a,b){this.url=a,b=b||{},this.isHttp=/^https?:/i.test(a),this.httpHeaders=this.isHttp&&b.httpHeaders||{},this.withCredentials=b.withCredentials||!1,this.getXhr=b.getXhr||function(){return new XMLHttpRequest},this.currXhrId=0,this.pendingRequests={},this.loadedRequests={}}function b(a){var b=a.response;if("string"!=typeof b)return b;for(var c=b.length,d=new Uint8Array(c),e=0;c>e;e++)d[e]=255&b.charCodeAt(e);return d.buffer}var c=200,d=206,e=function(){try{var a=new XMLHttpRequest;return a.open("GET","https://example.com"),a.responseType="moz-chunked-arraybuffer","moz-chunked-arraybuffer"===a.responseType}catch(b){return!1}}();return a.prototype={requestRange:function(a,b,c){var d={begin:a,end:b};for(var e in c)d[e]=c[e];return this.request(d)},requestFull:function(a){return this.request(a)},request:function(a){var b=this.getXhr(),c=this.currXhrId++,d=this.pendingRequests[c]={xhr:b};b.open("GET",this.url),b.withCredentials=this.withCredentials;for(var f in this.httpHeaders){var g=this.httpHeaders[f];"undefined"!=typeof g&&b.setRequestHeader(f,g)}if(this.isHttp&&"begin"in a&&"end"in a){var h=a.begin+"-"+(a.end-1);b.setRequestHeader("Range","bytes="+h),d.expectedStatus=206}else d.expectedStatus=200;var i=e&&!!a.onProgressiveData;return i?(b.responseType="moz-chunked-arraybuffer",d.onProgressiveData=a.onProgressiveData,d.mozChunked=!0):b.responseType="arraybuffer",a.onError&&(b.onerror=function(c){a.onError(b.status)}),b.onreadystatechange=this.onStateChange.bind(this,c),b.onprogress=this.onProgress.bind(this,c),d.onHeadersReceived=a.onHeadersReceived,d.onDone=a.onDone,d.onError=a.onError,d.onProgress=a.onProgress,b.send(null),c},onProgress:function(a,c){var d=this.pendingRequests[a];if(d){if(d.mozChunked){var e=b(d.xhr);d.onProgressiveData(e)}var f=d.onProgress;f&&f(c)}},onStateChange:function(a,e){var f=this.pendingRequests[a];if(f){var g=f.xhr;if(g.readyState>=2&&f.onHeadersReceived&&(f.onHeadersReceived(),delete f.onHeadersReceived),4===g.readyState&&a in this.pendingRequests){if(delete this.pendingRequests[a],0===g.status&&this.isHttp)return void(f.onError&&f.onError(g.status));var h=g.status||c,i=h===c&&f.expectedStatus===d;if(!i&&h!==f.expectedStatus)return void(f.onError&&f.onError(g.status));this.loadedRequests[a]=!0;var j=b(g);if(h===d){var k=g.getResponseHeader("Content-Range"),l=/bytes (\d+)-(\d+)\/(\d+)/.exec(k),m=parseInt(l[1],10);f.onDone({begin:m,chunk:j})}else f.onProgressiveData?f.onDone(null):f.onDone({begin:0,chunk:j})}}},hasPendingRequests:function(){for(var a in this.pendingRequests)return!0;return!1},getRequestXhr:function(a){return this.pendingRequests[a].xhr},isStreamingRequest:function(a){return!!this.pendingRequests[a].onProgressiveData},isPendingRequest:function(a){return a in this.pendingRequests},isLoadedRequest:function(a){return a in this.loadedRequests},abortAllRequests:function(){for(var a in this.pendingRequests)this.abortRequest(0|a)},abortRequest:function(a){var b=this.pendingRequests[a].xhr;delete this.pendingRequests[a],b.abort()}},a}(),qa=function(){function a(a,b,c){this.bytes=new Uint8Array(a),this.start=0,this.pos=0,this.end=a,this.chunkSize=b,this.loadedChunks=[],this.numChunksLoaded=0,this.numChunks=Math.ceil(a/b),this.manager=c,this.progressiveDataLength=0,this.lastSuccessfulEnsureByteChunk=-1}return a.prototype={getMissingChunks:function(){for(var a=[],b=0,c=this.numChunks;c>b;++b)this.loadedChunks[b]||a.push(b);return a},getBaseStreams:function(){return[this]},allChunksLoaded:function(){return this.numChunksLoaded===this.numChunks},onReceiveData:function(a,b){var c=a+b.byteLength;e(a%this.chunkSize===0,"Bad begin offset: "+a);var d=this.bytes.length;e(c%this.chunkSize===0||c===d,"Bad end offset: "+c),this.bytes.set(new Uint8Array(b),a);var f,g=this.chunkSize,h=Math.floor(a/g),i=Math.floor((c-1)/g)+1;for(f=h;i>f;++f)this.loadedChunks[f]||(this.loadedChunks[f]=!0,++this.numChunksLoaded)},onReceiveProgressiveData:function(a){var b=this.progressiveDataLength,c=Math.floor(b/this.chunkSize);this.bytes.set(new Uint8Array(a),b),b+=a.byteLength,this.progressiveDataLength=b;var d,e=b>=this.end?this.numChunks:Math.floor(b/this.chunkSize);for(d=c;e>d;++d)this.loadedChunks[d]||(this.loadedChunks[d]=!0,++this.numChunksLoaded)},ensureByte:function(a){var b=Math.floor(a/this.chunkSize);if(b!==this.lastSuccessfulEnsureByteChunk){if(!this.loadedChunks[b])throw new ja(a,a+1);this.lastSuccessfulEnsureByteChunk=b}},ensureRange:function(a,b){if(!(a>=b||b<=this.progressiveDataLength))for(var c=this.chunkSize,d=Math.floor(a/c),e=Math.floor((b-1)/c)+1,f=d;e>f;++f)if(!this.loadedChunks[f])throw new ja(a,b)},nextEmptyChunk:function(a){for(var b,c=this.numChunks,d=0;c>d;++d)if(b=(a+d)%c,!this.loadedChunks[b])return b;return null},hasChunk:function(a){return!!this.loadedChunks[a]},get length(){return this.end-this.start},get isEmpty(){return 0===this.length},getByte:function(){var a=this.pos;return a>=this.end?-1:(this.ensureByte(a),this.bytes[this.pos++])},getUint16:function(){var a=this.getByte(),b=this.getByte();return-1===a||-1===b?-1:(a<<8)+b},getInt32:function(){var a=this.getByte(),b=this.getByte(),c=this.getByte(),d=this.getByte();return(a<<24)+(b<<16)+(c<<8)+d},getBytes:function(a){var b=this.bytes,c=this.pos,d=this.end;if(!a)return this.ensureRange(c,d),b.subarray(c,d);var e=c+a;return e>d&&(e=d),this.ensureRange(c,e),this.pos=e,b.subarray(c,e)},peekByte:function(){var a=this.getByte();return this.pos--,a},peekBytes:function(a){var b=this.getBytes(a);return this.pos-=b.length,b},getByteRange:function(a,b){return this.ensureRange(a,b),this.bytes.subarray(a,b)},skip:function(a){a||(a=1),this.pos+=a},reset:function(){this.pos=this.start},moveStart:function(){this.start=this.pos},makeSubStream:function(a,b,c){function d(){}this.ensureRange(a,a+b),d.prototype=Object.create(this),d.prototype.getMissingChunks=function(){for(var a=this.chunkSize,b=Math.floor(this.start/a),c=Math.floor((this.end-1)/a)+1,d=[],e=b;c>e;++e)this.loadedChunks[e]||d.push(e);return d};var e=new d;return e.pos=e.start=a,e.end=a+b||this.end,e.dict=c,e},isStream:!0},a}(),ra=function(){function a(a,b,c,d){this.stream=new qa(a,b,this),this.length=a,this.chunkSize=b,this.url=c,this.disableAutoFetch=d.disableAutoFetch;var e=this.msgHandler=d.msgHandler;if(d.chunkedViewerLoading)e.on("OnDataRange",this.onReceiveData.bind(this)),e.on("OnDataProgress",this.onProgress.bind(this)),this.sendRequest=function(a,b){e.send("RequestDataRange",{begin:a,end:b})};else{var f=function(){return new XMLHttpRequest};this.networkManager=new pa(this.url,{getXhr:f,httpHeaders:d.httpHeaders,withCredentials:d.withCredentials}),this.sendRequest=function(a,b){this.networkManager.requestRange(a,b,{onDone:this.onReceiveData.bind(this),onProgress:this.onProgress.bind(this)})}}this.currRequestId=0,this.chunksNeededByRequest={},this.requestsByChunk={},this.promisesByRequest={},this.progressiveDataLength=0,this._loadedStreamCapability=G(),d.initialData&&this.onReceiveData({chunk:d.initialData})}return a.prototype={onLoadedStream:function(){return this._loadedStreamCapability.promise},requestAllChunks:function(){var a=this.stream.getMissingChunks();return this._requestChunks(a),this._loadedStreamCapability.promise},_requestChunks:function(a){var b,c,d,e=this.currRequestId++;for(this.chunksNeededByRequest[e]=b={},c=0,d=a.length;d>c;c++)this.stream.hasChunk(a[c])||(b[a[c]]=!0);if(u(b))return Promise.resolve();var f=G();this.promisesByRequest[e]=f;var g=[];for(var h in b)h=0|h,h in this.requestsByChunk||(this.requestsByChunk[h]=[],g.push(h)),this.requestsByChunk[h].push(e);if(!g.length)return f.promise;var i=this.groupChunks(g);for(c=0;cf;++f)e.push(f);return this._requestChunks(e)},requestRanges:function(a){a=a||[];for(var b=[],c=0;cf;++f)b.indexOf(f)<0&&b.push(f);return b.sort(function(a,b){return a-b}),this._requestChunks(b)},groupChunks:function(a){for(var b=[],c=-1,d=-1,e=0;ec&&(c=f),d>=0&&d+1!==f&&(b.push({beginChunk:c,endChunk:d+1}),c=f),e+1===a.length&&b.push({beginChunk:c,endChunk:f+1}),d=f}return b},onProgress:function(a){var b=this.stream.numChunksLoaded*this.chunkSize+a.loaded;this.msgHandler.send("DocProgress",{loaded:b,total:this.length})},onReceiveData:function(a){var b=a.chunk,c=void 0===a.begin,d=c?this.progressiveDataLength:a.begin,e=d+b.byteLength,f=Math.floor(d/this.chunkSize),g=eb;++b){var k=this.requestsByChunk[b]||[];for(delete this.requestsByChunk[b],h=0;hf){b("Page_getInheritedPageProp: maximum loop count exceeded.");break}c=c.get("Parent")}return d?1===d.length||!B(d[0])||e>f?d[0]:Aa.merge(this.xref,d):Aa.empty},get content(){return this.getPageProp("Contents")},get resources(){return g(this,"resources",this.getInheritedPageProp("Resources"))},get mediaBox(){var a=this.getInheritedPageProp("MediaBox");return C(a)&&4===a.length||(a=c),g(this,"mediaBox",a)},get view(){var a=this.mediaBox,b=this.getInheritedPageProp("CropBox");return C(b)&&4===b.length?(b=na.intersect(b,a),b?g(this,"view",b):g(this,"view",a)):g(this,"view",a)},get rotate(){var a=this.getInheritedPageProp("Rotate")||0;return a%90!==0?a=0:a>=360?a%=360:0>a&&(a=(a%360+360)%360),g(this,"rotate",a)},getContentStream:function(){var a,b=this.content;if(C(b)){var c,d=this.xref,e=b.length,f=[];for(c=0;e>c;++c)f.push(d.fetchIfRef(b[c]));a=new Pc(f)}else a=D(b)?b:new _c;return a},loadResources:function(a){return this.resourcesPromise||(this.resourcesPromise=this.pdfManager.ensure(this,"resources")),this.resourcesPromise.then(function(){var b=new Ia(this.resources.map,a,this.xref);return b.load()}.bind(this))},getOperatorList:function(a,b,c){var d=this,e=this.pdfManager,f=e.ensure(this,"getContentStream",[]),g=this.loadResources(["ExtGState","ColorSpace","Pattern","Shading","XObject","Font"]),h=new vb(e,this.xref,a,this.pageIndex,"p"+this.pageIndex+"_",this.idCounters,this.fontCache),i=Promise.all([f,g]),j=i.then(function(e){var f=e[0],g=new xb(c,a,d.pageIndex);return a.send("StartRenderPage",{transparency:h.hasBlendModes(d.resources),pageIndex:d.pageIndex,intent:c}),h.getOperatorList(f,b,d.resources,g).then(function(){return g})}),k=e.ensure(this,"annotations");return Promise.all([j,k]).then(function(a){var d=a[0],f=a[1];if(0===f.length)return d.flush(!0),d;var g=Na.appendToOperatorList(f,d,e,h,b,c);return g.then(function(){return d.flush(!0),d})})},extractTextContent:function(a){var b={on:function(){},send:function(){}},c=this,d=this.pdfManager,e=d.ensure(this,"getContentStream",[]),f=this.loadResources(["ExtGState","XObject","Font"]),g=Promise.all([e,f]);return g.then(function(e){var f=e[0],g=new vb(d,c.xref,b,c.pageIndex,"p"+c.pageIndex+"_",c.idCounters,c.fontCache);return g.getTextContent(f,a,c.resources)})},getAnnotationsData:function(){for(var a=this.annotations,b=[],c=0,d=a.length;d>c;++c)b.push(a[c].data);return b},get annotations(){for(var a=[],b=this.getInheritedPageProp("Annots")||[],c=new I,d=0,e=b.length;e>d;++d){var f=b[d],h=c.create(this.xref,f);h&&(h.isViewable()||h.isPrintable())&&a.push(h)}return g(this,"annotations",a)}},a}(),xa=function(){function b(a,b,e){D(b)?d.call(this,a,b,e):E(b)?d.call(this,a,new Mc(b),e):c("PDFDocument: Unknown argument type")}function d(a,b,c){e(b.length>0,"stream must have data"),this.pdfManager=a,this.stream=b;var d=new Fa(this.stream,c,a);this.xref=d}function f(a,b,c,d){var e=a.pos,f=a.end,g=[];e+c>f&&(c=f-e);for(var h=0;c>h;++h)g.push(String.fromCharCode(a.getByte()));var i=g.join("");a.pos=e;var j=d?i.lastIndexOf(b):i.indexOf(b);return-1===j?!1:(a.pos+=j,!0)}var h=1024,i="\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00",k={get entries(){return g(this,"entries",{Title:y,Author:y,Subject:y,Keywords:y,Creator:y,Producer:y,CreationDate:y,ModDate:y,Trapped:z})}};return b.prototype={parse:function(b){this.setup(b);var c=this.catalog.catDict.get("Version");z(c)&&(this.pdfFormatVersion=c.name);try{if(this.acroForm=this.catalog.catDict.get("AcroForm"),this.acroForm){this.xfa=this.acroForm.get("XFA");var d=this.acroForm.get("Fields");d&&C(d)&&0!==d.length||this.xfa||(this.acroForm=null)}}catch(e){a("Something wrong with AcroForm entry"),this.acroForm=null}},get linearization(){var b=null;if(this.stream.length)try{b=Hc.create(this.stream)}catch(c){if(c instanceof ja)throw c;a(c)}return g(this,"linearization",b)},get startXRef(){var a=this.stream,b=0,c=this.linearization;if(c)a.reset(),f(a,"endobj",1024)&&(b=a.pos+6);else{for(var d=1024,e=!1,h=a.end;!e&&h>0;)h-=d-"startxref".length,0>h&&(h=0),a.pos=h,e=f(a,"startxref",d,!0);if(e){a.skip(9);var i;do i=a.getByte();while(Gc.isSpace(i)); +for(var j="";i>=32&&57>=i;)j+=String.fromCharCode(i),i=a.getByte();b=parseInt(j,10),isNaN(b)&&(b=0)}}return g(this,"startXRef",b)},get mainXRefEntriesOffset(){var a=0,b=this.linearization;return b&&(a=b.mainXRefEntriesOffset),g(this,"mainXRefEntriesOffset",a)},checkHeader:function(){var a=this.stream;if(a.reset(),f(a,"%PDF-",1024)){a.moveStart();for(var b,c=12,d="";(b=a.getByte())>32&&!(d.length>=c);)d+=String.fromCharCode(b);return void(this.pdfFormatVersion||(this.pdfFormatVersion=d.substring(5)))}},parseStartXRef:function(){var a=this.startXRef;this.xref.setStartXRef(a)},setup:function(a){this.xref.parse(a),this.catalog=new Ea(this.pdfManager,this.xref)},get numPages(){var a=this.linearization,b=a?a.numPages:this.catalog.numPages;return g(this,"numPages",b)},get documentInfo(){var b,c={PDFFormatVersion:this.pdfFormatVersion,IsAcroFormPresent:!!this.acroForm,IsXFAPresent:!!this.xfa};try{b=this.xref.trailer.get("Info")}catch(d){a("The document information dictionary is invalid.")}if(b){var e=k.entries;for(var f in e)if(b.has(f)){var h=b.get(f);e[f](h)?c[f]="string"!=typeof h?h:r(h):a('Bad value in document info for "'+f+'"')}}return g(this,"documentInfo",c)},get fingerprint(){var a,b=this.xref,c="",d=b.trailer.get("ID");d&&C(d)&&d[0]&&y(d[0])&&d[0]!==i?a=j(d[0]):(this.stream.ensureRange&&this.stream.ensureRange(0,Math.min(h,this.stream.end)),a=gb(this.stream.bytes.subarray(0,h),0,h));for(var e=0,f=a.length;f>e;e++){var k=a[e].toString(16);c+=1===k.length?"0"+k:k}return g(this,"fingerprint",c)},getPage:function(a){return this.catalog.getPage(a)},cleanup:function(){return this.catalog.cleanup()}},b}(),ya=function(){function a(a){this.name=a}a.prototype={};var b={};return a.get=function(c){var d=b[c];return d?d:b[c]=new a(c)},a}(),za=function(){function a(a){this.cmd=a}a.prototype={};var b={};return a.get=function(c){var d=b[c];return d?d:b[c]=new a(c)},a}(),Aa=function(){function a(a){if(!z(a.Type))return!0;var b=a.Type.name;return d[b]===!0}function b(a){this.map=Object.create(null),this.xref=a,this.objId=null,this.__nonSerializable__=c}var c=function(){return c},d={Background:!0,ExtGState:!0,Halftone:!0,Layout:!0,Mask:!0,Pagination:!0,Printing:!0};return b.prototype={assignXref:function(a){this.xref=a},get:function(a,b,c){var d,e=this.xref;return"undefined"!=typeof(d=this.map[a])||a in this.map||"undefined"==typeof b?e?e.fetchIfRef(d):d:"undefined"!=typeof(d=this.map[b])||b in this.map||"undefined"==typeof c?e?e.fetchIfRef(d):d:(d=this.map[c]||null,e?e.fetchIfRef(d):d)},getAsync:function(a,b,c){var d,e=this.xref;return"undefined"!=typeof(d=this.map[a])||a in this.map||"undefined"==typeof b?e?e.fetchIfRefAsync(d):Promise.resolve(d):"undefined"!=typeof(d=this.map[b])||b in this.map||"undefined"==typeof c?e?e.fetchIfRefAsync(d):Promise.resolve(d):(d=this.map[c]||null,e?e.fetchIfRefAsync(d):Promise.resolve(d))},getArray:function(a,b,c){var d=this.get(a,b,c),e=this.xref;if(!C(d)||!e)return d;d=d.slice();for(var f=0,g=d.length;g>f;f++)F(d[f])&&(d[f]=e.fetch(d[f]));return d},getRaw:function(a){return this.map[a]},getAll:function(){var c,d,e=Object.create(null),f=null;for(c in this.map)d=this.get(c),d instanceof b?a(d)?(f||(f=[])).push({target:e,key:c,obj:d}):e[c]=this.getRaw(c):e[c]=d;if(!f)return e;for(var g=Object.create(null);f.length>0;){var h=f.shift(),i=h.obj,j=i.objId;if(j&&j in g)h.target[h.key]=g[j];else{var k=Object.create(null);for(c in i.map)d=i.get(c),d instanceof b?a(d)?f.push({target:k,key:c,obj:d}):k[c]=i.getRaw(c):k[c]=d;j&&(g[j]=k),h.target[h.key]=k}}return e},getKeys:function(){return Object.keys(this.map)},set:function(a,b){this.map[a]=b},has:function(a){return a in this.map},forEach:function(a){for(var b in this.map)a(b,this.get(b))}},b.empty=new b(null),b.merge=function(a,c){for(var d=new b(a),e=0,f=c.length;f>e;e++){var g=c[e];if(B(g))for(var h in g.map)d.map[h]||(d.map[h]=g.map[h])}return d},b}(),Ba=function(){function a(a,b){this.num=a,this.gen=b}return a.prototype={toString:function(){var a=this.num+"R";return 0!==this.gen&&(a+=this.gen),a}},a}(),Ca=function(){function a(){this.dict={}}return a.prototype={has:function(a){return a.toString()in this.dict},put:function(a){this.dict[a.toString()]=!0},remove:function(a){delete this.dict[a.toString()]}},a}(),Da=function(){function a(){this.dict=Object.create(null)}return a.prototype={get:function(a){return this.dict[a.toString()]},has:function(a){return a.toString()in this.dict},put:function(a,b){this.dict[a.toString()]=b},putAlias:function(a,b){this.dict[a.toString()]=this.get(b)},forEach:function(a,b){for(var c in this.dict)a.call(b,this.dict[c])},clear:function(){this.dict=Object.create(null)}},a}(),Ea=function(){function d(a,b){this.pdfManager=a,this.xref=b,this.catDict=b.getCatalogObj(),this.fontCache=new Da,e(B(this.catDict),"catalog object is not a dictionary"),this.pagePromises=[]}return d.prototype={get metadata(){var b=this.catDict.getRaw("Metadata");if(!F(b))return g(this,"metadata",null);var c,d=this.xref.encrypt?this.xref.encrypt.encryptMetadata:!1,e=this.xref.fetch(b,!d);if(e&&B(e.dict)){var f=e.dict.get("Type"),h=e.dict.get("Subtype");if(z(f)&&z(h)&&"Metadata"===f.name&&"XML"===h.name)try{c=s(i(e.getBytes()))}catch(j){a("Skipping invalid metadata.")}}return g(this,"metadata",c)},get toplevelPagesDict(){var a=this.catDict.get("Pages");return e(B(a),"invalid top-level pages dictionary"),g(this,"toplevelPagesDict",a)},get documentOutline(){var a=null;try{a=this.readDocumentOutline()}catch(c){if(c instanceof ja)throw c;b("Unable to read document outline")}return g(this,"documentOutline",a)},readDocumentOutline:function(){var a=this.xref,b=this.catDict.get("Outlines"),d={items:[]};if(B(b)){b=b.getRaw("First");var e=new Ca;if(F(b)){var f=[{obj:b,parent:d}];for(e.put(b);f.length>0;){var g=f.shift(),h=a.fetchIfRef(g.obj);if(null!==h){h.has("Title")||c("Invalid outline item");var i=h.get("A");i?i=i.get("D"):h.has("Dest")&&(i=h.getRaw("Dest"),z(i)&&(i=i.name));var j=h.get("Title"),k={dest:i,title:r(j),color:h.get("C")||[0,0,0],count:h.get("Count"),bold:!!(2&h.get("F")),italic:!!(1&h.get("F")),items:[]};g.parent.items.push(k),b=h.getRaw("First"),F(b)&&!e.has(b)&&(f.push({obj:b,parent:k}),e.put(b)),b=h.getRaw("Next"),F(b)&&!e.has(b)&&(f.push({obj:b,parent:g.parent}),e.put(b))}}}}return d.items.length>0?d.items:null},get numPages(){var a=this.toplevelPagesDict.get("Count");return e(w(a),"page count in top level pages object is not an integer"),g(this,"num",a)},get destinations(){function a(a){return B(a)?a.get("D"):a}var b,c,d=this.xref,e={},f=this.catDict.get("Names");if(f&&f.has("Dests")?b=f.getRaw("Dests"):this.catDict.has("Dests")&&(c=this.catDict.get("Dests")),c&&(f=c,f.forEach(function(b,c){c&&(e[b]=a(c))})),b){var h=new Ga(b,d),i=h.getAll();for(var j in i)i.hasOwnProperty(j)&&(e[j]=a(i[j]))}return g(this,"destinations",e)},getDestination:function(a){function b(a){return B(a)?a.get("D"):a}var c,d,e=this.xref,f=null,g=this.catDict.get("Names");if(g&&g.has("Dests")?c=g.getRaw("Dests"):this.catDict.has("Dests")&&(d=this.catDict.get("Dests")),d){var h=d.get(a);h&&(f=b(h))}if(c){var i=new Ga(c,e);f=b(i.get(a))}return f},get attachments(){var a,b=this.xref,c=null,d=this.catDict.get("Names");if(d&&(a=d.getRaw("EmbeddedFiles")),a){var e=new Ga(a,b),f=e.getAll();for(var h in f)if(f.hasOwnProperty(h)){var i=new Ha(f[h],b);c||(c={}),c[r(h)]=i.serializable}}return g(this,"attachments",c)},get javaScript(){function a(a){var b=a.get("S");if(z(b)&&"JavaScript"===b.name){var c=a.get("JS");if(D(c))c=i(c.getBytes());else if(!y(c))return;d.push(r(c))}}var b=this.xref,c=this.catDict.get("Names"),d=[];if(c&&c.has("JavaScript")){var e=new Ga(c.getRaw("JavaScript"),b),f=e.getAll();for(var h in f)if(f.hasOwnProperty(h)){var j=f[h];B(j)&&a(j)}}var k=this.catDict.get("OpenAction");if(B(k,"Action")){var l=k.get("S");if(z(l)&&"Named"===l.name){var m=k.get("N");z(m)&&"Print"===m.name&&d.push("print({});")}else a(k)}return g(this,"javaScript",d)},cleanup:function(){var a=[];return this.fontCache.forEach(function(b){a.push(b)}),Promise.all(a).then(function(a){for(var b=0,c=a.length;c>b;b++){var d=a[b].dict;delete d.translated}this.fontCache.clear()}.bind(this))},getPage:function(a){return a in this.pagePromises||(this.pagePromises[a]=this.getPageDict(a).then(function(b){var c=b[0],d=b[1];return new wa(this.pdfManager,this.xref,a,c,d,this.fontCache)}.bind(this))),this.pagePromises[a]},getPageDict:function(a){function b(){for(;d.length;){var i=d.pop();if(F(i))return void g.fetchAsync(i).then(function(e){return B(e,"Page")||B(e)&&!e.has("Kids")?void(a===f?c.resolve([e,i]):(f++,b())):(d.push(e),void b())},c.reject);e(B(i),"page dictionary kid reference points to wrong type of object");var j=i.get("Count");if(0===j&&(h=!0),a>=f+j)f+=j;else{var k=i.get("Kids");if(e(C(k),"page dictionary kids object is not an array"),h||j!==k.length)for(var l=k.length-1;l>=0;l--)d.push(k[l]);else d=[k[a-f]],f=a}}c.reject("Page index "+a+" not found.")}var c=G(),d=[this.catDict.getRaw("Pages")],f=0,g=this.xref,h=!1;return b(),c.promise},getPageIndex:function(a){function b(a){var b,d=0;return f.fetchAsync(a).then(function(a){return a?(b=a.getRaw("Parent"),a.getAsync("Parent")):null}).then(function(a){return a?a.getAsync("Kids"):null}).then(function(g){if(!g)return null;for(var h=[],i=!1,j=0;jh;h++){d.streamPos=b.pos,d.entryNum=h,d.parserBuf1=a.buf1,d.parserBuf2=a.buf2;var i={};i.offset=a.getObj(),i.gen=a.getObj();var j=a.getObj();A(j,"f")?i.free=!0:A(j,"n")&&(i.uncompressed=!0),w(i.offset)&&w(i.gen)&&(i.free||i.uncompressed)||c("Invalid entry in XRef subsection: "+f+", "+g),this.entries[h+f]||(this.entries[h+f]=i)}d.entryNum=0,d.streamPos=b.pos,d.parserBuf1=a.buf1,d.parserBuf2=a.buf2,delete d.firstEntryNum,delete d.entryCount}return 1===f&&this.entries[1]&&this.entries[1].free&&this.entries.shift(),this.entries[0]&&!this.entries[0].free&&c("Invalid XRef table: unexpected first object"),e},processXRefStream:function(a){if(!("streamState"in this)){var b=a.dict,c=b.get("W"),d=b.get("Index");d||(d=[0,b.get("Size")]),this.streamState={entryRanges:d,byteWidths:c,entryNum:0,streamPos:a.pos}}return this.readXRefStream(a),delete this.streamState,a.dict},readXRefStream:function(a){var b,d,e=this.streamState;a.pos=e.streamPos;for(var f=e.byteWidths,g=f[0],h=f[1],i=f[2],j=e.entryRanges;j.length>0;){var k=j[0],l=j[1];for(w(k)&&w(l)||c("Invalid XRef range fields: "+k+", "+l),w(g)&&w(h)&&w(i)||c("Invalid XRef entry fields length: "+k+", "+l),b=e.entryNum;l>b;++b){e.entryNum=b,e.streamPos=a.pos;var m=0,n=0,o=0;for(d=0;g>d;++d)m=m<<8|a.getByte();for(0===g&&(m=1),d=0;h>d;++d)n=n<<8|a.getByte();for(d=0;i>d;++d)o=o<<8|a.getByte();var p={};switch(p.offset=n,p.gen=o,m){case 0:p.free=!0;break;case 1:p.uncompressed=!0;break;case 2:break;default:c("Invalid XRef entry type: "+m)}this.entries[k+b]||(this.entries[k+b]=p)}e.entryNum=0,e.streamPos=a.pos,j.splice(0,2)}},indexObjects:function(){function a(a,b){for(var c="",f=a[b];f!==d&&f!==e&&f!==h&&!(++b>=a.length);)c+=String.fromCharCode(f),f=a[b];return c}function b(a,b,c){for(var d=c.length,e=a.length,f=0;e>b;){for(var g=0;d>g&&a[b+g]===c[g];)++g;if(g>=d)break;b++,f++}return f}var c=9,d=10,e=13,f=32,g=37,h=60,i=/^(\d+)\s+(\d+)\s+obj\b/,j=new Uint8Array([116,114,97,105,108,101,114]),k=new Uint8Array([115,116,97,114,116,120,114,101,102]),l=new Uint8Array([101,110,100,111,98,106]),m=new Uint8Array([47,88,82,101,102]);this.entries.length=0;var n=this.stream;n.pos=0;for(var o=n.getBytes(),p=n.start,q=o.length,r=[],s=[];q>p;){var t=o[p];if(t!==c&&t!==d&&t!==e&&t!==f)if(t!==g){var u,v=a(o,p);if(0!==v.indexOf("xref")||4!==v.length&&!/\s/.test(v[4]))if(u=i.exec(v)){"undefined"==typeof this.entries[u[1]]&&(this.entries[u[1]]={offset:p-n.start,gen:0|u[2],uncompressed:!0});var w=b(o,p,l)+7,x=o.subarray(p,p+w),y=b(x,0,m);w>y&&x[y+5]<64&&(s.push(p-n.start),this.xrefstms[p-n.start]=1),p+=w}else 0!==v.indexOf("trailer")||7!==v.length&&!/\s/.test(v[7])?p+=v.length+1:(r.push(p),p+=b(o,p,k));else p+=b(o,p,j),r.push(p),p+=b(o,p,k)}else do{if(++p,p>=q)break;t=o[p]}while(t!==d&&t!==e);else++p}var z,C;for(z=0,C=s.length;C>z;++z)this.startXRefQueue.push(s[z]),this.readXRef(!0);var D;for(z=0,C=r.length;C>z;++z){n.pos=r[z];var E=new Fc(new Gc(n),!0,this),F=E.getObj();if(A(F,"trailer")&&B(D=E.getObj())&&D.has("ID"))return D}if(D)return D;throw new fa("Invalid PDF structure")},readXRef:function(b){var d=this.stream;try{for(;this.startXRefQueue.length;){var e=this.startXRefQueue[0];d.pos=e+d.start;var f,g=new Fc(new Gc(d),!0,this),h=g.getObj();if(A(h,"xref")){if(f=this.processXRefTable(g),this.topDict||(this.topDict=f),h=f.get("XRefStm"),w(h)){var i=h;i in this.xrefstms||(this.xrefstms[i]=1,this.startXRefQueue.push(i))}}else w(h)?(w(g.getObj())&&A(g.getObj(),"obj")&&D(h=g.getObj())||c("Invalid XRef stream"),f=this.processXRefStream(h),this.topDict||(this.topDict=f),f||c("Failed to read XRef stream")):c("Invalid XRef stream header");h=f.get("Prev"),w(h)?this.startXRefQueue.push(h):F(h)&&this.startXRefQueue.push(h.num),this.startXRefQueue.shift()}return this.topDict}catch(j){if(j instanceof ja)throw j;a("(while reading XRef): "+j)}if(!b)throw new ka},getEntry:function(a){var b=this.entries[a];return b&&!b.free&&b.offset?b:null},fetchIfRef:function(a){return F(a)?this.fetch(a):a},fetch:function(a,b){e(F(a),"ref object is not a reference");var c=a.num;if(c in this.cache){var d=this.cache[c];return d}var f=this.getEntry(c);return null===f?this.cache[c]=null:(f=f.uncompressed?this.fetchUncompressed(a,f,b):this.fetchCompressed(f,b),B(f)?f.objId=a.toString():D(f)&&(f.dict.objId=a.toString()),f)},fetchUncompressed:function(a,b,d){var e=a.gen,f=a.num;b.gen!==e&&c("inconsistent generation in XRef");var g=this.stream.makeSubStream(b.offset+this.stream.start),h=new Fc(new Gc(g),!0,this),i=h.getObj(),j=h.getObj(),k=h.getObj();if(w(i)&&parseInt(i,10)===f&&w(j)&&parseInt(j,10)===e&&A(k)||c("bad XRef entry"),!A(k,"obj")){if(0===k.cmd.indexOf("obj")&&(f=parseInt(k.cmd.substring(3),10),!isNaN(f)))return f;c("bad XRef entry")}return b=this.encrypt&&!d?h.getObj(this.encrypt.createCipherTransform(f,e)):h.getObj(),D(b)||(this.cache[f]=b),b},fetchCompressed:function(a,b){var d=a.offset,e=this.fetch(new Ba(d,0));D(e)||c("bad ObjStm stream");var f=e.dict.get("First"),g=e.dict.get("N");w(f)&&w(g)||c("invalid first and n parameters for ObjStm stream");var h=new Fc(new Gc(e),!1,this);h.allowStreams=!0;var i,j,k=[],l=[];for(i=0;g>i;++i){j=h.getObj(),w(j)||c("invalid object number in the ObjStm stream: "+j),l.push(j);var m=h.getObj();w(m)||c("invalid object offset in the ObjStm stream: "+m)}for(i=0;g>i;++i){k.push(h.getObj()),j=l[i];var n=this.entries[j];n&&n.offset===d&&n.gen===i&&(this.cache[j]=k[i])}return a=k[a.gen],void 0===a&&c("bad XRef entry for compressed object"),a},fetchIfRefAsync:function(a){return F(a)?this.fetchAsync(a):Promise.resolve(a)},fetchAsync:function(a,b){var c=this.stream.manager,d=this;return new Promise(function e(f,g){try{f(d.fetch(a,b))}catch(h){if(h instanceof ja)return void c.requestRange(h.begin,h.end).then(function(){e(f,g)},g);g(h)}})},getCatalogObj:function(){return this.root}},d}(),Ga=function(){function a(a,b){this.root=a,this.xref=b}return a.prototype={getAll:function(){var a={};if(!this.root)return a;var b=this.xref,d=new Ca;d.put(this.root);for(var e=[this.root];e.length>0;){var f,g,h=b.fetchIfRef(e.shift());if(B(h))if(h.has("Kids")){var i=h.get("Kids");for(f=0,g=i.length;g>f;f++){var j=i[f];d.has(j)&&c("invalid destinations"),e.push(j),d.put(j)}}else{var k=h.get("Names");if(k)for(f=0,g=k.length;g>f;f+=2)a[b.fetchIfRef(k[f])]=b.fetchIfRef(k[f+1])}}return a},get:function(a){if(!this.root)return null;for(var c,d,e,f=this.xref,g=f.fetchIfRef(this.root),h=0,i=10;g.has("Kids");){if(h++,h>i)return b("Search depth limit for named destionations has been reached."),null;var j=g.get("Kids");if(!C(j))return null;for(c=0,d=j.length-1;d>=c;){e=c+d>>1;var k=f.fetchIfRef(j[e]),l=k.get("Limits");if(af.fetchIfRef(l[1]))){g=f.fetchIfRef(j[e]);break}c=e+1}}if(c>d)return null}var m=g.get("Names");if(C(m))for(c=0,d=m.length-2;d>=c;)if(e=c+d&-2,af.fetchIfRef(m[e])))return f.fetchIfRef(m[e+1]);c=e+2}return null}},a}(),Ha=function(){function a(a,c){a&&B(a)&&(this.xref=c,this.root=a,a.has("FS")&&(this.fs=a.get("FS")),this.description=a.has("Desc")?r(a.get("Desc")):"",a.has("RF")&&b("Related file specifications are not supported"),this.contentAvailable=!0,a.has("EF")||(this.contentAvailable=!1,b("Non-embedded file specifications are not supported")))}function c(a){return a.has("UF")?a.get("UF"):a.has("F")?a.get("F"):a.has("Unix")?a.get("Unix"):a.has("Mac")?a.get("Mac"):a.has("DOS")?a.get("DOS"):null}return a.prototype={get filename(){if(!this._filename&&this.root){var a=c(this.root)||"unnamed";this._filename=r(a).replace(/\\\\/g,"\\").replace(/\\\//g,"/").replace(/\\/g,"/")}return this._filename},get content(){if(!this.contentAvailable)return null;!this.contentRef&&this.root&&(this.contentRef=c(this.root.get("EF")));var a=null;if(this.contentRef){var d=this.xref,e=d.fetchIfRef(this.contentRef);e&&D(e)?a=e.getBytes():b("Embedded file specification points to non-existing/invalid content")}else b("Embedded file specification does not have a content");return a},get serializable(){return{filename:this.filename,content:this.content}}},a}(),Ia=function(){function a(a){return F(a)||B(a)||C(a)||D(a)}function b(b,c){var d;if(B(b)||D(b)){var e;e=B(b)?b.map:b.dict.map;for(var f in e)d=e[f],a(d)&&c.push(d)}else if(C(b))for(var g=0,h=b.length;h>g;g++)d=b[g],a(d)&&c.push(d)}function c(a,b,c){this.obj=a,this.keys=b,this.xref=c,this.refSet=null,this.capability=null}return c.prototype={load:function(){var a=this.keys;if(this.capability=G(),!(this.xref.stream instanceof qa)||0===this.xref.stream.getMissingChunks().length)return this.capability.resolve(),this.capability.promise;this.refSet=new Ca;for(var b=[],c=0;c=3&&(this.borderStyle.setHorizontalCornerRadius(d[0]),this.borderStyle.setVerticalCornerRadius(d[1]),this.borderStyle.setWidth(d[2]),4===d.length&&this.borderStyle.setDashArray(d[3]))}else this.borderStyle.setWidth(0)},isInvisible:function(){var a=this.data;return!!(a&&a.annotationFlags&&1&a.annotationFlags)},isViewable:function(){var a=this.data;return!(this.isInvisible()||!a||a.annotationFlags&&34&a.annotationFlags||!a.rect)},isPrintable:function(){var a=this.data;return!(!(!this.isInvisible()&&a&&a.annotationFlags&&4&a.annotationFlags)||2&a.annotationFlags||!a.rect)},loadResources:function(a){return new Promise(function(b,c){this.appearance.dict.getAsync("Resources").then(function(d){if(!d)return void b();var e=new Ia(d.map,a,d.xref);e.load().then(function(){b(d)},c)},c)}.bind(this))},getOperatorList:function(b,c){if(!this.appearance)return Promise.resolve(new xb);var d=this.data,e=this.appearance.dict,f=this.loadResources(["ExtGState","ColorSpace","Pattern","Shading","XObject","Font"]),g=e.get("BBox")||[0,0,1,1],h=e.get("Matrix")||[1,0,0,1,0,0],i=a(d.rect,g,h),j=this;return f.then(function(a){var e=new xb;return e.addOp($.beginAnnotation,[d.rect,i,h]),b.getOperatorList(j.appearance,c,a,e).then(function(){return e.addOp($.endAnnotation,[]),j.appearance.reset(),e})})}},c.appendToOperatorList=function(a,b,c,d,e,f){function g(a){h.reject(a)}for(var h=G(),i=[],j=0,k=a.length;k>j;++j)("display"===f&&a[j].isViewable()||"print"===f&&a[j].isPrintable())&&i.push(a[j].getOperatorList(d,e));return Promise.all(i).then(function(a){b.addOp($.beginAnnotations,[]);for(var c=0,d=a.length;d>c;++c){var e=a[c];b.addOpList(e)}b.addOp($.endAnnotations,[]),h.resolve()},g),h.promise},c}(),Oa=function(){function a(){this.width=1,this.style=X.SOLID,this.dashArray=[3],this.horizontalCornerRadius=0,this.verticalCornerRadius=0}return a.prototype={setWidth:function(a){a===(0|a)&&(this.width=a)},setStyle:function(a){if(a)switch(a.name){case"S":this.style=X.SOLID;break;case"D":this.style=X.DASHED;break;case"B":this.style=X.BEVELED;break;case"I":this.style=X.INSET;break;case"U":this.style=X.UNDERLINE}},setDashArray:function(a){if(C(a)&&a.length>0){for(var b=!0,c=!0,d=0,e=a.length;e>d;d++){var f=a[d],g=+f>=0;if(!g){b=!1;break}f>0&&(c=!1)}b&&!c?this.dashArray=a:this.width=0}else a&&(this.width=0)},setHorizontalCornerRadius:function(a){a===(0|a)&&(this.horizontalCornerRadius=a)},setVerticalCornerRadius:function(a){a===(0|a)&&(this.verticalCornerRadius=a)}},a}(),Pa=function(){function a(a){Na.call(this,a);var b=a.dict,c=this.data;c.fieldValue=r(na.getInheritableProperty(b,"V")||""),c.alternativeText=r(b.get("TU")||""),c.defaultAppearance=na.getInheritableProperty(b,"DA")||"";var d=na.getInheritableProperty(b,"FT");c.fieldType=z(d)?d.name:"",c.fieldFlags=na.getInheritableProperty(b,"Ff")||0,this.fieldResources=na.getInheritableProperty(b,"DR")||Aa.empty;for(var e=[],f=b,g=a.ref;f;){var h=f.get("Parent"),i=f.getRaw("Parent"),j=f.get("T");if(j)e.unshift(r(j));else if(h&&g){var k,l,m=h.get("Kids");for(k=0,l=m.length;l>k;k++){var n=m[k];if(n.num===g.num&&n.gen===g.gen)break}e.unshift("`"+k)}f=h,g=i}c.fullName=e.join(".")}var c=Na.prototype;return na.inherit(a,Na,{isViewable:function(){return"Sig"===this.data.fieldType?(b("unimplemented annotation type: Widget signature"),!1):c.isViewable.call(this)}}),a}(),Qa=function(){function a(a){Pa.call(this,a),this.data.textAlignment=na.getInheritableProperty(a.dict,"Q"),this.data.annotationType=W.WIDGET,this.data.hasHtml=!this.data.hasAppearance&&!!this.data.fieldValue}return na.inherit(a,Pa,{getOperatorList:function(a,b){if(this.appearance)return Na.prototype.getOperatorList.call(this,a,b);var c=new xb,d=this.data;if(!d.defaultAppearance)return Promise.resolve(c);var e=new Mc(j(d.defaultAppearance));return a.getOperatorList(e,b,this.fieldResources,c).then(function(){return c})}}),a}(),Ra=function(){function a(a){Na.call(this,a);var b=a.dict,c=this.data,d=b.get("Contents"),e=b.get("T");c.annotationType=W.TEXT,c.content=r(d||""),c.title=r(e||""),c.hasHtml=!0,c.hasAppearance?c.name="NoIcon":(c.rect[1]=c.rect[3]-Ma,c.rect[2]=c.rect[0]+Ma,c.name=b.has("Name")?b.get("Name").name:"Note"),b.has("C")&&(c.hasBgColor=!0)}return na.inherit(a,Na,{}),a}(),Sa=function(){ +function a(a){Na.call(this,a);var d=a.dict,e=this.data;e.annotationType=W.LINK,e.hasHtml=!0;var g=d.get("A");if(g&&B(g)){var h=g.get("S").name;if("URI"===h){var i=g.get("URI");z(i)?i="/"+i.name:i&&(i=c(i)),f(i,!1)||(i="");try{e.url=s(i)}catch(j){e.url=i}}else if("GoTo"===h)e.dest=g.get("D");else if("GoToR"===h){var k=g.get("F");B(k)&&(i=k.get("F")||""),f(i,!1)||(i=""),e.url=i,e.dest=g.get("D")}else"Named"===h?e.action=g.get("N").name:b("unrecognized link type: "+h)}else if(d.has("Dest")){var l=d.get("Dest");e.dest=z(l)?l.name:l}}function c(a){return a&&0===a.indexOf("www.")?"http://"+a:a}return na.inherit(a,Na,{}),a}(),Ta=function(){var b=0,d=2,e=3,f=4;return{getSampleArray:function(a,b,c,d){var e,f,g=1;for(e=0,f=a.length;f>e;e++)g*=a[e];g*=b;var h=new Array(g),i=0,j=0,k=1/(Math.pow(2,c)-1),l=d.getBytes((g*c+7)/8),m=0;for(e=0;g>e;e++){for(;c>i;)j<<=8,j|=l[m++],i+=8;i-=c,h[e]=(j>>i)*k,j&=(1<d;d++){var f=a.fetchIfRef(b[d]);c.push(Ta.parse(a,f))}return function(a,b,d,e){for(var f=0,g=c.length;g>f;f++)c[f](a,b,d,e+f)}},constructSampled:function(d,e){function f(a){for(var b=a.length,c=[],d=0,e=0;b>e;e+=2)c[d]=[a[e],a[e+1]],++d;return c}var g=e.get("Domain"),h=e.get("Range");g&&h||c("No domain or range");var i=g.length/2,j=h.length/2;g=f(g),h=f(h);var k=e.get("Size"),l=e.get("BitsPerSample"),m=e.get("Order")||1;1!==m&&a("No support for cubic spline interpolation: "+m);var n=e.get("Encode");if(!n){n=[];for(var o=0;i>o;++o)n.push(0),n.push(k[o]-1)}n=f(n);var p=e.get("Decode");p=p?f(p):h;var q=this.getSampleArray(k,j,l,d);return[b,i,g,n,p,q,k,j,Math.pow(2,l)-1,h]},constructSampledFromIR:function(a){function b(a,b,c,d,e){return d+(a-b)*((e-d)/(c-b))}return function(c,d,e,f){var g,h,i=a[1],j=a[2],k=a[3],l=a[4],m=a[5],n=a[6],o=a[7],p=a[9],q=1<h;h++)r[h]=1;var t=o,u=1;for(g=0;i>g;++g){var v=j[g][0],w=j[g][1],x=Math.min(Math.max(c[d+g],v),w),y=b(x,v,w,k[g][0],k[g][1]),z=n[g];y=Math.min(Math.max(y,0),z-1);var A=z-1>y?Math.floor(y):y-1,B=A+1-y,C=y-A,D=A*t,E=D+t;for(h=0;q>h;h++)h&u?(r[h]*=C,s[h]+=E):(r[h]*=B,s[h]+=D);t*=z,u<<=1}for(h=0;o>h;++h){var F=0;for(g=0;q>g;g++)F+=m[s[g]+h]*r[g];F=b(F,0,1,l[h][0],l[h][1]),e[f+h]=Math.min(Math.max(F,p[h][0]),p[h][1])}}},constructInterpolated:function(a,b){var e=b.get("C0")||[0],f=b.get("C1")||[1],g=b.get("N");C(e)&&C(f)||c("Illegal dictionary for interpolated function");for(var h=e.length,i=[],j=0;h>j;++j)i.push(f[j]-e[j]);return[d,e,i,g]},constructInterpolatedFromIR:function(a){var b=a[1],c=a[2],d=a[3],e=c.length;return function(a,f,g,h){for(var i=1===d?a[f]:Math.pow(a[f],d),j=0;e>j;++j)g[h+j]=b[j]+i*c[j]}},constructStiched:function(a,b,d){var f=b.get("Domain");f||c("No domain");var g=f.length/2;1!==g&&c("Bad domain for stiched function");for(var h=b.get("Functions"),i=[],j=0,k=h.length;k>j;++j)i.push(Ta.getIR(d,d.fetchIfRef(h[j])));var l=b.get("Bounds"),m=b.get("Encode");return[e,f,l,m,i]},constructStichedFromIR:function(a){for(var b=a[1],c=a[2],d=a[3],e=a[4],f=[],g=new Float32Array(1),h=0,i=e.length;i>h;h++)f.push(Ta.fromIR(e[h]));return function(a,e,h,i){for(var j=function(a,b,c){return a>c?a=c:b>a&&(a=b),a},k=j(a[e],b[0],b[1]),l=0,m=c.length;m>l&&!(k0&&(n=c[l-1]);var o=b[1];l>1,h=c.length>>1,i=new Va(e),j={},k=8192,l=k,m=new Float32Array(h);return function(a,b,c,e){var f,k,n="",o=m;for(f=0;h>f;f++)k=a[b+f],o[f]=k,n+=k+"_";var p=j[n];if(void 0!==p)return void c.set(p,e);var q=new Float32Array(g),r=i.execute(o),s=r.length-g;for(f=0;g>f;f++){k=r[s+f];var t=d[2*f];t>k?k=t:(t=d[2*f+1],k>t&&(k=t)),q[f]=k}l>0&&(l--,j[n]=q),c.set(q,e)}}}}(),Ua=function(){function a(a){this.stack=a?Array.prototype.slice.call(a,0):[]}var b=100;return a.prototype={push:function(a){this.stack.length>=b&&c("PostScript function stack overflow."),this.stack.push(a)},pop:function(){return this.stack.length<=0&&c("PostScript function stack underflow."),this.stack.pop()},copy:function(a){this.stack.length+a>=b&&c("PostScript function stack overflow.");for(var d=this.stack,e=d.length-a,f=a-1;f>=0;f--,e++)d.push(d[e])},index:function(a){this.push(this.stack[this.stack.length-a-1])},roll:function(a,b){var c,d,e,f=this.stack,g=f.length-a,h=f.length-1,i=g+(b-Math.floor(b/a)*a);for(c=g,d=h;d>c;c++,d--)e=f[c],f[c]=f[d],f[d]=e;for(c=g,d=i-1;d>c;c++,d--)e=f[c],f[c]=f[d],f[d]=e;for(c=i,d=h;d>c;c++,d--)e=f[c],f[c]=f[d],f[d]=e}},a}(),Va=function(){function a(a){this.operators=a}return a.prototype={execute:function(a){for(var b,d,e,f=new Ua(a),g=0,h=this.operators,i=h.length;i>g;)if(b=h[g++],"number"!=typeof b)switch(b){case"jz":e=f.pop(),d=f.pop(),d||(g=e);break;case"j":d=f.pop(),g=d;break;case"abs":d=f.pop(),f.push(Math.abs(d));break;case"add":e=f.pop(),d=f.pop(),f.push(d+e);break;case"and":e=f.pop(),d=f.pop(),v(d)&&v(e)?f.push(d&&e):f.push(d&e);break;case"atan":d=f.pop(),f.push(Math.atan(d));break;case"bitshift":e=f.pop(),d=f.pop(),d>0?f.push(d<>e);break;case"ceiling":d=f.pop(),f.push(Math.ceil(d));break;case"copy":d=f.pop(),f.copy(d);break;case"cos":d=f.pop(),f.push(Math.cos(d));break;case"cvi":d=0|f.pop(),f.push(d);break;case"cvr":break;case"div":e=f.pop(),d=f.pop(),f.push(d/e);break;case"dup":f.copy(1);break;case"eq":e=f.pop(),d=f.pop(),f.push(d===e);break;case"exch":f.roll(2,1);break;case"exp":e=f.pop(),d=f.pop(),f.push(Math.pow(d,e));break;case"false":f.push(!1);break;case"floor":d=f.pop(),f.push(Math.floor(d));break;case"ge":e=f.pop(),d=f.pop(),f.push(d>=e);break;case"gt":e=f.pop(),d=f.pop(),f.push(d>e);break;case"idiv":e=f.pop(),d=f.pop(),f.push(d/e|0);break;case"index":d=f.pop(),f.index(d);break;case"le":e=f.pop(),d=f.pop(),f.push(e>=d);break;case"ln":d=f.pop(),f.push(Math.log(d));break;case"log":d=f.pop(),f.push(Math.log(d)/Math.LN10);break;case"lt":e=f.pop(),d=f.pop(),f.push(e>d);break;case"mod":e=f.pop(),d=f.pop(),f.push(d%e);break;case"mul":e=f.pop(),d=f.pop(),f.push(d*e);break;case"ne":e=f.pop(),d=f.pop(),f.push(d!==e);break;case"neg":d=f.pop(),f.push(-d);break;case"not":d=f.pop(),v(d)?f.push(!d):f.push(~d);break;case"or":e=f.pop(),d=f.pop(),v(d)&&v(e)?f.push(d||e):f.push(d|e);break;case"pop":f.pop();break;case"roll":e=f.pop(),d=f.pop(),f.roll(d,e);break;case"round":d=f.pop(),f.push(Math.round(d));break;case"sin":d=f.pop(),f.push(Math.sin(d));break;case"sqrt":d=f.pop(),f.push(Math.sqrt(d));break;case"sub":e=f.pop(),d=f.pop(),f.push(d-e);break;case"true":f.push(!0);break;case"truncate":d=f.pop(),d=0>d?Math.ceil(d):Math.floor(d),f.push(d);break;case"xor":e=f.pop(),d=f.pop(),v(d)&&v(e)?f.push(d!==e):f.push(d^e);break;default:c("Unknown operator "+b)}else f.push(b);return f.stack}},a}(),Wa=function(){function a(a){this.type=a}function b(b,c,d){a.call(this,"args"),this.index=b,this.min=c,this.max=d}function c(b){a.call(this,"literal"),this.number=b,this.min=b,this.max=b}function d(b,c,d,e,f){a.call(this,"binary"),this.op=b,this.arg1=c,this.arg2=d,this.min=e,this.max=f}function e(b,c){a.call(this,"max"),this.arg=b,this.min=b.min,this.max=c}function f(b,c,d){a.call(this,"var"),this.index=b,this.min=c,this.max=d}function g(b,c){a.call(this,"definition"),this.variable=b,this.arg=c}function h(){this.parts=[]}function i(a,b){return"literal"===b.type&&0===b.number?a:"literal"===a.type&&0===a.number?b:"literal"===b.type&&"literal"===a.type?new c(a.number+b.number):new d("+",a,b,a.min+b.min,a.max+b.max)}function j(a,b){if("literal"===b.type){if(0===b.number)return new c(0);if(1===b.number)return a;if("literal"===a.type)return new c(a.number*b.number)}if("literal"===a.type){if(0===a.number)return new c(0);if(1===a.number)return b}var e=Math.min(a.min*b.min,a.min*b.max,a.max*b.min,a.max*b.max),f=Math.max(a.min*b.min,a.min*b.max,a.max*b.min,a.max*b.max);return new d("*",a,b,e,f)}function k(a,b){if("literal"===b.type){if(0===b.number)return a;if("literal"===a.type)return new c(a.number-b.number)}return"binary"===b.type&&"-"===b.op&&"literal"===a.type&&1===a.number&&"literal"===b.arg1.type&&1===b.arg1.number?b.arg2:new d("-",a,b,a.min-b.max,a.max-b.min)}function l(a,b){return a.min>=b?new c(b):a.max<=b?a:new e(a,b)}function m(){}return a.prototype.visit=function(a){throw new Error("abstract method")},b.prototype=Object.create(a.prototype),b.prototype.visit=function(a){a.visitArgument(this)},c.prototype=Object.create(a.prototype),c.prototype.visit=function(a){a.visitLiteral(this)},d.prototype=Object.create(a.prototype),d.prototype.visit=function(a){a.visitBinaryOperation(this)},e.prototype=Object.create(a.prototype),e.prototype.visit=function(a){a.visitMin(this)},f.prototype=Object.create(a.prototype),f.prototype.visit=function(a){a.visitVariable(this)},g.prototype=Object.create(a.prototype),g.prototype.visit=function(a){a.visitVariableDefinition(this)},h.prototype={visitArgument:function(a){this.parts.push("Math.max(",a.min,", Math.min(",a.max,", src[srcOffset + ",a.index,"]))")},visitVariable:function(a){this.parts.push("v",a.index)},visitLiteral:function(a){this.parts.push(a.number)},visitBinaryOperation:function(a){this.parts.push("("),a.arg1.visit(this),this.parts.push(" ",a.op," "),a.arg2.visit(this),this.parts.push(")")},visitVariableDefinition:function(a){this.parts.push("var "),a.variable.visit(this),this.parts.push(" = "),a.arg.visit(this),this.parts.push(";")},visitMin:function(a){this.parts.push("Math.min("),a.arg.visit(this),this.parts.push(", ",a.max,")")},toString:function(){return this.parts.join("")}},m.prototype={compile:function(a,d,e){var m,n,o,p,q,r,s,t,u,v,w=[],x=[],y=d.length>>1,z=e.length>>1,A=0;for(m=0;y>m;m++)w.push(new b(m,d[2*m],d[2*m+1]));for(m=0,n=a.length;n>m;m++)if(v=a[m],"number"!=typeof v)switch(v){case"add":if(w.length<2)return null;r=w.pop(),q=w.pop(),w.push(i(q,r));break;case"cvr":if(w.length<1)return null;break;case"mul":if(w.length<2)return null;r=w.pop(),q=w.pop(),w.push(j(q,r));break;case"sub":if(w.length<2)return null;r=w.pop(),q=w.pop(),w.push(k(q,r));break;case"exch":if(w.length<2)return null;s=w.pop(),t=w.pop(),w.push(s,t);break;case"pop":if(w.length<1)return null;w.pop();break;case"index":if(w.length<1)return null;if(q=w.pop(),"literal"!==q.type)return null;if(o=q.number,0>o||(0|o)!==o||w.length=o||(0|o)!==o||(0|p)!==p||w.lengtha.min&&(g.unshift("Math.max(",d,", "),g.push(")")),fn&&"DeviceGray"!==this.name&&"DeviceRGB"!==this.name){var p,q=8>=g?new Uint8Array(n):new Uint16Array(n);for(j=0;n>j;j++)q[j]=j;var r=new Uint8Array(3*n);this.getRgbBuffer(q,0,n,r,0,g,0);var s,t;if(o)for(m=new Uint8Array(3*l),t=0,j=0;l>j;++j)p=3*h[j],m[t++]=r[p],m[t++]=r[p+1],m[t++]=r[p+2];else for(s=0,j=0;l>j;++j)p=3*h[j],a[s++]=r[p],a[s++]=r[p+1],a[s++]=r[p+2],s+=i}else o?(m=new Uint8Array(3*l),this.getRgbBuffer(h,0,l,m,0,g,0)):this.getRgbBuffer(h,0,d*f,a,0,g,i);if(m)if(o)Bc.resize(m,g,3,b,c,d,e,a,i);else for(t=0,s=0,j=0,k=d*f;k>j;j++)a[s++]=m[t++],a[s++]=m[t++],a[s++]=m[t++],s+=i},usesZeroToOneRange:!0},a.parse=function(b,c,d){var e=a.parseToIR(b,c,d);return e instanceof Ya?e:a.fromIR(e)},a.fromIR=function(b){var d,e,f,g=C(b)?b[0]:b;switch(g){case"DeviceGrayCS":return this.singletons.gray;case"DeviceRgbCS":return this.singletons.rgb;case"DeviceCmykCS":return this.singletons.cmyk;case"CalGrayCS":return d=b[1].WhitePoint,e=b[1].BlackPoint,f=b[1].Gamma,new cb(d,e,f);case"CalRGBCS":d=b[1].WhitePoint,e=b[1].BlackPoint,f=b[1].Gamma;var h=b[1].Matrix;return new db(d,e,f,h);case"PatternCS":var i=b[1];return i&&(i=a.fromIR(i)),new Za(i);case"IndexedCS":var j=b[1],k=b[2],l=b[3];return new $a(a.fromIR(j),k,l);case"AlternateCS":var m=b[1],n=b[2],o=b[3];return new Ya(m,a.fromIR(n),Ta.fromIR(o));case"LabCS":d=b[1].WhitePoint,e=b[1].BlackPoint;var p=b[1].Range;return new eb(d,e,p);default:c("Unknown name "+g)}return null},a.parseToIR=function(d,e,f){if(z(d)){var g=f.get("ColorSpace");if(B(g)){var h=g.get(d.name);h&&(d=h)}}d=e.fetchIfRef(d);var i;if(z(d))switch(i=d.name,this.mode=i,i){case"DeviceGray":case"G":return"DeviceGrayCS";case"DeviceRGB":case"RGB":return"DeviceRgbCS";case"DeviceCMYK":case"CMYK":return"DeviceCmykCS";case"Pattern":return["PatternCS",null];default:c("unrecognized colorspace "+i)}else if(C(d)){i=e.fetchIfRef(d[0]).name,this.mode=i;var j,k,l;switch(i){case"DeviceGray":case"G":return"DeviceGrayCS";case"DeviceRGB":case"RGB":return"DeviceRgbCS";case"DeviceCMYK":case"CMYK":return"DeviceCmykCS";case"CalGray":return k=e.fetchIfRef(d[1]).getAll(),["CalGrayCS",k];case"CalRGB":return k=e.fetchIfRef(d[1]).getAll(),["CalRGBCS",k];case"ICCBased":var m=e.fetchIfRef(d[1]),n=m.dict;if(j=n.get("N"),l=n.get("Alternate")){var o=a.parseToIR(l,e,f),p=a.fromIR(o);if(p.numComps===j)return o;b("ICCBased color space: Ignoring incorrect /Alternate entry.")}if(1===j)return"DeviceGrayCS";if(3===j)return"DeviceRgbCS";if(4===j)return"DeviceCmykCS";break;case"Pattern":var q=d[1]||null;return q&&(q=a.parseToIR(q,e,f)),["PatternCS",q];case"Indexed":case"I":var r=a.parseToIR(d[1],e,f),s=e.fetchIfRef(d[2])+1,t=e.fetchIfRef(d[3]);return D(t)&&(t=t.getBytes()),["IndexedCS",r,s,t];case"Separation":case"DeviceN":var u=e.fetchIfRef(d[1]);j=1,z(u)?j=1:C(u)&&(j=u.length),l=a.parseToIR(d[2],e,f);var v=Ta.getIR(e,e.fetchIfRef(d[3]));return["AlternateCS",j,l,v];case"Lab":return k=e.fetchIfRef(d[1]).getAll(),["LabCS",k];default:c('unimplemented color space object "'+i+'"')}}else c('unrecognized color space object: "'+d+'"');return null},a.isDefaultDecode=function(a,c){if(!C(a))return!0;if(2*c!==a.length)return b("The decode map is not the correct length"),!0;for(var d=0,e=a.length;e>d;d+=2)if(0!==a[d]||1!==a[d+1])return!1;return!0},a.singletons={get gray(){return g(this,"gray",new _a)},get rgb(){return g(this,"rgb",new ab)},get cmyk(){return g(this,"cmyk",new bb)}},a}(),Ya=function(){function a(a,b,c){this.name="Alternate",this.numComps=a,this.defaultColor=new Float32Array(a);for(var d=0;a>d;++d)this.defaultColor[d]=1;this.base=b,this.tintFn=c,this.tmpBuf=new Float32Array(b.numComps)}return a.prototype={getRgb:Xa.prototype.getRgb,getRgbItem:function(a,b,c,d){var e=this.tmpBuf;this.tintFn(a,b,e,0),this.base.getRgbItem(e,0,c,d)},getRgbBuffer:function(a,b,c,d,e,f,g){var h,i,j=this.tintFn,k=this.base,l=1/((1<h;h++){for(i=0;r>i;i++)s[i]=a[b++]*l;for(j(s,0,t,0),i=0;m>i;i++)q[p++]=255*t[i]}else for(h=0;c>h;h++){for(i=0;r>i;i++)s[i]=a[b++]*l;j(s,0,t,0),k.getRgbItem(t,0,q,p),p+=m}o||k.getRgbBuffer(q,0,c,d,e,8,g)},getOutputLength:function(a,b){return this.base.getOutputLength(a*this.base.numComps/this.numComps,b)},isPassthrough:Xa.prototype.isPassthrough,fillRgb:Xa.prototype.fillRgb,isDefaultDecode:function(a){return Xa.isDefaultDecode(a,this.numComps)},usesZeroToOneRange:!0},a}(),Za=function(){function a(a){this.name="Pattern",this.base=a}return a.prototype={},a}(),$a=function(){function a(a,b,d){this.name="Indexed",this.numComps=1,this.defaultColor=new Uint8Array([0]),this.base=a,this.highVal=b;var e,f=a.numComps,g=f*b;if(D(d)){e=new Uint8Array(g);var h=d.getBytes(g);e.set(h)}else if(y(d)){e=new Uint8Array(g);for(var i=0;g>i;++i)e[i]=d.charCodeAt(i)}else d instanceof Uint8Array||d instanceof Array?e=d:c("Unrecognized lookup table: "+d);this.lookup=e}return a.prototype={getRgb:Xa.prototype.getRgb,getRgbItem:function(a,b,c,d){var e=this.base.numComps,f=a[b]*e;this.base.getRgbItem(this.lookup,f,c,d)},getRgbBuffer:function(a,b,c,d,e,f,g){for(var h=this.base,i=h.numComps,j=h.getOutputLength(i,g),k=this.lookup,l=0;c>l;++l){var m=a[b++]*i;h.getRgbBuffer(k,m,1,d,e,8,g),e+=j}},getOutputLength:function(a,b){return this.base.getOutputLength(a*this.base.numComps,b)},isPassthrough:Xa.prototype.isPassthrough,fillRgb:Xa.prototype.fillRgb,isDefaultDecode:function(a){return!0},usesZeroToOneRange:!0},a}(),_a=function(){function a(){this.name="DeviceGray",this.numComps=1,this.defaultColor=new Float32Array([0])}return a.prototype={getRgb:Xa.prototype.getRgb,getRgbItem:function(a,b,c,d){var e=255*a[b]|0;e=0>e?0:e>255?255:e,c[d]=c[d+1]=c[d+2]=e},getRgbBuffer:function(a,b,c,d,e,f,g){for(var h=255/((1<k;++k){var l=h*a[i++]|0;d[j++]=l,d[j++]=l,d[j++]=l,j+=g}},getOutputLength:function(a,b){return a*(3+b)},isPassthrough:Xa.prototype.isPassthrough,fillRgb:Xa.prototype.fillRgb,isDefaultDecode:function(a){return Xa.isDefaultDecode(a,this.numComps)},usesZeroToOneRange:!0},a}(),ab=function(){function a(){this.name="DeviceRGB",this.numComps=3,this.defaultColor=new Float32Array([0,0,0])}return a.prototype={getRgb:Xa.prototype.getRgb,getRgbItem:function(a,b,c,d){var e=255*a[b]|0,f=255*a[b+1]|0,g=255*a[b+2]|0;c[d]=0>e?0:e>255?255:e,c[d+1]=0>f?0:f>255?255:f,c[d+2]=0>g?0:g>255?255:g},getRgbBuffer:function(a,b,c,d,e,f,g){if(8===f&&0===g)return void d.set(a.subarray(b,b+3*c),e);for(var h=255/((1<k;++k)d[j++]=h*a[i++]|0,d[j++]=h*a[i++]|0,d[j++]=h*a[i++]|0,j+=g},getOutputLength:function(a,b){return a*(3+b)/3|0},isPassthrough:function(a){return 8===a},fillRgb:Xa.prototype.fillRgb,isDefaultDecode:function(a){return Xa.isDefaultDecode(a,this.numComps)},usesZeroToOneRange:!0},a}(),bb=function(){function a(a,b,c,d,e){var f=a[b+0]*c,g=a[b+1]*c,h=a[b+2]*c,i=a[b+3]*c,j=f*(-4.387332384609988*f+54.48615194189176*g+18.82290502165302*h+212.25662451639585*i+-285.2331026137004)+g*(1.7149763477362134*g-5.6096736904047315*h+-17.873870861415444*i-5.497006427196366)+h*(-2.5217340131683033*h-21.248923337353073*i+17.5119270841813)+i*(-21.86122147463605*i-189.48180835922747)+255|0,k=f*(8.841041422036149*f+60.118027045597366*g+6.871425592049007*h+31.159100130055922*i+-79.2970844816548)+g*(-15.310361306967817*g+17.575251261109482*h+131.35250912493976*i-190.9453302588951)+h*(4.444339102852739*h+9.8632861493405*i-24.86741582555878)+i*(-20.737325471181034*i-187.80453709719578)+255|0,l=f*(.8842522430003296*f+8.078677503112928*g+30.89978309703729*h-.23883238689178934*i+-14.183576799673286)+g*(10.49593273432072*g+63.02378494754052*h+50.606957656360734*i-112.23884253719248)+h*(.03296041114873217*h+115.60384449646641*i+-193.58209356861505)+i*(-22.33816807309886*i-180.12613974708367)+255|0;d[e]=j>255?255:0>j?0:j,d[e+1]=k>255?255:0>k?0:k,d[e+2]=l>255?255:0>l?0:l}function b(){this.name="DeviceCMYK",this.numComps=4,this.defaultColor=new Float32Array([0,0,0,1])}return b.prototype={getRgb:Xa.prototype.getRgb,getRgbItem:function(b,c,d,e){a(b,c,1,d,e)},getRgbBuffer:function(b,c,d,e,f,g,h){for(var i=1/((1<j;j++)a(b,c,i,e,f),c+=4,f+=3+h},getOutputLength:function(a,b){return a/4*(3+b)|0},isPassthrough:Xa.prototype.isPassthrough,fillRgb:Xa.prototype.fillRgb,isDefaultDecode:function(a){return Xa.isDefaultDecode(a,this.numComps)},usesZeroToOneRange:!0},b}(),cb=function(){function d(d,e,f){this.name="CalGray",this.numComps=1,this.defaultColor=new Float32Array([0]),d||c("WhitePoint missing - required for color space CalGray"),e=e||[0,0,0],f=f||1,this.XW=d[0],this.YW=d[1],this.ZW=d[2],this.XB=e[0],this.YB=e[1],this.ZB=e[2],this.G=f,(this.XW<0||this.ZW<0||1!==this.YW)&&c("Invalid WhitePoint components for "+this.name+", no fallback available"),(this.XB<0||this.YB<0||this.ZB<0)&&(a("Invalid BlackPoint for "+this.name+", falling back to default"),this.XB=this.YB=this.ZB=0),0===this.XB&&0===this.YB&&0===this.ZB||b(this.name+", BlackPoint: XB: "+this.XB+", YB: "+this.YB+", ZB: "+this.ZB+", only default values are supported."),this.G<1&&(a("Invalid Gamma: "+this.G+" for "+this.name+", falling back to default"),this.G=1)}function e(a,b,c,d,e,f){var g=b[c]*f,h=Math.pow(g,a.G),i=a.YW*h,j=0|Math.max(295.8*Math.pow(i,.3333333333333333)-40.8,0);d[e]=j,d[e+1]=j,d[e+2]=j}return d.prototype={getRgb:Xa.prototype.getRgb,getRgbItem:function(a,b,c,d){e(this,a,b,c,d,1)},getRgbBuffer:function(a,b,c,d,f,g,h){for(var i=1/((1<j;++j)e(this,a,b,d,f,i),b+=1,f+=3+h},getOutputLength:function(a,b){return a*(3+b)},isPassthrough:Xa.prototype.isPassthrough,fillRgb:Xa.prototype.fillRgb,isDefaultDecode:function(a){return Xa.isDefaultDecode(a,this.numComps)},usesZeroToOneRange:!0},d}(),db=function(){function b(b,d,e,f){this.name="CalRGB",this.numComps=3,this.defaultColor=new Float32Array(3),b||c("WhitePoint missing - required for color space CalRGB"),d=d||new Float32Array(3),e=e||new Float32Array([1,1,1]),f=f||new Float32Array([1,0,0,0,1,0,0,0,1]);var g=b[0],h=b[1],i=b[2];this.whitePoint=b;var j=d[0],k=d[1],l=d[2];this.blackPoint=d,this.GR=e[0],this.GG=e[1],this.GB=e[2],this.MXA=f[0],this.MYA=f[1],this.MZA=f[2],this.MXB=f[3],this.MYB=f[4],this.MZB=f[5],this.MXC=f[6],this.MYC=f[7],this.MZC=f[8],(0>g||0>i||1!==h)&&c("Invalid WhitePoint components for "+this.name+", no fallback available"),(0>j||0>k||0>l)&&(a("Invalid BlackPoint for "+this.name+" ["+j+", "+k+", "+l+"], falling back to default"),this.blackPoint=new Float32Array(3)),(this.GR<0||this.GG<0||this.GB<0)&&(a("Invalid Gamma ["+this.GR+", "+this.GG+", "+this.GB+"] for "+this.name+", falling back to default"),this.GR=this.GG=this.GB=1),(this.MXA<0||this.MYA<0||this.MZA<0||this.MXB<0||this.MYB<0||this.MZB<0||this.MXC<0||this.MYC<0||this.MZC<0)&&(a("Invalid Matrix for "+this.name+" ["+this.MXA+", "+this.MYA+", "+this.MZA+this.MXB+", "+this.MYB+", "+this.MZB+this.MXC+", "+this.MYC+", "+this.MZC+"], falling back to default"),this.MXA=this.MYB=this.MZC=1,this.MXB=this.MYA=this.MZA=this.MXC=this.MYC=this.MZB=0)}function d(a,b,c){c[0]=a[0]*b[0]+a[1]*b[1]+a[2]*b[2],c[1]=a[3]*b[0]+a[4]*b[1]+a[5]*b[2],c[2]=a[6]*b[0]+a[7]*b[1]+a[8]*b[2]}function e(a,b,c){c[0]=1*b[0]/a[0],c[1]=1*b[1]/a[1],c[2]=1*b[2]/a[2]}function f(a,b,c){var d=.95047,e=1,f=1.08883;c[0]=b[0]*d/a[0],c[1]=b[1]*e/a[1],c[2]=b[2]*f/a[2]}function g(a){return.0031308>=a?h(0,1,12.92*a):h(0,1,1.055*Math.pow(a,1/2.4)-.055)}function h(a,b,c){return Math.max(a,Math.min(b,c))}function i(a){return 0>a?-i(-a):a>8?Math.pow((a+16)/116,3):a*u}function j(a,b,c){if(0===a[0]&&0===a[1]&&0===a[2])return c[0]=b[0],c[1]=b[1],void(c[2]=b[2]);var d=i(0),e=d,f=i(a[0]),g=d,h=i(a[1]),j=d,k=i(a[2]),l=(1-e)/(1-f),m=1-l,n=(1-g)/(1-h),o=1-n,p=(1-j)/(1-k),q=1-p;c[0]=b[0]*l+m,c[1]=b[1]*n+o,c[2]=b[2]*p+q}function k(a,b,c){if(1===a[0]&&1===a[2])return c[0]=b[0],c[1]=b[1],void(c[2]=b[2]);var f=c;d(n,b,f);var g=r;e(a,f,g),d(o,g,c)}function l(a,b,c){var e=c;d(n,b,e);var g=r;f(a,e,g),d(o,g,c)}function m(a,b,c,e,f,i){var m=h(0,1,b[c]*i),n=h(0,1,b[c+1]*i),o=h(0,1,b[c+2]*i),r=Math.pow(m,a.GR),u=Math.pow(n,a.GG),v=Math.pow(o,a.GB),w=a.MXA*r+a.MXB*u+a.MXC*v,x=a.MYA*r+a.MYB*u+a.MYC*v,y=a.MZA*r+a.MZB*u+a.MZC*v,z=s;z[0]=w,z[1]=x,z[2]=y;var A=t;k(a.whitePoint,z,A);var B=s;j(a.blackPoint,A,B);var C=t;l(q,B,C);var D=s;d(p,C,D);var E=g(D[0]),F=g(D[1]),G=g(D[2]);e[f]=Math.round(255*E),e[f+1]=Math.round(255*F),e[f+2]=Math.round(255*G)}var n=new Float32Array([.8951,.2664,-.1614,-.7502,1.7135,.0367,.0389,-.0685,1.0296]),o=new Float32Array([.9869929,-.1470543,.1599627,.4323053,.5183603,.0492912,-.0085287,.0400428,.9684867]),p=new Float32Array([3.2404542,-1.5371385,-.4985314,-.969266,1.8760108,.041556,.0556434,-.2040259,1.0572252]),q=new Float32Array([1,1,1]),r=new Float32Array(3),s=new Float32Array(3),t=new Float32Array(3),u=Math.pow(24/116,3)/8;return b.prototype={getRgb:function(a,b){var c=new Uint8Array(3);return this.getRgbItem(a,b,c,0),c},getRgbItem:function(a,b,c,d){m(this,a,b,c,d,1)},getRgbBuffer:function(a,b,c,d,e,f,g){for(var h=1/((1<i;++i)m(this,a,b,d,e,h),b+=3,e+=3+g},getOutputLength:function(a,b){return a*(3+b)/3|0},isPassthrough:Xa.prototype.isPassthrough,fillRgb:Xa.prototype.fillRgb,isDefaultDecode:function(a){return Xa.isDefaultDecode(a,this.numComps)},usesZeroToOneRange:!0},b}(),eb=function(){function b(b,d,e){this.name="Lab",this.numComps=3,this.defaultColor=new Float32Array([0,0,0]),b||c("WhitePoint missing - required for color space Lab"),d=d||[0,0,0],e=e||[-100,100,-100,100],this.XW=b[0],this.YW=b[1],this.ZW=b[2],this.amin=e[0],this.amax=e[1],this.bmin=e[2],this.bmax=e[3],this.XB=d[0],this.YB=d[1],this.ZB=d[2],(this.XW<0||this.ZW<0||1!==this.YW)&&c("Invalid WhitePoint components, no fallback available"),(this.XB<0||this.YB<0||this.ZB<0)&&(a("Invalid BlackPoint, falling back to default"),this.XB=this.YB=this.ZB=0),(this.amin>this.amax||this.bmin>this.bmax)&&(a("Invalid Range, falling back to defaults"),this.amin=-100,this.amax=100,this.bmin=-100,this.bmax=100)}function d(a){return a>=6/29?a*a*a:108/841*(a-4/29)}function e(a,b,c,d){return c+a*(d-c)/b}function f(a,b,c,f,g,h){var i=b[c],j=b[c+1],k=b[c+2];f!==!1&&(i=e(i,f,0,100),j=e(j,f,a.amin,a.amax),k=e(k,f,a.bmin,a.bmax)),j=j>a.amax?a.amax:ja.bmax?a.bmax:k=l?0:l>=1?255:255*Math.sqrt(l)|0,g[h+1]=0>=m?0:m>=1?255:255*Math.sqrt(m)|0,g[h+2]=0>=n?0:n>=1?255:255*Math.sqrt(n)|0}return b.prototype={getRgb:Xa.prototype.getRgb,getRgbItem:function(a,b,c,d){f(this,a,b,!1,c,d)},getRgbBuffer:function(a,b,c,d,e,g,h){for(var i=(1<j;j++)f(this,a,b,i,d,e),b+=3,e+=3+h},getOutputLength:function(a,b){return a*(3+b)/3|0},isPassthrough:Xa.prototype.isPassthrough,fillRgb:Xa.prototype.fillRgb,isDefaultDecode:function(a){return!0},usesZeroToOneRange:!1},b}(),fb=function(){function a(a){this.a=0,this.b=0;var b,c,d=new Uint8Array(256),e=0,f=a.length;for(b=0;256>b;++b)d[b]=b;for(b=0;256>b;++b)c=d[b],e=e+c+a[b%f]&255,d[b]=d[e],d[e]=c;this.s=d}return a.prototype={encryptBlock:function(a){var b,c,d,e=a.length,f=this.a,g=this.b,h=this.s,i=new Uint8Array(e);for(b=0;e>b;++b)f=f+1&255,c=h[f],g=g+c&255,d=h[g],h[f]=d,h[g]=c,i[b]=a[b]^h[c+d&255];return this.a=f,this.b=g,i}},a.prototype.decryptBlock=a.prototype.encryptBlock,a}(),gb=function(){function a(a,d,e){var f,g,h,i=1732584193,j=-271733879,k=-1732584194,l=271733878,m=e+72&-64,n=new Uint8Array(m);for(f=0;e>f;++f)n[f]=a[d++];for(n[f++]=128,h=m-8;h>f;)n[f++]=0;n[f++]=e<<3&255,n[f++]=e>>5&255,n[f++]=e>>13&255,n[f++]=e>>21&255,n[f++]=e>>>29&255,n[f++]=0,n[f++]=0,n[f++]=0;var o=new Int32Array(16);for(f=0;m>f;){for(g=0;16>g;++g,f+=4)o[g]=n[f]|n[f+1]<<8|n[f+2]<<16|n[f+3]<<24;var p,q,r=i,s=j,t=k,u=l;for(g=0;64>g;++g){16>g?(p=s&t|~s&u,q=g):32>g?(p=u&s|~u&t,q=5*g+1&15):48>g?(p=s^t^u,q=3*g+5&15):(p=t^(s|~u),q=7*g&15);var v=u,w=r+p+c[g]+o[q]|0,x=b[g];u=t,t=s,s=s+(w<>>32-x)|0,r=v}i=i+r|0,j=j+s|0,k=k+t|0,l=l+u|0}return new Uint8Array([255&i,i>>8&255,i>>16&255,i>>>24&255,255&j,j>>8&255,j>>16&255,j>>>24&255,255&k,k>>8&255,k>>16&255,k>>>24&255,255&l,l>>8&255,l>>16&255,l>>>24&255])}var b=new Uint8Array([7,12,17,22,7,12,17,22,7,12,17,22,7,12,17,22,5,9,14,20,5,9,14,20,5,9,14,20,5,9,14,20,4,11,16,23,4,11,16,23,4,11,16,23,4,11,16,23,6,10,15,21,6,10,15,21,6,10,15,21,6,10,15,21]),c=new Int32Array([-680876936,-389564586,606105819,-1044525330,-176418897,1200080426,-1473231341,-45705983,1770035416,-1958414417,-42063,-1990404162,1804603682,-40341101,-1502002290,1236535329,-165796510,-1069501632,643717713,-373897302,-701558691,38016083,-660478335,-405537848,568446438,-1019803690,-187363961,1163531501,-1444681467,-51403784,1735328473,-1926607734,-378558,-2022574463,1839030562,-35309556,-1530992060,1272893353,-155497632,-1094730640,681279174,-358537222,-722521979,76029189,-640364487,-421815835,530742520,-995338651,-198630844,1126891415,-1416354905,-57434055,1700485571,-1894986606,-1051523,-2054922799,1873313359,-30611744,-1560198380,1309151649,-145523070,-1120210379,718787259,-343485551]);return a}(),hb=function(){function a(a,b){this.high=0|a,this.low=0|b}return a.prototype={and:function(a){this.high&=a.high,this.low&=a.low},xor:function(a){this.high^=a.high,this.low^=a.low},or:function(a){this.high|=a.high,this.low|=a.low},shiftRight:function(a){a>=32?(this.low=this.high>>>a-32|0,this.high=0):(this.low=this.low>>>a|this.high<<32-a,this.high=this.high>>>a|0)},shiftLeft:function(a){a>=32?(this.high=this.low<>>32-a,this.low=this.low<>>a|c<<32-a,this.high=c>>>a|b<<32-a},not:function(){this.high=~this.high,this.low=~this.low},add:function(a){var b=(this.low>>>0)+(a.low>>>0),c=(this.high>>>0)+(a.high>>>0);b>4294967295&&(c+=1),this.low=0|b,this.high=0|c},copyTo:function(a,b){a[b]=this.high>>>24&255,a[b+1]=this.high>>16&255,a[b+2]=this.high>>8&255,a[b+3]=255&this.high,a[b+4]=this.low>>>24&255,a[b+5]=this.low>>16&255,a[b+6]=this.low>>8&255,a[b+7]=255&this.low},assign:function(a){this.high=a.high,this.low=a.low}},a}(),ib=function(){function a(a,b){return a>>>b|a<<32-b}function b(a,b,c){return a&b^~a&c}function c(a,b,c){return a&b^a&c^b&c}function d(b){return a(b,2)^a(b,13)^a(b,22)}function e(b){return a(b,6)^a(b,11)^a(b,25)}function f(b){return a(b,7)^a(b,18)^b>>>3}function g(b){return a(b,17)^a(b,19)^b>>>10}function h(a,h,j){var k,l,m,n=1779033703,o=3144134277,p=1013904242,q=2773480762,r=1359893119,s=2600822924,t=528734635,u=1541459225,v=64*Math.ceil((j+9)/64),w=new Uint8Array(v);for(k=0;j>k;++k)w[k]=a[h++];for(w[k++]=128,m=v-8;m>k;)w[k++]=0;w[k++]=0,w[k++]=0,w[k++]=0,w[k++]=j>>>29&255,w[k++]=j>>21&255,w[k++]=j>>13&255,w[k++]=j>>5&255,w[k++]=j<<3&255;var x=new Uint32Array(64); +for(k=0;v>k;){for(l=0;16>l;++l)x[l]=w[k]<<24|w[k+1]<<16|w[k+2]<<8|w[k+3],k+=4;for(l=16;64>l;++l)x[l]=g(x[l-2])+x[l-7]+f(x[l-15])+x[l-16]|0;var y,z,A=n,B=o,C=p,D=q,E=r,F=s,G=t,H=u;for(l=0;64>l;++l)y=H+e(E)+b(E,F,G)+i[l]+x[l],z=d(A)+c(A,B,C),H=G,G=F,F=E,E=D+y|0,D=C,C=B,B=A,A=y+z|0;n=n+A|0,o=o+B|0,p=p+C|0,q=q+D|0,r=r+E|0,s=s+F|0,t=t+G|0,u=u+H|0}return new Uint8Array([n>>24&255,n>>16&255,n>>8&255,255&n,o>>24&255,o>>16&255,o>>8&255,255&o,p>>24&255,p>>16&255,p>>8&255,255&p,q>>24&255,q>>16&255,q>>8&255,255&q,r>>24&255,r>>16&255,r>>8&255,255&r,s>>24&255,s>>16&255,s>>8&255,255&s,t>>24&255,t>>16&255,t>>8&255,255&t,u>>24&255,u>>16&255,u>>8&255,255&u])}var i=[1116352408,1899447441,3049323471,3921009573,961987163,1508970993,2453635748,2870763221,3624381080,310598401,607225278,1426881987,1925078388,2162078206,2614888103,3248222580,3835390401,4022224774,264347078,604807628,770255983,1249150122,1555081692,1996064986,2554220882,2821834349,2952996808,3210313671,3336571891,3584528711,113926993,338241895,666307205,773529912,1294757372,1396182291,1695183700,1986661051,2177026350,2456956037,2730485921,2820302411,3259730800,3345764771,3516065817,3600352804,4094571909,275423344,430227734,506948616,659060556,883997877,958139571,1322822218,1537002063,1747873779,1955562222,2024104815,2227730452,2361852424,2428436474,2756734187,3204031479,3329325298];return h}(),jb=function(){function a(a,b,c,d,e){a.assign(b),a.and(c),e.assign(b),e.not(),e.and(d),a.xor(e)}function b(a,b,c,d,e){a.assign(b),a.and(c),e.assign(b),e.and(d),a.xor(e),e.assign(c),e.and(d),a.xor(e)}function c(a,b,c){a.assign(b),a.rotateRight(28),c.assign(b),c.rotateRight(34),a.xor(c),c.assign(b),c.rotateRight(39),a.xor(c)}function d(a,b,c){a.assign(b),a.rotateRight(14),c.assign(b),c.rotateRight(18),a.xor(c),c.assign(b),c.rotateRight(41),a.xor(c)}function e(a,b,c){a.assign(b),a.rotateRight(1),c.assign(b),c.rotateRight(8),a.xor(c),c.assign(b),c.shiftRight(7),a.xor(c)}function f(a,b,c){a.assign(b),a.rotateRight(19),c.assign(b),c.rotateRight(61),a.xor(c),c.assign(b),c.shiftRight(6),a.xor(c)}function g(g,i,j,k){k=!!k;var l,m,n,o,p,q,r,s;k?(l=new hb(3418070365,3238371032),m=new hb(1654270250,914150663),n=new hb(2438529370,812702999),o=new hb(355462360,4144912697),p=new hb(1731405415,4290775857),q=new hb(2394180231,1750603025),r=new hb(3675008525,1694076839),s=new hb(1203062813,3204075428)):(l=new hb(1779033703,4089235720),m=new hb(3144134277,2227873595),n=new hb(1013904242,4271175723),o=new hb(2773480762,1595750129),p=new hb(1359893119,2917565137),q=new hb(2600822924,725511199),r=new hb(528734635,4215389547),s=new hb(1541459225,327033209));var t,u,v,w=128*Math.ceil((j+17)/128),x=new Uint8Array(w);for(t=0;j>t;++t)x[t]=g[i++];for(x[t++]=128,v=w-16;v>t;)x[t++]=0;x[t++]=0,x[t++]=0,x[t++]=0,x[t++]=0,x[t++]=0,x[t++]=0,x[t++]=0,x[t++]=0,x[t++]=0,x[t++]=0,x[t++]=0,x[t++]=j>>>29&255,x[t++]=j>>21&255,x[t++]=j>>13&255,x[t++]=j>>5&255,x[t++]=j<<3&255;var y=new Array(80);for(t=0;80>t;t++)y[t]=new hb(0,0);var z,A=new hb(0,0),B=new hb(0,0),C=new hb(0,0),D=new hb(0,0),E=new hb(0,0),F=new hb(0,0),G=new hb(0,0),H=new hb(0,0),I=new hb(0,0),J=new hb(0,0),K=new hb(0,0),L=new hb(0,0);for(t=0;w>t;){for(u=0;16>u;++u)y[u].high=x[t]<<24|x[t+1]<<16|x[t+2]<<8|x[t+3],y[u].low=x[t+4]<<24|x[t+5]<<16|x[t+6]<<8|x[t+7],t+=8;for(u=16;80>u;++u)z=y[u],f(z,y[u-2],L),z.add(y[u-7]),e(K,y[u-15],L),z.add(K),z.add(y[u-16]);for(A.assign(l),B.assign(m),C.assign(n),D.assign(o),E.assign(p),F.assign(q),G.assign(r),H.assign(s),u=0;80>u;++u)I.assign(H),d(K,E,L),I.add(K),a(K,E,F,G,L),I.add(K),I.add(h[u]),I.add(y[u]),c(J,A,L),b(K,A,B,C,L),J.add(K),z=H,H=G,G=F,F=E,D.add(I),E=D,D=C,C=B,B=A,z.assign(I),z.add(J),A=z;l.add(A),m.add(B),n.add(C),o.add(D),p.add(E),q.add(F),r.add(G),s.add(H)}var M;return k?(M=new Uint8Array(48),l.copyTo(M,0),m.copyTo(M,8),n.copyTo(M,16),o.copyTo(M,24),p.copyTo(M,32),q.copyTo(M,40)):(M=new Uint8Array(64),l.copyTo(M,0),m.copyTo(M,8),n.copyTo(M,16),o.copyTo(M,24),p.copyTo(M,32),q.copyTo(M,40),r.copyTo(M,48),s.copyTo(M,56)),M}var h=[new hb(1116352408,3609767458),new hb(1899447441,602891725),new hb(3049323471,3964484399),new hb(3921009573,2173295548),new hb(961987163,4081628472),new hb(1508970993,3053834265),new hb(2453635748,2937671579),new hb(2870763221,3664609560),new hb(3624381080,2734883394),new hb(310598401,1164996542),new hb(607225278,1323610764),new hb(1426881987,3590304994),new hb(1925078388,4068182383),new hb(2162078206,991336113),new hb(2614888103,633803317),new hb(3248222580,3479774868),new hb(3835390401,2666613458),new hb(4022224774,944711139),new hb(264347078,2341262773),new hb(604807628,2007800933),new hb(770255983,1495990901),new hb(1249150122,1856431235),new hb(1555081692,3175218132),new hb(1996064986,2198950837),new hb(2554220882,3999719339),new hb(2821834349,766784016),new hb(2952996808,2566594879),new hb(3210313671,3203337956),new hb(3336571891,1034457026),new hb(3584528711,2466948901),new hb(113926993,3758326383),new hb(338241895,168717936),new hb(666307205,1188179964),new hb(773529912,1546045734),new hb(1294757372,1522805485),new hb(1396182291,2643833823),new hb(1695183700,2343527390),new hb(1986661051,1014477480),new hb(2177026350,1206759142),new hb(2456956037,344077627),new hb(2730485921,1290863460),new hb(2820302411,3158454273),new hb(3259730800,3505952657),new hb(3345764771,106217008),new hb(3516065817,3606008344),new hb(3600352804,1432725776),new hb(4094571909,1467031594),new hb(275423344,851169720),new hb(430227734,3100823752),new hb(506948616,1363258195),new hb(659060556,3750685593),new hb(883997877,3785050280),new hb(958139571,3318307427),new hb(1322822218,3812723403),new hb(1537002063,2003034995),new hb(1747873779,3602036899),new hb(1955562222,1575990012),new hb(2024104815,1125592928),new hb(2227730452,2716904306),new hb(2361852424,442776044),new hb(2428436474,593698344),new hb(2756734187,3733110249),new hb(3204031479,2999351573),new hb(3329325298,3815920427),new hb(3391569614,3928383900),new hb(3515267271,566280711),new hb(3940187606,3454069534),new hb(4118630271,4000239992),new hb(116418474,1914138554),new hb(174292421,2731055270),new hb(289380356,3203993006),new hb(460393269,320620315),new hb(685471733,587496836),new hb(852142971,1086792851),new hb(1017036298,365543100),new hb(1126000580,2618297676),new hb(1288033470,3409855158),new hb(1501505948,4234509866),new hb(1607167915,987167468),new hb(1816402316,1246189591)];return g}(),kb=function(){function a(a,b,c){return jb(a,b,c,!0)}return a}(),lb=function(){function a(){}return a.prototype={decryptBlock:function(a){return a}},a}(),mb=function(){function a(a){var b=176,c=new Uint8Array(b);c.set(a);for(var d=16,e=1;b>d;++e){var h=c[d-3],i=c[d-2],j=c[d-1],k=c[d-4];h=g[h],i=g[i],j=g[j],k=g[k],h^=f[e];for(var l=0;4>l;++l)c[d]=h^=c[d-16],d++,c[d]=i^=c[d-16],d++,c[d]=j^=c[d-16],d++,c[d]=k^=c[d-16],d++}return c}function b(a,b){var c=new Uint8Array(16);c.set(a);var d,e,f,g,i,j;for(e=0,f=160;16>e;++e,++f)c[e]^=b[f];for(d=9;d>=1;--d){for(g=c[13],c[13]=c[9],c[9]=c[5],c[5]=c[1],c[1]=g,g=c[14],i=c[10],c[14]=c[6],c[10]=c[2],c[6]=g,c[2]=i,g=c[15],i=c[11],j=c[7],c[15]=c[3],c[11]=g,c[7]=i,c[3]=j,e=0;16>e;++e)c[e]=h[c[e]];for(e=0,f=16*d;16>e;++e,++f)c[e]^=b[f];for(e=0;16>e;e+=4){var l=k[c[e]],m=k[c[e+1]],n=k[c[e+2]],o=k[c[e+3]];g=l^m>>>8^m<<24^n>>>16^n<<16^o>>>24^o<<8,c[e]=g>>>24&255,c[e+1]=g>>16&255,c[e+2]=g>>8&255,c[e+3]=255&g}}for(g=c[13],c[13]=c[9],c[9]=c[5],c[5]=c[1],c[1]=g,g=c[14],i=c[10],c[14]=c[6],c[10]=c[2],c[6]=g,c[2]=i,g=c[15],i=c[11],j=c[7],c[15]=c[3],c[11]=g,c[7]=i,c[3]=j,e=0;16>e;++e)c[e]=h[c[e]],c[e]^=b[e];return c}function c(a,b){var c,d,e,f,h=new Uint8Array(16);for(h.set(a),k=0;16>k;++k)h[k]^=b[k];for(j=1;10>j;j++){for(k=0;16>k;++k)h[k]=g[h[k]];e=h[1],h[1]=h[5],h[5]=h[9],h[9]=h[13],h[13]=e,e=h[2],d=h[6],h[2]=h[10],h[6]=h[14],h[10]=e,h[14]=d,e=h[3],d=h[7],c=h[11],h[3]=h[15],h[7]=e,h[11]=d,h[15]=c;for(var k=0;16>k;k+=4){var l=h[k+0],m=h[k+1],n=h[k+2],o=h[k+3];c=l^m^n^o,h[k+0]^=c^i[l^m],h[k+1]^=c^i[m^n],h[k+2]^=c^i[n^o],h[k+3]^=c^i[o^l]}for(k=0,f=16*j;16>k;++k,++f)h[k]^=b[f]}for(k=0;16>k;++k)h[k]=g[h[k]];for(e=h[1],h[1]=h[5],h[5]=h[9],h[9]=h[13],h[13]=e,e=h[2],d=h[6],h[2]=h[10],h[6]=h[14],h[10]=e,h[14]=d,e=h[3],d=h[7],c=h[11],h[3]=h[15],h[7]=e,h[11]=d,h[15]=c,k=0,f=160;16>k;++k,++f)h[k]^=b[f];return h}function d(b){this.key=a(b),this.buffer=new Uint8Array(16),this.bufferPosition=0}function e(a,c){var d,e,f,g=a.length,h=this.buffer,i=this.bufferPosition,j=[],k=this.iv;for(d=0;g>d;++d)if(h[i]=a[d],++i,!(16>i)){var l=b(h,this.key);for(e=0;16>e;++e)l[e]^=k[e];k=h,j.push(l),h=new Uint8Array(16),i=0}if(this.buffer=h,this.bufferLength=i,this.iv=k,0===j.length)return new Uint8Array([]);var m=16*j.length;if(c){var n=j[j.length-1],o=n[15];if(16>=o){for(d=15,f=16-o;d>=f;--d)if(n[d]!==o){o=0;break}m-=o,j[j.length-1]=n.subarray(0,16-o)}}var p=new Uint8Array(m);for(d=0,e=0,f=j.length;f>d;++d,e+=16)p.set(j[d],e);return p}for(var f=new Uint8Array([141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141]),g=new Uint8Array([99,124,119,123,242,107,111,197,48,1,103,43,254,215,171,118,202,130,201,125,250,89,71,240,173,212,162,175,156,164,114,192,183,253,147,38,54,63,247,204,52,165,229,241,113,216,49,21,4,199,35,195,24,150,5,154,7,18,128,226,235,39,178,117,9,131,44,26,27,110,90,160,82,59,214,179,41,227,47,132,83,209,0,237,32,252,177,91,106,203,190,57,74,76,88,207,208,239,170,251,67,77,51,133,69,249,2,127,80,60,159,168,81,163,64,143,146,157,56,245,188,182,218,33,16,255,243,210,205,12,19,236,95,151,68,23,196,167,126,61,100,93,25,115,96,129,79,220,34,42,144,136,70,238,184,20,222,94,11,219,224,50,58,10,73,6,36,92,194,211,172,98,145,149,228,121,231,200,55,109,141,213,78,169,108,86,244,234,101,122,174,8,186,120,37,46,28,166,180,198,232,221,116,31,75,189,139,138,112,62,181,102,72,3,246,14,97,53,87,185,134,193,29,158,225,248,152,17,105,217,142,148,155,30,135,233,206,85,40,223,140,161,137,13,191,230,66,104,65,153,45,15,176,84,187,22]),h=new Uint8Array([82,9,106,213,48,54,165,56,191,64,163,158,129,243,215,251,124,227,57,130,155,47,255,135,52,142,67,68,196,222,233,203,84,123,148,50,166,194,35,61,238,76,149,11,66,250,195,78,8,46,161,102,40,217,36,178,118,91,162,73,109,139,209,37,114,248,246,100,134,104,152,22,212,164,92,204,93,101,182,146,108,112,72,80,253,237,185,218,94,21,70,87,167,141,157,132,144,216,171,0,140,188,211,10,247,228,88,5,184,179,69,6,208,44,30,143,202,63,15,2,193,175,189,3,1,19,138,107,58,145,17,65,79,103,220,234,151,242,207,206,240,180,230,115,150,172,116,34,231,173,53,133,226,249,55,232,28,117,223,110,71,241,26,113,29,41,197,137,111,183,98,14,170,24,190,27,252,86,62,75,198,210,121,32,154,219,192,254,120,205,90,244,31,221,168,51,136,7,199,49,177,18,16,89,39,128,236,95,96,81,127,169,25,181,74,13,45,229,122,159,147,201,156,239,160,224,59,77,174,42,245,176,200,235,187,60,131,83,153,97,23,43,4,126,186,119,214,38,225,105,20,99,85,33,12,125]),i=new Uint8Array(256),j=0;256>j;j++)128>j?i[j]=j<<1:i[j]=j<<1^27;var k=new Uint32Array([0,235474187,470948374,303765277,941896748,908933415,607530554,708780849,1883793496,2118214995,1817866830,1649639237,1215061108,1181045119,1417561698,1517767529,3767586992,4003061179,4236429990,4069246893,3635733660,3602770327,3299278474,3400528769,2430122216,2664543715,2362090238,2193862645,2835123396,2801107407,3035535058,3135740889,3678124923,3576870512,3341394285,3374361702,3810496343,3977675356,4279080257,4043610186,2876494627,2776292904,3076639029,3110650942,2472011535,2640243204,2403728665,2169303058,1001089995,899835584,666464733,699432150,59727847,226906860,530400753,294930682,1273168787,1172967064,1475418501,1509430414,1942435775,2110667444,1876241833,1641816226,2910219766,2743034109,2976151520,3211623147,2505202138,2606453969,2302690252,2269728455,3711829422,3543599269,3240894392,3475313331,3843699074,3943906441,4178062228,4144047775,1306967366,1139781709,1374988112,1610459739,1975683434,2076935265,1775276924,1742315127,1034867998,866637845,566021896,800440835,92987698,193195065,429456164,395441711,1984812685,2017778566,1784663195,1683407248,1315562145,1080094634,1383856311,1551037884,101039829,135050206,437757123,337553864,1042385657,807962610,573804783,742039012,2531067453,2564033334,2328828971,2227573024,2935566865,2700099354,3001755655,3168937228,3868552805,3902563182,4203181171,4102977912,3736164937,3501741890,3265478751,3433712980,1106041591,1340463100,1576976609,1408749034,2043211483,2009195472,1708848333,1809054150,832877231,1068351396,766945465,599762354,159417987,126454664,361929877,463180190,2709260871,2943682380,3178106961,3009879386,2572697195,2538681184,2236228733,2336434550,3509871135,3745345300,3441850377,3274667266,3910161971,3877198648,4110568485,4211818798,2597806476,2497604743,2261089178,2295101073,2733856160,2902087851,3202437046,2968011453,3936291284,3835036895,4136440770,4169408201,3535486456,3702665459,3467192302,3231722213,2051518780,1951317047,1716890410,1750902305,1113818384,1282050075,1584504582,1350078989,168810852,67556463,371049330,404016761,841739592,1008918595,775550814,540080725,3969562369,3801332234,4035489047,4269907996,3569255213,3669462566,3366754619,3332740144,2631065433,2463879762,2160117071,2395588676,2767645557,2868897406,3102011747,3069049960,202008497,33778362,270040487,504459436,875451293,975658646,675039627,641025152,2084704233,1917518562,1615861247,1851332852,1147550661,1248802510,1484005843,1451044056,933301370,967311729,733156972,632953703,260388950,25965917,328671808,496906059,1206477858,1239443753,1543208500,1441952575,2144161806,1908694277,1675577880,1842759443,3610369226,3644379585,3408119516,3307916247,4011190502,3776767469,4077384432,4245618683,2809771154,2842737049,3144396420,3043140495,2673705150,2438237621,2203032232,2370213795]);return d.prototype={decryptBlock:function(a,b){var c,d=a.length,f=this.buffer,g=this.bufferPosition;for(c=0;16>g&&d>c;++c,++g)f[g]=a[c];return 16>g?(this.bufferLength=g,new Uint8Array([])):(this.iv=f,this.buffer=new Uint8Array(16),this.bufferLength=0,this.decryptBlock=e,this.decryptBlock(a.subarray(16),b))},encrypt:function(a,b){var d,e,f,g=a.length,h=this.buffer,i=this.bufferPosition,j=[];for(b||(b=new Uint8Array(16)),d=0;g>d;++d)if(h[i]=a[d],++i,!(16>i)){for(e=0;16>e;++e)h[e]^=b[e];var k=c(h,this.key);b=k,j.push(k),h=new Uint8Array(16),i=0}if(this.buffer=h,this.bufferLength=i,this.iv=b,0===j.length)return new Uint8Array([]);var l=16*j.length,m=new Uint8Array(l);for(d=0,e=0,f=j.length;f>d;++d,e+=16)m.set(j[d],e);return m}},d}(),nb=function(){function a(a){var b=240,c=new Uint8Array(b),d=1;c.set(a);for(var e=32,g=1;b>e;++g){if(e%32===16)h=f[h],i=f[i],j=f[j],k=f[k];else if(e%32===0){var h=c[e-3],i=c[e-2],j=c[e-1],k=c[e-4];h=f[h],i=f[i],j=f[j],k=f[k],h^=d,(d<<=1)>=256&&(d=255&(27^d))}for(var l=0;4>l;++l)c[e]=h^=c[e-32],e++,c[e]=i^=c[e-32],e++,c[e]=j^=c[e-32],e++,c[e]=k^=c[e-32],e++}return c}function b(a,b){var c=new Uint8Array(16);c.set(a);var d,e,f,h,i,k;for(e=0,f=224;16>e;++e,++f)c[e]^=b[f];for(d=13;d>=1;--d){for(h=c[13],c[13]=c[9],c[9]=c[5],c[5]=c[1],c[1]=h,h=c[14],i=c[10],c[14]=c[6],c[10]=c[2],c[6]=h,c[2]=i,h=c[15],i=c[11],k=c[7],c[15]=c[3],c[11]=h,c[7]=i,c[3]=k,e=0;16>e;++e)c[e]=g[c[e]];for(e=0,f=16*d;16>e;++e,++f)c[e]^=b[f];for(e=0;16>e;e+=4){var l=j[c[e]],m=j[c[e+1]],n=j[c[e+2]],o=j[c[e+3]];h=l^m>>>8^m<<24^n>>>16^n<<16^o>>>24^o<<8,c[e]=h>>>24&255,c[e+1]=h>>16&255,c[e+2]=h>>8&255,c[e+3]=255&h}}for(h=c[13],c[13]=c[9],c[9]=c[5],c[5]=c[1],c[1]=h,h=c[14],i=c[10],c[14]=c[6],c[10]=c[2],c[6]=h,c[2]=i,h=c[15],i=c[11],k=c[7],c[15]=c[3],c[11]=h,c[7]=i,c[3]=k,e=0;16>e;++e)c[e]=g[c[e]],c[e]^=b[e];return c}function c(a,b){var c,d,e,g,j=new Uint8Array(16);for(j.set(a),k=0;16>k;++k)j[k]^=b[k];for(i=1;14>i;i++){for(k=0;16>k;++k)j[k]=f[j[k]];e=j[1],j[1]=j[5],j[5]=j[9],j[9]=j[13],j[13]=e,e=j[2],d=j[6],j[2]=j[10],j[6]=j[14],j[10]=e,j[14]=d,e=j[3],d=j[7],c=j[11],j[3]=j[15],j[7]=e,j[11]=d,j[15]=c;for(var k=0;16>k;k+=4){var l=j[k+0],m=j[k+1],n=j[k+2],o=j[k+3];c=l^m^n^o,j[k+0]^=c^h[l^m],j[k+1]^=c^h[m^n],j[k+2]^=c^h[n^o],j[k+3]^=c^h[o^l]}for(k=0,g=16*i;16>k;++k,++g)j[k]^=b[g]}for(k=0;16>k;++k)j[k]=f[j[k]];for(e=j[1],j[1]=j[5],j[5]=j[9],j[9]=j[13],j[13]=e,e=j[2],d=j[6],j[2]=j[10],j[6]=j[14],j[10]=e,j[14]=d,e=j[3],d=j[7],c=j[11],j[3]=j[15],j[7]=e,j[11]=d,j[15]=c,k=0,g=224;16>k;++k,++g)j[k]^=b[g];return j}function d(b){this.key=a(b),this.buffer=new Uint8Array(16),this.bufferPosition=0}function e(a,c){var d,e,f,g=a.length,h=this.buffer,i=this.bufferPosition,j=[],k=this.iv;for(d=0;g>d;++d)if(h[i]=a[d],++i,!(16>i)){var l=b(h,this.key);for(e=0;16>e;++e)l[e]^=k[e];k=h,j.push(l),h=new Uint8Array(16),i=0}if(this.buffer=h,this.bufferLength=i,this.iv=k,0===j.length)return new Uint8Array([]);var m=16*j.length;if(c){var n=j[j.length-1],o=n[15];if(16>=o){for(d=15,f=16-o;d>=f;--d)if(n[d]!==o){o=0;break}m-=o,j[j.length-1]=n.subarray(0,16-o)}}var p=new Uint8Array(m);for(d=0,e=0,f=j.length;f>d;++d,e+=16)p.set(j[d],e);return p}for(var f=(new Uint8Array([141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141,1,2,4,8,16,32,64,128,27,54,108,216,171,77,154,47,94,188,99,198,151,53,106,212,179,125,250,239,197,145,57,114,228,211,189,97,194,159,37,74,148,51,102,204,131,29,58,116,232,203,141]),new Uint8Array([99,124,119,123,242,107,111,197,48,1,103,43,254,215,171,118,202,130,201,125,250,89,71,240,173,212,162,175,156,164,114,192,183,253,147,38,54,63,247,204,52,165,229,241,113,216,49,21,4,199,35,195,24,150,5,154,7,18,128,226,235,39,178,117,9,131,44,26,27,110,90,160,82,59,214,179,41,227,47,132,83,209,0,237,32,252,177,91,106,203,190,57,74,76,88,207,208,239,170,251,67,77,51,133,69,249,2,127,80,60,159,168,81,163,64,143,146,157,56,245,188,182,218,33,16,255,243,210,205,12,19,236,95,151,68,23,196,167,126,61,100,93,25,115,96,129,79,220,34,42,144,136,70,238,184,20,222,94,11,219,224,50,58,10,73,6,36,92,194,211,172,98,145,149,228,121,231,200,55,109,141,213,78,169,108,86,244,234,101,122,174,8,186,120,37,46,28,166,180,198,232,221,116,31,75,189,139,138,112,62,181,102,72,3,246,14,97,53,87,185,134,193,29,158,225,248,152,17,105,217,142,148,155,30,135,233,206,85,40,223,140,161,137,13,191,230,66,104,65,153,45,15,176,84,187,22])),g=new Uint8Array([82,9,106,213,48,54,165,56,191,64,163,158,129,243,215,251,124,227,57,130,155,47,255,135,52,142,67,68,196,222,233,203,84,123,148,50,166,194,35,61,238,76,149,11,66,250,195,78,8,46,161,102,40,217,36,178,118,91,162,73,109,139,209,37,114,248,246,100,134,104,152,22,212,164,92,204,93,101,182,146,108,112,72,80,253,237,185,218,94,21,70,87,167,141,157,132,144,216,171,0,140,188,211,10,247,228,88,5,184,179,69,6,208,44,30,143,202,63,15,2,193,175,189,3,1,19,138,107,58,145,17,65,79,103,220,234,151,242,207,206,240,180,230,115,150,172,116,34,231,173,53,133,226,249,55,232,28,117,223,110,71,241,26,113,29,41,197,137,111,183,98,14,170,24,190,27,252,86,62,75,198,210,121,32,154,219,192,254,120,205,90,244,31,221,168,51,136,7,199,49,177,18,16,89,39,128,236,95,96,81,127,169,25,181,74,13,45,229,122,159,147,201,156,239,160,224,59,77,174,42,245,176,200,235,187,60,131,83,153,97,23,43,4,126,186,119,214,38,225,105,20,99,85,33,12,125]),h=new Uint8Array(256),i=0;256>i;i++)128>i?h[i]=i<<1:h[i]=i<<1^27;var j=new Uint32Array([0,235474187,470948374,303765277,941896748,908933415,607530554,708780849,1883793496,2118214995,1817866830,1649639237,1215061108,1181045119,1417561698,1517767529,3767586992,4003061179,4236429990,4069246893,3635733660,3602770327,3299278474,3400528769,2430122216,2664543715,2362090238,2193862645,2835123396,2801107407,3035535058,3135740889,3678124923,3576870512,3341394285,3374361702,3810496343,3977675356,4279080257,4043610186,2876494627,2776292904,3076639029,3110650942,2472011535,2640243204,2403728665,2169303058,1001089995,899835584,666464733,699432150,59727847,226906860,530400753,294930682,1273168787,1172967064,1475418501,1509430414,1942435775,2110667444,1876241833,1641816226,2910219766,2743034109,2976151520,3211623147,2505202138,2606453969,2302690252,2269728455,3711829422,3543599269,3240894392,3475313331,3843699074,3943906441,4178062228,4144047775,1306967366,1139781709,1374988112,1610459739,1975683434,2076935265,1775276924,1742315127,1034867998,866637845,566021896,800440835,92987698,193195065,429456164,395441711,1984812685,2017778566,1784663195,1683407248,1315562145,1080094634,1383856311,1551037884,101039829,135050206,437757123,337553864,1042385657,807962610,573804783,742039012,2531067453,2564033334,2328828971,2227573024,2935566865,2700099354,3001755655,3168937228,3868552805,3902563182,4203181171,4102977912,3736164937,3501741890,3265478751,3433712980,1106041591,1340463100,1576976609,1408749034,2043211483,2009195472,1708848333,1809054150,832877231,1068351396,766945465,599762354,159417987,126454664,361929877,463180190,2709260871,2943682380,3178106961,3009879386,2572697195,2538681184,2236228733,2336434550,3509871135,3745345300,3441850377,3274667266,3910161971,3877198648,4110568485,4211818798,2597806476,2497604743,2261089178,2295101073,2733856160,2902087851,3202437046,2968011453,3936291284,3835036895,4136440770,4169408201,3535486456,3702665459,3467192302,3231722213,2051518780,1951317047,1716890410,1750902305,1113818384,1282050075,1584504582,1350078989,168810852,67556463,371049330,404016761,841739592,1008918595,775550814,540080725,3969562369,3801332234,4035489047,4269907996,3569255213,3669462566,3366754619,3332740144,2631065433,2463879762,2160117071,2395588676,2767645557,2868897406,3102011747,3069049960,202008497,33778362,270040487,504459436,875451293,975658646,675039627,641025152,2084704233,1917518562,1615861247,1851332852,1147550661,1248802510,1484005843,1451044056,933301370,967311729,733156972,632953703,260388950,25965917,328671808,496906059,1206477858,1239443753,1543208500,1441952575,2144161806,1908694277,1675577880,1842759443,3610369226,3644379585,3408119516,3307916247,4011190502,3776767469,4077384432,4245618683,2809771154,2842737049,3144396420,3043140495,2673705150,2438237621,2203032232,2370213795]);return d.prototype={decryptBlock:function(a,b,c){var d,f=a.length,g=this.buffer,h=this.bufferPosition;if(c)this.iv=c;else{for(d=0;16>h&&f>d;++d,++h)g[h]=a[d];if(16>h)return this.bufferLength=h,new Uint8Array([]);this.iv=g,a=a.subarray(16)}return this.buffer=new Uint8Array(16),this.bufferLength=0,this.decryptBlock=e,this.decryptBlock(a,b)},encrypt:function(a,b){var d,e,f,g=a.length,h=this.buffer,i=this.bufferPosition,j=[];for(b||(b=new Uint8Array(16)),d=0;g>d;++d)if(h[i]=a[d],++i,!(16>i)){for(e=0;16>e;++e)h[e]^=b[e];var k=c(h,this.key);this.iv=k,j.push(k),h=new Uint8Array(16),i=0}if(this.buffer=h,this.bufferLength=i,this.iv=b,0===j.length)return new Uint8Array([]);var l=16*j.length,m=new Uint8Array(l);for(d=0,e=0,f=j.length;f>d;++d,e+=16)m.set(j[d],e);return m}},d}(),ob=function(){function a(a,b){if(a.length!==b.length)return!1;for(var c=0;cg||f[f.length-1]>g-32;){var h=b.length+e.length+d.length,i=new Uint8Array(64*h),j=a(b,e);j=a(j,d);for(var k=0,l=0;64>k;k++,l+=h)i.set(j,l);var m=new mb(e.subarray(0,16));f=m.encrypt(i,e.subarray(16,32));for(var n=0,o=0;16>o;o++)n*=1,n%=3,n+=(f[o]>>>0)%3,n%=3;0===n?e=ib(f,0,f.length):1===n?e=kb(f,0,f.length):2===n&&(e=jb(f,0,f.length)),g++}return e.subarray(0,32)}function c(){}function d(a,b){if(a.length!==b.length)return!1;for(var c=0;cn;++n)m[n]=b[n];for(j=0;32>n;)m[n++]=i[j++];for(j=0,k=c.length;k>j;++j)m[n++]=c[j];for(m[n++]=255&e,m[n++]=e>>8&255,m[n++]=e>>16&255,m[n++]=e>>>24&255,j=0,k=a.length;k>j;++j)m[n++]=a[j];f>=4&&!h&&(m[n++]=255,m[n++]=255,m[n++]=255,m[n++]=255);var o=gb(m,0,n),p=g>>3;if(f>=3)for(j=0;50>j;++j)o=gb(o,0,p);var q,r,s=o.subarray(0,p);if(f>=3){for(n=0;32>n;++n)m[n]=i[n];for(j=0,k=a.length;k>j;++j)m[n++]=a[j];q=new fb(s),r=q.encryptBlock(gb(m,0,n)),k=s.length;var t,u=new Uint8Array(k);for(j=1;19>=j;++j){for(t=0;k>t;++t)u[t]=s[t]^j;q=new fb(u),r=q.encryptBlock(r)}for(j=0,k=r.length;k>j;++j)if(d[j]!==r[j])return null}else for(q=new fb(s),r=q.encryptBlock(i),j=0,k=r.length;k>j;++j)if(d[j]!==r[j])return null;return s}function e(a,b,c,d){var e,f,g=new Uint8Array(32),h=0;for(f=Math.min(32,a.length);f>h;++h)g[h]=a[h];for(e=0;32>h;)g[h++]=i[e++];var j=gb(g,0,h),k=d>>3;if(c>=3)for(e=0;50>e;++e)j=gb(j,0,j.length);var l,m;if(c>=3){m=b;var n,o=new Uint8Array(k);for(e=19;e>=0;e--){for(n=0;k>n;++n)o[n]=j[n]^e;l=new fb(o),m=l.encryptBlock(m)}}else l=new fb(j.subarray(0,k)),m=l.encryptBlock(b);return m}function f(f,g,h){var i=f.get("Filter");z(i)&&"Standard"===i.name||c("unknown encryption method"),this.dict=f;var l=f.get("V");(!w(l)||1!==l&&2!==l&&4!==l&&5!==l)&&c("unsupported encryption algorithm"),this.algorithm=l;var m=f.get("Length")||40;(!w(m)||40>m||m%8!==0)&&c("invalid key length");var n=j(f.get("O")).subarray(0,32),o=j(f.get("U")).subarray(0,32),p=f.get("P"),q=f.get("R"),r=(4===l||5===l)&&f.get("EncryptMetadata")!==!1;this.encryptMetadata=r;var s,u=j(g);if(h){if(6===q)try{h=t(h)}catch(v){b("CipherTransformFactory: Unable to convert UTF8 encoded password.")}s=j(h)}var x;if(5!==l)x=d(u,s,n,o,p,q,m,r);else{var y=j(f.get("O")).subarray(32,40),A=j(f.get("O")).subarray(40,48),B=j(f.get("U")).subarray(0,48),C=j(f.get("U")).subarray(32,40),D=j(f.get("U")).subarray(40,48),E=j(f.get("OE")),F=j(f.get("UE")),G=j(f.get("Perms"));x=a(q,s,n,y,A,B,o,C,D,E,F,G)}if(!x&&!h)throw new da("No password given",ca.NEED_PASSWORD);if(!x&&h){var H=e(s,n,q,m);x=d(u,H,n,o,p,q,m,r)}if(!x)throw new da("Incorrect Password",ca.INCORRECT_PASSWORD);this.encryptionKey=x,l>=4&&(this.cf=f.get("CF"),this.stmf=f.get("StmF")||k,this.strf=f.get("StrF")||k,this.eff=f.get("EFF")||this.stmf)}function g(a,b,c,d){var e,f,g=new Uint8Array(c.length+9);for(e=0,f=c.length;f>e;++e)g[e]=c[e];g[e++]=255&a,g[e++]=a>>8&255,g[e++]=a>>16&255,g[e++]=255&b,g[e++]=b>>8&255,d&&(g[e++]=115,g[e++]=65,g[e++]=108,g[e++]=84);var h=gb(g,0,e);return h.subarray(0,Math.min(c.length+5,16))}function h(a,b,d,e,f){var h,i=a.get(b.name);return null!==i&&void 0!==i&&(h=i.get("CFM")),h&&"None"!==h.name?"V2"===h.name?function(){return new fb(g(d,e,f,!1))}:"AESV2"===h.name?function(){return new mb(g(d,e,f,!0))}:"AESV3"===h.name?function(){return new nb(f)}:void c("Unknown crypto method"):function(){return new lb}}var i=new Uint8Array([40,191,78,94,78,117,138,65,100,0,78,86,255,250,1,8,46,46,0,182,208,104,62,128,47,12,169,254,100,83,105,122]),k=ya.get("Identity");return f.prototype={createCipherTransform:function(a,b){if(4===this.algorithm||5===this.algorithm)return new qb(h(this.cf,this.stmf,a,b,this.encryptionKey),h(this.cf,this.strf,a,b,this.encryptionKey));var c=g(a,b,this.encryptionKey,!1),d=function(){return new fb(c)};return new qb(d,d)}},f}(),sb={FUNCTION_BASED:1,AXIAL:2,RADIAL:3,FREE_FORM_MESH:4,LATTICE_FORM_MESH:5,COONS_PATCH_MESH:6,TENSOR_PATCH_MESH:7},tb=function(){function a(){c("should not call Pattern constructor")}return a.prototype={getPattern:function(a){c("Should not call Pattern.getStyle: "+a)}},a.parseShading=function(a,c,d,e){var f=D(a)?a.dict:a,g=f.get("ShadingType");try{switch(g){case sb.AXIAL:case sb.RADIAL:return new ub.RadialAxial(f,c,d,e);case sb.FREE_FORM_MESH:case sb.LATTICE_FORM_MESH:case sb.COONS_PATCH_MESH:case sb.TENSOR_PATCH_MESH:return new ub.Mesh(a,c,d,e);default:throw new Error("Unsupported ShadingType: "+g)}}catch(h){if(h instanceof ja)throw h;return aa.notify(_.shadingPattern),b(h),new ub.Dummy}},a}(),ub={};ub.SMALL_NUMBER=.01,ub.RadialAxial=function(){function d(c,d,e,f){this.matrix=d,this.coordsArr=c.get("Coords"),this.shadingType=c.get("ShadingType"),this.type="Pattern";var g=c.get("ColorSpace","CS");g=Xa.parse(g,e,f),this.cs=g;var h=0,i=1;if(c.has("Domain")){var j=c.get("Domain");h=j[0],i=j[1]}var k=!1,l=!1;if(c.has("Extend")){var m=c.get("Extend");k=m[0],l=m[1]}if(!(this.shadingType!==sb.RADIAL||k&&l)){var n=this.coordsArr[0],o=this.coordsArr[1],p=this.coordsArr[2],q=this.coordsArr[3],r=this.coordsArr[4],s=this.coordsArr[5],t=Math.sqrt((n-q)*(n-q)+(o-r)*(o-r));s+t>=p&&p+t>=s&&b("Unsupported radial gradient.")}this.extendStart=k,this.extendEnd=l;var u=c.get("Function"),v=Ta.parseArray(e,u),w=i-h,x=w/10,y=this.colorStops=[];if(h>=i||0>=x)return void a("Bad shading domain.");for(var z,A=new Float32Array(g.numComps),B=new Float32Array(1),C=h;i>=C;C+=x){B[0]=C,v(B,0,A,0),z=g.getRgb(A,0);var D=na.makeCssRgb(z[0],z[1],z[2]);y.push([(C-h)/w,D])}var E="transparent";c.has("Background")&&(z=g.getRgb(c.get("Background"),0), +E=na.makeCssRgb(z[0],z[1],z[2])),k||(y.unshift([0,E]),y[1][0]+=ub.SMALL_NUMBER),l||(y[y.length-1][0]-=ub.SMALL_NUMBER,y.push([1,E])),this.colorStops=y}return d.prototype={getIR:function(){var a,b,d,e,f,g=this.coordsArr,h=this.shadingType;h===sb.AXIAL?(b=[g[0],g[1]],d=[g[2],g[3]],e=null,f=null,a="axial"):h===sb.RADIAL?(b=[g[0],g[1]],d=[g[3],g[4]],e=g[2],f=g[5],a="radial"):c("getPattern type unknown: "+h);var i=this.matrix;return i&&(b=na.applyTransform(b,i),d=na.applyTransform(d,i)),["RadialAxial",a,this.colorStops,b,d,e,f]}},d}(),ub.Mesh=function(){function a(a,b){this.stream=a,this.context=b,this.buffer=0,this.bufferLength=0;var c=b.numComps;this.tmpCompsBuf=new Float32Array(c);var d=b.colorSpace.numComps;this.tmpCsCompsBuf=b.colorFn?new Float32Array(d):this.tmpCompsBuf}function b(a,b){for(var c=a.coords,d=a.colors,f=[],g=[],h=0;b.hasData;){var i=b.readFlag(),j=b.readCoordinate(),k=b.readComponents();if(0===h){switch(e(i>=0&&2>=i,"Unknown type4 flag"),i){case 0:h=3;break;case 1:g.push(g[g.length-2],g[g.length-1]),h=1;break;case 2:g.push(g[g.length-3],g[g.length-1]),h=1}f.push(i)}g.push(c.length),c.push(j),d.push(k),h--,b.align()}a.figures.push({type:"triangles",coords:new Int32Array(g),colors:new Int32Array(g)})}function d(a,b,c){for(var d=a.coords,e=a.colors,f=[];b.hasData;){var g=b.readCoordinate(),h=b.readComponents();f.push(d.length),d.push(g),e.push(h)}a.figures.push({type:"lattice",coords:new Int32Array(f),colors:new Int32Array(f),verticesPerRow:c})}function f(a,b){var c=a.figures[b];e("patch"===c.type,"Unexpected patch mesh figure");var d=a.coords,f=a.colors,g=c.coords,h=c.colors,i=Math.min(d[g[0]][0],d[g[3]][0],d[g[12]][0],d[g[15]][0]),j=Math.min(d[g[0]][1],d[g[3]][1],d[g[12]][1],d[g[15]][1]),k=Math.max(d[g[0]][0],d[g[3]][0],d[g[12]][0],d[g[15]][0]),p=Math.max(d[g[0]][1],d[g[3]][1],d[g[12]][1],d[g[15]][1]),q=Math.ceil((k-i)*n/(a.bounds[2]-a.bounds[0]));q=Math.max(l,Math.min(m,q));var r=Math.ceil((p-j)*n/(a.bounds[3]-a.bounds[1]));r=Math.max(l,Math.min(m,r));for(var s=q+1,t=new Int32Array((r+1)*s),u=new Int32Array((r+1)*s),v=0,w=new Uint8Array(3),x=new Uint8Array(3),y=f[h[0]],z=f[h[1]],A=f[h[2]],B=f[h[3]],C=o(r),D=o(q),E=0;r>=E;E++){w[0]=(y[0]*(r-E)+A[0]*E)/r|0,w[1]=(y[1]*(r-E)+A[1]*E)/r|0,w[2]=(y[2]*(r-E)+A[2]*E)/r|0,x[0]=(z[0]*(r-E)+B[0]*E)/r|0,x[1]=(z[1]*(r-E)+B[1]*E)/r|0,x[2]=(z[2]*(r-E)+B[2]*E)/r|0;for(var F=0;q>=F;F++,v++)if(0!==E&&E!==r||0!==F&&F!==q){for(var G=0,H=0,I=0,J=0;3>=J;J++)for(var K=0;3>=K;K++,I++){var L=C[E][J]*D[F][K];G+=d[g[I]][0]*L,H+=d[g[I]][1]*L}t[v]=d.length,d.push([G,H]),u[v]=f.length;var M=new Uint8Array(3);M[0]=(w[0]*(q-F)+x[0]*F)/q|0,M[1]=(w[1]*(q-F)+x[1]*F)/q|0,M[2]=(w[2]*(q-F)+x[2]*F)/q|0,f.push(M)}}t[0]=g[0],u[0]=h[0],t[q]=g[3],u[q]=h[1],t[s*r]=g[12],u[s*r]=h[2],t[s*r+q]=g[15],u[s*r+q]=h[3],a.figures[b]={type:"lattice",coords:t,colors:u,verticesPerRow:s}}function g(a,b){for(var c=a.coords,d=a.colors,f=new Int32Array(16),g=new Int32Array(4);b.hasData;){var h=b.readFlag();e(h>=0&&3>=h,"Unknown type6 flag");var i,j,k=c.length;for(i=0,j=0!==h?8:12;j>i;i++)c.push(b.readCoordinate());var l=d.length;for(i=0,j=0!==h?2:4;j>i;i++)d.push(b.readComponents());var m,n,o,p;switch(h){case 0:f[12]=k+3,f[13]=k+4,f[14]=k+5,f[15]=k+6,f[8]=k+2,f[11]=k+7,f[4]=k+1,f[7]=k+8,f[0]=k,f[1]=k+11,f[2]=k+10,f[3]=k+9,g[2]=l+1,g[3]=l+2,g[0]=l,g[1]=l+3;break;case 1:m=f[12],n=f[13],o=f[14],p=f[15],f[12]=p,f[13]=k+0,f[14]=k+1,f[15]=k+2,f[8]=o,f[11]=k+3,f[4]=n,f[7]=k+4,f[0]=m,f[1]=k+7,f[2]=k+6,f[3]=k+5,m=g[2],n=g[3],g[2]=n,g[3]=l,g[0]=m,g[1]=l+1;break;case 2:m=f[15],n=f[11],f[12]=f[3],f[13]=k+0,f[14]=k+1,f[15]=k+2,f[8]=f[7],f[11]=k+3,f[4]=n,f[7]=k+4,f[0]=m,f[1]=k+7,f[2]=k+6,f[3]=k+5,m=g[3],g[2]=g[1],g[3]=l,g[0]=m,g[1]=l+1;break;case 3:f[12]=f[0],f[13]=k+0,f[14]=k+1,f[15]=k+2,f[8]=f[1],f[11]=k+3,f[4]=f[2],f[7]=k+4,f[0]=f[3],f[1]=k+7,f[2]=k+6,f[3]=k+5,g[2]=g[0],g[3]=l,g[0]=g[1],g[1]=l+1}f[5]=c.length,c.push([(-4*c[f[0]][0]-c[f[15]][0]+6*(c[f[4]][0]+c[f[1]][0])-2*(c[f[12]][0]+c[f[3]][0])+3*(c[f[13]][0]+c[f[7]][0]))/9,(-4*c[f[0]][1]-c[f[15]][1]+6*(c[f[4]][1]+c[f[1]][1])-2*(c[f[12]][1]+c[f[3]][1])+3*(c[f[13]][1]+c[f[7]][1]))/9]),f[6]=c.length,c.push([(-4*c[f[3]][0]-c[f[12]][0]+6*(c[f[2]][0]+c[f[7]][0])-2*(c[f[0]][0]+c[f[15]][0])+3*(c[f[4]][0]+c[f[14]][0]))/9,(-4*c[f[3]][1]-c[f[12]][1]+6*(c[f[2]][1]+c[f[7]][1])-2*(c[f[0]][1]+c[f[15]][1])+3*(c[f[4]][1]+c[f[14]][1]))/9]),f[9]=c.length,c.push([(-4*c[f[12]][0]-c[f[3]][0]+6*(c[f[8]][0]+c[f[13]][0])-2*(c[f[0]][0]+c[f[15]][0])+3*(c[f[11]][0]+c[f[1]][0]))/9,(-4*c[f[12]][1]-c[f[3]][1]+6*(c[f[8]][1]+c[f[13]][1])-2*(c[f[0]][1]+c[f[15]][1])+3*(c[f[11]][1]+c[f[1]][1]))/9]),f[10]=c.length,c.push([(-4*c[f[15]][0]-c[f[0]][0]+6*(c[f[11]][0]+c[f[14]][0])-2*(c[f[12]][0]+c[f[3]][0])+3*(c[f[2]][0]+c[f[8]][0]))/9,(-4*c[f[15]][1]-c[f[0]][1]+6*(c[f[11]][1]+c[f[14]][1])-2*(c[f[12]][1]+c[f[3]][1])+3*(c[f[2]][1]+c[f[8]][1]))/9]),a.figures.push({type:"patch",coords:new Int32Array(f),colors:new Int32Array(g)})}}function h(a,b){for(var c=a.coords,d=a.colors,f=new Int32Array(16),g=new Int32Array(4);b.hasData;){var h=b.readFlag();e(h>=0&&3>=h,"Unknown type7 flag");var i,j,k=c.length;for(i=0,j=0!==h?12:16;j>i;i++)c.push(b.readCoordinate());var l=d.length;for(i=0,j=0!==h?2:4;j>i;i++)d.push(b.readComponents());var m,n,o,p;switch(h){case 0:f[12]=k+3,f[13]=k+4,f[14]=k+5,f[15]=k+6,f[8]=k+2,f[9]=k+13,f[10]=k+14,f[11]=k+7,f[4]=k+1,f[5]=k+12,f[6]=k+15,f[7]=k+8,f[0]=k,f[1]=k+11,f[2]=k+10,f[3]=k+9,g[2]=l+1,g[3]=l+2,g[0]=l,g[1]=l+3;break;case 1:m=f[12],n=f[13],o=f[14],p=f[15],f[12]=p,f[13]=k+0,f[14]=k+1,f[15]=k+2,f[8]=o,f[9]=k+9,f[10]=k+10,f[11]=k+3,f[4]=n,f[5]=k+8,f[6]=k+11,f[7]=k+4,f[0]=m,f[1]=k+7,f[2]=k+6,f[3]=k+5,m=g[2],n=g[3],g[2]=n,g[3]=l,g[0]=m,g[1]=l+1;break;case 2:m=f[15],n=f[11],f[12]=f[3],f[13]=k+0,f[14]=k+1,f[15]=k+2,f[8]=f[7],f[9]=k+9,f[10]=k+10,f[11]=k+3,f[4]=n,f[5]=k+8,f[6]=k+11,f[7]=k+4,f[0]=m,f[1]=k+7,f[2]=k+6,f[3]=k+5,m=g[3],g[2]=g[1],g[3]=l,g[0]=m,g[1]=l+1;break;case 3:f[12]=f[0],f[13]=k+0,f[14]=k+1,f[15]=k+2,f[8]=f[1],f[9]=k+9,f[10]=k+10,f[11]=k+3,f[4]=f[2],f[5]=k+8,f[6]=k+11,f[7]=k+4,f[0]=f[3],f[1]=k+7,f[2]=k+6,f[3]=k+5,g[2]=g[0],g[3]=l,g[0]=g[1],g[1]=l+1}a.figures.push({type:"patch",coords:new Int32Array(f),colors:new Int32Array(g)})}}function i(a){for(var b=a.coords[0][0],c=a.coords[0][1],d=b,e=c,f=1,g=a.coords.length;g>f;f++){var h=a.coords[f][0],i=a.coords[f][1];b=b>h?h:b,c=c>i?i:c,d=h>d?h:d,e=i>e?i:e}a.bounds=[b,c,d,e]}function j(a){var b,c,d,e,f=a.coords,g=new Float32Array(2*f.length);for(b=0,d=0,c=f.length;c>b;b++){var h=f[b];g[d++]=h[0],g[d++]=h[1]}a.coords=g;var i=a.colors,j=new Uint8Array(3*i.length);for(b=0,d=0,c=i.length;c>b;b++){var k=i[b];j[d++]=k[0],j[d++]=k[1],j[d++]=k[2]}a.colors=j;var l=a.figures;for(b=0,c=l.length;c>b;b++){var m=l[b],n=m.coords,o=m.colors;for(d=0,e=n.length;e>d;d++)n[d]*=2,o[d]*=3}}function k(k,l,m,n){e(D(k),"Mesh data is not a stream");var o=k.dict;this.matrix=l,this.shadingType=o.get("ShadingType"),this.type="Pattern",this.bbox=o.get("BBox");var p=o.get("ColorSpace","CS");p=Xa.parse(p,m,n),this.cs=p,this.background=o.has("Background")?p.getRgb(o.get("Background"),0):null;var q=o.get("Function"),r=q?Ta.parseArray(m,q):null;this.coords=[],this.colors=[],this.figures=[];var s={bitsPerCoordinate:o.get("BitsPerCoordinate"),bitsPerComponent:o.get("BitsPerComponent"),bitsPerFlag:o.get("BitsPerFlag"),decode:o.get("Decode"),colorFn:r,colorSpace:p,numComps:r?1:p.numComps},t=new a(k,s),u=!1;switch(this.shadingType){case sb.FREE_FORM_MESH:b(this,t);break;case sb.LATTICE_FORM_MESH:var v=0|o.get("VerticesPerRow");e(v>=2,"Invalid VerticesPerRow"),d(this,t,v);break;case sb.COONS_PATCH_MESH:g(this,t),u=!0;break;case sb.TENSOR_PATCH_MESH:h(this,t),u=!0;break;default:c("Unsupported mesh type.")}if(u){i(this);for(var w=0,x=this.figures.length;x>w;w++)f(this,w)}i(this),j(this)}a.prototype={get hasData(){if(this.stream.end)return this.stream.pos0)return!0;var a=this.stream.getByte();return 0>a?!1:(this.buffer=a,this.bufferLength=8,!0)},readBits:function(a){var b=this.buffer,c=this.bufferLength;if(32===a){if(0===c)return(this.stream.getByte()<<24|this.stream.getByte()<<16|this.stream.getByte()<<8|this.stream.getByte())>>>0;b=b<<24|this.stream.getByte()<<16|this.stream.getByte()<<8|this.stream.getByte();var d=this.stream.getByte();return this.buffer=d&(1<>c)>>>0}if(8===a&&0===c)return this.stream.getByte();for(;a>c;)b=b<<8|this.stream.getByte(),c+=8;return c-=a,this.bufferLength=c,this.buffer=b&(1<>c},align:function(){this.buffer=0,this.bufferLength=0},readFlag:function(){return this.readBits(this.context.bitsPerFlag)},readCoordinate:function(){var a=this.context.bitsPerCoordinate,b=this.readBits(a),c=this.readBits(a),d=this.context.decode,e=32>a?1/((1<b?1/((1<f;f++,g+=2){var h=this.readBits(b);e[f]=h*c*(d[g+1]-d[g])+d[g]}var i=this.tmpCsCompsBuf;return this.context.colorFn&&this.context.colorFn(e,0,i,0),this.context.colorSpace.getRgb(i,0)}};var l=3,m=20,n=20,o=function(){function a(a){for(var b=[],c=0;a>=c;c++){var d=c/a,e=1-d;b.push(new Float32Array([e*e*e,3*d*e*e,3*d*d*e,d*d*d]))}return b}var b=[];return function(c){return b[c]||(b[c]=a(c)),b[c]}}();return k.prototype={getIR:function(){return["Mesh",this.shadingType,this.coords,this.colors,this.figures,this.bounds,this.matrix,this.bbox,this.background]}},k}(),ub.Dummy=function(){function a(){this.type="Pattern"}return a.prototype={getIR:function(){return["Dummy"]}},a}();var vb=function(){function d(a,b,c,d,e,f,g){this.pdfManager=a,this.xref=b,this.handler=c,this.pageIndex=d,this.uniquePrefix=e,this.idCounters=f,this.fontCache=g}function f(){this.reset()}var g=20,h=100;f.prototype={check:function(){return++this.checkedPDFJS.maxImageSize)return void b("Image exceeded maximum allowed size and was removed.");var l,m,n=i.get("ImageMask","IM")||!1;if(n){var o=i.get("Width","W"),p=i.get("Height","H"),q=o+7>>3,r=c.getBytes(q*p),s=i.get("Decode","D"),t=!!s&&s[0]>0;return l=Bc.createMask(r,o,p,c instanceof Oc,t),l.cached=!0,m=[l],e.addOp($.paintImageMaskXObject,m),void(f&&(g[f]={fn:$.paintImageMaskXObject,args:m}))}var u=i.get("SMask","SM")||!1,v=i.get("Mask")||!1,w=200;if(d&&!u&&!v&&!(c instanceof Sc)&&w>j+k){var y=new Bc(this.xref,a,c,d,null,null);return l=y.createImageData(!0),void e.addOp($.paintInlineImageXObject,[l])}var z=this.uniquePrefix||"",A="img_"+z+ ++this.idCounters.obj;return e.addDependency(A),m=[A,j,k],!u&&!v&&c instanceof Sc&&c.isNativelySupported(this.xref,a)?(e.addOp($.paintJpegXObject,m),void this.handler.send("obj",[A,this.pageIndex,"JpegStream",c.getIR()])):(Bc.buildImage(h.handler,h.xref,a,c,d).then(function(a){var b=a.createImageData(!1);h.handler.send("obj",[A,h.pageIndex,"Image",b],[b.data.buffer])}).then(void 0,function(a){b("Unable to decode image: "+a),h.handler.send("obj",[A,h.pageIndex,"Image",null])}),e.addOp($.paintImageXObject,m),void(f&&(g[f]={fn:$.paintImageXObject,args:m})))},handleSMask:function(a,b,c,d,e){var f=a.get("G"),g={subtype:a.get("S").name,backdrop:a.get("BC")};return this.buildFormXObject(b,f,g,c,d,e.state.clone())},handleTilingType:function(a,b,c,d,e,f,g){var h=new xb,i=[e.get("Resources"),c],j=Aa.merge(this.xref,i);return this.getOperatorList(d,g,j,h).then(function(){f.addDependencies(h.dependencies),f.addOp(a,J({fnArray:h.fnArray,argsArray:h.argsArray},e,b))})},handleSetFont:function(a,b,c,d,e,f){var g;b&&(b=b.slice(),g=b[0].name);var h=this;return this.loadFont(g,c,this.xref,a).then(function(b){return b.font.isType3Font?b.loadType3Data(h,a,d,e).then(function(){return b}):b}).then(function(a){return f.font=a.font,a.send(h.handler),a.loadedName})},handleText:function(a,b){var c=b.font,d=c.charsToGlyphs(a),e=!!(b.textRenderingMode&U.ADD_TO_PATH_FLAG);if(c.data&&(e||PDFJS.disableFontFace))for(var f=function(a){if(!c.renderer.hasBuiltPath(a)){var b=c.renderer.getPathJs(a);this.handler.send("commonobj",[c.loadedName+"_path_"+a,"FontPath",b])}}.bind(this),g=0,h=d.length;h>g;g++){var i=d[g];if(null!==i){f(i.fontChar);var j=i.accent;j&&j.fontChar&&f(j.fontChar)}}return d},setGState:function(c,d,e,f,g,h){var i=[],j=d.map,k=this,l=Promise.resolve();for(var m in j){var n=j[m];switch(m){case"Type":break;case"LW":case"LC":case"LJ":case"ML":case"D":case"RI":case"FL":case"CA":case"ca":i.push([m,n]);break;case"Font":l=l.then(function(){return k.handleSetFont(c,null,n[0],e,f,h.state).then(function(a){e.addDependency(a),i.push([m,[a,n[1]]])})});break;case"BM":i.push([m,n]);break;case"SMask":if(z(n)&&"None"===n.name){i.push([m,!1]);break}var o=g.fetchIfRef(n);B(o)?(l=l.then(function(){return k.handleSMask(o,c,e,f,h)}),i.push([m,!0])):b("Unsupported SMask type");break;case"OP":case"op":case"OPM":case"BG":case"BG2":case"UCR":case"UCR2":case"TR":case"TR2":case"HT":case"SM":case"SA":case"AIS":case"TK":a("graphic state operator "+m);break;default:a("Unknown graphic state operator "+m)}}return l.then(function(){i.length>=0&&e.addOp($.setGState,[i])})},loadFont:function(a,c,d,f){function g(){return Promise.resolve(new wb("g_font_error",new ec("Font "+a+" is not available"),c))}var h;if(c)e(F(c)),h=c;else{var i=f.get("Font");if(!i)return b("fontRes not available"),g();h=i.getRaw(a)}if(!h)return b("fontRef not available"),g();if(this.fontCache.has(h))return this.fontCache.get(h);if(c=d.fetchIfRef(h),!B(c))return g();if(c.translated)return c.translated;var j=G(),k=this.preEvaluateFont(c,d),l=k.descriptor,m=h.num+"_"+h.gen;if(B(l)){l.fontAliases||(l.fontAliases=Object.create(null));var n=l.fontAliases,o=k.hash;if(n[o]){var p=n[o].aliasRef;if(p&&this.fontCache.has(p))return this.fontCache.putAlias(h,p),this.fontCache.get(h)}n[o]||(n[o]={fontID:dc.getFontID()}),n[o].aliasRef=h,m=n[o].fontID}var q=B(h);q||this.fontCache.put(h,j.promise),c.loadedName="g_font_"+(q?a.replace(/\W/g,""):m),c.translated=j.promise;var r;try{r=Promise.resolve(this.translateFont(k,d))}catch(s){r=Promise.reject(s)}return r.then(function(a){if(void 0!==a.fontType){var b=d.stats.fontTypes;b[a.fontType]=!0}j.resolve(new wb(c.loadedName,a,c))},function(a){aa.notify(_.font);try{var b=k.descriptor,e=b&&b.get("FontFile3"),f=e&&e.get("Subtype"),g=P(k.type,f&&f.name),h=d.stats.fontTypes;h[g]=!0}catch(i){}j.resolve(new wb(c.loadedName,new ec(a instanceof Error?a.message:a),c))}),j.promise},buildPath:function(a,b,c){var d=a.length-1;if(c||(c=[]),0>d||a.fnArray[d]!==$.constructPath)a.addOp($.constructPath,[[b],c]);else{var e=a.argsArray[d];e[0].push(b),Array.prototype.push.apply(e[1],c)}},handleColorN:function(a,b,c,d,e,f,g,h){var i,l=c[c.length-1];if(z(l)&&(i=e.get(l.name))){var m=D(i)?i.dict:i,n=m.get("PatternType");if(n===j){var o=d.base?d.base.getRgb(c,0):null;return this.handleTilingType(b,o,f,i,m,a,g)}if(n===k){var p=m.get("Shading"),q=m.get("Matrix");return i=tb.parseShading(p,q,h,f),a.addOp(b,i.getIR()),Promise.resolve()}return Promise.reject("Unknown PatternType: "+n)}return a.addOp(b,c),Promise.resolve()},getOperatorList:function(d,g,h,j,k){var l=this,m=this.xref,n={};e(j),h=h||Aa.empty;var o=h.get("XObject")||Aa.empty,p=h.get("Pattern")||Aa.empty,q=new yb(k||new Ab),r=new Bb(d,m,q),s=new f;return new Promise(function t(d,f){g.ensureNotTerminated(),s.reset();for(var k,u,v,w,A={};!(k=s.check())&&(A.args=null,r.read(A));){var C=A.args,E=A.fn;switch(0|E){case $.paintXObject:if(C[0].code)break;var F=C[0].name;if(!F){b("XObject must be referred to by name.");continue}if(void 0!==n[F]){j.addOp(n[F].fn,n[F].args),C=null;continue}var G=o.get(F);if(G){e(D(G),"XObject should be a stream");var H=G.dict.get("Subtype");if(e(z(H),"XObject should have a Name subtype"),"Form"===H.name)return q.save(),l.buildFormXObject(h,G,null,j,g,q.state.clone()).then(function(){q.restore(),t(d,f)},f);if("Image"===H.name){l.buildPaintImageXObject(h,G,!1,j,F,n),C=null;continue}if("PS"===H.name){a("Ignored XObject subtype PS");continue}c("Unhandled XObject subtype "+H.name)}break;case $.setFont:var I=C[1];return l.handleSetFont(h,C,null,j,g,q.state).then(function(a){j.addDependency(a),j.addOp($.setFont,[a,I]),t(d,f)},f);case $.endInlineImage:var J=C[0].cacheKey;if(J){var K=n[J];if(void 0!==K){j.addOp(K.fn,K.args),C=null;continue}}l.buildPaintImageXObject(h,C[0],!0,j,J,n),C=null;continue;case $.showText:C[0]=l.handleText(C[0],q.state);break;case $.showSpacedText:var L=C[0],M=[],N=L.length,O=q.state;for(u=0;N>u;++u){var P=L[u];y(P)?Array.prototype.push.apply(M,l.handleText(P,O)):x(P)&&M.push(P)}C[0]=M,E=$.showText;break;case $.nextLineShowText:j.addOp($.nextLine),C[0]=l.handleText(C[0],q.state),E=$.showText;break;case $.nextLineSetSpacingShowText:j.addOp($.nextLine),j.addOp($.setWordSpacing,[C.shift()]),j.addOp($.setCharSpacing,[C.shift()]),C[0]=l.handleText(C[0],q.state),E=$.showText;break;case $.setTextRenderingMode:q.state.textRenderingMode=C[0];break;case $.setFillColorSpace:q.state.fillColorSpace=Xa.parse(C[0],m,h);continue;case $.setStrokeColorSpace:q.state.strokeColorSpace=Xa.parse(C[0],m,h);continue;case $.setFillColor:w=q.state.fillColorSpace,C=w.getRgb(C,0),E=$.setFillRGBColor;break;case $.setStrokeColor:w=q.state.strokeColorSpace,C=w.getRgb(C,0),E=$.setStrokeRGBColor;break;case $.setFillGray:q.state.fillColorSpace=Xa.singletons.gray,C=Xa.singletons.gray.getRgb(C,0),E=$.setFillRGBColor;break;case $.setStrokeGray:q.state.strokeColorSpace=Xa.singletons.gray,C=Xa.singletons.gray.getRgb(C,0),E=$.setStrokeRGBColor;break;case $.setFillCMYKColor:q.state.fillColorSpace=Xa.singletons.cmyk,C=Xa.singletons.cmyk.getRgb(C,0),E=$.setFillRGBColor;break;case $.setStrokeCMYKColor:q.state.strokeColorSpace=Xa.singletons.cmyk,C=Xa.singletons.cmyk.getRgb(C,0),E=$.setStrokeRGBColor;break;case $.setFillRGBColor:q.state.fillColorSpace=Xa.singletons.rgb,C=Xa.singletons.rgb.getRgb(C,0);break;case $.setStrokeRGBColor:q.state.strokeColorSpace=Xa.singletons.rgb,C=Xa.singletons.rgb.getRgb(C,0);break;case $.setFillColorN:if(w=q.state.fillColorSpace,"Pattern"===w.name)return l.handleColorN(j,$.setFillColorN,C,w,p,h,g,m).then(function(){t(d,f)},f);C=w.getRgb(C,0),E=$.setFillRGBColor;break;case $.setStrokeColorN:if(w=q.state.strokeColorSpace,"Pattern"===w.name)return l.handleColorN(j,$.setStrokeColorN,C,w,p,h,g,m).then(function(){t(d,f)},f);C=w.getRgb(C,0),E=$.setStrokeRGBColor;break;case $.shadingFill:var Q=h.get("Shading");Q||c("No shading resource found");var R=Q.get(C[0].name);R||c("No shading object found");var S=tb.parseShading(R,null,m,h),T=S.getIR();C=[T],E=$.shadingFill;break;case $.setGState:var U=C[0],V=h.get("ExtGState");if(!B(V)||!V.has(U.name))break;var W=V.get(U.name);return l.setGState(h,W,j,g,m,q).then(function(){t(d,f)},f);case $.moveTo:case $.lineTo:case $.curveTo:case $.curveTo2:case $.curveTo3:case $.closePath:l.buildPath(j,E,C);continue;case $.rectangle:l.buildPath(j,E,C);continue;case $.markPoint:case $.markPointProps:case $.beginMarkedContent:case $.beginMarkedContentProps:case $.endMarkedContent:case $.beginCompat:case $.endCompat:continue}j.addOp(E,C)}if(k)return void i.then(function(){t(d,f)},f);for(u=0,v=r.savedStatesDepth;v>u;u++)j.addOp($.restore,[]);d()})},getTextContent:function(a,b,c,d){function g(){var a=r.font;return a.loadedName in l.styles||(l.styles[a.loadedName]={fontFamily:a.fallbackName,ascent:a.ascent,descent:a.descent,vertical:a.vertical}),{str:[],dir:null,width:0,height:0,transform:null,fontName:a.loadedName}}function h(a){var b=a.str.join(""),c=PDFJS.bidi(b,-1,r.font.vertical);return a.str=c.str,a.dir=c.dir,a}function j(a,b){return p.loadFont(a,b,q,c).then(function(a){r.font=a.font,r.fontMatrix=a.font.fontMatrix||T})}function k(a,b){var c=r.font;if(b=b||g(),!b.transform){var d=[r.fontSize*r.textHScale,0,0,r.fontSize,0,r.textRise];if(c.isType3Font&&r.fontMatrix!==T&&1===r.fontSize){var e=c.bbox[3]-c.bbox[1];e>0&&(e*=r.fontMatrix[3],d[3]*=e)}var f=b.transform=na.transform(r.ctm,na.transform(r.textMatrix,d));c.vertical?b.width=Math.sqrt(f[0]*f[0]+f[1]*f[1]):b.height=Math.sqrt(f[2]*f[2]+f[3]*f[3])}for(var h=0,i=0,j=c.charsToGlyphs(a),k=c.defaultVMetrics,l=0;l0&&(s=r.charSpacing);var t=0,u=0;if(c.vertical){var v=p*r.fontMatrix[0];u=v*r.fontSize+s,i+=u}else{var w=p*r.fontMatrix[0];t=(w*r.fontSize+s)*r.textHScale,h+=t}r.translateTextMatrix(t,u),b.str.push(q)}else h+=r.wordSpacing*r.textHScale}var x=r.textLineMatrix[0],y=r.textLineMatrix[1],z=Math.sqrt(x*x+y*y);x=r.ctm[0],y=r.ctm[1];var A=Math.sqrt(x*x+y*y);return c.vertical?b.height+=Math.abs(i*A*z):b.width+=h*A*z,b}d=d||new yb(new zb);var l={items:[],styles:Object.create(null)},m=l.items,n=.3,o=1.5,p=this,q=this.xref;c=q.fetchIfRef(c)||Aa.empty;var r,s=null,t={},u=new Bb(a,q,d),v=new f;return new Promise(function w(a,f){b.ensureNotTerminated(),v.reset();for(var q,x={},y=[];!(q=v.check())&&(y.length=0,x.args=y,u.read(x));){r=d.state;var A=x.fn;switch(y=x.args,0|A){case $.setFont:return r.fontSize=y[1],j(y[0].name).then(function(){w(a,f)},f);case $.setTextRise:r.textRise=y[0];break;case $.setHScale:r.textHScale=y[0]/100;break;case $.setLeading:r.leading=y[0];break;case $.moveText:r.translateTextLineMatrix(y[0],y[1]),r.textMatrix=r.textLineMatrix.slice();break;case $.setLeadingMoveText:r.leading=-y[1],r.translateTextLineMatrix(y[0],y[1]),r.textMatrix=r.textLineMatrix.slice();break;case $.nextLine:r.carriageReturn();break;case $.setTextMatrix:r.setTextMatrix(y[0],y[1],y[2],y[3],y[4],y[5]),r.setTextLineMatrix(y[0],y[1],y[2],y[3],y[4],y[5]);break;case $.setCharSpacing:r.charSpacing=y[0];break;case $.setWordSpacing:r.wordSpacing=y[0];break;case $.beginText:r.textMatrix=ma.slice(),r.textLineMatrix=ma.slice();break;case $.showSpacedText:for(var E,F=y[0],G=g(),H=0,I=F.length;I>H;H++)if("string"==typeof F[H])k(F[H],G);else{var J=F[H]*r.fontSize/1e3;if(r.font.vertical?(E=J*r.textMatrix[3],r.translateTextMatrix(0,E),G.height+=E):(E=J*r.textHScale*r.textMatrix[0],r.translateTextMatrix(E,0),G.width-=E),F[H]<0&&r.font.spaceWidth>0){var K=-F[H]/r.font.spaceWidth;if(K>o)for(K=Math.round(K);K--;)G.str.push(" ");else K>n&&G.str.push(" ")}}m.push(h(G));break;case $.showText:m.push(h(k(y[0])));break;case $.nextLineShowText:r.carriageReturn(),m.push(h(k(y[0])));break;case $.nextLineSetSpacingShowText:r.wordSpacing=y[0],r.charSpacing=y[1],r.carriageReturn(),m.push(h(k(y[2])));break;case $.paintXObject:if(y[0].code)break;s||(s=c.get("XObject")||Aa.empty);var L=y[0].name;if(t.key===L){t.texts&&(na.appendToArray(m,t.texts.items),na.extendObj(l.styles,t.texts.styles));break}var M=s.get(L);if(!M)break;e(D(M),"XObject should be a stream");var N=M.dict.get("Subtype");if(e(z(N),"XObject should have a Name subtype"),"Form"!==N.name){t.key=L,t.texts=null;break}d.save();var O=M.dict.get("Matrix");return C(O)&&6===O.length&&d.transform(O),p.getTextContent(M,b,M.dict.get("Resources")||c,d).then(function(b){na.appendToArray(m,b.items),na.extendObj(l.styles,b.styles),d.restore(),t.key=L,t.texts=b,w(a,f)},f);case $.setGState:var P=y[0],Q=c.get("ExtGState");if(!B(Q)||!Q.has(P.name))break;var R=Q.get(P.name),S=null;for(var T in R)"Font"===T&&(e(!S),S=R[T]);if(S)return r.fontSize=S[1],j(S[0]).then(function(){w(a,f)},f)}}return q?void i.then(function(){w(a,f)},f):void a(l)})},extractDataStructures:function(a,b,d,e){var f=a.get("ToUnicode")||b.get("ToUnicode");if(f&&(e.toUnicode=this.readToUnicode(f)),e.composite){var g=a.get("CIDSystemInfo");B(g)&&(e.cidSystemInfo={registry:g.get("Registry"),ordering:g.get("Ordering"),supplement:g.get("Supplement")});var h=a.get("CIDToGIDMap");D(h)&&(e.cidToGidMap=this.readCidToGidMap(h))}var i,j=[],k=null;if(a.has("Encoding")){if(i=a.get("Encoding"),B(i)){if(k=i.get("BaseEncoding"),k=z(k)?k.name:null,i.has("Differences"))for(var l=i.get("Differences"),m=0,n=0,o=l.length;o>n;n++){var p=l[n];if(x(p))m=p;else if(z(p))j[m++]=p.name;else{if(F(p)){l[n--]=d.fetch(p);continue}c("Invalid entry in 'Differences' array: "+p)}}}else z(i)?k=i.name:c("Encoding is not a Name nor a Dict");"MacRomanEncoding"!==k&&"MacExpertEncoding"!==k&&"WinAnsiEncoding"!==k&&(k=null)}k?e.defaultEncoding=Pb[k].slice():(i="TrueType"===e.type?Pb.WinAnsiEncoding:Pb.StandardEncoding,e.flags&Ob.Symbolic&&(i=Pb.MacRomanEncoding,e.file||(/Symbol/i.test(e.name)?i=Pb.SymbolSetEncoding:/Dingbats/i.test(e.name)&&(i=Pb.ZapfDingbatsEncoding))),e.defaultEncoding=i),e.differences=j,e.baseEncodingName=k,e.dict=a},readToUnicode:function(a){var b,c=a;if(z(c))return b=Hb.create(c,{url:PDFJS.cMapUrl,packed:PDFJS.cMapPacked},null),b instanceof Fb?new ac(0,65535):new _b(b.getMap());if(D(c)){if(b=Hb.create(c,{url:PDFJS.cMapUrl,packed:PDFJS.cMapPacked},null),b instanceof Fb)return new ac(0,65535);var d=new Array(b.length);return b.forEach(function(a,b){for(var c=[],e=0;ed;d++){var f=b[d++]<<8|b[d];if(0!==f){var g=d>>1;c[g]=f}}return c},extractWidths:function(a,b,c,d){var e,f,g,h,i,j,k,l,m=[],n=0,o=[];if(d.composite){if(n=a.get("DW")||1e3,l=a.get("W"))for(f=0,g=l.length;g>f;f++)if(j=l[f++],k=b.fetchIfRef(l[f]),C(k))for(h=0,i=k.length;i>h;h++)m[j++]=k[h];else{var p=l[++f];for(h=j;k>=h;h++)m[h]=p}if(d.vertical){var q=a.get("DW2")||[880,-1e3];if(e=[q[1],.5*n,q[0]],q=a.get("W2"))for(f=0,g=q.length;g>f;f++)if(j=q[f++],k=b.fetchIfRef(q[f]),C(k))for(h=0,i=k.length;i>h;h++)o[j++]=[k[h++],k[h++],k[h]];else{var r=[q[++f],q[++f],q[++f]];for(h=j;k>=h;h++)o[h]=r}}}else{var s=d.firstChar;if(l=a.get("Widths")){for(h=s,f=0,g=l.length;g>f;f++)m[h++]=l[f];n=parseFloat(c.get("MissingWidth"))||0}else{var t=a.get("BaseFont");if(z(t)){var u=this.getBaseFontMetrics(t.name);m=this.buildCharCodeToWidth(u.widths,d),n=u.defaultWidth}}}var v=!0,w=n;for(var x in m){var y=m[x];if(y)if(w){if(w!==y){v=!1;break}}else w=y}v&&(d.flags|=Ob.FixedPitch),d.defaultWidth=n,d.widths=m,d.defaultVMetrics=e,d.vmetrics=o},isSerifFont:function(a){var b=a.split("-")[0];return b in Sb||-1!==b.search(/serif/gi)},getBaseFontMetrics:function(a){var b=0,c=[],d=!1,e=Qb[a]||a;e in Cc||(e=this.isSerifFont(a)?"Times-Roman":"Helvetica");var f=Cc[e];return x(f)?(b=f,d=!0):c=f,{defaultWidth:b,monospace:d,widths:c}},buildCharCodeToWidth:function(a,b){for(var c=Object.create(null),d=b.differences,e=b.defaultEncoding,f=0;256>f;f++)f in d&&a[d[f]]?c[f]=a[d[f]]:f in e&&a[e[f]]&&(c[f]=a[e[f]]);return c},preEvaluateFont:function(a,b){var d=a,f=a.get("Subtype");e(z(f),"invalid font Subtype");var g,h=!1;if("Type0"===f.name){var i=a.get("DescendantFonts");i||c("Descendant fonts are not specified"),a=C(i)?b.fetchIfRef(i[0]):i,f=a.get("Subtype"),e(z(f),"invalid font Subtype"),h=!0}var j=a.get("FontDescriptor");if(j){var k=new jd,l=d.getRaw("Encoding");if(z(l))k.update(l.name);else if(F(l))k.update(l.num+"_"+l.gen);else if(B(l))for(var m=l.getKeys(),n=0,o=m.length;o>n;n++){var p=l.getRaw(m[n]);z(p)?k.update(p.name):F(p)?k.update(p.num+"_"+p.gen):C(p)&&k.update(p.length.toString())}var q=a.get("ToUnicode")||d.get("ToUnicode");if(D(q)){var r=q.str||q;g=r.buffer?new Uint8Array(r.buffer.buffer,0,r.bufferLength):new Uint8Array(r.bytes.buffer,r.start,r.end-r.start),k.update(g)}else z(q)&&k.update(q.name);var s=a.get("Widths")||d.get("Widths");s&&(g=new Uint8Array(new Uint32Array(s).buffer),k.update(g))}return{descriptor:j,dict:a,baseDict:d,composite:h,type:f.name,hash:k?k.hexdigest():""}},translateFont:function(b,d){var f,g=b.baseDict,h=b.dict,i=b.composite,j=b.descriptor,k=b.type,l=i?65535:255;if(!j){if("Type3"!==k){var m=h.get("BaseFont");z(m)||c("Base font is not specified"),m=m.name.replace(/[,_]/g,"-");var n=this.getBaseFontMetrics(m),o=m.split("-")[0],p=(this.isSerifFont(o)?Ob.Serif:0)|(n.monospace?Ob.FixedPitch:0)|(Tb[o]?Ob.Symbolic:Ob.Nonsymbolic);return f={type:k,name:m,widths:n.widths,defaultWidth:n.defaultWidth,flags:p,firstChar:0,lastChar:l},this.extractDataStructures(h,h,d,f),f.widths=this.buildCharCodeToWidth(n.widths,f),new dc(m,null,f)}j=new Aa(null),j.set("FontName",ya.get(k)),j.set("FontBBox",h.get("FontBBox"))}var q=h.get("FirstChar")||0,r=h.get("LastChar")||l,s=j.get("FontName"),t=h.get("BaseFont");if(y(s)&&(s=ya.get(s)),y(t)&&(t=ya.get(t)),"Type3"!==k){var u=s&&s.name,v=t&&t.name;u!==v&&(a("The FontDescriptor's FontName is \""+u+'" but should be the same as the Font\'s BaseFont "'+v+'"'),u&&v&&0===v.indexOf(u)&&(s=t))}s=s||t,e(z(s),"invalid font name");var w=j.get("FontFile","FontFile2","FontFile3");if(w&&w.dict){var x=w.dict.get("Subtype");x&&(x=x.name);var A=w.dict.get("Length1"),B=w.dict.get("Length2")}if(f={type:k,name:s.name,subtype:x,file:w,length1:A,length2:B,loadedName:g.loadedName,composite:i,wideChars:i,fixedPitch:!1,fontMatrix:h.get("FontMatrix")||T,firstChar:q||0,lastChar:r||l,bbox:j.get("FontBBox"),ascent:j.get("Ascent"),descent:j.get("Descent"),xHeight:j.get("XHeight"),capHeight:j.get("CapHeight"),flags:j.get("Flags"),italicAngle:j.get("ItalicAngle"),coded:!1},i){var C=g.get("Encoding");z(C)&&(f.cidEncoding=C.name),f.cMap=Hb.create(C,{url:PDFJS.cMapUrl,packed:PDFJS.cMapPacked},null),f.vertical=f.cMap.vertical}return this.extractDataStructures(h,g,d,f),this.extractWidths(h,d,j,f),"Type3"===k&&(f.isType3Font=!0),new dc(s.name,w,f)}},d}(),wb=function(){function a(a,b,c){this.loadedName=a,this.font=b,this.dict=c,this.type3Loaded=null,this.sent=!1}return a.prototype={send:function(a){if(!this.sent){var b=this.font.exportData();a.send("commonobj",[this.loadedName,"Font",b]),this.sent=!0}},loadType3Data:function(a,c,d,f){if(e(this.font.isType3Font),this.type3Loaded)return this.type3Loaded;for(var g=this.font,h=Promise.resolve(),i=this.dict.get("CharProcs").getAll(),j=this.dict.get("Resources")||c,k=Object.keys(i),l={},m=0,n=k.length;n>m;++m)h=h.then(function(c){var e=i[c],g=new xb;return a.getOperatorList(e,f,j,g).then(function(){l[c]=g.getIR(),d.addDependencies(g.dependencies)},function(a){b('Type3 font resource "'+c+'" is not available');var d=new xb;l[c]=d.getIR()})}.bind(this,k[m]));return this.type3Loaded=h.then(function(){g.charProcOperatorList=l}),this.type3Loaded}},a}(),xb=function(){function a(a){for(var b=[],c=a.fnArray,d=a.argsArray,e=0,f=a.length;f>e;e++)switch(c[e]){ +case $.paintInlineImageXObject:case $.paintInlineImageXObjectGroup:case $.paintImageMaskXObject:var g=d[e][0];g.cached||b.push(g.data.buffer)}return b}function b(a,b,c){this.messageHandler=b,this.fnArray=[],this.argsArray=[],this.dependencies={},this.pageIndex=c,this.intent=a}var c=1e3,d=c-5;return b.prototype={get length(){return this.argsArray.length},addOp:function(a,b){this.fnArray.push(a),this.argsArray.push(b),this.messageHandler&&(this.fnArray.length>=c?this.flush():this.fnArray.length>=d&&(a===$.restore||a===$.endText)&&this.flush())},addDependency:function(a){a in this.dependencies||(this.dependencies[a]=!0,this.addOp($.dependency,[a]))},addDependencies:function(a){for(var b in a)this.addDependency(b)},addOpList:function(a){na.extendObj(this.dependencies,a.dependencies);for(var b=0,c=a.length;c>b;b++)this.addOp(a.fnArray[b],a.argsArray[b])},getIR:function(){return{fnArray:this.fnArray,argsArray:this.argsArray,length:this.length}},flush:function(b){"oplist"!==this.intent&&(new Cb).optimize(this);var c=a(this);this.messageHandler.send("RenderPageChunk",{operatorList:{fnArray:this.fnArray,argsArray:this.argsArray,lastChunk:b,length:this.length},pageIndex:this.pageIndex,intent:this.intent},c),this.dependencies={},this.fnArray.length=0,this.argsArray.length=0}},b}(),yb=function(){function a(a){this.state=a,this.stateStack=[]}return a.prototype={save:function(){var a=this.state;this.stateStack.push(this.state),this.state=a.clone()},restore:function(){var a=this.stateStack.pop();a&&(this.state=a)},transform:function(a){this.state.ctm=na.transform(this.state.ctm,a)}},a}(),zb=function(){function a(){this.ctm=new Float32Array(ma),this.fontSize=0,this.font=null,this.fontMatrix=T,this.textMatrix=ma.slice(),this.textLineMatrix=ma.slice(),this.charSpacing=0,this.wordSpacing=0,this.leading=0,this.textHScale=1,this.textRise=0}return a.prototype={setTextMatrix:function(a,b,c,d,e,f){var g=this.textMatrix;g[0]=a,g[1]=b,g[2]=c,g[3]=d,g[4]=e,g[5]=f},setTextLineMatrix:function(a,b,c,d,e,f){var g=this.textLineMatrix;g[0]=a,g[1]=b,g[2]=c,g[3]=d,g[4]=e,g[5]=f},translateTextMatrix:function(a,b){var c=this.textMatrix;c[4]=c[0]*a+c[2]*b+c[4],c[5]=c[1]*a+c[3]*b+c[5]},translateTextLineMatrix:function(a,b){var c=this.textLineMatrix;c[4]=c[0]*a+c[2]*b+c[4],c[5]=c[1]*a+c[3]*b+c[5]},calcRenderMatrix:function(a){var b=[this.fontSize*this.textHScale,0,0,this.fontSize,0,this.textRise];return na.transform(a,na.transform(this.textMatrix,b))},carriageReturn:function(){this.translateTextLineMatrix(0,-this.leading),this.textMatrix=this.textLineMatrix.slice()},clone:function(){var a=Object.create(this);return a.textMatrix=this.textMatrix.slice(),a.textLineMatrix=this.textLineMatrix.slice(),a.fontMatrix=this.fontMatrix.slice(),a}},a}(),Ab=function(){function a(){this.ctm=new Float32Array(ma),this.font=null,this.textRenderingMode=U.FILL,this.fillColorSpace=Xa.singletons.gray,this.strokeColorSpace=Xa.singletons.gray}return a.prototype={clone:function(){return Object.create(this)}},a}(),Bb=function(){function c(a,b,c){this.parser=new Fc(new Gc(a,d),!1,b),this.stateManager=c,this.nonProcessedArgs=[]}var d={w:{id:$.setLineWidth,numArgs:1,variableArgs:!1},J:{id:$.setLineCap,numArgs:1,variableArgs:!1},j:{id:$.setLineJoin,numArgs:1,variableArgs:!1},M:{id:$.setMiterLimit,numArgs:1,variableArgs:!1},d:{id:$.setDash,numArgs:2,variableArgs:!1},ri:{id:$.setRenderingIntent,numArgs:1,variableArgs:!1},i:{id:$.setFlatness,numArgs:1,variableArgs:!1},gs:{id:$.setGState,numArgs:1,variableArgs:!1},q:{id:$.save,numArgs:0,variableArgs:!1},Q:{id:$.restore,numArgs:0,variableArgs:!1},cm:{id:$.transform,numArgs:6,variableArgs:!1},m:{id:$.moveTo,numArgs:2,variableArgs:!1},l:{id:$.lineTo,numArgs:2,variableArgs:!1},c:{id:$.curveTo,numArgs:6,variableArgs:!1},v:{id:$.curveTo2,numArgs:4,variableArgs:!1},y:{id:$.curveTo3,numArgs:4,variableArgs:!1},h:{id:$.closePath,numArgs:0,variableArgs:!1},re:{id:$.rectangle,numArgs:4,variableArgs:!1},S:{id:$.stroke,numArgs:0,variableArgs:!1},s:{id:$.closeStroke,numArgs:0,variableArgs:!1},f:{id:$.fill,numArgs:0,variableArgs:!1},F:{id:$.fill,numArgs:0,variableArgs:!1},"f*":{id:$.eoFill,numArgs:0,variableArgs:!1},B:{id:$.fillStroke,numArgs:0,variableArgs:!1},"B*":{id:$.eoFillStroke,numArgs:0,variableArgs:!1},b:{id:$.closeFillStroke,numArgs:0,variableArgs:!1},"b*":{id:$.closeEOFillStroke,numArgs:0,variableArgs:!1},n:{id:$.endPath,numArgs:0,variableArgs:!1},W:{id:$.clip,numArgs:0,variableArgs:!1},"W*":{id:$.eoClip,numArgs:0,variableArgs:!1},BT:{id:$.beginText,numArgs:0,variableArgs:!1},ET:{id:$.endText,numArgs:0,variableArgs:!1},Tc:{id:$.setCharSpacing,numArgs:1,variableArgs:!1},Tw:{id:$.setWordSpacing,numArgs:1,variableArgs:!1},Tz:{id:$.setHScale,numArgs:1,variableArgs:!1},TL:{id:$.setLeading,numArgs:1,variableArgs:!1},Tf:{id:$.setFont,numArgs:2,variableArgs:!1},Tr:{id:$.setTextRenderingMode,numArgs:1,variableArgs:!1},Ts:{id:$.setTextRise,numArgs:1,variableArgs:!1},Td:{id:$.moveText,numArgs:2,variableArgs:!1},TD:{id:$.setLeadingMoveText,numArgs:2,variableArgs:!1},Tm:{id:$.setTextMatrix,numArgs:6,variableArgs:!1},"T*":{id:$.nextLine,numArgs:0,variableArgs:!1},Tj:{id:$.showText,numArgs:1,variableArgs:!1},TJ:{id:$.showSpacedText,numArgs:1,variableArgs:!1},"'":{id:$.nextLineShowText,numArgs:1,variableArgs:!1},'"':{id:$.nextLineSetSpacingShowText,numArgs:3,variableArgs:!1},d0:{id:$.setCharWidth,numArgs:2,variableArgs:!1},d1:{id:$.setCharWidthAndBounds,numArgs:6,variableArgs:!1},CS:{id:$.setStrokeColorSpace,numArgs:1,variableArgs:!1},cs:{id:$.setFillColorSpace,numArgs:1,variableArgs:!1},SC:{id:$.setStrokeColor,numArgs:4,variableArgs:!0},SCN:{id:$.setStrokeColorN,numArgs:33,variableArgs:!0},sc:{id:$.setFillColor,numArgs:4,variableArgs:!0},scn:{id:$.setFillColorN,numArgs:33,variableArgs:!0},G:{id:$.setStrokeGray,numArgs:1,variableArgs:!1},g:{id:$.setFillGray,numArgs:1,variableArgs:!1},RG:{id:$.setStrokeRGBColor,numArgs:3,variableArgs:!1},rg:{id:$.setFillRGBColor,numArgs:3,variableArgs:!1},K:{id:$.setStrokeCMYKColor,numArgs:4,variableArgs:!1},k:{id:$.setFillCMYKColor,numArgs:4,variableArgs:!1},sh:{id:$.shadingFill,numArgs:1,variableArgs:!1},BI:{id:$.beginInlineImage,numArgs:0,variableArgs:!1},ID:{id:$.beginImageData,numArgs:0,variableArgs:!1},EI:{id:$.endInlineImage,numArgs:1,variableArgs:!1},Do:{id:$.paintXObject,numArgs:1,variableArgs:!1},MP:{id:$.markPoint,numArgs:1,variableArgs:!1},DP:{id:$.markPointProps,numArgs:2,variableArgs:!1},BMC:{id:$.beginMarkedContent,numArgs:1,variableArgs:!1},BDC:{id:$.beginMarkedContentProps,numArgs:2,variableArgs:!1},EMC:{id:$.endMarkedContent,numArgs:0,variableArgs:!1},BX:{id:$.beginCompat,numArgs:0,variableArgs:!1},EX:{id:$.endCompat,numArgs:0,variableArgs:!1},BM:null,BD:null,"true":null,fa:null,fal:null,fals:null,"false":null,nu:null,nul:null,"null":null};return c.prototype={get savedStatesDepth(){return this.stateManager.stateStack.length},read:function(c){for(var f=c.args;;){var g=this.parser.getObj();if(A(g)){var h=g.cmd,i=d[h];if(!i){b('Unknown command "'+h+'"');continue}var j=i.id,k=i.numArgs,l=null!==f?f.length:0;if(i.variableArgs)l>k&&a("Command "+j+": expected [0,"+k+"] args, but received "+l+" args");else{if(l!==k){for(var m=this.nonProcessedArgs;l>k;)m.push(f.shift()),l--;for(;k>l&&0!==m.length;)f||(f=[]),f.unshift(m.pop()),l++}if(k>l){a("Command "+j+": because expected "+k+" args, but received "+l+" args; skipping"),f=null;continue}}return this.preprocessCommand(j,f),c.fn=j,c.args=f,!0}if(R(g))return!1;null!==g&&(f||(f=[]),f.push(g instanceof Aa?g.getAll():g),e(f.length<=33,"Too many arguments"))}},preprocessCommand:function(a,b){switch(0|a){case $.save:this.stateManager.save();break;case $.restore:this.stateManager.restore();break;case $.transform:this.stateManager.transform(b)}}},c}(),Cb=function(){function a(a,b,c){for(var d=a,e=0,f=b.length-1;f>e;e++){var g=b[e];d=d[g]||(d[g]=[])}d[b[b.length-1]]=c}function b(a,b,c,d){for(var e=a+2,f=0;b>f;f++){var g=d[e+4*f],h=1===g.length&&g[0];if(!h||1!==h.width||1!==h.height||h.data.length&&(1!==h.data.length||0!==h.data[0]))break;c[e+4*f]=$.paintSolidColorImageMask}return b-f}function c(){}var d=[];return a(d,[$.save,$.transform,$.paintInlineImageXObject,$.restore],function(a){for(var b=10,c=200,d=1e3,e=1,f=a.fnArray,g=a.argsArray,h=a.iCurr,i=h-3,j=h-2,k=h-1,l=i+4,m=f.length;m>l+3&&f[l]===$.save&&f[l+1]===$.transform&&f[l+2]===$.paintInlineImageXObject&&f[l+3]===$.restore;)l+=4;var n=Math.min((l-i)/4,c);if(b>n)return l;var o,p=0,q=[],r=0,s=e,t=e;for(o=0;n>o;o++){var u=g[j+(o<<2)],v=g[k+(o<<2)][0];s+v.width>d&&(p=Math.max(p,s),t+=r+2*e,s=0,r=0),q.push({transform:u,x:s,y:t,w:v.width,h:v.height}),s+=v.width+2*e,r=Math.max(r,v.height)}var w=Math.max(p,s)+e,x=t+r+e,y=new Uint8Array(w*x*4),z=w<<2;for(o=0;n>o;o++){var A=g[k+(o<<2)][0].data,B=q[o].w<<2,C=0,D=q[o].x+q[o].y*w<<2;y.set(A.subarray(0,B),D-z);for(var E=0,F=q[o].h;F>E;E++)y.set(A.subarray(C,C+B),D),C+=B,D+=z;for(y.set(A.subarray(C-B,C),D);D>=0;)A[D-4]=A[D],A[D-3]=A[D+1],A[D-2]=A[D+2],A[D-1]=A[D+3],A[D+B]=A[D+B-4],A[D+B+1]=A[D+B-3],A[D+B+2]=A[D+B-2],A[D+B+3]=A[D+B-1],D-=z}return f.splice(i,4*n,$.paintInlineImageXObjectGroup),g.splice(i,4*n,[{width:w,height:x,kind:V.RGBA_32BPP,data:y},q]),i+1}),a(d,[$.save,$.transform,$.paintImageMaskXObject,$.restore],function(a){for(var c=10,d=100,e=1e3,f=a.fnArray,g=a.argsArray,h=a.iCurr,i=h-3,j=h-2,k=h-1,l=i+4,m=f.length;m>l+3&&f[l]===$.save&&f[l+1]===$.transform&&f[l+2]===$.paintImageMaskXObject&&f[l+3]===$.restore;)l+=4;var n=(l-i)/4;if(n=b(i,n,f,g),c>n)return l;var o,p,q,r=!1,s=g[k][0];if(0===g[j][1]&&0===g[j][2]){r=!0;var t=g[j][0],u=g[j][3];p=j+4;var v=k+4;for(o=1;n>o;o++,p+=4,v+=4)if(q=g[p],g[v][0]!==s||q[0]!==t||0!==q[1]||0!==q[2]||q[3]!==u){c>o?r=!1:n=o;break}}if(r){n=Math.min(n,e);var w=new Float32Array(2*n);for(p=j,o=0;n>o;o++,p+=4)q=g[p],w[o<<1]=q[4],w[(o<<1)+1]=q[5];f.splice(i,4*n,$.paintImageMaskXObjectRepeat),g.splice(i,4*n,[s,t,u,w])}else{n=Math.min(n,d);var x=[];for(o=0;n>o;o++){q=g[j+(o<<2)];var y=g[k+(o<<2)][0];x.push({data:y.data,width:y.width,height:y.height,transform:q})}f.splice(i,4*n,$.paintImageMaskXObjectGroup),g.splice(i,4*n,[x])}return i+1}),a(d,[$.save,$.transform,$.paintImageXObject,$.restore],function(a){var b=3,c=1e3,d=a.fnArray,e=a.argsArray,f=a.iCurr,g=f-3,h=f-2,i=f-1,j=f;if(0!==e[h][1]||0!==e[h][2])return j+1;for(var k=e[i][0],l=e[h][0],m=e[h][3],n=g+4,o=d.length;o>n+3&&d[n]===$.save&&d[n+1]===$.transform&&d[n+2]===$.paintImageXObject&&d[n+3]===$.restore&&e[n+1][0]===l&&0===e[n+1][1]&&0===e[n+1][2]&&e[n+1][3]===m&&e[n+2][0]===k;)n+=4;var p=Math.min((n-g)/4,c);if(b>p)return n;for(var q=new Float32Array(2*p),r=h,s=0;p>s;s++,r+=4){var t=e[r];q[s<<1]=t[4],q[(s<<1)+1]=t[5]}var u=[k,l,m,q];return d.splice(g,4*p,$.paintImageXObjectRepeat),e.splice(g,4*p,u),g+1}),a(d,[$.beginText,$.setFont,$.setTextMatrix,$.showText,$.endText],function(a){for(var b=3,c=1e3,d=a.fnArray,e=a.argsArray,f=a.iCurr,g=f-4,h=f-3,i=f-2,j=f-1,k=f,l=e[h][0],m=e[h][1],n=g+5,o=d.length;o>n+4&&d[n]===$.beginText&&d[n+1]===$.setFont&&d[n+2]===$.setTextMatrix&&d[n+3]===$.showText&&d[n+4]===$.endText&&e[n+1][0]===l&&e[n+1][1]===m;)n+=5;var p=Math.min((n-g)/5,c);if(b>p)return n;var q=g;g>=4&&d[g-4]===d[h]&&d[g-3]===d[i]&&d[g-2]===d[j]&&d[g-1]===d[k]&&e[g-4][0]===l&&e[g-4][1]===m&&(p++,q-=5);for(var r=q+4,s=1;p>s;s++)d.splice(r,3),e.splice(r,3),r+=2;return r+1}),c.prototype={optimize:function(a){for(var b,c=a.fnArray,e=a.argsArray,f={iCurr:0,fnArray:c,argsArray:e},g=0,h=c.length;h>g;)b=(b||d)[c[g]],"function"==typeof b?(f.iCurr=g,g=b(f),b=void 0,h=f.fnArray.length):g++}},c}(),Db=["Adobe-GB1-UCS2","Adobe-CNS1-UCS2","Adobe-Japan1-UCS2","Adobe-Korea1-UCS2","78-EUC-H","78-EUC-V","78-H","78-RKSJ-H","78-RKSJ-V","78-V","78ms-RKSJ-H","78ms-RKSJ-V","83pv-RKSJ-H","90ms-RKSJ-H","90ms-RKSJ-V","90msp-RKSJ-H","90msp-RKSJ-V","90pv-RKSJ-H","90pv-RKSJ-V","Add-H","Add-RKSJ-H","Add-RKSJ-V","Add-V","Adobe-CNS1-0","Adobe-CNS1-1","Adobe-CNS1-2","Adobe-CNS1-3","Adobe-CNS1-4","Adobe-CNS1-5","Adobe-CNS1-6","Adobe-GB1-0","Adobe-GB1-1","Adobe-GB1-2","Adobe-GB1-3","Adobe-GB1-4","Adobe-GB1-5","Adobe-Japan1-0","Adobe-Japan1-1","Adobe-Japan1-2","Adobe-Japan1-3","Adobe-Japan1-4","Adobe-Japan1-5","Adobe-Japan1-6","Adobe-Korea1-0","Adobe-Korea1-1","Adobe-Korea1-2","B5-H","B5-V","B5pc-H","B5pc-V","CNS-EUC-H","CNS-EUC-V","CNS1-H","CNS1-V","CNS2-H","CNS2-V","ETHK-B5-H","ETHK-B5-V","ETen-B5-H","ETen-B5-V","ETenms-B5-H","ETenms-B5-V","EUC-H","EUC-V","Ext-H","Ext-RKSJ-H","Ext-RKSJ-V","Ext-V","GB-EUC-H","GB-EUC-V","GB-H","GB-V","GBK-EUC-H","GBK-EUC-V","GBK2K-H","GBK2K-V","GBKp-EUC-H","GBKp-EUC-V","GBT-EUC-H","GBT-EUC-V","GBT-H","GBT-V","GBTpc-EUC-H","GBTpc-EUC-V","GBpc-EUC-H","GBpc-EUC-V","H","HKdla-B5-H","HKdla-B5-V","HKdlb-B5-H","HKdlb-B5-V","HKgccs-B5-H","HKgccs-B5-V","HKm314-B5-H","HKm314-B5-V","HKm471-B5-H","HKm471-B5-V","HKscs-B5-H","HKscs-B5-V","Hankaku","Hiragana","KSC-EUC-H","KSC-EUC-V","KSC-H","KSC-Johab-H","KSC-Johab-V","KSC-V","KSCms-UHC-H","KSCms-UHC-HW-H","KSCms-UHC-HW-V","KSCms-UHC-V","KSCpc-EUC-H","KSCpc-EUC-V","Katakana","NWP-H","NWP-V","RKSJ-H","RKSJ-V","Roman","UniCNS-UCS2-H","UniCNS-UCS2-V","UniCNS-UTF16-H","UniCNS-UTF16-V","UniCNS-UTF32-H","UniCNS-UTF32-V","UniCNS-UTF8-H","UniCNS-UTF8-V","UniGB-UCS2-H","UniGB-UCS2-V","UniGB-UTF16-H","UniGB-UTF16-V","UniGB-UTF32-H","UniGB-UTF32-V","UniGB-UTF8-H","UniGB-UTF8-V","UniJIS-UCS2-H","UniJIS-UCS2-HW-H","UniJIS-UCS2-HW-V","UniJIS-UCS2-V","UniJIS-UTF16-H","UniJIS-UTF16-V","UniJIS-UTF32-H","UniJIS-UTF32-V","UniJIS-UTF8-H","UniJIS-UTF8-V","UniJIS2004-UTF16-H","UniJIS2004-UTF16-V","UniJIS2004-UTF32-H","UniJIS2004-UTF32-V","UniJIS2004-UTF8-H","UniJIS2004-UTF8-V","UniJISPro-UCS2-HW-V","UniJISPro-UCS2-V","UniJISPro-UTF8-V","UniJISX0213-UTF32-H","UniJISX0213-UTF32-V","UniJISX02132004-UTF32-H","UniJISX02132004-UTF32-V","UniKS-UCS2-H","UniKS-UCS2-V","UniKS-UTF16-H","UniKS-UTF16-V","UniKS-UTF32-H","UniKS-UTF32-V","UniKS-UTF8-H","UniKS-UTF8-V","V","WP-Symbol"],Eb=function(){function a(a){this.codespaceRanges=[[],[],[],[]],this.numCodespaceRanges=0,this._map=[],this.name="",this.vertical=!1,this.useCMap=null,this.builtInCMap=a}return a.prototype={addCodespaceRange:function(a,b,c){this.codespaceRanges[a-1].push(b,c),this.numCodespaceRanges++},mapCidRange:function(a,b,c){for(;b>=a;)this._map[a++]=c++},mapBfRange:function(a,b,c){for(var d=c.length-1;b>=a;)this._map[a++]=c,c=c.substr(0,d)+String.fromCharCode(c.charCodeAt(d)+1)},mapBfRangeToArray:function(a,b,c){for(var d=0,e=c.length;b>=a&&e>d;)this._map[a]=c[d++],++a},mapOne:function(a,b){this._map[a]=b},lookup:function(a){return this._map[a]},contains:function(a){return void 0!==this._map[a]},forEach:function(a){var b,c=this._map,d=c.length;if(65536>=d)for(b=0;d>b;b++)void 0!==c[b]&&a(b,c[b]);else for(b in this._map)a(b,c[b])},charCodeOf:function(a){return this._map.indexOf(a)},getMap:function(){return this._map},readCharCode:function(a,b,c){for(var d=0,e=this.codespaceRanges,f=this.codespaceRanges.length,g=0;f>g;g++){d=(d<<8|a.charCodeAt(b+g))>>>0;for(var h=e[g],i=0,j=h.length;j>i;){var k=h[i++],l=h[i++];if(d>=k&&l>=d)return c.charcode=d,void(c.length=g+1)}}c.charcode=0,c.length=1},get length(){return this._map.length},get isIdentityCMap(){if("Identity-H"!==this.name&&"Identity-V"!==this.name)return!1;if(65536!==this._map.length)return!1;for(var a=0;65536>a;a++)if(this._map[a]!==a)return!1;return!0}},a}(),Fb=function(){function a(a,b){Eb.call(this),this.vertical=a,this.addCodespaceRange(b,0,65535)}return na.inherit(a,Eb,{}),a.prototype={addCodespaceRange:Eb.prototype.addCodespaceRange,mapCidRange:function(a,b,d){c("should not call mapCidRange")},mapBfRange:function(a,b,d){c("should not call mapBfRange")},mapBfRangeToArray:function(a,b,d){c("should not call mapBfRangeToArray")},mapOne:function(a,b){c("should not call mapCidOne")},lookup:function(a){return w(a)&&65535>=a?a:void 0},contains:function(a){return w(a)&&65535>=a},forEach:function(a){for(var b=0;65535>=b;b++)a(b,b)},charCodeOf:function(a){return w(a)&&65535>=a?a:-1},getMap:function(){for(var a=new Array(65536),b=0;65535>=b;b++)a[b]=b;return a},readCharCode:Eb.prototype.readCharCode,get length(){return 65536},get isIdentityCMap(){c("should not access .isIdentityCMap")}},a}(),Gb=function(){function a(a){var b=PDFJS.disableWorker,d=new XMLHttpRequest;if(d.open("GET",a,!1),!b)try{d.responseType="arraybuffer",b="arraybuffer"!==d.responseType}catch(e){b=!0}if(b&&d.overrideMimeType&&d.overrideMimeType("text/plain; charset=x-user-defined"),d.send(null),(b?d.responseText:d.response)||c("Unable to get binary cMap at: "+a),b){var f=Array.prototype.map.call(d.responseText,function(a){return 255&a.charCodeAt(0)});return new Uint8Array(f)}return new Uint8Array(d.response)}function b(a,b){for(var c=0,d=0;b>=d;d++)c=c<<8|a[d];return c>>>0}function d(a,b){return 1===b?String.fromCharCode(a[0],a[1]):3===b?String.fromCharCode(a[0],a[1],a[2],a[3]):String.fromCharCode.apply(null,a.subarray(0,b+1))}function f(a,b,c){for(var d=0,e=c;e>=0;e--)d+=a[e]+b[e],a[e]=255&d,d>>=8}function g(a,b){for(var c=1,d=b;d>=0&&c>0;d--)c+=a[d],a[d]=255&c,c>>=8}function h(a){this.buffer=a,this.pos=0,this.end=a.length,this.tmpBuf=new Uint8Array(l)}function i(i,j,l){var m=a(i),n=new h(m),o=n.readByte();j.vertical=!!(1&o);for(var p,q,r=null,s=new Uint8Array(k),t=new Uint8Array(k),u=new Uint8Array(k),v=new Uint8Array(k),w=new Uint8Array(k);(q=n.readByte())>=0;){var x=q>>5;if(7!==x){var y=!!(16&q),z=15&q;e(k>=z+1);var A,B=1,C=n.readNumber();switch(x){case 0:for(n.readHex(s,z),n.readHexNumber(t,z),f(t,s,z),j.addCodespaceRange(z+1,b(s,z),b(t,z)),A=1;C>A;A++)g(t,z),n.readHexNumber(s,z),f(s,t,z),n.readHexNumber(t,z),f(t,s,z),j.addCodespaceRange(z+1,b(s,z),b(t,z));break;case 1:for(n.readHex(s,z),n.readHexNumber(t,z),f(t,s,z),p=n.readNumber(),A=1;C>A;A++)g(t,z),n.readHexNumber(s,z),f(s,t,z),n.readHexNumber(t,z),f(t,s,z),p=n.readNumber();break;case 2:for(n.readHex(u,z),p=n.readNumber(),j.mapOne(b(u,z),p),A=1;C>A;A++)g(u,z),y||(n.readHexNumber(w,z),f(u,w,z)),p=n.readSigned()+(p+1),j.mapOne(b(u,z),p);break;case 3:for(n.readHex(s,z),n.readHexNumber(t,z),f(t,s,z),p=n.readNumber(),j.mapCidRange(b(s,z),b(t,z),p),A=1;C>A;A++)g(t,z),y?s.set(t):(n.readHexNumber(s,z),f(s,t,z)),n.readHexNumber(t,z),f(t,s,z),p=n.readNumber(),j.mapCidRange(b(s,z),b(t,z),p);break;case 4:for(n.readHex(u,B),n.readHex(v,z),j.mapOne(b(u,B),d(v,z)),A=1;C>A;A++)g(u,B),y||(n.readHexNumber(w,B),f(u,w,B)),g(v,z),n.readHexSigned(w,z),f(v,w,z),j.mapOne(b(u,B),d(v,z));break;case 5:for(n.readHex(s,B),n.readHexNumber(t,B),f(t,s,B),n.readHex(v,z),j.mapBfRange(b(s,B),b(t,B),d(v,z)),A=1;C>A;A++)g(t,B),y?s.set(t):(n.readHexNumber(s,B),f(s,t,B)),n.readHexNumber(t,B),f(t,s,B),n.readHex(v,z),j.mapBfRange(b(s,B),b(t,B),d(v,z));break;default:c("Unknown type: "+x)}}else switch(31&q){case 0:n.readString();break;case 1:r=n.readString()}}return r&&l(r),j}function j(){}var k=16,l=19;return h.prototype={readByte:function(){return this.pos>=this.end?-1:this.buffer[this.pos++]},readNumber:function(){var a,b=0;do{var d=this.readByte();0>d&&c("unexpected EOF in bcmap"),a=!(128&d),b=b<<7|127&d}while(!a);return b},readSigned:function(){var a=this.readNumber();return 1&a?~(a>>>1):a>>>1},readHex:function(a,b){a.set(this.buffer.subarray(this.pos,this.pos+b+1)),this.pos+=b+1},readHexNumber:function(a,b){var d,e=this.tmpBuf,f=0;do{var g=this.readByte();0>g&&c("unexpected EOF in bcmap"),d=!(128&g),e[f++]=127&g}while(!d);for(var h=b,i=0,j=0;h>=0;){for(;8>j&&e.length>0;)i=e[--f]<>=8,j-=8}},readHexSigned:function(a,b){this.readHexNumber(a,b);for(var c=1&a[b]?255:0,d=0,e=0;b>=e;e++)d=(1&d)<<8|a[e],a[e]=d>>1^c},readString:function(){for(var a=this.readNumber(),b="",c=0;a>c;c++)b+=String.fromCharCode(this.readNumber());return b}},j.prototype={read:i},j}(),Hb=function(){function a(a){for(var b=0,c=0;c>>0}function d(a){y(a)||c("Malformed CMap: expected string.")}function f(a){w(a)||c("Malformed CMap: expected int.")}function g(b,c){for(;;){var e=c.getObj();if(R(e))break;if(A(e,"endbfchar"))return;d(e);var f=a(e);e=c.getObj(),d(e);var g=e;b.mapOne(f,g)}}function h(b,e){for(;;){var f=e.getObj();if(R(f))break;if(A(f,"endbfrange"))return;d(f);var g=a(f);f=e.getObj(),d(f);var h=a(f);if(f=e.getObj(),w(f)||y(f)){var i=w(f)?String.fromCharCode(f):f;b.mapBfRange(g,h,i)}else{if(!A(f,"["))break;f=e.getObj();for(var j=[];!A(f,"]")&&!R(f);)j.push(f),f=e.getObj();b.mapBfRangeToArray(g,h,j)}}c("Invalid bf range.")}function i(b,c){for(;;){var e=c.getObj();if(R(e))break;if(A(e,"endcidchar"))return;d(e);var g=a(e);e=c.getObj(),f(e);var h=e;b.mapOne(g,h)}}function j(b,c){for(;;){var e=c.getObj();if(R(e))break;if(A(e,"endcidrange"))return;d(e);var g=a(e);e=c.getObj(),d(e);var h=a(e);e=c.getObj(),f(e);var i=e;b.mapCidRange(g,h,i)}}function k(b,d){for(;;){var e=d.getObj();if(R(e))break;if(A(e,"endcodespacerange"))return;if(!y(e))break;var f=a(e);if(e=d.getObj(),!y(e))break;var g=a(e);b.addCodespaceRange(e.length,f,g)}c("Invalid codespace range.")}function l(a,b){var c=b.getObj();w(c)&&(a.vertical=!!c)}function m(a,b){var c=b.getObj();z(c)&&y(c.name)&&(a.name=c.name)}function n(a,b,c,d){var e,f;a:for(;;){var n=b.getObj();if(R(n))break;if(z(n))"WMode"===n.name?l(a,b):"CMapName"===n.name&&m(a,b),e=n;else if(A(n))switch(n.cmd){case"endcmap":break a;case"usecmap":z(e)&&(f=e.name);break;case"begincodespacerange":k(a,b);break;case"beginbfchar":g(a,b);break;case"begincidchar":i(a,b);break;case"beginbfrange":h(a,b);break;case"begincidrange":j(a,b)}}!d&&f&&(d=f),d&&o(a,c,d)}function o(a,b,c){if(a.useCMap=q(c,b),0===a.numCodespaceRanges){for(var d=a.useCMap.codespaceRanges,e=0;e=b;b++)a(b,b)},has:function(a){return this.firstChar<=a&&a<=this.lastChar},get:function(a){return this.firstChar<=a&&a<=this.lastChar?String.fromCharCode(a):void 0},charCodeOf:function(a){c("should not call .charCodeOf")}},a}(),bc=function(){function a(a,b,c){a[b]=c>>8&255,a[b+1]=255&c}function b(a,b,c){a[b]=c>>24&255,a[b+1]=c>>16&255,a[b+2]=c>>8&255,a[b+3]=255&c}function c(a,b,c){var d,e;if(c instanceof Uint8Array)a.set(c,b);else if("string"==typeof c)for(d=0,e=c.length;e>d;d++)a[b++]=255&c.charCodeAt(d);else for(d=0,e=c.length;e>d;d++)a[b++]=255&c[d]}function d(a){this.sfnt=a,this.tables=Object.create(null)}d.getSearchParams=function(a,b){for(var c=1,d=0;(c^a)>c;)c<<=1,d++;var e=c*b;return{range:e,entry:d,rangeShift:b*a-e}};var e=12,f=16;return d.prototype={toArray:function(){var g=this.sfnt,h=this.tables,i=Object.keys(h);i.sort();var j,l,m,n,o,p=i.length,q=e+p*f,r=[q];for(j=0;p>j;j++){n=h[i[j]];var s=(n.length+3&-4)>>>0;q+=s,r.push(q)}var t=new Uint8Array(q);for(j=0;p>j;j++)n=h[i[j]],c(t,r[j],n);"true"===g&&(g=k(65536)),t[0]=255&g.charCodeAt(0),t[1]=255&g.charCodeAt(1),t[2]=255&g.charCodeAt(2),t[3]=255&g.charCodeAt(3),a(t,4,p);var u=d.getSearchParams(p,16);for(a(t,6,u.range),a(t,8,u.entry),a(t,10,u.rangeShift),q=e,j=0;p>j;j++){o=i[j],t[q]=255&o.charCodeAt(0),t[q+1]=255&o.charCodeAt(1),t[q+2]=255&o.charCodeAt(2),t[q+3]=255&o.charCodeAt(3);var v=0;for(l=r[j],m=r[j+1];m>l;l+=4){var w=(t[l]<<24)+(t[l+1]<<16)+(t[l+2]<<8)+t[l+3];v=v+w|0}b(t,q+4,v),b(t,q+8,r[j]),b(t,q+12,h[o].length),q+=f}return t},addTable:function(a,b){if(a in this.tables)throw new Error("Table "+a+" already exists");this.tables[a]=b; +}},d}(),cc=new Int32Array([0,32,127,161,173,174,1536,1920,2208,4256,6016,6144,8192,8208,8209,8210,8232,8240,8287,8304,9676,9677,43616,43648,65520,65536]),dc=function(){function d(d,e,f){var g,h,i;this.name=d,this.loadedName=f.loadedName,this.isType3Font=f.isType3Font,this.sizes=[],this.glyphCache={};var j=d.split("+");j=j.length>1?j[1]:j[0],j=j.split(/[-,_]/g)[0],this.isSerifFont=!!(f.flags&Ob.Serif),this.isSymbolicFont=!!(f.flags&Ob.Symbolic),this.isMonospace=!!(f.flags&Ob.FixedPitch);var k=f.type,l=f.subtype;if(this.type=k,this.fallbackName=this.isMonospace?"monospace":this.isSerifFont?"serif":"sans-serif",this.differences=f.differences,this.widths=f.widths,this.defaultWidth=f.defaultWidth,this.composite=f.composite,this.wideChars=f.wideChars,this.cMap=f.cMap,this.ascent=f.ascent/Lb,this.descent=f.descent/Lb,this.fontMatrix=f.fontMatrix,this.bbox=f.bbox,this.toUnicode=f.toUnicode=this.buildToUnicode(f),this.toFontChar=[],"Type3"===f.type){for(g=0;256>g;g++)this.toFontChar[g]=this.differences[g]||f.defaultEncoding[g];return void(this.fontType=Z.TYPE3)}if(this.cidEncoding=f.cidEncoding,this.vertical=f.vertical,this.vertical&&(this.vmetrics=f.vmetrics,this.defaultVMetrics=f.defaultVMetrics),!e||e.isEmpty){e&&b('Font file is empty in "'+d+'" ('+this.loadedName+")"),this.missingFile=!0;var o=d.replace(/[,_]/g,"-"),p=!!Qb[o]||!(!Rb[o]||!Qb[Rb[o]]);if(o=Qb[o]||Rb[o]||o,this.bold=-1!==o.search(/bold/gi),this.italic=-1!==o.search(/oblique/gi)||-1!==o.search(/italic/gi),this.black=-1!==d.search(/Black/g),this.remeasure=Object.keys(this.widths).length>0,p&&"CIDFontType2"===k&&0===f.cidEncoding.indexOf("Identity-")){var q=[];for(g in Ub)q[+g]=Ub[g];if(/ArialBlack/i.test(d))for(g in Vb)q[+g]=Vb[g];var r=this.toUnicode instanceof ac;r||this.toUnicode.forEach(function(a,b){q[+a]=b}),this.toFontChar=q,this.toUnicode=new _b(q)}else if(/Symbol/i.test(o)){var s=Pb.SymbolSetEncoding;for(g in s)i=zc[s[g]],i&&(this.toFontChar[g]=i);for(g in f.differences)i=zc[f.differences[g]],i&&(this.toFontChar[g]=i)}else if(/Dingbats/i.test(o)){/Wingdings/i.test(d)&&b("Wingdings font without embedded font file, falling back to the ZapfDingbats encoding.");var t=Pb.ZapfDingbatsEncoding;for(g in t)i=Ac[t[g]],i&&(this.toFontChar[g]=i);for(g in f.differences)i=Ac[f.differences[g]],i&&(this.toFontChar[g]=i)}else if(p){this.toFontChar=[];for(g in f.defaultEncoding)h=f.differences[g]||f.defaultEncoding[g],this.toFontChar[g]=zc[h]}else{var u=-1===k.indexOf("CIDFontType");this.toUnicode.forEach(function(a,b){u&&(h=f.differences[a]||f.defaultEncoding[a],b=zc[h]||b),this.toFontChar[a]=b}.bind(this))}return this.loadedName=o.split("-")[0],this.loading=!1,void(this.fontType=P(k,l))}"Type1C"===l&&"Type1"!==k&&"MMType1"!==k&&(m(e)?l="TrueType":k="Type1"),"CIDFontType0C"===l&&"CIDFontType0"!==k&&(k="CIDFontType0"),"OpenType"===l&&(k="OpenType"),"CIDFontType0"===k&&(l=n(e)?"CIDFontType0":"CIDFontType0C");var v;switch(k){case"MMType1":a("MMType1 font ("+d+"), falling back to Type1.");case"Type1":case"CIDFontType0":this.mimetype="font/opentype";var w="Type1C"===l||"CIDFontType0C"===l?new jc(e,f):new ic(d,e,f);O(f),v=this.convert(d,w,f);break;case"OpenType":case"TrueType":case"CIDFontType2":this.mimetype="font/opentype",v=this.checkAndRepair(d,e,f),this.isOpenType&&(k="OpenType");break;default:c("Font "+k+" is not supported")}this.data=v,this.fontType=P(k,l),this.fontMatrix=f.fontMatrix,this.widths=f.widths,this.defaultWidth=f.defaultWidth,this.encoding=f.baseEncoding,this.seacMap=f.seacMap,this.loading=!0}function f(a,b){return(a<<8)+b}function h(a,b,c,d){return(a<<24)+(b<<16)+(c<<8)+d}function j(a){return String.fromCharCode(a>>8&255,255&a)}function l(a){return a=a>32767?32767:-32768>a?-32768:a,String.fromCharCode(a>>8&255,255&a)}function m(a){var b=a.peekBytes(4);return 65536===o(b,0)}function n(a){var b=a.peekBytes(2);return 37===b[0]&&33===b[1]?!0:128===b[0]&&1===b[1]}function p(a){for(var b=0,c=cc.length-1;c>b;){var d=b+c+1>>1;a=i)do l=i++,Kb&&61440===l&&(l=61472,i=l+1);while(void 0!==h[l]&&Jb>=i);f[l]=k,g[j]=l,h[l]=!0}return{toFontChar:g,charCodeToGlyphId:f,nextAvailableFontCharCode:i}}function r(a){var b=[];for(var c in a)b.push({fontCharCode:0|c,glyphId:a[c]});b.sort(function(a,b){return a.fontCharCode-b.fontCharCode});for(var d=[],e=b.length,f=0;e>f;){var g=b[f].fontCharCode,h=[b[f].glyphId];++f;for(var i=g;e>f&&i+1===b[f].fontCharCode&&(h.push(b[f].glyphId),++i,++f,65535!==i););d.push([g,i,h])}return d}function s(a){var b,c,d,e,f=r(a),g=f[f.length-1][1]>65535?2:1,h="\x00\x00"+j(g)+"\x00\x00"+k(4+8*g);for(b=f.length-1;b>=0&&!(f[b][0]<=65535);--b);var i=b+1;f[b][0]<65535&&65535===f[b][1]&&(f[b][1]=65534);var l,m,n,o,p=f[b][1]<65535?1:0,q=i+p,s=bc.getSearchParams(q,2),t="",u="",v="",w="",x="",y=0;for(b=0,c=i;c>b;b++){l=f[b],m=l[0],n=l[1],t+=j(m),u+=j(n),o=l[2];var z=!0;for(d=1,e=o.length;e>d;++d)if(o[d]!==o[d-1]+1){z=!1;break}if(z){var A=o[0];v+=j(A-m&65535),w+=j(0)}else{var B=2*(q-b)+2*y;for(y+=n-m+1,v+=j(0),w+=j(B),d=0,e=o.length;e>d;++d)x+=j(o[d])}}p>0&&(u+="ÿÿ",t+="ÿÿ",v+="\x00",w+="\x00\x00");var C="\x00\x00"+j(2*q)+j(s.range)+j(s.entry)+j(s.rangeShift)+u+"\x00\x00"+t+v+w+x,D="",E="";if(g>1){for(h+="\x00\x00\n"+k(4+8*g+4+C.length),D="",b=0,c=f.length;c>b;b++){l=f[b],m=l[0],o=l[2];var F=o[0];for(d=1,e=o.length;e>d;++d)o[d]!==o[d-1]+1&&(n=l[0]+d-1,D+=k(m)+k(n)+k(F),m=n+1,F=o[d]);D+=k(m)+k(l[1])+k(F)}E="\x00\f\x00\x00"+k(D.length+16)+"\x00\x00\x00\x00"+k(D.length/12)}return h+"\x00"+j(C.length+4)+C+E+D}function t(a){var b=new Mc(a.data),c=b.getUint16();b.getBytes(60);var d=b.getUint16();if(4>c&&768&d)return!1;var e=b.getUint16(),f=b.getUint16();if(e>f)return!1;b.getBytes(6);var g=b.getUint16();return 0===g?!1:(a.data[8]=a.data[9]=0,!0)}function u(a,b,d){d=d||{unitsPerEm:0,yMax:0,yMin:0,ascent:0,descent:0};var e=0,f=0,g=0,h=0,i=null,l=0;if(b)for(var m in b){m|=0,(i>m||!i)&&(i=m),m>l&&(l=m);var n=L(m);32>n?e|=1<n?f|=1<n?g|=1<n?h|=1< 123 are reserved for internal usage")}else i=0,l=255;var o=a.bbox||[0,0,0,0],p=d.unitsPerEm||1/(a.fontMatrix||T)[0],q=a.ascentScaled?1:p/Lb,r=d.ascent||Math.round(q*(a.ascent||o[3])),s=d.descent||Math.round(q*(a.descent||o[1]));s>0&&a.descent>0&&o[1]<0&&(s=-s);var t=d.yMax||r,u=-d.yMin||-s;return"\x00$ô\x00\x00\x00Š»\x00\x00\x00ŒŠ»\x00\x00ß\x001\x00\x00\x00\x00"+String.fromCharCode(a.fixedPitch?9:0)+"\x00\x00\x00\x00\x00\x00"+k(e)+k(f)+k(g)+k(h)+"*21*"+j(a.italicAngle?1:0)+j(i||a.firstChar)+j(l||a.lastChar)+j(r)+j(s)+"\x00d"+j(t)+j(u)+"\x00\x00\x00\x00\x00\x00\x00\x00"+j(a.xHeight)+j(a.capHeight)+j(0)+j(i||a.firstChar)+"\x00"}function v(a){var b=Math.floor(a.italicAngle*Math.pow(2,16));return"\x00\x00\x00"+k(b)+"\x00\x00\x00\x00"+k(a.fixedPitch)+"\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00"}function w(a,b){b||(b=[[],[]]);var c,d,e,f,g,h=[b[0][0]||"Original licence",b[0][1]||a,b[0][2]||"Unknown",b[0][3]||"uniqueID",b[0][4]||a,b[0][5]||"Version 0.11",b[0][6]||"",b[0][7]||"Unknown",b[0][8]||"Unknown",b[0][9]||"Unknown"],i=[];for(c=0,d=h.length;d>c;c++){g=b[1][c]||h[c];var k=[];for(e=0,f=g.length;f>e;e++)k.push(j(g.charCodeAt(e)));i.push(k.join(""))}var l=[h,i],m=["\x00","\x00"],n=["\x00\x00","\x00"],o=["\x00\x00"," "],p=h.length*m.length,q="\x00\x00"+j(p)+j(12*p+6),r=0;for(c=0,d=m.length;d>c;c++){var s=l[c];for(e=0,f=s.length;f>e;e++){g=s[e];var t=m[c]+n[c]+o[c]+j(e)+j(g.length)+j(r);q+=t,r+=g.length}}return q+=h.join("")+i.join("")}return d.getFontID=function(){var a=1;return function(){return String(a++)}}(),d.prototype={name:null,font:null,mimetype:null,encoding:null,get renderer(){var a=yc.create(this);return g(this,"renderer",a)},exportData:function(){var a={};for(var b in this)this.hasOwnProperty(b)&&(a[b]=this[b]);return a},checkAndRepair:function(d,g,j){function k(a){var b=i(a.getBytes(4)),c=a.getInt32(),d=a.getInt32()>>>0,e=a.getInt32()>>>0,f=a.pos;a.pos=a.start?a.start:0,a.skip(d);var g=a.getBytes(e);return a.pos=f,"head"===b&&(g[8]=g[9]=g[10]=g[11]=0,g[17]|=32),{tag:b,checksum:c,length:e,offset:d,data:g}}function l(a){return{version:i(a.getBytes(4)),numTables:a.getUint16(),searchRange:a.getUint16(),entrySelector:a.getUint16(),rangeShift:a.getUint16()}}function m(a,c,d,e){var f,g=(c.start?c.start:0)+a.offset;c.pos=g;for(var h,i=(c.getUint16(),c.getUint16()),j=!1,k=0;i>k;k++){var l=c.getUint16(),m=c.getUint16(),n=c.getInt32()>>>0,o=!1;if(0===l&&0===m?o=!0:1===l&&0===m?o=!0:3!==l||1!==m||(d||!e)&&h?d&&3===l&&0===m&&(o=!0,j=!0):(o=!0,d||(j=!0)),o&&(h={platformId:l,encodingId:m,offset:n}),j)break}if(h&&(c.pos=g+h.offset),!h||-1===c.peekByte())return b("Could not find a preferred cmap table."),{platformId:-1,encodingId:-1,mappings:[],hasShortCmap:!1};var p,q,r=c.getUint16(),s=(c.getUint16(),c.getUint16(),!1),t=[];if(0===r){for(p=0;256>p;p++){var u=c.getByte();u&&t.push({charCode:p,glyphId:u})}s=!0}else if(4===r){var v=c.getUint16()>>1;c.getBytes(6);var w,x=[];for(w=0;v>w;w++)x.push({end:c.getUint16()});for(c.getUint16(),w=0;v>w;w++)x[w].start=c.getUint16();for(w=0;v>w;w++)x[w].delta=c.getUint16();var y=0;for(w=0;v>w;w++){f=x[w];var z=c.getUint16();if(z){var A=(z>>1)-(v-w);f.offsetIndex=A,y=Math.max(y,A+f.end-f.start+1)}else f.offsetIndex=-1}var B=[];for(p=0;y>p;p++)B.push(c.getUint16());for(w=0;v>w;w++){f=x[w],g=f.start;var C=f.end,D=f.delta;for(A=f.offsetIndex,p=g;C>=p;p++)65535!==p&&(q=0>A?p:B[A+p-g],q=q+D&65535,0!==q&&t.push({charCode:p,glyphId:q}))}}else{if(6!==r)return b("cmap table has unsupported format: "+r),{platformId:-1,encodingId:-1,mappings:[],hasShortCmap:!1};var E=c.getUint16(),F=c.getUint16();for(p=0;F>p;p++){q=c.getUint16();var G=E+p;t.push({charCode:G,glyphId:q})}}for(t.sort(function(a,b){return a.charCode-b.charCode}),k=1;ke&&(a("The numOfMetrics ("+f+") should not be greater than the numGlyphs ("+e+")"),f=e,c.data[34]=(65280&f)>>8,c.data[35]=255&f);var g=e-f,h=g-(d.length-4*f>>1);if(h>0){var i=new Uint8Array(d.length+2*h);i.set(d.data),d.data=i}}function o(a,b,c,d,e,f){if(12>=c-b)return 0;var g=a.subarray(b,c),h=g[0]<<8|g[1];if(32768&h)return d.set(g,e),g.length;var i,j=10,k=0;for(i=0;h>i;i++){var l=g[j]<<8|g[j+1];k=l+1,j+=2}var m=j,n=g[j]<<8|g[j+1];j+=2+n;var o=j,p=0;for(i=0;k>i;i++){var q=g[j++];192&q&&(g[j-1]=63&q);var r=(2&q?1:16&q?0:2)+(4&q?1:32&q?0:2);if(p+=r,8&q){var s=g[j++];i+=s,p+=s*r}}if(0===p)return 0;var t=j+p;return t>g.length?0:!f&&n>0?(d.set(g.subarray(0,m),e),d.set([0,0],e+m),d.set(g.subarray(o,t),e+m+2),t-=n,g.length-t>3&&(t=t+3&-4),t):g.length-t>3?(t=t+3&-4,d.set(g.subarray(0,t),e),t):(d.set(g,e),g.length)}function p(c,d,e){var g=c.data,i=h(g[0],g[1],g[2],g[3]);i>>16!==1&&(a("Attempting to fix invalid version in head table: "+i),g[0]=0,g[1]=1,g[2]=0,g[3]=0);var j=f(g[50],g[51]);if(0>j||j>1){a("Attempting to fix invalid indexToLocFormat in head table: "+j);var k=d+1;e===k<<1?(g[50]=0,g[51]=0):e===k<<2?(g[50]=0,g[51]=1):b("Could not fix indexToLocFormat: "+j)}}function r(a,b,c,d,e,f){var g,h,i;d?(g=4,h=function(a,b){return a[b]<<24|a[b+1]<<16|a[b+2]<<8|a[b+3]},i=function(a,b,c){a[b]=c>>>24&255,a[b+1]=c>>16&255,a[b+2]=c>>8&255,a[b+3]=255&c}):(g=2,h=function(a,b){return a[b]<<9|a[b+1]<<1},i=function(a,b,c){a[b]=c>>9&255,a[b+1]=c>>1&255});var j=a.data,k=g*(1+c);j.length!==k&&(j=new Uint8Array(k),j.set(a.data.subarray(0,k)),a.data=j);var l=b.data,m=l.length,n=new Uint8Array(m),p=h(j,0),q=0,r={};i(j,0,q);var s,t;for(s=0,t=g;c>s;s++,t+=g){var u=h(j,t);if(u>m&&(m+3&-4)===u&&(u=m),u>m)i(j,t,q),p=u;else{p===u&&(r[s]=!0);var v=o(l,p,u,n,q,e);q+=v,i(j,t,q),p=u}}if(0===q){var w=new Uint8Array([0,1,0,0,0,0,0,0,0,0,0,0,0,0,49,0]);for(s=0,t=g;c>s;s++,t+=g)i(j,t,w.length);return b.data=w,r}if(f){var x=h(j,g);n.length>x+q?b.data=n.subarray(0,x+q):(b.data=new Uint8Array(x+q),b.data.set(n.subarray(0,q))),b.data.set(n.subarray(0,x),q),i(a.data,j.length-g,q+x)}else b.data=n.subarray(0,q);return r}function y(a,c,d){var e=(g.start?g.start:0)+a.offset;g.pos=e;var f=a.length,h=e+f,i=g.getInt32();g.getBytes(28);var j,k,l=!0;switch(i){case 65536:j=Yb;break;case 131072:var m=g.getUint16();if(m!==d){l=!1;break}var n=[];for(k=0;m>k;++k){var o=g.getUint16();if(o>=32768){l=!1;break}n.push(o)}if(!l)break;for(var p=[],q=[];g.posk;++k)q[k]=String.fromCharCode(g.getByte());p.push(q.join(""))}for(j=[],k=0;m>k;++k){var s=n[k];258>s?j.push(Yb[s]):j.push(p[s-258])}break;case 196608:break;default:b("Unknown/unsupported post table version "+i),l=!1,c.defaultEncoding&&(j=c.defaultEncoding)}return c.glyphNames=j,l}function z(a){var b=(g.start?g.start:0)+a.offset;g.pos=b;var c=[[],[]],d=a.length,e=b+d,f=g.getUint16(),h=6;if(0!==f||h>d)return c;var j,k,l=g.getUint16(),m=g.getUint16(),n=[],o=12;for(j=0;l>j&&g.pos+o<=e;j++){var p={platform:g.getUint16(),encoding:g.getUint16(),language:g.getUint16(),name:g.getUint16(),length:g.getUint16(),offset:g.getUint16()};(1===p.platform&&0===p.encoding&&0===p.language||3===p.platform&&1===p.encoding&&1033===p.language)&&n.push(p)}for(j=0,k=n.length;k>j;j++){var q=n[j],r=b+m+q.offset;if(!(r+q.length>e)){g.pos=r;var s=q.name;if(q.encoding){for(var t="",u=0,v=q.length;v>u;u+=2)t+=String.fromCharCode(g.getUint16());c[1][s]=t}else c[0][s]=i(g.getBytes(q.length))}}return c}function A(a,c){for(var d,e,f,g,h,i=a.data,j=0,k=0,l=0,m=[],n=[],o=[],p=c.tooComplexToFollowFunctions,q=!1,r=0,s=0,t=i.length;t>j;){var u=i[j++];if(64===u)if(e=i[j++],q||s)j+=e;else for(d=0;e>d;d++)m.push(i[j++]);else if(65===u)if(e=i[j++],q||s)j+=2*e;else for(d=0;e>d;d++)f=i[j++],m.push(f<<8|i[j++]);else if(176===(248&u))if(e=u-176+1,q||s)j+=e;else for(d=0;e>d;d++)m.push(i[j++]);else if(184===(248&u))if(e=u-184+1,q||s)j+=2*e;else for(d=0;e>d;d++)f=i[j++],m.push(f<<8|i[j++]);else if(43!==u||p)if(44!==u||p){if(45===u)if(q)q=!1,k=j;else{if(h=n.pop(),!h)return b("TT: ENDF bad stack"),void(c.hintsValid=!1);g=o.pop(),i=h.data,j=h.i,c.functionsStackDeltas[g]=m.length-h.stackTop}else if(137===u)(q||s)&&(b("TT: nested IDEFs not allowed"),p=!0),q=!0,l=j;else if(88===u)++r;else if(27===u)s=r;else if(89===u)s===r&&(s=0),--r;else if(28===u&&!q&&!s){var v=m[m.length-1];v>0&&(j+=v-1)}}else(q||s)&&(b("TT: nested FDEFs not allowed"),p=!0),q=!0,l=j,g=m.pop(),c.functionsDefined[g]={data:i,i:j};else if(!q&&!s)if(g=m[m.length-1],c.functionsUsed[g]=!0,g in c.functionsStackDeltas)m.length+=c.functionsStackDeltas[g];else if(g in c.functionsDefined&&o.indexOf(g)<0){if(n.push({data:i,i:j,stackTop:m.length-1}),o.push(g),h=c.functionsDefined[g],!h)return b("TT: CALL non-existent function"),void(c.hintsValid=!1);i=h.data,j=h.i}if(!q&&!s){var w=142>=u?F[u]:u>=192&&223>=u?-1:u>=224?-2:0;for(u>=113&&117>=u&&(e=m.pop(),e===e&&(w=2*-e));0>w&&m.length>0;)m.pop(),w++;for(;w>0;)m.push(NaN),w--}}c.tooComplexToFollowFunctions=p;var x=[i];j>i.length&&x.push(new Uint8Array(j-i.length)),l>k&&(b("TT: complementing a missing function tail"),x.push(new Uint8Array([34,45]))),C(a,x)}function B(a,c){if(!a.tooComplexToFollowFunctions){if(a.functionsDefined.length>c)return b("TT: more functions defined than expected"),void(a.hintsValid=!1);for(var d=0,e=a.functionsUsed.length;e>d;d++){if(d>c)return b("TT: invalid function id: "+d),void(a.hintsValid=!1);if(a.functionsUsed[d]&&!a.functionsDefined[d])return b("TT: undefined function: "+d),void(a.hintsValid=!1)}}}function C(a,b){if(b.length>1){var c,d,e=0;for(c=0,d=b.length;d>c;c++)e+=b[c].length;e=e+3&-4;var f=new Uint8Array(e),g=0;for(c=0,d=b.length;d>c;c++)f.set(b[c],g),g+=b[c].length;a.data=f,a.length=e}}function D(a,b,c){var d={functionsDefined:[],functionsUsed:[],functionsStackDeltas:[],tooComplexToFollowFunctions:!1,hintsValid:!0};if(a&&A(a,d),b&&A(b,d),a&&B(d,R),c&&1&c.length){var e=new Uint8Array(c.length+1);e.set(c.data),c.data=e}return d.hintsValid}function E(a,b,c){return V[a]?!aa&&b>=0&&$.has(b)?!0:!!(_&&c>=0&&x(_[c])):!0}var F=[0,0,0,0,0,0,0,0,-2,-2,-2,-2,0,0,-2,-5,-1,-1,-1,-1,-1,-1,-1,-1,0,0,-1,0,-1,-1,-1,-1,1,-1,-999,0,1,0,-1,-2,0,-1,-2,-1,-1,0,-1,-1,0,0,-999,-999,-1,-1,-1,-1,-2,-999,-2,-2,-999,0,-2,-2,0,0,-2,0,-2,0,0,0,-2,-1,-1,1,1,0,0,-1,-1,-1,-1,-1,-1,-1,0,0,-1,0,-1,-1,0,-999,-1,-1,-1,-1,-1,-1,0,0,0,0,0,0,0,0,0,0,0,0,-2,-999,-999,-999,-999,-999,-1,-1,-2,-2,0,0,0,0,-1,-1,-999,-2,-2,0,0,-1,-2,-2,0,0,0,-1,-1,-1,-2];g=new Mc(new Uint8Array(g.getBytes()));for(var G,H,I,J=["OS/2","cmap","head","hhea","hmtx","maxp","name","post","loca","glyf","fpgm","prep","cvt ","CFF "],K=l(g),L=K.numTables,M={"OS/2":null,cmap:null,head:null,hhea:null,hmtx:null,maxp:null,name:null,post:null},N=0;L>N;N++)I=k(g),J.indexOf(I.tag)<0||0!==I.length&&(M[I.tag]=I);var O=!M["CFF "];if(O)M.glyf&&M.loca||c('Required "glyf" or "loca" tables are not found'),this.isOpenType=!1;else{if("OTTO"===K.version&&"CIDFontType2"!==j.type||!M.head||!M.hhea||!M.maxp||!M.post)return H=new Mc(M["CFF "].data),G=new jc(H,j),this.convert(d,G,j);delete M.glyf,delete M.loca,delete M.fpgm,delete M.prep,delete M["cvt "],this.isOpenType=!0}M.maxp||c('Required "maxp" table is not found'),g.pos=(g.start||0)+M.maxp.offset;var P=g.getInt32(),Q=g.getUint16(),R=0;if(P>=65536&&M.maxp.length>=22){g.pos+=8;var S=g.getUint16();S>2&&(M.maxp.data[14]=0,M.maxp.data[15]=2),g.pos+=4,R=g.getUint16()}var T=!1;"CIDFontType2"===j.type&&j.toUnicode&&j.toUnicode.get(0)>"\x00"&&(T=!0,Q++,M.maxp.data[4]=Q>>8,M.maxp.data[5]=255&Q);var U=D(M.fpgm,M.prep,M["cvt "],R);U||(delete M.fpgm,delete M.prep,delete M["cvt "]),n(g,M.hhea,M.hmtx,Q),M.head||c('Required "head" table is not found'),p(M.head,Q,O?M.loca.length:0);var V={};if(O){var W=f(M.head.data[50],M.head.data[51]);V=r(M.loca,M.glyf,Q,W,U,T)}if(M.hhea||c('Required "hhea" table is not found'),0===M.hhea.data[10]&&0===M.hhea.data[11]&&(M.hhea.data[10]=255,M.hhea.data[11]=255),M.post){var X=y(M.post,j,Q);X||(M.post=null)}var Y,Z=[],$=j.toUnicode,_=j.widths,aa=$ instanceof ac||65536===$.length;if("CIDFontType2"===j.type){var ba=j.cidToGidMap||[],ca=0===ba.length;j.cMap.forEach(function(a,b){e(65535>=b,"Max size of CID is 65,535");var c=-1;ca?c=a:void 0!==ba[b]&&(c=ba[b]),c>=0&&Q>c&&E(c,a,b)&&(Z[a]=c)}),T&&(Z[0]=Q-1)}else{var da=j.differences.length>0||!!j.baseEncodingName,ea=m(M.cmap,g,this.isSymbolicFont,da),fa=ea.platformId,ga=ea.encodingId,ha=ea.mappings,ia=ha.length;if(da&&(3===fa&&1===ga||1===fa&&0===ga)||-1===fa&&-1===ga&&Pb[j.baseEncodingName]){var ja=[];for("MacRomanEncoding"!==j.baseEncodingName&&"WinAnsiEncoding"!==j.baseEncodingName||(ja=Pb[j.baseEncodingName]),Y=0;256>Y;Y++){var ka;if(ka=this.differences&&Y in this.differences?this.differences[Y]:Y in ja&&""!==ja[Y]?ja[Y]:Pb.StandardEncoding[Y]){var la,ma=!1;3===fa&&1===ga?(la=zc[ka],ma=!0):1===fa&&0===ga&&(la=Pb.MacRomanEncoding.indexOf(ka));var na=!1;for(N=0;ia>N;++N)if(ha[N].charCode===la){var oa=ma?Y:la;if(E(ha[N].glyphId,oa,-1)){Z[Y]=ha[N].glyphId,na=!0;break}}if(!na&&j.glyphNames){var pa=j.glyphNames.indexOf(ka);pa>0&&E(pa,-1,-1)?Z[Y]=pa:Z[Y]=0}}}}else if(0===fa&&0===ga)for(N=0;ia>N;++N)Z[ha[N].charCode]=ha[N].glyphId;else for(N=0;ia>N;++N)Y=255&ha[N].charCode,Z[Y]=ha[N].glyphId}0===Z.length&&(Z[0]=0);var qa=q(Z,j);if(this.toFontChar=qa.toFontChar,M.cmap={tag:"cmap",data:s(qa.charCodeToGlyphId)},!M["OS/2"]||!t(M["OS/2"])){var ra={unitsPerEm:f(M.head.data[18],M.head.data[19]),yMax:f(M.head.data[42],M.head.data[43]),yMin:f(M.head.data[38],M.head.data[39])-65536,ascent:f(M.hhea.data[4],M.hhea.data[5]),descent:f(M.hhea.data[6],M.hhea.data[7])-65536};M["OS/2"]={tag:"OS/2",data:u(j,qa.charCodeToGlyphId,ra)}}if(M.post||(M.post={tag:"post",data:v(j)}),!O)try{H=new Mc(M["CFF "].data);var sa=new kc(H,j);G=sa.parse();var ta=new xc(G);M["CFF "].data=ta.compile()}catch(ua){b("Failed to compile font "+j.loadedName)}if(M.name){var va=z(M.name);M.name.data=w(d,va)}else M.name={tag:"name",data:w(this.name)};var wa=new bc(K.version);for(var xa in M)wa.addTable(xa,M[xa].data);return wa.toArray()},convert:function(a,b,c){function d(a,b){var c=null;for(var d in a)b===a[d]&&(c||(c=[]),c.push(0|d));return c}function e(a,b){for(var c in a)if(b===a[c])return 0|c;return g.charCodeToGlyphId[g.nextAvailableFontCharCode]=b,g.nextAvailableFontCharCode++}c.fixedPitch=!1;var f=b.getGlyphMapping(c),g=q(f,c);this.toFontChar=g.toFontChar;var h=b.numGlyphs,i=b.seacs;if(Nb&&i&&i.length){var k=c.fontMatrix||T,m=b.getCharset(),n=Object.create(null);for(var o in i){o|=0;var p=i[o],r=Pb.StandardEncoding[p[2]],t=Pb.StandardEncoding[p[3]],x=m.indexOf(r),y=m.indexOf(t);if(!(0>x||0>y)){var z={x:p[0]*k[0]+p[1]*k[2]+k[4],y:p[0]*k[1]+p[1]*k[3]+k[5]},A=d(f,o);if(A)for(var B=0,C=A.length;C>B;B++){var D=A[B],E=g.charCodeToGlyphId,F=e(E,x),G=e(E,y);n[D]={baseFontCharCode:F,accentFontCharCode:G,accentOffset:z}}}}c.seacMap=n}var H=1/(c.fontMatrix||T)[0],I=new bc("OTTO");return I.addTable("CFF ",b.data),I.addTable("OS/2",u(c,g.charCodeToGlyphId)),I.addTable("cmap",s(g.charCodeToGlyphId)),I.addTable("head","\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00_<õ\x00\x00"+l(H)+"\x00\x00\x00\x00ž\x0B~'\x00\x00\x00\x00ž\x0B~'\x00\x00"+l(c.descent)+"ÿ"+l(c.ascent)+j(c.italicAngle?2:0)+"\x00\x00\x00\x00\x00\x00\x00"),I.addTable("hhea","\x00\x00\x00"+l(c.ascent)+l(c.descent)+"\x00\x00ÿÿ\x00\x00\x00\x00\x00\x00"+l(c.capHeight)+l(Math.tan(c.italicAngle)*c.xHeight)+"\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00"+j(h)),I.addTable("hmtx",function(){for(var a=b.charstrings,c=b.cff?b.cff.widths:null,d="\x00\x00\x00\x00",e=1,f=h;f>e;e++){var g=0;if(a){var i=a[e-1];g="width"in i?i.width:0}else c&&(g=Math.ceil(c[e]||0));d+=j(g)+j(0)}return d}()),I.addTable("maxp","\x00\x00P\x00"+j(h)),I.addTable("name",w(a)),I.addTable("post",v(c)),I.toArray()},buildToUnicode:function(a){if(a.toUnicode&&0!==a.toUnicode.length)return a.toUnicode;var b,c;if(!a.composite){b=[];var d=a.defaultEncoding.slice(),f=a.baseEncodingName,g=a.differences;for(c in g)d[c]=g[c];for(c in d){var h=d[c];if(""!==h)if(void 0!==zc[h])b[c]=String.fromCharCode(zc[h]);else{var i=0;switch(h[0]){case"G":3===h.length&&(i=parseInt(h.substr(1),16));break;case"g":5===h.length&&(i=parseInt(h.substr(1),16));break;case"C":case"c":h.length>=3&&(i=+h.substr(1))}if(i){if(f&&i===+c){var j=Pb[f];if(j&&(h=j[c])){b[c]=String.fromCharCode(zc[h]);continue}}b[c]=String.fromCharCode(i)}}}return new _b(b)}if(a.composite&&(a.cMap.builtInCMap&&!(a.cMap instanceof Fb)||"Adobe"===a.cidSystemInfo.registry&&("GB1"===a.cidSystemInfo.ordering||"CNS1"===a.cidSystemInfo.ordering||"Japan1"===a.cidSystemInfo.ordering||"Korea1"===a.cidSystemInfo.ordering))){var k=a.cidSystemInfo.registry,l=a.cidSystemInfo.ordering,m=new ya(k+"-"+l+"-UCS2"),n=Hb.create(m,{url:PDFJS.cMapUrl,packed:PDFJS.cMapPacked},null),o=a.cMap;return b=[],o.forEach(function(a,c){e(65535>=c,"Max size of CID is 65,535");var d=n.lookup(c);d&&(b[a]=String.fromCharCode((d.charCodeAt(0)<<8)+d.charCodeAt(1)))}),new _b(b)}return new ac(a.firstChar,a.lastChar)},get spaceWidth(){if("_shadowWidth"in this)return this._shadowWidth;for(var a,b=["space","minus","one","i"],c=0,d=b.length;d>c;c++){var e=b[c];if(e in this.widths){a=this.widths[e];break}var f=zc[e],g=0;if(this.composite&&this.cMap.contains(f)&&(g=this.cMap.lookup(f)),!g&&"toUnicode"in this&&(g=this.toUnicode.charCodeOf(f)),0>=g&&(g=f),a=this.widths[g])break}return a=a||this.defaultWidth,this._shadowWidth=a,a},charToGlyph:function(a){var b,c,d,e=a;this.cMap&&this.cMap.contains(a)&&(e=this.cMap.lookup(a)),c=this.widths[e],c=x(c)?c:this.defaultWidth;var f=this.vmetrics&&this.vmetrics[e],g=this.toUnicode.get(a)||a;"number"==typeof g&&(g=String.fromCharCode(g)),b=this.toFontChar[a]||a,this.missingFile&&(b=K(b)),this.isType3Font&&(d=b);var h=null;if(this.seacMap&&this.seacMap[a]){var i=this.seacMap[a];b=i.baseFontCharCode,h={fontChar:String.fromCharCode(i.accentFontCharCode),offset:i.accentOffset}}var j=String.fromCharCode(b),k=this.glyphCache[a];return k&&k.matchesForCache(j,g,h,c,f,d)||(k=new $b(j,g,h,c,f,d),this.glyphCache[a]=k),k},charsToGlyphs:function(a){var b,c,d,e=this.charsCache;if(e&&(b=e[a]))return b;e||(e=this.charsCache=Object.create(null)),b=[];var f,g=a,h=0;if(this.cMap)for(var i={};hh;++h)d=a.charCodeAt(h),c=this.charToGlyph(d),b.push(c),32===d&&b.push(null);return e[g]=b}},d}(),ec=function(){function a(a){this.error=a,this.loadedName="g_font_error",this.loading=!1}return a.prototype={charsToGlyphs:function(){return[]},exportData:function(){return{error:this.error}}},a}(),fc=function(){function a(){this.width=0,this.lsb=0,this.flexing=!1,this.output=[],this.stack=[]}var c={hstem:[1],vstem:[3],vmoveto:[4],rlineto:[5],hlineto:[6],vlineto:[7],rrcurveto:[8],callsubr:[10],flex:[12,35],drop:[12,18],endchar:[14],rmoveto:[21],hmoveto:[22],vhcurveto:[30],hvcurveto:[31]};return a.prototype={convert:function(a,d){for(var e,f,g,h=a.length,i=!1,j=0;h>j;j++){var k=a[j];if(32>k){switch(12===k&&(k=(k<<8)+a[++j]),k){case 1:if(!Mb){this.stack=[];break}i=this.executeCommand(2,c.hstem);break;case 3:if(!Mb){this.stack=[];break}i=this.executeCommand(2,c.vstem);break;case 4:if(this.flexing){if(this.stack.length<1){i=!0;break}var l=this.stack.pop();this.stack.push(0,l);break}i=this.executeCommand(1,c.vmoveto);break;case 5:i=this.executeCommand(2,c.rlineto);break;case 6:i=this.executeCommand(1,c.hlineto);break;case 7:i=this.executeCommand(1,c.vlineto);break;case 8:i=this.executeCommand(6,c.rrcurveto);break;case 9:this.stack=[];break;case 10:if(this.stack.length<1){i=!0;break}g=this.stack.pop(),i=this.convert(d[g],d);break;case 11:return i;case 13:if(this.stack.length<2){i=!0;break}e=this.stack.pop(),f=this.stack.pop(),this.lsb=f,this.width=e,this.stack.push(e,f),i=this.executeCommand(2,c.hmoveto);break;case 14:this.output.push(c.endchar[0]);break;case 21:if(this.flexing)break;i=this.executeCommand(2,c.rmoveto);break;case 22:if(this.flexing){this.stack.push(0);break}i=this.executeCommand(1,c.hmoveto);break;case 30:i=this.executeCommand(4,c.vhcurveto);break;case 31:i=this.executeCommand(4,c.hvcurveto);break;case 3072:this.stack=[];break;case 3073:if(!Mb){this.stack=[];break}i=this.executeCommand(2,c.vstem);break;case 3074:if(!Mb){this.stack=[];break}i=this.executeCommand(2,c.hstem);break;case 3078:Nb?(this.seac=this.stack.splice(-4,4),i=this.executeCommand(0,c.endchar)):i=this.executeCommand(4,c.endchar);break;case 3079:if(this.stack.length<4){i=!0;break}this.stack.pop();e=this.stack.pop();var m=this.stack.pop();f=this.stack.pop(),this.lsb=f,this.width=e,this.stack.push(e,f,m),i=this.executeCommand(3,c.rmoveto);break;case 3084:if(this.stack.length<2){i=!0;break}var n=this.stack.pop(),o=this.stack.pop();this.stack.push(o/n);break;case 3088:if(this.stack.length<2){i=!0;break}g=this.stack.pop();var p=this.stack.pop();if(0===g&&3===p){var q=this.stack.splice(this.stack.length-17,17);this.stack.push(q[2]+q[0],q[3]+q[1],q[4],q[5],q[6],q[7],q[8],q[9],q[10],q[11],q[12],q[13],q[14]),i=this.executeCommand(13,c.flex,!0),this.flexing=!1,this.stack.push(q[15],q[16])}else 1===g&&0===p&&(this.flexing=!0);break;case 3089:break;case 3105:this.stack=[];break;default:b('Unknown type 1 charstring command of "'+k+'"')}if(i)break}else 246>=k?k-=139:k=250>=k?256*(k-247)+a[++j]+108:254>=k?-(256*(k-251))-a[++j]-108:(255&a[++j])<<24|(255&a[++j])<<16|(255&a[++j])<<8|(255&a[++j])<<0,this.stack.push(k)}return i},executeCommand:function(a,b,c){var d=this.stack.length;if(a>d)return!0;for(var e=d-a,f=e;d>f;f++){var g=this.stack[f];g===(0|g)?this.output.push(28,g>>8&255,255&g):(g=65536*g|0,this.output.push(255,g>>24&255,g>>16&255,g>>8&255,255&g))}return this.output.push.apply(this.output,b),c?this.stack.splice(e,a):this.stack.length=0,!1}},a}(),gc=function(){function a(a){return a>=48&&57>=a||a>=65&&70>=a||a>=97&&102>=a}function b(a,b,c){for(var d=0|b,e=52845,f=22719,g=a.length,h=new Uint8Array(g),i=0;g>i;i++){var j=a[i];h[i]=j^d>>8,d=(j+d)*e+f&65535}return Array.prototype.slice.call(h,c)}function c(b,c,d){var e,f,g=0|c,h=52845,i=22719,j=b.length,k=j>>>1,l=new Uint8Array(k);for(e=0,f=0;j>e;e++){var m=b[e];if(a(m)){e++;for(var n;j>e&&!a(n=b[e]);)e++;if(j>e){var o=parseInt(String.fromCharCode(m,n),16);l[f++]=o^g>>8,g=(o+g)*h+i&65535}}}return Array.prototype.slice.call(l,d,f)}function d(a){return 47===a||91===a||93===a||123===a||125===a||40===a||41===a}function e(d,e){if(e){var g=d.getBytes(),h=!(a(g[0])&&a(g[1])&&a(g[2])&&a(g[3]));d=new Mc(h?b(g,f,4):c(g,f,4))}this.stream=d,this.nextChar()}var f=55665,g=4330;return e.prototype={readNumberArray:function(){this.getToken();for(var a=[];;){var b=this.getToken();if(null===b||"]"===b||"}"===b)break;a.push(parseFloat(b||0))}return a},readNumber:function(){var a=this.getToken();return parseFloat(a||0)},readInt:function(){var a=this.getToken();return 0|parseInt(a||0,10)},readBoolean:function(){var a=this.getToken();return"true"===a?1:0},nextChar:function(){return this.currentChar=this.stream.getByte()},getToken:function(){for(var a=!1,b=this.currentChar;;){if(-1===b)return null;if(a)10!==b&&13!==b||(a=!1);else if(37===b)a=!0;else if(!Gc.isSpace(b))break;b=this.nextChar()}if(d(b))return this.nextChar(),String.fromCharCode(b);var c="";do c+=String.fromCharCode(b),b=this.nextChar();while(b>=0&&!Gc.isSpace(b)&&!d(b));return c},extractFontProgram:function(){for(var a,c,d,e,f,h=this.stream,i=[],j=[],k={subrs:[],charstrings:[],properties:{privateData:{lenIV:4}}};null!==(a=this.getToken());)if("/"===a)switch(a=this.getToken()){case"CharStrings":for(this.getToken(),this.getToken(),this.getToken(),this.getToken();;){if(a=this.getToken(),null===a||"end"===a)break;if("/"===a){var l=this.getToken();c=this.readInt(),this.getToken(),d=h.makeSubStream(h.pos,c),e=k.properties.privateData.lenIV,f=b(d.getBytes(),g,e),h.skip(c),this.nextChar(),a=this.getToken(),"noaccess"===a&&this.getToken(),j.push({glyph:l,encoded:f})}}break;case"Subrs":this.readInt();for(this.getToken();"dup"===(a=this.getToken());){var m=this.readInt();c=this.readInt(),this.getToken(),d=h.makeSubStream(h.pos,c),e=k.properties.privateData.lenIV,f=b(d.getBytes(),g,e),h.skip(c),this.nextChar(),a=this.getToken(),"noaccess"===a&&this.getToken(),i[m]=f}break;case"BlueValues":case"OtherBlues":case"FamilyBlues":case"FamilyOtherBlues":var n=this.readNumberArray();n.length>0&&n.length%2===0&&Mb&&(k.properties.privateData[a]=n);break;case"StemSnapH":case"StemSnapV":k.properties.privateData[a]=this.readNumberArray();break;case"StdHW":case"StdVW":k.properties.privateData[a]=this.readNumberArray()[0];break;case"BlueShift":case"lenIV":case"BlueFuzz":case"BlueScale":case"LanguageGroup":case"ExpansionFactor":k.properties.privateData[a]=this.readNumber();break;case"ForceBold":k.properties.privateData[a]=this.readBoolean()}for(var o=0;og;g++){for(b=this.getToken();"dup"!==b&&"def"!==b;)if(b=this.getToken(),null===b)return;if("def"===b)break;var h=this.readInt();this.getToken();var i=this.getToken();d[h]=i,this.getToken()}}else d=Pb[e];a.builtInEncoding=d;break;case"FontBBox":var j=this.readNumberArray();a.ascent=j[3],a.descent=j[1],a.ascentScaled=!0}}},e}(),hc=[".notdef","space","exclam","quotedbl","numbersign","dollar","percent","ampersand","quoteright","parenleft","parenright","asterisk","plus","comma","hyphen","period","slash","zero","one","two","three","four","five","six","seven","eight","nine","colon","semicolon","less","equal","greater","question","at","A","B","C","D","E","F","G","H","I","J","K","L","M","N","O","P","Q","R","S","T","U","V","W","X","Y","Z","bracketleft","backslash","bracketright","asciicircum","underscore","quoteleft","a","b","c","d","e","f","g","h","i","j","k","l","m","n","o","p","q","r","s","t","u","v","w","x","y","z","braceleft","bar","braceright","asciitilde","exclamdown","cent","sterling","fraction","yen","florin","section","currency","quotesingle","quotedblleft","guillemotleft","guilsinglleft","guilsinglright","fi","fl","endash","dagger","daggerdbl","periodcentered","paragraph","bullet","quotesinglbase","quotedblbase","quotedblright","guillemotright","ellipsis","perthousand","questiondown","grave","acute","circumflex","tilde","macron","breve","dotaccent","dieresis","ring","cedilla","hungarumlaut","ogonek","caron","emdash","AE","ordfeminine","Lslash","Oslash","OE","ordmasculine","ae","dotlessi","lslash","oslash","oe","germandbls","onesuperior","logicalnot","mu","trademark","Eth","onehalf","plusminus","Thorn","onequarter","divide","brokenbar","degree","thorn","threequarters","twosuperior","registered","minus","eth","multiply","threesuperior","copyright","Aacute","Acircumflex","Adieresis","Agrave","Aring","Atilde","Ccedilla","Eacute","Ecircumflex","Edieresis","Egrave","Iacute","Icircumflex","Idieresis","Igrave","Ntilde","Oacute","Ocircumflex","Odieresis","Ograve","Otilde","Scaron","Uacute","Ucircumflex","Udieresis","Ugrave","Yacute","Ydieresis","Zcaron","aacute","acircumflex","adieresis","agrave","aring","atilde","ccedilla","eacute","ecircumflex","edieresis","egrave","iacute","icircumflex","idieresis","igrave","ntilde","oacute","ocircumflex","odieresis","ograve","otilde","scaron","uacute","ucircumflex","udieresis","ugrave","yacute","ydieresis","zcaron","exclamsmall","Hungarumlautsmall","dollaroldstyle","dollarsuperior","ampersandsmall","Acutesmall","parenleftsuperior","parenrightsuperior","twodotenleader","onedotenleader","zerooldstyle","oneoldstyle","twooldstyle","threeoldstyle","fouroldstyle","fiveoldstyle","sixoldstyle","sevenoldstyle","eightoldstyle","nineoldstyle","commasuperior","threequartersemdash","periodsuperior","questionsmall","asuperior","bsuperior","centsuperior","dsuperior","esuperior","isuperior","lsuperior","msuperior","nsuperior","osuperior","rsuperior","ssuperior","tsuperior","ff","ffi","ffl","parenleftinferior","parenrightinferior","Circumflexsmall","hyphensuperior","Gravesmall","Asmall","Bsmall","Csmall","Dsmall","Esmall","Fsmall","Gsmall","Hsmall","Ismall","Jsmall","Ksmall","Lsmall","Msmall","Nsmall","Osmall","Psmall","Qsmall","Rsmall","Ssmall","Tsmall","Usmall","Vsmall","Wsmall","Xsmall","Ysmall","Zsmall","colonmonetary","onefitted","rupiah","Tildesmall","exclamdownsmall","centoldstyle","Lslashsmall","Scaronsmall","Zcaronsmall","Dieresissmall","Brevesmall","Caronsmall","Dotaccentsmall","Macronsmall","figuredash","hypheninferior","Ogoneksmall","Ringsmall","Cedillasmall","questiondownsmall","oneeighth","threeeighths","fiveeighths","seveneighths","onethird","twothirds","zerosuperior","foursuperior","fivesuperior","sixsuperior","sevensuperior","eightsuperior","ninesuperior","zeroinferior","oneinferior","twoinferior","threeinferior","fourinferior","fiveinferior","sixinferior","seveninferior","eightinferior","nineinferior","centinferior","dollarinferior","periodinferior","commainferior","Agravesmall","Aacutesmall","Acircumflexsmall","Atildesmall","Adieresissmall","Aringsmall","AEsmall","Ccedillasmall","Egravesmall","Eacutesmall","Ecircumflexsmall","Edieresissmall","Igravesmall","Iacutesmall","Icircumflexsmall","Idieresissmall","Ethsmall","Ntildesmall","Ogravesmall","Oacutesmall","Ocircumflexsmall","Otildesmall","Odieresissmall","OEsmall","Oslashsmall","Ugravesmall","Uacutesmall","Ucircumflexsmall","Udieresissmall","Yacutesmall","Thornsmall","Ydieresissmall","001.000","001.001","001.002","001.003","Black","Bold","Book","Light","Medium","Regular","Roman","Semibold"],ic=function(a,b,c){ +var d=6,e=c.length1,f=c.length2,g=b.peekBytes(d),h=128===g[0]&&1===g[1];h&&(b.skip(d),e=g[5]<<24|g[4]<<16|g[3]<<8|g[2]);var i=new Mc(b.getBytes(e)),j=new gc(i);j.extractFontHeader(c),h&&(g=b.getBytes(d),f=g[5]<<24|g[4]<<16|g[3]<<8|g[2]);var k=new Mc(b.getBytes(f)),l=new gc(k,!0),m=l.extractFontProgram();for(var n in m.properties)c[n]=m.properties[n];var o=m.charstrings,p=this.getType2Charstrings(o),q=this.getType2Subrs(m.subrs);this.charstrings=o,this.data=this.wrap(a,p,this.charstrings,q,c),this.seacs=this.getSeacs(m.charstrings)};ic.prototype={get numGlyphs(){return this.charstrings.length+1},getCharset:function(){for(var a=[".notdef"],b=this.charstrings,c=0;c=0&&(f[g]=b)}return Q(a,f,d)},getSeacs:function(a){var b,c,d=[];for(b=0,c=a.length;c>b;b++){var e=a[b];e.seac&&(d[b+1]=e.seac)}return d},getType2Charstrings:function(a){for(var b=[],c=0,d=a.length;d>c;c++)b.push(a[c].charstring);return b},getType2Subrs:function(a){var b=0,c=a.length;b=1133>c?107:33769>c?1131:32768;var d,e=[];for(d=0;b>d;d++)e.push([11]);for(d=0;c>d;d++)e.push(a[d]);return e},wrap:function(a,b,c,d,e){var f=new lc;f.header=new mc(1,0,4,4),f.names=[a];var g=new qc;g.setByName("version",391),g.setByName("Notice",392),g.setByName("FullName",393),g.setByName("FamilyName",394),g.setByName("Weight",395),g.setByName("Encoding",null),g.setByName("FontMatrix",e.fontMatrix),g.setByName("FontBBox",e.bbox),g.setByName("charset",null),g.setByName("CharStrings",null),g.setByName("Private",null),f.topDict=g;var h=new nc;h.add("Version 0.11"),h.add("See original notice"),h.add(a),h.add(a),h.add("Medium"),f.strings=h,f.globalSubrIndex=new oc;var i,j,k=b.length,l=[0];for(i=0;k>i;i++){var m=hc.indexOf(c[i].glyphName);-1===m&&(m=0),l.push(m>>8&255,255&m)}f.charset=new tc(!1,0,[],l);var n=new oc;for(n.add([139,14]),i=0;k>i;i++)n.add(b[i]);f.charStrings=n;var o=new rc;o.setByName("Subrs",null);var p=["BlueValues","OtherBlues","FamilyBlues","FamilyOtherBlues","StemSnapH","StemSnapV","BlueShift","BlueFuzz","BlueScale","LanguageGroup","ExpansionFactor","ForceBold","StdHW","StdVW"];for(i=0,j=p.length;j>i;i++){var q=p[i];if(e.privateData.hasOwnProperty(q)){var r=e.privateData[q];if(C(r))for(var s=r.length-1;s>0;s--)r[s]-=r[s-1];o.setByName(q,r)}}f.topDict.privateDict=o;var t=new oc;for(i=0,j=d.length;j>i;i++)t.add(d[i]);o.subrsIndex=t;var u=new xc(f);return u.compile()}};var jc=function(){function a(a,c){this.properties=c;var d=new kc(a,c);this.cff=d.parse();var e=new xc(this.cff);this.seacs=this.cff.seacs;try{this.data=e.compile()}catch(f){b("Failed to compile font "+c.loadedName),this.data=a}}return a.prototype={get numGlyphs(){return this.cff.charStrings.count},getCharset:function(){return this.cff.charset.charset},getGlyphMapping:function(){var a,b,c=this.cff,d=this.properties,e=c.charset.charset;if(d.composite){if(a=Object.create(null),c.isCIDFont)for(b=0;bq;++q){var s=p.get(q),t=this.createDict(qc,this.parseDict(s),b.strings);this.parsePrivateDict(t),b.fdArray.push(t)}o=null,n=this.parseCharsets(i.getByName("charset"),b.charStrings.count,b.strings,!0),b.fdSelect=this.parseFDSelect(i.getByName("FDSelect"),b.charStrings.count)}else n=this.parseCharsets(i.getByName("charset"),b.charStrings.count,b.strings,!1),o=this.parseEncoding(i.getByName("Encoding"),a,b.strings,n.charset);return b.charset=n,b.encoding=o,b},parseHeader:function(){for(var b=this.bytes,d=b.length,e=0;d>e&&1!==b[e];)++e;e>=d?c("Invalid CFF header"):0!==e&&(a("cff data is shifted"),b=b.subarray(e),this.bytes=b);var f=b[0],g=b[1],h=b[2],i=b[3],j=new mc(f,g,h,i);return{obj:j,endPos:h}},parseDict:function(a){function b(){var b=a[e++];return 30===b?d(e):28===b?(b=a[e++],b=(b<<24|a[e++]<<16)>>16):29===b?(b=a[e++],b=b<<8|a[e++],b=b<<8|a[e++],b=b<<8|a[e++]):b>=32&&246>=b?b-139:b>=247&&250>=b?256*(b-247)+a[e++]+108:b>=251&&254>=b?-(256*(b-251))-a[e++]-108:(c("255 is not a valid DICT command"),-1)}function d(){for(var b="",c=15,d=["0","1","2","3","4","5","6","7","8","9",".","E","E-",null,"-"],f=a.length;f>e;){var g=a[e++],h=g>>4,i=15&g;if(h===c)break;if(b+=d[h],i===c)break;b+=d[i]}return parseFloat(b)}var e=0,f=[],g=[];e=0;for(var h=a.length;h>e;){var i=a[e];21>=i?(12===i&&(i=i<<8|a[++e]),g.push([i,f]),f=[],++e):f.push(b())}return g},parseIndex:function(a){var b,c,d=new oc,e=this.bytes,f=e[a++]<<8|e[a++],g=[],h=a;if(0!==f){var i=e[a++],j=a+(f+1)*i-1;for(b=0,c=f+1;c>b;++b){for(var k=0,l=0;i>l;++l)k<<=8,k+=e[a++];g.push(j+k)}h=g[f]}for(b=0,c=g.length-1;c>b;++b){var m=g[b],n=g[b+1];d.add(e.subarray(m,n))}return{obj:d,endPos:h}},parseNameIndex:function(a){for(var b=[],c=0,d=a.count;d>c;++c){for(var e=a.get(c),f=Math.min(e.length,127),g=[],h=0;f>h;++h){var j=e[h];(0!==h||0!==j)&&(33>j||j>126||91===j||93===j||40===j||41===j||123===j||125===j||60===j||62===j||47===j||37===j||35===j)?g[h]=95:g[h]=j}b.push(i(g))}return b},parseStringIndex:function(a){for(var b=new nc,c=0,d=a.count;d>c;++c){var e=a.get(c);b.add(i(e))}return b},createDict:function(a,b,c){for(var d=new a(c),e=0,f=b.length;f>e;++e){var g=b[e],h=g[0],i=g[1];d.setByKey(h,i)}return d},parseCharStrings:function(a){for(var c=this.parseIndex(a).obj,d=[],g=[],h=c.count,i=0;h>i;i++){for(var j=c.get(i),k=0,l=[],m=!0,n=0,o=!0,p=j,q=p.length,r=!0,s=0;q>s;){var t=p[s++],u=null;if(12===t){var v=p[s++];0===v?(p[s-2]=139,p[s-1]=22,k=0):u=f[v]}else 28===t?(l[k]=(p[s]<<24|p[s+1]<<16)>>16,s+=2,k++):14===t?(k>=4&&(k-=4,Nb&&(d[i]=l.slice(k,k+4),o=!1)),u=e[t]):t>=32&&246>=t?(l[k]=t-139,k++):t>=247&&254>=t?(l[k]=251>t?(t-247<<8)+p[s]+108:-(t-251<<8)-p[s]-108,s++,k++):255===t?(l[k]=(p[s]<<24|p[s+1]<<16|p[s+2]<<8|p[s+3])/65536,s+=4,k++):19===t||20===t?(n+=k>>1,s+=n+7>>3,k%=2,u=e[t]):u=e[t];if(u){if(u.stem&&(n+=k>>1),"min"in u&&!m&&k=2&&u.stem?k%=2:k>1&&b("Found too many parameters for stack-clearing command"),k>0&&l[k-1]>=0&&(g[i]=l[k-1])),"stackDelta"in u?("stackFn"in u&&u.stackFn(l,k),k+=u.stackDelta):u.stackClearing?k=0:u.resetStack?(k=0,m=!1):u.undefStack&&(k=0,m=!0,r=!1)}}o||c.set(i,new Uint8Array([14]))}return{charStrings:c,seacs:d,widths:g}},emptyPrivateDictionary:function(a){var b=this.createDict(rc,[],a.strings);a.setByKey(18,[0,0]),a.privateDict=b},parsePrivateDict:function(a){if(!a.hasName("Private"))return void this.emptyPrivateDictionary(a);var b=a.getByName("Private");if(!C(b)||2!==b.length)return void a.removeByName("Private");var c=b[0],d=b[1];if(0===c||d>=this.bytes.length)return void this.emptyPrivateDictionary(a);var e=d+c,f=this.bytes.subarray(d,e),g=this.parseDict(f),h=this.createDict(rc,g,a.strings);if(a.privateDict=h,h.getByName("Subrs")){var i=h.getByName("Subrs"),j=d+i;if(0===i||j>=this.bytes.length)return void this.emptyPrivateDictionary(a);var k=this.parseIndex(j);h.subrsIndex=k.obj}},parseCharsets:function(a,b,d,e){if(0===a)return new tc(!0,sc.ISO_ADOBE,Ja);if(1===a)return new tc(!0,sc.EXPERT,Ka);if(2===a)return new tc(!0,sc.EXPERT_SUBSET,La);var f,g,h,i=this.bytes,j=a,k=i[a++],l=[".notdef"];switch(b-=1,k){case 0:for(h=0;b>h;h++)f=i[a++]<<8|i[a++],l.push(e?f:d.get(f));break;case 1:for(;l.length<=b;)for(f=i[a++]<<8|i[a++],g=i[a++],h=0;g>=h;h++)l.push(e?f++:d.get(f++));break;case 2:for(;l.length<=b;)for(f=i[a++]<<8|i[a++],g=i[a++]<<8|i[a++],h=0;g>=h;h++)l.push(e?f++:d.get(f++));break;default:c("Unknown charset format")}var m=a,n=i.subarray(j,m);return new tc(!1,k,l,n)},parseEncoding:function(a,b,d,e){function f(){var b=k[a++];for(h=0;b>h;h++){var c=k[a++],f=(k[a++]<<8)+(255&k[a++]);j[c]=e.indexOf(d.get(f))}}var g,h,i,j={},k=this.bytes,l=!1,m=!1,n=null;if(0===a||1===a){l=!0,g=a;var o=a?Pb.ExpertEncoding:Pb.StandardEncoding;for(h=0,i=e.length;i>h;h++){var p=o.indexOf(e[h]);-1!==p&&(j[p]=h)}}else{var q=a;switch(g=k[a++],127&g){case 0:var r=k[a++];for(h=1;r>=h;h++)j[k[a++]]=h;break;case 1:var s=k[a++],t=1;for(h=0;s>h;h++)for(var u=k[a++],v=k[a++],w=u;u+v>=w;w++)j[w]=t++;break;default:c("Unknow encoding format: "+g+" in CFF")}var x=a;128&g&&(k[q]&=127,f(),m=!0),n=k.subarray(q,x)}return g=127&g,new uc(l,g,j,n)},parseFDSelect:function(a,b){var d,e=a,f=this.bytes,g=f[a++],h=[];switch(g){case 0:for(d=0;b>d;++d){var i=f[a++];h.push(i)}break;case 3:var j=f[a++]<<8|f[a++];for(d=0;j>d;++d)for(var k=f[a++]<<8|f[a++],l=f[a++],m=f[a]<<8|f[a+1],n=k;m>n;++n)h.push(l);a+=2;break;default:c("Unknown fdselect format "+g)}var o=a;return new vc(h,f.subarray(e,o))}},d}(),lc=function(){function a(){this.header=null,this.names=[],this.topDict=null,this.strings=new nc,this.globalSubrIndex=null,this.encoding=null,this.charset=null,this.charStrings=null,this.fdArray=[],this.fdSelect=null,this.isCIDFont=!1}return a}(),mc=function(){function a(a,b,c,d){this.major=a,this.minor=b,this.hdrSize=c,this.offSize=d}return a}(),nc=function(){function a(){this.strings=[]}return a.prototype={get:function(a){return a>=0&&390>=a?hc[a]:a-391<=this.strings.length?this.strings[a-391]:hc[0]},add:function(a){this.strings.push(a)},get count(){return this.strings.length}},a}(),oc=function(){function a(){this.objects=[],this.length=0}return a.prototype={add:function(a){this.length+=a.length,this.objects.push(a)},set:function(a,b){this.length+=b.length-this.objects[a].length,this.objects[a]=b},get:function(a){return this.objects[a]},get count(){return this.objects.length}},a}(),pc=function(){function a(a,b){this.keyToNameMap=a.keyToNameMap,this.nameToKeyMap=a.nameToKeyMap,this.defaults=a.defaults,this.types=a.types,this.opcodes=a.opcodes,this.order=a.order,this.strings=b,this.values={}}return a.prototype={setByKey:function(a,b){if(!(a in this.keyToNameMap))return!1;if(0===b.length)return!0;var c=this.types[a];return"num"!==c&&"sid"!==c&&"offset"!==c||(b=b[0]),this.values[a]=b,!0},setByName:function(a,b){a in this.nameToKeyMap||c('Invalid dictionary name "'+a+'"'),this.values[this.nameToKeyMap[a]]=b},hasName:function(a){return this.nameToKeyMap[a]in this.values},getByName:function(a){a in this.nameToKeyMap||c('Invalid dictionary name "'+a+'"');var b=this.nameToKeyMap[a];return b in this.values?this.values[b]:this.defaults[b]},removeByName:function(a){delete this.values[this.nameToKeyMap[a]]}},a.createTables=function(a){for(var b={keyToNameMap:{},nameToKeyMap:{},defaults:{},types:{},opcodes:{},order:[]},c=0,d=a.length;d>c;++c){var e=a[c],f=C(e[0])?(e[0][0]<<8)+e[0][1]:e[0];b.keyToNameMap[f]=e[1],b.nameToKeyMap[e[1]]=f,b.types[f]=e[2],b.defaults[f]=e[3],b.opcodes[f]=C(e[0])?e[0]:[e[0]],b.order.push(f)}return b},a}(),qc=function(){function a(a){null===c&&(c=pc.createTables(b)),pc.call(this,c,a),this.privateDict=null}var b=[[[12,30],"ROS",["sid","sid","num"],null],[[12,20],"SyntheticBase","num",null],[0,"version","sid",null],[1,"Notice","sid",null],[[12,0],"Copyright","sid",null],[2,"FullName","sid",null],[3,"FamilyName","sid",null],[4,"Weight","sid",null],[[12,1],"isFixedPitch","num",0],[[12,2],"ItalicAngle","num",0],[[12,3],"UnderlinePosition","num",-100],[[12,4],"UnderlineThickness","num",50],[[12,5],"PaintType","num",0],[[12,6],"CharstringType","num",2],[[12,7],"FontMatrix",["num","num","num","num","num","num"],[.001,0,0,.001,0,0]],[13,"UniqueID","num",null],[5,"FontBBox",["num","num","num","num"],[0,0,0,0]],[[12,8],"StrokeWidth","num",0],[14,"XUID","array",null],[15,"charset","offset",0],[16,"Encoding","offset",0],[17,"CharStrings","offset",0],[18,"Private",["offset","offset"],null],[[12,21],"PostScript","sid",null],[[12,22],"BaseFontName","sid",null],[[12,23],"BaseFontBlend","delta",null],[[12,31],"CIDFontVersion","num",0],[[12,32],"CIDFontRevision","num",0],[[12,33],"CIDFontType","num",0],[[12,34],"CIDCount","num",8720],[[12,35],"UIDBase","num",null],[[12,37],"FDSelect","offset",null],[[12,36],"FDArray","offset",null],[[12,38],"FontName","sid",null]],c=null;return a.prototype=Object.create(pc.prototype),a}(),rc=function(){function a(a){null===c&&(c=pc.createTables(b)),pc.call(this,c,a),this.subrsIndex=null}var b=[[6,"BlueValues","delta",null],[7,"OtherBlues","delta",null],[8,"FamilyBlues","delta",null],[9,"FamilyOtherBlues","delta",null],[[12,9],"BlueScale","num",.039625],[[12,10],"BlueShift","num",7],[[12,11],"BlueFuzz","num",1],[10,"StdHW","num",null],[11,"StdVW","num",null],[[12,12],"StemSnapH","delta",null],[[12,13],"StemSnapV","delta",null],[[12,14],"ForceBold","num",0],[[12,17],"LanguageGroup","num",0],[[12,18],"ExpansionFactor","num",.06],[[12,19],"initialRandomSeed","num",0],[20,"defaultWidthX","num",0],[21,"nominalWidthX","num",0],[19,"Subrs","offset",null]],c=null;return a.prototype=Object.create(pc.prototype),a}(),sc={ISO_ADOBE:0,EXPERT:1,EXPERT_SUBSET:2},tc=function(){function a(a,b,c,d){this.predefined=a,this.format=b,this.charset=c,this.raw=d}return a}(),uc=function(){function a(a,b,c,d){this.predefined=a,this.format=b,this.encoding=c,this.raw=d}return a}(),vc=function(){function a(a,b){this.fdSelect=a,this.raw=b}return a}(),wc=function(){function a(){this.offsets={}}return a.prototype={isTracking:function(a){return a in this.offsets},track:function(a,b){a in this.offsets&&c("Already tracking location of "+a),this.offsets[a]=b},offset:function(a){for(var b in this.offsets)this.offsets[b]+=a},setEntryLocation:function(a,b,d){a in this.offsets||c("Not tracking location of "+a);for(var e=d.data,f=this.offsets[a],g=5,h=0,i=b.length;i>h;++h){var j=h*g+f,k=j+1,l=j+2,m=j+3,n=j+4;29===e[j]&&0===e[k]&&0===e[l]&&0===e[m]&&0===e[n]||c("writing to an offset that is not empty");var o=b[h];e[j]=29,e[k]=o>>24&255,e[l]=o>>16&255,e[m]=o>>8&255,e[n]=255&o}}},a}(),xc=function(){function a(a){this.cff=a}return a.prototype={compile:function(){var a=this.cff,b={data:[],length:0,add:function(a){this.data=this.data.concat(a),this.length=this.data.length}},c=this.compileHeader(a.header);b.add(c);var d=this.compileNameIndex(a.names);if(b.add(d),a.isCIDFont&&a.topDict.hasName("FontMatrix")){var e=a.topDict.getByName("FontMatrix");a.topDict.removeByName("FontMatrix");for(var f=0,g=a.fdArray.length;g>f;f++){var h=a.fdArray[f],i=e.slice(0);h.hasName("FontMatrix")&&(i=na.transform(i,h.getByName("FontMatrix"))),h.setByName("FontMatrix",i)}}var j=this.compileTopDicts([a.topDict],b.length,a.isCIDFont);b.add(j.output);var k=j.trackers[0],l=this.compileStringIndex(a.strings.strings);b.add(l);var m=this.compileIndex(a.globalSubrIndex);if(b.add(m),a.encoding&&a.topDict.hasName("Encoding"))if(a.encoding.predefined)k.setEntryLocation("Encoding",[a.encoding.format],b);else{var n=this.compileEncoding(a.encoding);k.setEntryLocation("Encoding",[b.length],b),b.add(n)}if(a.charset&&a.topDict.hasName("charset"))if(a.charset.predefined)k.setEntryLocation("charset",[a.charset.format],b);else{var o=this.compileCharset(a.charset);k.setEntryLocation("charset",[b.length],b),b.add(o)}var p=this.compileCharStrings(a.charStrings);if(k.setEntryLocation("CharStrings",[b.length],b),b.add(p),a.isCIDFont){k.setEntryLocation("FDSelect",[b.length],b);var q=this.compileFDSelect(a.fdSelect.raw);b.add(q),j=this.compileTopDicts(a.fdArray,b.length,!0),k.setEntryLocation("FDArray",[b.length],b),b.add(j.output);var r=j.trackers;this.compilePrivateDicts(a.fdArray,r,b)}return this.compilePrivateDicts([a.topDict],[k],b),b.add([0]),b.data},encodeNumber:function(a){return parseFloat(a)!==parseInt(a,10)||isNaN(a)?this.encodeFloat(a):this.encodeInteger(a)},encodeFloat:function(a){var b=a.toString(),c=/\.(\d*?)(?:9{5,20}|0{5,20})\d{0,2}(?:e(.+)|$)/.exec(b);if(c){var d=parseFloat("1e"+((c[2]?+c[2]:0)+c[1].length));b=(Math.round(a*d)/d).toString()}var e,f,g="";for(e=0,f=b.length;f>e;++e){var h=b[e];g+="e"===h?"-"===b[++e]?"c":"b":"."===h?"a":"-"===h?"e":h}g+=1&g.length?"f":"ff";var i=[30];for(e=0,f=g.length;f>e;e+=2)i.push(parseInt(g.substr(e,2),16));return i},encodeInteger:function(a){var b;return a>=-107&&107>=a?b=[a+139]:a>=108&&1131>=a?(a=[a-108],b=[(a>>8)+247,255&a]):a>=-1131&&-108>=a?(a=-a-108,b=[(a>>8)+251,255&a]):b=a>=-32768&&32767>=a?[28,a>>8&255,255&a]:[29,a>>24&255,a>>16&255,a>>8&255,255&a],b},compileHeader:function(a){return[a.major,a.minor,a.hdrSize,a.offSize]},compileNameIndex:function(a){for(var b=new oc,c=0,d=a.length;d>c;++c)b.add(j(a[c]));return this.compileIndex(b)},compileTopDicts:function(a,b,c){for(var d=[],e=new oc,f=0,g=a.length;g>f;++f){var h=a[f];c&&(h.removeByName("CIDFontVersion"),h.removeByName("CIDFontRevision"),h.removeByName("CIDFontType"),h.removeByName("CIDCount"),h.removeByName("UIDBase"));var i=new wc,j=this.compileDict(h,i);d.push(i),e.add(j),i.offset(b)}return e=this.compileIndex(e,d),{trackers:d,output:e}},compilePrivateDicts:function(a,b,c){for(var d=0,f=a.length;f>d;++d){var g=a[d];e(g.privateDict&&g.hasName("Private"),"There must be an private dictionary.");var h=g.privateDict,i=new wc,j=this.compileDict(h,i),k=c.length;if(i.offset(k),j.length||(k=0),b[d].setEntryLocation("Private",[j.length,k],c),c.add(j),h.subrsIndex&&h.hasName("Subrs")){var l=this.compileIndex(h.subrsIndex);i.setEntryLocation("Subrs",[j.length],c),c.add(l)}}},compileDict:function(a,b){for(var d=[],e=a.order,f=0;fj;++j){var l=i[j],m=h[j];switch(l){case"num":case"sid":d=d.concat(this.encodeNumber(m));break;case"offset":var n=a.keyToNameMap[g];b.isTracking(n)||b.track(n,d.length),d=d.concat([29,0,0,0,0]);break;case"array":case"delta":d=d.concat(this.encodeNumber(m));for(var o=1,p=h.length;p>o;++o)d=d.concat(this.encodeNumber(h[o]));break;default:c("Unknown data type of "+l)}}d=d.concat(a.opcodes[g])}}}return d},compileStringIndex:function(a){for(var b=new oc,c=0,d=a.length;d>c;++c)b.add(j(a[c]));return this.compileIndex(b)},compileGlobalSubrIndex:function(){var a=this.cff.globalSubrIndex;this.out.writeByteArray(this.compileIndex(a))},compileCharStrings:function(a){return this.compileIndex(a)},compileCharset:function(a){return this.compileTypedArray(a.raw)},compileEncoding:function(a){return this.compileTypedArray(a.raw)},compileFDSelect:function(a){return this.compileTypedArray(a)},compileTypedArray:function(a){for(var b=[],c=0,d=a.length;d>c;++c)b[c]=a[c];return b},compileIndex:function(a,b){b=b||[];var c=a.objects,d=c.length;if(0===d)return[0,0,0];var e,f=[d>>8&255,255&d],g=1;for(e=0;d>e;++e)g+=c[e].length;var h;h=256>g?1:65536>g?2:16777216>g?3:4,f.push(h);var i=1;for(e=0;d+1>e;e++)1===h?f.push(255&i):2===h?f.push(i>>8&255,255&i):3===h?f.push(i>>16&255,i>>8&255,255&i):f.push(i>>>24&255,i>>16&255,i>>8&255,255&i),c[e]&&(i+=c[e].length);for(e=0;d>e;e++){b[e]&&b[e].offset(f.length);for(var j=0,k=c[e].length;k>j;j++)f.push(c[e][j])}return f}},a}();!function(){/Windows/.test(navigator.userAgent)&&(Nb=!0)}(),function(){/Windows.*Chrome/.test(navigator.userAgent)&&(Kb=!0)}();var yc=function(){function a(a,b){return a[b]<<24|a[b+1]<<16|a[b+2]<<8|a[b+3]}function b(a,b){return a[b]<<8|a[b+1]}function d(d,e,f){var g,h,i,j,k=1===b(d,e+2)?a(d,e+8):a(d,e+16),l=b(d,e+k);if(4===l){g=b(d,e+k+2);var m=b(d,e+k+6)>>1;for(i=e+k+14,h=[],j=0;m>j;j++,i+=2)h[j]={end:b(d,i)};for(i+=2,j=0;m>j;j++,i+=2)h[j].start=b(d,i);for(j=0;m>j;j++,i+=2)h[j].idDelta=b(d,i);for(j=0;m>j;j++,i+=2){var n=b(d,i);if(0!==n){h[j].ids=[];for(var o=0,p=h[j].end-h[j].start+1;p>o;o++)h[j].ids[o]=b(d,i+n),n+=2}}return h}if(12===l){g=a(d,e+k+4);var q=a(d,e+k+12);for(i=e+k+16,h=[],j=0;q>j;j++)h.push({start:a(d,i),end:a(d,i+4),idDelta:a(d,i+8)-a(d,i)}),i+=12;return h}c("not supported cmap: "+l)}function e(a,b,c){var d={},e=new kc(new Mc(a,b,c-b),d),f=e.parse();return{glyphs:f.charStrings.objects,subrs:f.topDict.privateDict&&f.topDict.privateDict.subrsIndex&&f.topDict.privateDict.subrsIndex.objects,gsubrs:f.globalSubrIndex&&f.globalSubrIndex.objects}}function f(a,b,c){var d,e;c?(d=4,e=function(a,b){return a[b]<<24|a[b+1]<<16|a[b+2]<<8|a[b+3]}):(d=2,e=function(a,b){return a[b]<<9|a[b+1]<<1});for(var f=[],g=e(b,0),h=d;hd;){var f=d+e+1>>1;c>16,k=0,l=0;if(i+=10,0>j){do{g=a[i]<<8|a[i+1];var m=a[i+2]<<8|a[i+3];i+=4;var n,o;1&g?(n=(a[i]<<24|a[i+1]<<16)>>16,o=(a[i+2]<<24|a[i+3]<<16)>>16,i+=4):(n=a[i++],o=a[i++]),2&g?(k=n,l=o):(k=0,l=0);var p=1,q=1,r=0,s=0;8&g?(p=q=(a[i]<<24|a[i+1]<<16)/1073741824,i+=2):64&g?(p=(a[i]<<24|a[i+1]<<16)/1073741824,q=(a[i+2]<<24|a[i+3]<<16)/1073741824,i+=4):128&g&&(p=(a[i]<<24|a[i+1]<<16)/1073741824,r=(a[i+2]<<24|a[i+3]<<16)/1073741824,s=(a[i+4]<<24|a[i+5]<<16)/1073741824,q=(a[i+6]<<24|a[i+7]<<16)/1073741824,i+=8);var t=c.glyphs[m];t&&(b.push({cmd:"save"}),b.push({cmd:"transform",args:[p,r,s,q,k,l]}),h(t,b,c),b.push({cmd:"restore"}))}while(32&g)}else{var u,v,w=[];for(u=0;j>u;u++)w.push(a[i]<<8|a[i+1]),i+=2;var x=a[i]<<8|a[i+1];i+=2+x;for(var y=w[w.length-1]+1,z=[];z.length0;)z.push({flags:g})}for(u=0;y>u;u++){switch(18&z[u].flags){case 0:k+=(a[i]<<24|a[i+1]<<16)>>16,i+=2;break;case 2:k-=a[i++];break;case 18:k+=a[i++]}z[u].x=k}for(u=0;y>u;u++){switch(36&z[u].flags){case 0:l+=(a[i]<<24|a[i+1]<<16)>>16,i+=2;break;case 4:l-=a[i++];break;case 36:l+=a[i++]}z[u].y=l}var B=0;for(i=0;j>i;i++){var C=w[i],D=z.slice(B,C+1);if(1&D[0].flags)D.push(D[0]);else if(1&D[D.length-1].flags)D.unshift(D[D.length-1]);else{var E={flags:1,x:(D[0].x+D[D.length-1].x)/2,y:(D[0].y+D[D.length-1].y)/2};D.unshift(E),D.push(E)}for(d(D[0].x,D[0].y),u=1,v=D.length;v>u;u++)1&D[u].flags?e(D[u].x,D[u].y):1&D[u+1].flags?(f(D[u].x,D[u].y,D[u+1].x,D[u+1].y),u++):f(D[u].x,D[u].y,(D[u].x+D[u+1].x)/2,(D[u].y+D[u+1].y)/2);B=C+1}}}function j(a,b,d){function e(a,c){b.push({cmd:"moveTo",args:[a,c]})}function f(a,c){b.push({cmd:"lineTo",args:[a,c]})}function h(a,c,d,e,f,g){b.push({cmd:"bezierCurveTo",args:[a,c,d,e,f,g]})}function i(a){for(var o=0;o>1,y=!0;break;case 3:n+=k.length>>1,y=!0;break;case 4:m+=k.pop(),e(l,m),y=!0;break;case 5:for(;k.length>0;)l+=k.shift(),m+=k.shift(),f(l,m);break;case 6:for(;k.length>0&&(l+=k.shift(),f(l,m),0!==k.length);)m+=k.shift(),f(l,m);break;case 7:for(;k.length>0&&(m+=k.shift(),f(l,m),0!==k.length);)l+=k.shift(),f(l,m);break;case 8:for(;k.length>0;)p=l+k.shift(),r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q+k.shift(),m=s+k.shift(),h(p,r,q,s,l,m);break;case 10:w=k.pop()+d.subrsBias,x=d.subrs[w],x&&i(x);break;case 11:return;case 12:switch(z=a[o++]){case 34:p=l+k.shift(),q=p+k.shift(),t=m+k.shift(),l=q+k.shift(),h(p,m,q,t,l,t),p=l+k.shift(),q=p+k.shift(),l=q+k.shift(),h(p,t,q,m,l,m);break;case 35:p=l+k.shift(),r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q+k.shift(),m=s+k.shift(),h(p,r,q,s,l,m),p=l+k.shift(),r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q+k.shift(),m=s+k.shift(),h(p,r,q,s,l,m),k.pop();break;case 36:p=l+k.shift(),t=m+k.shift(),q=p+k.shift(),u=t+k.shift(),l=q+k.shift(),h(p,t,q,u,l,u),p=l+k.shift(),q=p+k.shift(),v=u+k.shift(),l=q+k.shift(),h(p,u,q,v,l,m);break;case 37:var A=l,B=m;p=l+k.shift(),r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q+k.shift(),m=s+k.shift(),h(p,r,q,s,l,m),p=l+k.shift(),r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q,m=s,Math.abs(l-A)>Math.abs(m-B)?l+=k.shift():m+=k.shift(),h(p,r,q,s,l,m);break;default:c("unknown operator: 12 "+z)}break;case 14:if(k.length>=4){var C=k.pop(),D=k.pop();m=k.pop(),l=k.pop(),b.push({cmd:"save"}),b.push({cmd:"translate",args:[l,m]});var E=g(d.cmap,String.fromCharCode(d.glyphNameMap[Pb.StandardEncoding[C]]));j(d.glyphs[E],b,d),b.push({cmd:"restore"}),E=g(d.cmap,String.fromCharCode(d.glyphNameMap[Pb.StandardEncoding[D]])),j(d.glyphs[E],b,d)}return;case 18:n+=k.length>>1,y=!0;break;case 19:n+=k.length>>1,o+=n+7>>3,y=!0;break;case 20:n+=k.length>>1,o+=n+7>>3,y=!0;break;case 21:m+=k.pop(),l+=k.pop(),e(l,m),y=!0;break;case 22:l+=k.pop(),e(l,m),y=!0;break;case 23:n+=k.length>>1,y=!0;break;case 24:for(;k.length>2;)p=l+k.shift(),r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q+k.shift(),m=s+k.shift(),h(p,r,q,s,l,m);l+=k.shift(),m+=k.shift(),f(l,m);break;case 25:for(;k.length>6;)l+=k.shift(),m+=k.shift(),f(l,m);p=l+k.shift(),r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q+k.shift(),m=s+k.shift(),h(p,r,q,s,l,m);break;case 26:for(k.length%2&&(l+=k.shift());k.length>0;)p=l,r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q,m=s+k.shift(),h(p,r,q,s,l,m);break;case 27:for(k.length%2&&(m+=k.shift());k.length>0;)p=l+k.shift(),r=m,q=p+k.shift(),s=r+k.shift(),l=q+k.shift(),m=s,h(p,r,q,s,l,m);break;case 28:k.push((a[o]<<24|a[o+1]<<16)>>16),o+=2;break;case 29:w=k.pop()+d.gsubrsBias,x=d.gsubrs[w],x&&i(x);break;case 30:for(;k.length>0&&(p=l,r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q+k.shift(),m=s+(1===k.length?k.shift():0),h(p,r,q,s,l,m),0!==k.length);)p=l+k.shift(),r=m,q=p+k.shift(),s=r+k.shift(),m=s+k.shift(),l=q+(1===k.length?k.shift():0),h(p,r,q,s,l,m);break;case 31:for(;k.length>0&&(p=l+k.shift(),r=m,q=p+k.shift(),s=r+k.shift(),m=s+k.shift(),l=q+(1===k.length?k.shift():0),h(p,r,q,s,l,m),0!==k.length);)p=l,r=m+k.shift(),q=p+k.shift(),s=r+k.shift(),l=q+k.shift(),m=s+(1===k.length?k.shift():0),h(p,r,q,s,l,m);break;default:32>z&&c("unknown operator: "+z),247>z?k.push(z-139):251>z?k.push(256*(z-247)+a[o++]+108):255>z?k.push(256*-(z-251)-a[o++]-108):(k.push((a[o]<<24|a[o+1]<<16|a[o+2]<<8|a[o+3])/65536),o+=4)}y&&(k.length=0)}}var k=[],l=0,m=0,n=0;i(a)}function k(a){this.compiledGlyphs={},this.fontMatrix=a}function l(a,b,c){c=c||[488e-6,0,0,488e-6,0,0],k.call(this,c),this.glyphs=a,this.cmap=b,this.compiledGlyphs=[]}function m(a,b,c,d){c=c||[.001,0,0,.001,0,0],k.call(this,c),this.glyphs=a.glyphs,this.gsubrs=a.gsubrs||[],this.subrs=a.subrs||[],this.cmap=b,this.glyphNameMap=d||zc,this.compiledGlyphs=[],this.gsubrsBias=this.gsubrs.length<1240?107:this.gsubrs.length<33900?1131:32768,this.subrsBias=this.subrs.length<1240?107:this.subrs.length<33900?1131:32768}var n="";return k.prototype={getPathJs:function(a){var b=g(this.cmap,a),c=this.compiledGlyphs[b];return c||(this.compiledGlyphs[b]=c=this.compileGlyph(this.glyphs[b])),c},compileGlyph:function(a){if(!a||0===a.length||14===a[0])return n;var b=[];return b.push({cmd:"save"}),b.push({cmd:"transform",args:this.fontMatrix.slice()}),b.push({cmd:"scale",args:["size","-size"]}),this.compileGlyphImpl(a,b),b.push({cmd:"restore"}),b},compileGlyphImpl:function(){c("Children classes should implement this.")},hasBuiltPath:function(a){var b=g(this.cmap,a);return b in this.compiledGlyphs}},na.inherit(l,k,{compileGlyphImpl:function(a,b){h(a,b,this)}}),na.inherit(m,k,{compileGlyphImpl:function(a,b){j(a,b,this)}}),{create:function(c){for(var g,h,j,k,n,o,p=new Uint8Array(c.data),q=b(p,4),r=0,s=12;q>r;r++,s+=16){var t=i(p.subarray(s,s+4)),u=a(p,s+8),v=a(p,s+12);switch(t){case"cmap":g=d(p,u,u+v);break;case"glyf":h=p.subarray(u,u+v);break;case"loca":j=p.subarray(u,u+v);break;case"head":o=b(p,u+18),n=b(p,u+50);break;case"CFF ":k=e(p,u,u+v)}}if(h){var w=o?[1/o,0,0,1/o,0,0]:c.fontMatrix;return new l(f(h,j,n),g,w)}return new m(k,g,c.fontMatrix,c.glyphNameMap)}}}(),zc={A:65,AE:198,AEacute:508,AEmacron:482,AEsmall:63462,Aacute:193,Aacutesmall:63457,Abreve:258,Abreveacute:7854,Abrevecyrillic:1232,Abrevedotbelow:7862,Abrevegrave:7856,Abrevehookabove:7858,Abrevetilde:7860,Acaron:461,Acircle:9398,Acircumflex:194,Acircumflexacute:7844,Acircumflexdotbelow:7852,Acircumflexgrave:7846,Acircumflexhookabove:7848,Acircumflexsmall:63458,Acircumflextilde:7850,Acute:63177,Acutesmall:63412,Acyrillic:1040,Adblgrave:512,Adieresis:196,Adieresiscyrillic:1234,Adieresismacron:478,Adieresissmall:63460,Adotbelow:7840,Adotmacron:480,Agrave:192,Agravesmall:63456,Ahookabove:7842,Aiecyrillic:1236,Ainvertedbreve:514,Alpha:913,Alphatonos:902,Amacron:256,Amonospace:65313,Aogonek:260,Aring:197,Aringacute:506,Aringbelow:7680,Aringsmall:63461,Asmall:63329,Atilde:195,Atildesmall:63459,Aybarmenian:1329,B:66,Bcircle:9399,Bdotaccent:7682,Bdotbelow:7684,Becyrillic:1041,Benarmenian:1330,Beta:914,Bhook:385,Blinebelow:7686,Bmonospace:65314,Brevesmall:63220,Bsmall:63330,Btopbar:386,C:67,Caarmenian:1342,Cacute:262,Caron:63178,Caronsmall:63221,Ccaron:268,Ccedilla:199,Ccedillaacute:7688,Ccedillasmall:63463,Ccircle:9400,Ccircumflex:264,Cdot:266,Cdotaccent:266,Cedillasmall:63416,Chaarmenian:1353,Cheabkhasiancyrillic:1212,Checyrillic:1063,Chedescenderabkhasiancyrillic:1214,Chedescendercyrillic:1206,Chedieresiscyrillic:1268,Cheharmenian:1347,Chekhakassiancyrillic:1227,Cheverticalstrokecyrillic:1208,Chi:935,Chook:391,Circumflexsmall:63222,Cmonospace:65315,Coarmenian:1361,Csmall:63331,D:68,DZ:497,DZcaron:452,Daarmenian:1332,Dafrican:393, +Dcaron:270,Dcedilla:7696,Dcircle:9401,Dcircumflexbelow:7698,Dcroat:272,Ddotaccent:7690,Ddotbelow:7692,Decyrillic:1044,Deicoptic:1006,Delta:8710,Deltagreek:916,Dhook:394,Dieresis:63179,DieresisAcute:63180,DieresisGrave:63181,Dieresissmall:63400,Digammagreek:988,Djecyrillic:1026,Dlinebelow:7694,Dmonospace:65316,Dotaccentsmall:63223,Dslash:272,Dsmall:63332,Dtopbar:395,Dz:498,Dzcaron:453,Dzeabkhasiancyrillic:1248,Dzecyrillic:1029,Dzhecyrillic:1039,E:69,Eacute:201,Eacutesmall:63465,Ebreve:276,Ecaron:282,Ecedillabreve:7708,Echarmenian:1333,Ecircle:9402,Ecircumflex:202,Ecircumflexacute:7870,Ecircumflexbelow:7704,Ecircumflexdotbelow:7878,Ecircumflexgrave:7872,Ecircumflexhookabove:7874,Ecircumflexsmall:63466,Ecircumflextilde:7876,Ecyrillic:1028,Edblgrave:516,Edieresis:203,Edieresissmall:63467,Edot:278,Edotaccent:278,Edotbelow:7864,Efcyrillic:1060,Egrave:200,Egravesmall:63464,Eharmenian:1335,Ehookabove:7866,Eightroman:8551,Einvertedbreve:518,Eiotifiedcyrillic:1124,Elcyrillic:1051,Elevenroman:8554,Emacron:274,Emacronacute:7702,Emacrongrave:7700,Emcyrillic:1052,Emonospace:65317,Encyrillic:1053,Endescendercyrillic:1186,Eng:330,Enghecyrillic:1188,Enhookcyrillic:1223,Eogonek:280,Eopen:400,Epsilon:917,Epsilontonos:904,Ercyrillic:1056,Ereversed:398,Ereversedcyrillic:1069,Escyrillic:1057,Esdescendercyrillic:1194,Esh:425,Esmall:63333,Eta:919,Etarmenian:1336,Etatonos:905,Eth:208,Ethsmall:63472,Etilde:7868,Etildebelow:7706,Euro:8364,Ezh:439,Ezhcaron:494,Ezhreversed:440,F:70,Fcircle:9403,Fdotaccent:7710,Feharmenian:1366,Feicoptic:996,Fhook:401,Fitacyrillic:1138,Fiveroman:8548,Fmonospace:65318,Fourroman:8547,Fsmall:63334,G:71,GBsquare:13191,Gacute:500,Gamma:915,Gammaafrican:404,Gangiacoptic:1002,Gbreve:286,Gcaron:486,Gcedilla:290,Gcircle:9404,Gcircumflex:284,Gcommaaccent:290,Gdot:288,Gdotaccent:288,Gecyrillic:1043,Ghadarmenian:1346,Ghemiddlehookcyrillic:1172,Ghestrokecyrillic:1170,Gheupturncyrillic:1168,Ghook:403,Gimarmenian:1331,Gjecyrillic:1027,Gmacron:7712,Gmonospace:65319,Grave:63182,Gravesmall:63328,Gsmall:63335,Gsmallhook:667,Gstroke:484,H:72,H18533:9679,H18543:9642,H18551:9643,H22073:9633,HPsquare:13259,Haabkhasiancyrillic:1192,Hadescendercyrillic:1202,Hardsigncyrillic:1066,Hbar:294,Hbrevebelow:7722,Hcedilla:7720,Hcircle:9405,Hcircumflex:292,Hdieresis:7718,Hdotaccent:7714,Hdotbelow:7716,Hmonospace:65320,Hoarmenian:1344,Horicoptic:1e3,Hsmall:63336,Hungarumlaut:63183,Hungarumlautsmall:63224,Hzsquare:13200,I:73,IAcyrillic:1071,IJ:306,IUcyrillic:1070,Iacute:205,Iacutesmall:63469,Ibreve:300,Icaron:463,Icircle:9406,Icircumflex:206,Icircumflexsmall:63470,Icyrillic:1030,Idblgrave:520,Idieresis:207,Idieresisacute:7726,Idieresiscyrillic:1252,Idieresissmall:63471,Idot:304,Idotaccent:304,Idotbelow:7882,Iebrevecyrillic:1238,Iecyrillic:1045,Ifraktur:8465,Igrave:204,Igravesmall:63468,Ihookabove:7880,Iicyrillic:1048,Iinvertedbreve:522,Iishortcyrillic:1049,Imacron:298,Imacroncyrillic:1250,Imonospace:65321,Iniarmenian:1339,Iocyrillic:1025,Iogonek:302,Iota:921,Iotaafrican:406,Iotadieresis:938,Iotatonos:906,Ismall:63337,Istroke:407,Itilde:296,Itildebelow:7724,Izhitsacyrillic:1140,Izhitsadblgravecyrillic:1142,J:74,Jaarmenian:1345,Jcircle:9407,Jcircumflex:308,Jecyrillic:1032,Jheharmenian:1355,Jmonospace:65322,Jsmall:63338,K:75,KBsquare:13189,KKsquare:13261,Kabashkircyrillic:1184,Kacute:7728,Kacyrillic:1050,Kadescendercyrillic:1178,Kahookcyrillic:1219,Kappa:922,Kastrokecyrillic:1182,Kaverticalstrokecyrillic:1180,Kcaron:488,Kcedilla:310,Kcircle:9408,Kcommaaccent:310,Kdotbelow:7730,Keharmenian:1364,Kenarmenian:1343,Khacyrillic:1061,Kheicoptic:998,Khook:408,Kjecyrillic:1036,Klinebelow:7732,Kmonospace:65323,Koppacyrillic:1152,Koppagreek:990,Ksicyrillic:1134,Ksmall:63339,L:76,LJ:455,LL:63167,Lacute:313,Lambda:923,Lcaron:317,Lcedilla:315,Lcircle:9409,Lcircumflexbelow:7740,Lcommaaccent:315,Ldot:319,Ldotaccent:319,Ldotbelow:7734,Ldotbelowmacron:7736,Liwnarmenian:1340,Lj:456,Ljecyrillic:1033,Llinebelow:7738,Lmonospace:65324,Lslash:321,Lslashsmall:63225,Lsmall:63340,M:77,MBsquare:13190,Macron:63184,Macronsmall:63407,Macute:7742,Mcircle:9410,Mdotaccent:7744,Mdotbelow:7746,Menarmenian:1348,Mmonospace:65325,Msmall:63341,Mturned:412,Mu:924,N:78,NJ:458,Nacute:323,Ncaron:327,Ncedilla:325,Ncircle:9411,Ncircumflexbelow:7754,Ncommaaccent:325,Ndotaccent:7748,Ndotbelow:7750,Nhookleft:413,Nineroman:8552,Nj:459,Njecyrillic:1034,Nlinebelow:7752,Nmonospace:65326,Nowarmenian:1350,Nsmall:63342,Ntilde:209,Ntildesmall:63473,Nu:925,O:79,OE:338,OEsmall:63226,Oacute:211,Oacutesmall:63475,Obarredcyrillic:1256,Obarreddieresiscyrillic:1258,Obreve:334,Ocaron:465,Ocenteredtilde:415,Ocircle:9412,Ocircumflex:212,Ocircumflexacute:7888,Ocircumflexdotbelow:7896,Ocircumflexgrave:7890,Ocircumflexhookabove:7892,Ocircumflexsmall:63476,Ocircumflextilde:7894,Ocyrillic:1054,Odblacute:336,Odblgrave:524,Odieresis:214,Odieresiscyrillic:1254,Odieresissmall:63478,Odotbelow:7884,Ogoneksmall:63227,Ograve:210,Ogravesmall:63474,Oharmenian:1365,Ohm:8486,Ohookabove:7886,Ohorn:416,Ohornacute:7898,Ohorndotbelow:7906,Ohorngrave:7900,Ohornhookabove:7902,Ohorntilde:7904,Ohungarumlaut:336,Oi:418,Oinvertedbreve:526,Omacron:332,Omacronacute:7762,Omacrongrave:7760,Omega:8486,Omegacyrillic:1120,Omegagreek:937,Omegaroundcyrillic:1146,Omegatitlocyrillic:1148,Omegatonos:911,Omicron:927,Omicrontonos:908,Omonospace:65327,Oneroman:8544,Oogonek:490,Oogonekmacron:492,Oopen:390,Oslash:216,Oslashacute:510,Oslashsmall:63480,Osmall:63343,Ostrokeacute:510,Otcyrillic:1150,Otilde:213,Otildeacute:7756,Otildedieresis:7758,Otildesmall:63477,P:80,Pacute:7764,Pcircle:9413,Pdotaccent:7766,Pecyrillic:1055,Peharmenian:1354,Pemiddlehookcyrillic:1190,Phi:934,Phook:420,Pi:928,Piwrarmenian:1363,Pmonospace:65328,Psi:936,Psicyrillic:1136,Psmall:63344,Q:81,Qcircle:9414,Qmonospace:65329,Qsmall:63345,R:82,Raarmenian:1356,Racute:340,Rcaron:344,Rcedilla:342,Rcircle:9415,Rcommaaccent:342,Rdblgrave:528,Rdotaccent:7768,Rdotbelow:7770,Rdotbelowmacron:7772,Reharmenian:1360,Rfraktur:8476,Rho:929,Ringsmall:63228,Rinvertedbreve:530,Rlinebelow:7774,Rmonospace:65330,Rsmall:63346,Rsmallinverted:641,Rsmallinvertedsuperior:694,S:83,SF010000:9484,SF020000:9492,SF030000:9488,SF040000:9496,SF050000:9532,SF060000:9516,SF070000:9524,SF080000:9500,SF090000:9508,SF100000:9472,SF110000:9474,SF190000:9569,SF200000:9570,SF210000:9558,SF220000:9557,SF230000:9571,SF240000:9553,SF250000:9559,SF260000:9565,SF270000:9564,SF280000:9563,SF360000:9566,SF370000:9567,SF380000:9562,SF390000:9556,SF400000:9577,SF410000:9574,SF420000:9568,SF430000:9552,SF440000:9580,SF450000:9575,SF460000:9576,SF470000:9572,SF480000:9573,SF490000:9561,SF500000:9560,SF510000:9554,SF520000:9555,SF530000:9579,SF540000:9578,Sacute:346,Sacutedotaccent:7780,Sampigreek:992,Scaron:352,Scarondotaccent:7782,Scaronsmall:63229,Scedilla:350,Schwa:399,Schwacyrillic:1240,Schwadieresiscyrillic:1242,Scircle:9416,Scircumflex:348,Scommaaccent:536,Sdotaccent:7776,Sdotbelow:7778,Sdotbelowdotaccent:7784,Seharmenian:1357,Sevenroman:8550,Shaarmenian:1351,Shacyrillic:1064,Shchacyrillic:1065,Sheicoptic:994,Shhacyrillic:1210,Shimacoptic:1004,Sigma:931,Sixroman:8549,Smonospace:65331,Softsigncyrillic:1068,Ssmall:63347,Stigmagreek:986,T:84,Tau:932,Tbar:358,Tcaron:356,Tcedilla:354,Tcircle:9417,Tcircumflexbelow:7792,Tcommaaccent:354,Tdotaccent:7786,Tdotbelow:7788,Tecyrillic:1058,Tedescendercyrillic:1196,Tenroman:8553,Tetsecyrillic:1204,Theta:920,Thook:428,Thorn:222,Thornsmall:63486,Threeroman:8546,Tildesmall:63230,Tiwnarmenian:1359,Tlinebelow:7790,Tmonospace:65332,Toarmenian:1337,Tonefive:444,Tonesix:388,Tonetwo:423,Tretroflexhook:430,Tsecyrillic:1062,Tshecyrillic:1035,Tsmall:63348,Twelveroman:8555,Tworoman:8545,U:85,Uacute:218,Uacutesmall:63482,Ubreve:364,Ucaron:467,Ucircle:9418,Ucircumflex:219,Ucircumflexbelow:7798,Ucircumflexsmall:63483,Ucyrillic:1059,Udblacute:368,Udblgrave:532,Udieresis:220,Udieresisacute:471,Udieresisbelow:7794,Udieresiscaron:473,Udieresiscyrillic:1264,Udieresisgrave:475,Udieresismacron:469,Udieresissmall:63484,Udotbelow:7908,Ugrave:217,Ugravesmall:63481,Uhookabove:7910,Uhorn:431,Uhornacute:7912,Uhorndotbelow:7920,Uhorngrave:7914,Uhornhookabove:7916,Uhorntilde:7918,Uhungarumlaut:368,Uhungarumlautcyrillic:1266,Uinvertedbreve:534,Ukcyrillic:1144,Umacron:362,Umacroncyrillic:1262,Umacrondieresis:7802,Umonospace:65333,Uogonek:370,Upsilon:933,Upsilon1:978,Upsilonacutehooksymbolgreek:979,Upsilonafrican:433,Upsilondieresis:939,Upsilondieresishooksymbolgreek:980,Upsilonhooksymbol:978,Upsilontonos:910,Uring:366,Ushortcyrillic:1038,Usmall:63349,Ustraightcyrillic:1198,Ustraightstrokecyrillic:1200,Utilde:360,Utildeacute:7800,Utildebelow:7796,V:86,Vcircle:9419,Vdotbelow:7806,Vecyrillic:1042,Vewarmenian:1358,Vhook:434,Vmonospace:65334,Voarmenian:1352,Vsmall:63350,Vtilde:7804,W:87,Wacute:7810,Wcircle:9420,Wcircumflex:372,Wdieresis:7812,Wdotaccent:7814,Wdotbelow:7816,Wgrave:7808,Wmonospace:65335,Wsmall:63351,X:88,Xcircle:9421,Xdieresis:7820,Xdotaccent:7818,Xeharmenian:1341,Xi:926,Xmonospace:65336,Xsmall:63352,Y:89,Yacute:221,Yacutesmall:63485,Yatcyrillic:1122,Ycircle:9422,Ycircumflex:374,Ydieresis:376,Ydieresissmall:63487,Ydotaccent:7822,Ydotbelow:7924,Yericyrillic:1067,Yerudieresiscyrillic:1272,Ygrave:7922,Yhook:435,Yhookabove:7926,Yiarmenian:1349,Yicyrillic:1031,Yiwnarmenian:1362,Ymonospace:65337,Ysmall:63353,Ytilde:7928,Yusbigcyrillic:1130,Yusbigiotifiedcyrillic:1132,Yuslittlecyrillic:1126,Yuslittleiotifiedcyrillic:1128,Z:90,Zaarmenian:1334,Zacute:377,Zcaron:381,Zcaronsmall:63231,Zcircle:9423,Zcircumflex:7824,Zdot:379,Zdotaccent:379,Zdotbelow:7826,Zecyrillic:1047,Zedescendercyrillic:1176,Zedieresiscyrillic:1246,Zeta:918,Zhearmenian:1338,Zhebrevecyrillic:1217,Zhecyrillic:1046,Zhedescendercyrillic:1174,Zhedieresiscyrillic:1244,Zlinebelow:7828,Zmonospace:65338,Zsmall:63354,Zstroke:437,a:97,aabengali:2438,aacute:225,aadeva:2310,aagujarati:2694,aagurmukhi:2566,aamatragurmukhi:2622,aarusquare:13059,aavowelsignbengali:2494,aavowelsigndeva:2366,aavowelsigngujarati:2750,abbreviationmarkarmenian:1375,abbreviationsigndeva:2416,abengali:2437,abopomofo:12570,abreve:259,abreveacute:7855,abrevecyrillic:1233,abrevedotbelow:7863,abrevegrave:7857,abrevehookabove:7859,abrevetilde:7861,acaron:462,acircle:9424,acircumflex:226,acircumflexacute:7845,acircumflexdotbelow:7853,acircumflexgrave:7847,acircumflexhookabove:7849,acircumflextilde:7851,acute:180,acutebelowcmb:791,acutecmb:769,acutecomb:769,acutedeva:2388,acutelowmod:719,acutetonecmb:833,acyrillic:1072,adblgrave:513,addakgurmukhi:2673,adeva:2309,adieresis:228,adieresiscyrillic:1235,adieresismacron:479,adotbelow:7841,adotmacron:481,ae:230,aeacute:509,aekorean:12624,aemacron:483,afii00208:8213,afii08941:8356,afii10017:1040,afii10018:1041,afii10019:1042,afii10020:1043,afii10021:1044,afii10022:1045,afii10023:1025,afii10024:1046,afii10025:1047,afii10026:1048,afii10027:1049,afii10028:1050,afii10029:1051,afii10030:1052,afii10031:1053,afii10032:1054,afii10033:1055,afii10034:1056,afii10035:1057,afii10036:1058,afii10037:1059,afii10038:1060,afii10039:1061,afii10040:1062,afii10041:1063,afii10042:1064,afii10043:1065,afii10044:1066,afii10045:1067,afii10046:1068,afii10047:1069,afii10048:1070,afii10049:1071,afii10050:1168,afii10051:1026,afii10052:1027,afii10053:1028,afii10054:1029,afii10055:1030,afii10056:1031,afii10057:1032,afii10058:1033,afii10059:1034,afii10060:1035,afii10061:1036,afii10062:1038,afii10063:63172,afii10064:63173,afii10065:1072,afii10066:1073,afii10067:1074,afii10068:1075,afii10069:1076,afii10070:1077,afii10071:1105,afii10072:1078,afii10073:1079,afii10074:1080,afii10075:1081,afii10076:1082,afii10077:1083,afii10078:1084,afii10079:1085,afii10080:1086,afii10081:1087,afii10082:1088,afii10083:1089,afii10084:1090,afii10085:1091,afii10086:1092,afii10087:1093,afii10088:1094,afii10089:1095,afii10090:1096,afii10091:1097,afii10092:1098,afii10093:1099,afii10094:1100,afii10095:1101,afii10096:1102,afii10097:1103,afii10098:1169,afii10099:1106,afii10100:1107,afii10101:1108,afii10102:1109,afii10103:1110,afii10104:1111,afii10105:1112,afii10106:1113,afii10107:1114,afii10108:1115,afii10109:1116,afii10110:1118,afii10145:1039,afii10146:1122,afii10147:1138,afii10148:1140,afii10192:63174,afii10193:1119,afii10194:1123,afii10195:1139,afii10196:1141,afii10831:63175,afii10832:63176,afii10846:1241,afii299:8206,afii300:8207,afii301:8205,afii57381:1642,afii57388:1548,afii57392:1632,afii57393:1633,afii57394:1634,afii57395:1635,afii57396:1636,afii57397:1637,afii57398:1638,afii57399:1639,afii57400:1640,afii57401:1641,afii57403:1563,afii57407:1567,afii57409:1569,afii57410:1570,afii57411:1571,afii57412:1572,afii57413:1573,afii57414:1574,afii57415:1575,afii57416:1576,afii57417:1577,afii57418:1578,afii57419:1579,afii57420:1580,afii57421:1581,afii57422:1582,afii57423:1583,afii57424:1584,afii57425:1585,afii57426:1586,afii57427:1587,afii57428:1588,afii57429:1589,afii57430:1590,afii57431:1591,afii57432:1592,afii57433:1593,afii57434:1594,afii57440:1600,afii57441:1601,afii57442:1602,afii57443:1603,afii57444:1604,afii57445:1605,afii57446:1606,afii57448:1608,afii57449:1609,afii57450:1610,afii57451:1611,afii57452:1612,afii57453:1613,afii57454:1614,afii57455:1615,afii57456:1616,afii57457:1617,afii57458:1618,afii57470:1607,afii57505:1700,afii57506:1662,afii57507:1670,afii57508:1688,afii57509:1711,afii57511:1657,afii57512:1672,afii57513:1681,afii57514:1722,afii57519:1746,afii57534:1749,afii57636:8362,afii57645:1470,afii57658:1475,afii57664:1488,afii57665:1489,afii57666:1490,afii57667:1491,afii57668:1492,afii57669:1493,afii57670:1494,afii57671:1495,afii57672:1496,afii57673:1497,afii57674:1498,afii57675:1499,afii57676:1500,afii57677:1501,afii57678:1502,afii57679:1503,afii57680:1504,afii57681:1505,afii57682:1506,afii57683:1507,afii57684:1508,afii57685:1509,afii57686:1510,afii57687:1511,afii57688:1512,afii57689:1513,afii57690:1514,afii57694:64298,afii57695:64299,afii57700:64331,afii57705:64287,afii57716:1520,afii57717:1521,afii57718:1522,afii57723:64309,afii57793:1460,afii57794:1461,afii57795:1462,afii57796:1467,afii57797:1464,afii57798:1463,afii57799:1456,afii57800:1458,afii57801:1457,afii57802:1459,afii57803:1474,afii57804:1473,afii57806:1465,afii57807:1468,afii57839:1469,afii57841:1471,afii57842:1472,afii57929:700,afii61248:8453,afii61289:8467,afii61352:8470,afii61573:8236,afii61574:8237,afii61575:8238,afii61664:8204,afii63167:1645,afii64937:701,agrave:224,agujarati:2693,agurmukhi:2565,ahiragana:12354,ahookabove:7843,aibengali:2448,aibopomofo:12574,aideva:2320,aiecyrillic:1237,aigujarati:2704,aigurmukhi:2576,aimatragurmukhi:2632,ainarabic:1593,ainfinalarabic:65226,aininitialarabic:65227,ainmedialarabic:65228,ainvertedbreve:515,aivowelsignbengali:2504,aivowelsigndeva:2376,aivowelsigngujarati:2760,akatakana:12450,akatakanahalfwidth:65393,akorean:12623,alef:1488,alefarabic:1575,alefdageshhebrew:64304,aleffinalarabic:65166,alefhamzaabovearabic:1571,alefhamzaabovefinalarabic:65156,alefhamzabelowarabic:1573,alefhamzabelowfinalarabic:65160,alefhebrew:1488,aleflamedhebrew:64335,alefmaddaabovearabic:1570,alefmaddaabovefinalarabic:65154,alefmaksuraarabic:1609,alefmaksurafinalarabic:65264,alefmaksurainitialarabic:65267,alefmaksuramedialarabic:65268,alefpatahhebrew:64302,alefqamatshebrew:64303,aleph:8501,allequal:8780,alpha:945,alphatonos:940,amacron:257,amonospace:65345,ampersand:38,ampersandmonospace:65286,ampersandsmall:63270,amsquare:13250,anbopomofo:12578,angbopomofo:12580,angbracketleft:12296,angbracketright:12297,angkhankhuthai:3674,angle:8736,anglebracketleft:12296,anglebracketleftvertical:65087,anglebracketright:12297,anglebracketrightvertical:65088,angleleft:9001,angleright:9002,angstrom:8491,anoteleia:903,anudattadeva:2386,anusvarabengali:2434,anusvaradeva:2306,anusvaragujarati:2690,aogonek:261,apaatosquare:13056,aparen:9372,apostrophearmenian:1370,apostrophemod:700,apple:63743,approaches:8784,approxequal:8776,approxequalorimage:8786,approximatelyequal:8773,araeaekorean:12686,araeakorean:12685,arc:8978,arighthalfring:7834,aring:229,aringacute:507,aringbelow:7681,arrowboth:8596,arrowdashdown:8675,arrowdashleft:8672,arrowdashright:8674,arrowdashup:8673,arrowdblboth:8660,arrowdbldown:8659,arrowdblleft:8656,arrowdblright:8658,arrowdblup:8657,arrowdown:8595,arrowdownleft:8601,arrowdownright:8600,arrowdownwhite:8681,arrowheaddownmod:709,arrowheadleftmod:706,arrowheadrightmod:707,arrowheadupmod:708,arrowhorizex:63719,arrowleft:8592,arrowleftdbl:8656,arrowleftdblstroke:8653,arrowleftoverright:8646,arrowleftwhite:8678,arrowright:8594,arrowrightdblstroke:8655,arrowrightheavy:10142,arrowrightoverleft:8644,arrowrightwhite:8680,arrowtableft:8676,arrowtabright:8677,arrowup:8593,arrowupdn:8597,arrowupdnbse:8616,arrowupdownbase:8616,arrowupleft:8598,arrowupleftofdown:8645,arrowupright:8599,arrowupwhite:8679,arrowvertex:63718,asciicircum:94,asciicircummonospace:65342,asciitilde:126,asciitildemonospace:65374,ascript:593,ascriptturned:594,asmallhiragana:12353,asmallkatakana:12449,asmallkatakanahalfwidth:65383,asterisk:42,asteriskaltonearabic:1645,asteriskarabic:1645,asteriskmath:8727,asteriskmonospace:65290,asterisksmall:65121,asterism:8258,asuperior:63209,asymptoticallyequal:8771,at:64,atilde:227,atmonospace:65312,atsmall:65131,aturned:592,aubengali:2452,aubopomofo:12576,audeva:2324,augujarati:2708,augurmukhi:2580,aulengthmarkbengali:2519,aumatragurmukhi:2636,auvowelsignbengali:2508,auvowelsigndeva:2380,auvowelsigngujarati:2764,avagrahadeva:2365,aybarmenian:1377,ayin:1506,ayinaltonehebrew:64288,ayinhebrew:1506,b:98,babengali:2476,backslash:92,backslashmonospace:65340,badeva:2348,bagujarati:2732,bagurmukhi:2604,bahiragana:12400,bahtthai:3647,bakatakana:12496,bar:124,barmonospace:65372,bbopomofo:12549,bcircle:9425,bdotaccent:7683,bdotbelow:7685,beamedsixteenthnotes:9836,because:8757,becyrillic:1073,beharabic:1576,behfinalarabic:65168,behinitialarabic:65169,behiragana:12409,behmedialarabic:65170,behmeeminitialarabic:64671,behmeemisolatedarabic:64520,behnoonfinalarabic:64621,bekatakana:12505,benarmenian:1378,bet:1489,beta:946,betasymbolgreek:976,betdagesh:64305,betdageshhebrew:64305,bethebrew:1489,betrafehebrew:64332,bhabengali:2477,bhadeva:2349,bhagujarati:2733,bhagurmukhi:2605,bhook:595,bihiragana:12403,bikatakana:12499,bilabialclick:664,bindigurmukhi:2562,birusquare:13105,blackcircle:9679,blackdiamond:9670,blackdownpointingtriangle:9660,blackleftpointingpointer:9668,blackleftpointingtriangle:9664,blacklenticularbracketleft:12304,blacklenticularbracketleftvertical:65083,blacklenticularbracketright:12305,blacklenticularbracketrightvertical:65084,blacklowerlefttriangle:9699,blacklowerrighttriangle:9698,blackrectangle:9644,blackrightpointingpointer:9658,blackrightpointingtriangle:9654,blacksmallsquare:9642,blacksmilingface:9787,blacksquare:9632,blackstar:9733,blackupperlefttriangle:9700,blackupperrighttriangle:9701,blackuppointingsmalltriangle:9652,blackuppointingtriangle:9650,blank:9251,blinebelow:7687,block:9608,bmonospace:65346,bobaimaithai:3610,bohiragana:12412,bokatakana:12508,bparen:9373,bqsquare:13251,braceex:63732,braceleft:123,braceleftbt:63731,braceleftmid:63730,braceleftmonospace:65371,braceleftsmall:65115,bracelefttp:63729,braceleftvertical:65079,braceright:125,bracerightbt:63742,bracerightmid:63741,bracerightmonospace:65373,bracerightsmall:65116,bracerighttp:63740,bracerightvertical:65080,bracketleft:91,bracketleftbt:63728,bracketleftex:63727,bracketleftmonospace:65339,bracketlefttp:63726,bracketright:93,bracketrightbt:63739,bracketrightex:63738,bracketrightmonospace:65341,bracketrighttp:63737,breve:728,brevebelowcmb:814,brevecmb:774,breveinvertedbelowcmb:815,breveinvertedcmb:785,breveinverteddoublecmb:865,bridgebelowcmb:810,bridgeinvertedbelowcmb:826,brokenbar:166,bstroke:384,bsuperior:63210,btopbar:387,buhiragana:12406,bukatakana:12502,bullet:8226,bulletinverse:9688,bulletoperator:8729,bullseye:9678,c:99,caarmenian:1390,cabengali:2458,cacute:263,cadeva:2330,cagujarati:2714,cagurmukhi:2586,calsquare:13192,candrabindubengali:2433,candrabinducmb:784,candrabindudeva:2305,candrabindugujarati:2689,capslock:8682,careof:8453,caron:711,caronbelowcmb:812,caroncmb:780,carriagereturn:8629,cbopomofo:12568,ccaron:269,ccedilla:231,ccedillaacute:7689,ccircle:9426,ccircumflex:265,ccurl:597,cdot:267,cdotaccent:267,cdsquare:13253,cedilla:184,cedillacmb:807,cent:162,centigrade:8451,centinferior:63199,centmonospace:65504,centoldstyle:63394,centsuperior:63200,chaarmenian:1401,chabengali:2459,chadeva:2331,chagujarati:2715,chagurmukhi:2587,chbopomofo:12564,cheabkhasiancyrillic:1213,checkmark:10003,checyrillic:1095,chedescenderabkhasiancyrillic:1215,chedescendercyrillic:1207,chedieresiscyrillic:1269,cheharmenian:1395,chekhakassiancyrillic:1228,cheverticalstrokecyrillic:1209,chi:967,chieuchacirclekorean:12919,chieuchaparenkorean:12823,chieuchcirclekorean:12905,chieuchkorean:12618,chieuchparenkorean:12809,chochangthai:3594,chochanthai:3592,chochingthai:3593,chochoethai:3596,chook:392,cieucacirclekorean:12918,cieucaparenkorean:12822,cieuccirclekorean:12904,cieuckorean:12616,cieucparenkorean:12808,cieucuparenkorean:12828,circle:9675,circlecopyrt:169,circlemultiply:8855,circleot:8857,circleplus:8853,circlepostalmark:12342,circlewithlefthalfblack:9680,circlewithrighthalfblack:9681,circumflex:710,circumflexbelowcmb:813,circumflexcmb:770,clear:8999,clickalveolar:450,clickdental:448,clicklateral:449,clickretroflex:451,club:9827,clubsuitblack:9827,clubsuitwhite:9831,cmcubedsquare:13220,cmonospace:65347,cmsquaredsquare:13216,coarmenian:1409,colon:58,colonmonetary:8353,colonmonospace:65306,colonsign:8353,colonsmall:65109,colontriangularhalfmod:721,colontriangularmod:720,comma:44,commaabovecmb:787,commaaboverightcmb:789,commaaccent:63171,commaarabic:1548,commaarmenian:1373,commainferior:63201,commamonospace:65292,commareversedabovecmb:788,commareversedmod:701,commasmall:65104,commasuperior:63202,commaturnedabovecmb:786,commaturnedmod:699,compass:9788,congruent:8773,contourintegral:8750,control:8963,controlACK:6,controlBEL:7,controlBS:8,controlCAN:24,controlCR:13,controlDC1:17,controlDC2:18,controlDC3:19,controlDC4:20,controlDEL:127,controlDLE:16,controlEM:25,controlENQ:5,controlEOT:4,controlESC:27,controlETB:23,controlETX:3,controlFF:12,controlFS:28,controlGS:29,controlHT:9,controlLF:10,controlNAK:21,controlRS:30,controlSI:15,controlSO:14,controlSOT:2,controlSTX:1,controlSUB:26,controlSYN:22,controlUS:31,controlVT:11,copyright:169,copyrightsans:63721,copyrightserif:63193,cornerbracketleft:12300,cornerbracketlefthalfwidth:65378,cornerbracketleftvertical:65089,cornerbracketright:12301,cornerbracketrighthalfwidth:65379,cornerbracketrightvertical:65090,corporationsquare:13183,cosquare:13255,coverkgsquare:13254,cparen:9374,cruzeiro:8354,cstretched:663,curlyand:8911,curlyor:8910,currency:164,cyrBreve:63185,cyrFlex:63186,cyrbreve:63188,cyrflex:63189,d:100,daarmenian:1380,dabengali:2470,dadarabic:1590,dadeva:2342,dadfinalarabic:65214,dadinitialarabic:65215,dadmedialarabic:65216,dagesh:1468,dageshhebrew:1468,dagger:8224,daggerdbl:8225,dagujarati:2726,dagurmukhi:2598,dahiragana:12384,dakatakana:12480,dalarabic:1583,dalet:1491,daletdagesh:64307,daletdageshhebrew:64307,dalethebrew:1491,dalfinalarabic:65194,dammaarabic:1615,dammalowarabic:1615,dammatanaltonearabic:1612,dammatanarabic:1612,danda:2404,dargahebrew:1447,dargalefthebrew:1447,dasiapneumatacyrilliccmb:1157,dblGrave:63187,dblanglebracketleft:12298,dblanglebracketleftvertical:65085,dblanglebracketright:12299,dblanglebracketrightvertical:65086,dblarchinvertedbelowcmb:811,dblarrowleft:8660,dblarrowright:8658,dbldanda:2405,dblgrave:63190,dblgravecmb:783,dblintegral:8748,dbllowline:8215,dbllowlinecmb:819,dbloverlinecmb:831,dblprimemod:698,dblverticalbar:8214,dblverticallineabovecmb:782,dbopomofo:12553,dbsquare:13256,dcaron:271,dcedilla:7697,dcircle:9427,dcircumflexbelow:7699,dcroat:273,ddabengali:2465,ddadeva:2337,ddagujarati:2721,ddagurmukhi:2593,ddalarabic:1672,ddalfinalarabic:64393,dddhadeva:2396,ddhabengali:2466,ddhadeva:2338,ddhagujarati:2722,ddhagurmukhi:2594,ddotaccent:7691,ddotbelow:7693,decimalseparatorarabic:1643,decimalseparatorpersian:1643,decyrillic:1076,degree:176,dehihebrew:1453,dehiragana:12391,deicoptic:1007,dekatakana:12487,deleteleft:9003,deleteright:8998,delta:948,deltaturned:397,denominatorminusonenumeratorbengali:2552,dezh:676,dhabengali:2471,dhadeva:2343,dhagujarati:2727,dhagurmukhi:2599,dhook:599,dialytikatonos:901,dialytikatonoscmb:836,diamond:9830,diamondsuitwhite:9826,dieresis:168,dieresisacute:63191,dieresisbelowcmb:804,dieresiscmb:776,dieresisgrave:63192,dieresistonos:901,dihiragana:12386,dikatakana:12482,dittomark:12291,divide:247,divides:8739,divisionslash:8725,djecyrillic:1106,dkshade:9619,dlinebelow:7695,dlsquare:13207,dmacron:273,dmonospace:65348,dnblock:9604,dochadathai:3598,dodekthai:3604,dohiragana:12393,dokatakana:12489,dollar:36,dollarinferior:63203,dollarmonospace:65284,dollaroldstyle:63268,dollarsmall:65129,dollarsuperior:63204,dong:8363,dorusquare:13094,dotaccent:729,dotaccentcmb:775,dotbelowcmb:803,dotbelowcomb:803,dotkatakana:12539,dotlessi:305,dotlessj:63166,dotlessjstrokehook:644,dotmath:8901,dottedcircle:9676,doubleyodpatah:64287,doubleyodpatahhebrew:64287,downtackbelowcmb:798,downtackmod:725,dparen:9375,dsuperior:63211,dtail:598,dtopbar:396,duhiragana:12389,dukatakana:12485,dz:499,dzaltone:675,dzcaron:454,dzcurl:677,dzeabkhasiancyrillic:1249,dzecyrillic:1109,dzhecyrillic:1119,e:101,eacute:233,earth:9793,ebengali:2447,ebopomofo:12572,ebreve:277,ecandradeva:2317,ecandragujarati:2701,ecandravowelsigndeva:2373,ecandravowelsigngujarati:2757,ecaron:283,ecedillabreve:7709,echarmenian:1381,echyiwnarmenian:1415,ecircle:9428,ecircumflex:234,ecircumflexacute:7871,ecircumflexbelow:7705,ecircumflexdotbelow:7879,ecircumflexgrave:7873,ecircumflexhookabove:7875,ecircumflextilde:7877,ecyrillic:1108,edblgrave:517,edeva:2319,edieresis:235,edot:279,edotaccent:279,edotbelow:7865,eegurmukhi:2575,eematragurmukhi:2631,efcyrillic:1092,egrave:232,egujarati:2703,eharmenian:1383,ehbopomofo:12573,ehiragana:12360,ehookabove:7867,eibopomofo:12575,eight:56,eightarabic:1640,eightbengali:2542,eightcircle:9319,eightcircleinversesansserif:10129,eightdeva:2414,eighteencircle:9329,eighteenparen:9349,eighteenperiod:9369,eightgujarati:2798,eightgurmukhi:2670,eighthackarabic:1640,eighthangzhou:12328,eighthnotebeamed:9835,eightideographicparen:12839,eightinferior:8328,eightmonospace:65304,eightoldstyle:63288,eightparen:9339,eightperiod:9359,eightpersian:1784,eightroman:8567,eightsuperior:8312,eightthai:3672,einvertedbreve:519,eiotifiedcyrillic:1125,ekatakana:12456,ekatakanahalfwidth:65396,ekonkargurmukhi:2676,ekorean:12628,elcyrillic:1083,element:8712,elevencircle:9322,elevenparen:9342,elevenperiod:9362,elevenroman:8570,ellipsis:8230,ellipsisvertical:8942,emacron:275,emacronacute:7703,emacrongrave:7701,emcyrillic:1084,emdash:8212,emdashvertical:65073,emonospace:65349,emphasismarkarmenian:1371,emptyset:8709,enbopomofo:12579,encyrillic:1085,endash:8211,endashvertical:65074,endescendercyrillic:1187,eng:331,engbopomofo:12581,enghecyrillic:1189,enhookcyrillic:1224,enspace:8194,eogonek:281,eokorean:12627,eopen:603,eopenclosed:666,eopenreversed:604,eopenreversedclosed:606,eopenreversedhook:605,eparen:9376,epsilon:949,epsilontonos:941,equal:61,equalmonospace:65309,equalsmall:65126,equalsuperior:8316,equivalence:8801,erbopomofo:12582,ercyrillic:1088,ereversed:600,ereversedcyrillic:1101,escyrillic:1089,esdescendercyrillic:1195,esh:643,eshcurl:646,eshortdeva:2318,eshortvowelsigndeva:2374,eshreversedloop:426,eshsquatreversed:645,esmallhiragana:12359,esmallkatakana:12455,esmallkatakanahalfwidth:65386,estimated:8494,esuperior:63212,eta:951,etarmenian:1384,etatonos:942,eth:240,etilde:7869,etildebelow:7707,etnahtafoukhhebrew:1425,etnahtafoukhlefthebrew:1425,etnahtahebrew:1425,etnahtalefthebrew:1425,eturned:477,eukorean:12641,euro:8364,evowelsignbengali:2503,evowelsigndeva:2375,evowelsigngujarati:2759,exclam:33,exclamarmenian:1372,exclamdbl:8252,exclamdown:161,exclamdownsmall:63393,exclammonospace:65281,exclamsmall:63265,existential:8707,ezh:658,ezhcaron:495,ezhcurl:659,ezhreversed:441,ezhtail:442,f:102,fadeva:2398,fagurmukhi:2654,fahrenheit:8457,fathaarabic:1614,fathalowarabic:1614,fathatanarabic:1611,fbopomofo:12552,fcircle:9429,fdotaccent:7711,feharabic:1601,feharmenian:1414,fehfinalarabic:65234,fehinitialarabic:65235,fehmedialarabic:65236,feicoptic:997,female:9792,ff:64256,ffi:64259,ffl:64260,fi:64257,fifteencircle:9326,fifteenparen:9346,fifteenperiod:9366,figuredash:8210,filledbox:9632,filledrect:9644,finalkaf:1498,finalkafdagesh:64314,finalkafdageshhebrew:64314,finalkafhebrew:1498,finalmem:1501,finalmemhebrew:1501,finalnun:1503,finalnunhebrew:1503,finalpe:1507,finalpehebrew:1507,finaltsadi:1509,finaltsadihebrew:1509,firsttonechinese:713,fisheye:9673,fitacyrillic:1139,five:53,fivearabic:1637,fivebengali:2539,fivecircle:9316,fivecircleinversesansserif:10126,fivedeva:2411,fiveeighths:8541,fivegujarati:2795,fivegurmukhi:2667,fivehackarabic:1637,fivehangzhou:12325,fiveideographicparen:12836,fiveinferior:8325,fivemonospace:65301,fiveoldstyle:63285,fiveparen:9336,fiveperiod:9356,fivepersian:1781,fiveroman:8564,fivesuperior:8309,fivethai:3669,fl:64258,florin:402,fmonospace:65350,fmsquare:13209,fofanthai:3615,fofathai:3613,fongmanthai:3663,forall:8704,four:52,fourarabic:1636,fourbengali:2538,fourcircle:9315,fourcircleinversesansserif:10125,fourdeva:2410,fourgujarati:2794,fourgurmukhi:2666,fourhackarabic:1636,fourhangzhou:12324,fourideographicparen:12835,fourinferior:8324,fourmonospace:65300,fournumeratorbengali:2551,fouroldstyle:63284,fourparen:9335,fourperiod:9355,fourpersian:1780,fourroman:8563,foursuperior:8308,fourteencircle:9325,fourteenparen:9345,fourteenperiod:9365,fourthai:3668,fourthtonechinese:715,fparen:9377,fraction:8260,franc:8355,g:103,gabengali:2455,gacute:501,gadeva:2327,gafarabic:1711,gaffinalarabic:64403,gafinitialarabic:64404,gafmedialarabic:64405,gagujarati:2711,gagurmukhi:2583,gahiragana:12364,gakatakana:12460,gamma:947,gammalatinsmall:611,gammasuperior:736,gangiacoptic:1003,gbopomofo:12557,gbreve:287,gcaron:487,gcedilla:291,gcircle:9430,gcircumflex:285,gcommaaccent:291,gdot:289,gdotaccent:289,gecyrillic:1075,gehiragana:12370,gekatakana:12466,geometricallyequal:8785,gereshaccenthebrew:1436,gereshhebrew:1523,gereshmuqdamhebrew:1437,germandbls:223,gershayimaccenthebrew:1438,gershayimhebrew:1524,getamark:12307,ghabengali:2456,ghadarmenian:1394,ghadeva:2328,ghagujarati:2712,ghagurmukhi:2584,ghainarabic:1594,ghainfinalarabic:65230,ghaininitialarabic:65231,ghainmedialarabic:65232,ghemiddlehookcyrillic:1173,ghestrokecyrillic:1171,gheupturncyrillic:1169,ghhadeva:2394,ghhagurmukhi:2650,ghook:608,ghzsquare:13203,gihiragana:12366,gikatakana:12462,gimarmenian:1379,gimel:1490,gimeldagesh:64306,gimeldageshhebrew:64306,gimelhebrew:1490,gjecyrillic:1107,glottalinvertedstroke:446,glottalstop:660,glottalstopinverted:662,glottalstopmod:704,glottalstopreversed:661,glottalstopreversedmod:705,glottalstopreversedsuperior:740,glottalstopstroke:673,glottalstopstrokereversed:674,gmacron:7713,gmonospace:65351,gohiragana:12372,gokatakana:12468,gparen:9378,gpasquare:13228,gradient:8711,grave:96,gravebelowcmb:790,gravecmb:768,gravecomb:768,gravedeva:2387,gravelowmod:718,gravemonospace:65344,gravetonecmb:832,greater:62,greaterequal:8805,greaterequalorless:8923,greatermonospace:65310,greaterorequivalent:8819,greaterorless:8823,greateroverequal:8807,greatersmall:65125,gscript:609,gstroke:485,guhiragana:12368,guillemotleft:171,guillemotright:187,guilsinglleft:8249,guilsinglright:8250,gukatakana:12464,guramusquare:13080,gysquare:13257,h:104,haabkhasiancyrillic:1193,haaltonearabic:1729,habengali:2489,hadescendercyrillic:1203,hadeva:2361,hagujarati:2745,hagurmukhi:2617,haharabic:1581,hahfinalarabic:65186,hahinitialarabic:65187,hahiragana:12399,hahmedialarabic:65188,haitusquare:13098,hakatakana:12495,hakatakanahalfwidth:65418,halantgurmukhi:2637,hamzaarabic:1569,hamzalowarabic:1569,hangulfiller:12644,hardsigncyrillic:1098,harpoonleftbarbup:8636,harpoonrightbarbup:8640,hasquare:13258,hatafpatah:1458,hatafpatah16:1458,hatafpatah23:1458,hatafpatah2f:1458, +hatafpatahhebrew:1458,hatafpatahnarrowhebrew:1458,hatafpatahquarterhebrew:1458,hatafpatahwidehebrew:1458,hatafqamats:1459,hatafqamats1b:1459,hatafqamats28:1459,hatafqamats34:1459,hatafqamatshebrew:1459,hatafqamatsnarrowhebrew:1459,hatafqamatsquarterhebrew:1459,hatafqamatswidehebrew:1459,hatafsegol:1457,hatafsegol17:1457,hatafsegol24:1457,hatafsegol30:1457,hatafsegolhebrew:1457,hatafsegolnarrowhebrew:1457,hatafsegolquarterhebrew:1457,hatafsegolwidehebrew:1457,hbar:295,hbopomofo:12559,hbrevebelow:7723,hcedilla:7721,hcircle:9431,hcircumflex:293,hdieresis:7719,hdotaccent:7715,hdotbelow:7717,he:1492,heart:9829,heartsuitblack:9829,heartsuitwhite:9825,hedagesh:64308,hedageshhebrew:64308,hehaltonearabic:1729,heharabic:1607,hehebrew:1492,hehfinalaltonearabic:64423,hehfinalalttwoarabic:65258,hehfinalarabic:65258,hehhamzaabovefinalarabic:64421,hehhamzaaboveisolatedarabic:64420,hehinitialaltonearabic:64424,hehinitialarabic:65259,hehiragana:12408,hehmedialaltonearabic:64425,hehmedialarabic:65260,heiseierasquare:13179,hekatakana:12504,hekatakanahalfwidth:65421,hekutaarusquare:13110,henghook:615,herutusquare:13113,het:1495,hethebrew:1495,hhook:614,hhooksuperior:689,hieuhacirclekorean:12923,hieuhaparenkorean:12827,hieuhcirclekorean:12909,hieuhkorean:12622,hieuhparenkorean:12813,hihiragana:12402,hikatakana:12498,hikatakanahalfwidth:65419,hiriq:1460,hiriq14:1460,hiriq21:1460,hiriq2d:1460,hiriqhebrew:1460,hiriqnarrowhebrew:1460,hiriqquarterhebrew:1460,hiriqwidehebrew:1460,hlinebelow:7830,hmonospace:65352,hoarmenian:1392,hohipthai:3627,hohiragana:12411,hokatakana:12507,hokatakanahalfwidth:65422,holam:1465,holam19:1465,holam26:1465,holam32:1465,holamhebrew:1465,holamnarrowhebrew:1465,holamquarterhebrew:1465,holamwidehebrew:1465,honokhukthai:3630,hookabovecomb:777,hookcmb:777,hookpalatalizedbelowcmb:801,hookretroflexbelowcmb:802,hoonsquare:13122,horicoptic:1001,horizontalbar:8213,horncmb:795,hotsprings:9832,house:8962,hparen:9379,hsuperior:688,hturned:613,huhiragana:12405,huiitosquare:13107,hukatakana:12501,hukatakanahalfwidth:65420,hungarumlaut:733,hungarumlautcmb:779,hv:405,hyphen:45,hypheninferior:63205,hyphenmonospace:65293,hyphensmall:65123,hyphensuperior:63206,hyphentwo:8208,i:105,iacute:237,iacyrillic:1103,ibengali:2439,ibopomofo:12583,ibreve:301,icaron:464,icircle:9432,icircumflex:238,icyrillic:1110,idblgrave:521,ideographearthcircle:12943,ideographfirecircle:12939,ideographicallianceparen:12863,ideographiccallparen:12858,ideographiccentrecircle:12965,ideographicclose:12294,ideographiccomma:12289,ideographiccommaleft:65380,ideographiccongratulationparen:12855,ideographiccorrectcircle:12963,ideographicearthparen:12847,ideographicenterpriseparen:12861,ideographicexcellentcircle:12957,ideographicfestivalparen:12864,ideographicfinancialcircle:12950,ideographicfinancialparen:12854,ideographicfireparen:12843,ideographichaveparen:12850,ideographichighcircle:12964,ideographiciterationmark:12293,ideographiclaborcircle:12952,ideographiclaborparen:12856,ideographicleftcircle:12967,ideographiclowcircle:12966,ideographicmedicinecircle:12969,ideographicmetalparen:12846,ideographicmoonparen:12842,ideographicnameparen:12852,ideographicperiod:12290,ideographicprintcircle:12958,ideographicreachparen:12867,ideographicrepresentparen:12857,ideographicresourceparen:12862,ideographicrightcircle:12968,ideographicsecretcircle:12953,ideographicselfparen:12866,ideographicsocietyparen:12851,ideographicspace:12288,ideographicspecialparen:12853,ideographicstockparen:12849,ideographicstudyparen:12859,ideographicsunparen:12848,ideographicsuperviseparen:12860,ideographicwaterparen:12844,ideographicwoodparen:12845,ideographiczero:12295,ideographmetalcircle:12942,ideographmooncircle:12938,ideographnamecircle:12948,ideographsuncircle:12944,ideographwatercircle:12940,ideographwoodcircle:12941,ideva:2311,idieresis:239,idieresisacute:7727,idieresiscyrillic:1253,idotbelow:7883,iebrevecyrillic:1239,iecyrillic:1077,ieungacirclekorean:12917,ieungaparenkorean:12821,ieungcirclekorean:12903,ieungkorean:12615,ieungparenkorean:12807,igrave:236,igujarati:2695,igurmukhi:2567,ihiragana:12356,ihookabove:7881,iibengali:2440,iicyrillic:1080,iideva:2312,iigujarati:2696,iigurmukhi:2568,iimatragurmukhi:2624,iinvertedbreve:523,iishortcyrillic:1081,iivowelsignbengali:2496,iivowelsigndeva:2368,iivowelsigngujarati:2752,ij:307,ikatakana:12452,ikatakanahalfwidth:65394,ikorean:12643,ilde:732,iluyhebrew:1452,imacron:299,imacroncyrillic:1251,imageorapproximatelyequal:8787,imatragurmukhi:2623,imonospace:65353,increment:8710,infinity:8734,iniarmenian:1387,integral:8747,integralbottom:8993,integralbt:8993,integralex:63733,integraltop:8992,integraltp:8992,intersection:8745,intisquare:13061,invbullet:9688,invcircle:9689,invsmileface:9787,iocyrillic:1105,iogonek:303,iota:953,iotadieresis:970,iotadieresistonos:912,iotalatin:617,iotatonos:943,iparen:9380,irigurmukhi:2674,ismallhiragana:12355,ismallkatakana:12451,ismallkatakanahalfwidth:65384,issharbengali:2554,istroke:616,isuperior:63213,iterationhiragana:12445,iterationkatakana:12541,itilde:297,itildebelow:7725,iubopomofo:12585,iucyrillic:1102,ivowelsignbengali:2495,ivowelsigndeva:2367,ivowelsigngujarati:2751,izhitsacyrillic:1141,izhitsadblgravecyrillic:1143,j:106,jaarmenian:1393,jabengali:2460,jadeva:2332,jagujarati:2716,jagurmukhi:2588,jbopomofo:12560,jcaron:496,jcircle:9433,jcircumflex:309,jcrossedtail:669,jdotlessstroke:607,jecyrillic:1112,jeemarabic:1580,jeemfinalarabic:65182,jeeminitialarabic:65183,jeemmedialarabic:65184,jeharabic:1688,jehfinalarabic:64395,jhabengali:2461,jhadeva:2333,jhagujarati:2717,jhagurmukhi:2589,jheharmenian:1403,jis:12292,jmonospace:65354,jparen:9381,jsuperior:690,k:107,kabashkircyrillic:1185,kabengali:2453,kacute:7729,kacyrillic:1082,kadescendercyrillic:1179,kadeva:2325,kaf:1499,kafarabic:1603,kafdagesh:64315,kafdageshhebrew:64315,kaffinalarabic:65242,kafhebrew:1499,kafinitialarabic:65243,kafmedialarabic:65244,kafrafehebrew:64333,kagujarati:2709,kagurmukhi:2581,kahiragana:12363,kahookcyrillic:1220,kakatakana:12459,kakatakanahalfwidth:65398,kappa:954,kappasymbolgreek:1008,kapyeounmieumkorean:12657,kapyeounphieuphkorean:12676,kapyeounpieupkorean:12664,kapyeounssangpieupkorean:12665,karoriisquare:13069,kashidaautoarabic:1600,kashidaautonosidebearingarabic:1600,kasmallkatakana:12533,kasquare:13188,kasraarabic:1616,kasratanarabic:1613,kastrokecyrillic:1183,katahiraprolongmarkhalfwidth:65392,kaverticalstrokecyrillic:1181,kbopomofo:12558,kcalsquare:13193,kcaron:489,kcedilla:311,kcircle:9434,kcommaaccent:311,kdotbelow:7731,keharmenian:1412,kehiragana:12369,kekatakana:12465,kekatakanahalfwidth:65401,kenarmenian:1391,kesmallkatakana:12534,kgreenlandic:312,khabengali:2454,khacyrillic:1093,khadeva:2326,khagujarati:2710,khagurmukhi:2582,khaharabic:1582,khahfinalarabic:65190,khahinitialarabic:65191,khahmedialarabic:65192,kheicoptic:999,khhadeva:2393,khhagurmukhi:2649,khieukhacirclekorean:12920,khieukhaparenkorean:12824,khieukhcirclekorean:12906,khieukhkorean:12619,khieukhparenkorean:12810,khokhaithai:3586,khokhonthai:3589,khokhuatthai:3587,khokhwaithai:3588,khomutthai:3675,khook:409,khorakhangthai:3590,khzsquare:13201,kihiragana:12365,kikatakana:12461,kikatakanahalfwidth:65399,kiroguramusquare:13077,kiromeetorusquare:13078,kirosquare:13076,kiyeokacirclekorean:12910,kiyeokaparenkorean:12814,kiyeokcirclekorean:12896,kiyeokkorean:12593,kiyeokparenkorean:12800,kiyeoksioskorean:12595,kjecyrillic:1116,klinebelow:7733,klsquare:13208,kmcubedsquare:13222,kmonospace:65355,kmsquaredsquare:13218,kohiragana:12371,kohmsquare:13248,kokaithai:3585,kokatakana:12467,kokatakanahalfwidth:65402,kooposquare:13086,koppacyrillic:1153,koreanstandardsymbol:12927,koroniscmb:835,kparen:9382,kpasquare:13226,ksicyrillic:1135,ktsquare:13263,kturned:670,kuhiragana:12367,kukatakana:12463,kukatakanahalfwidth:65400,kvsquare:13240,kwsquare:13246,l:108,labengali:2482,lacute:314,ladeva:2354,lagujarati:2738,lagurmukhi:2610,lakkhangyaothai:3653,lamaleffinalarabic:65276,lamalefhamzaabovefinalarabic:65272,lamalefhamzaaboveisolatedarabic:65271,lamalefhamzabelowfinalarabic:65274,lamalefhamzabelowisolatedarabic:65273,lamalefisolatedarabic:65275,lamalefmaddaabovefinalarabic:65270,lamalefmaddaaboveisolatedarabic:65269,lamarabic:1604,lambda:955,lambdastroke:411,lamed:1500,lameddagesh:64316,lameddageshhebrew:64316,lamedhebrew:1500,lamfinalarabic:65246,lamhahinitialarabic:64714,laminitialarabic:65247,lamjeeminitialarabic:64713,lamkhahinitialarabic:64715,lamlamhehisolatedarabic:65010,lammedialarabic:65248,lammeemhahinitialarabic:64904,lammeeminitialarabic:64716,largecircle:9711,lbar:410,lbelt:620,lbopomofo:12556,lcaron:318,lcedilla:316,lcircle:9435,lcircumflexbelow:7741,lcommaaccent:316,ldot:320,ldotaccent:320,ldotbelow:7735,ldotbelowmacron:7737,leftangleabovecmb:794,lefttackbelowcmb:792,less:60,lessequal:8804,lessequalorgreater:8922,lessmonospace:65308,lessorequivalent:8818,lessorgreater:8822,lessoverequal:8806,lesssmall:65124,lezh:622,lfblock:9612,lhookretroflex:621,lira:8356,liwnarmenian:1388,lj:457,ljecyrillic:1113,ll:63168,lladeva:2355,llagujarati:2739,llinebelow:7739,llladeva:2356,llvocalicbengali:2529,llvocalicdeva:2401,llvocalicvowelsignbengali:2531,llvocalicvowelsigndeva:2403,lmiddletilde:619,lmonospace:65356,lmsquare:13264,lochulathai:3628,logicaland:8743,logicalnot:172,logicalnotreversed:8976,logicalor:8744,lolingthai:3621,longs:383,lowlinecenterline:65102,lowlinecmb:818,lowlinedashed:65101,lozenge:9674,lparen:9383,lslash:322,lsquare:8467,lsuperior:63214,ltshade:9617,luthai:3622,lvocalicbengali:2444,lvocalicdeva:2316,lvocalicvowelsignbengali:2530,lvocalicvowelsigndeva:2402,lxsquare:13267,m:109,mabengali:2478,macron:175,macronbelowcmb:817,macroncmb:772,macronlowmod:717,macronmonospace:65507,macute:7743,madeva:2350,magujarati:2734,magurmukhi:2606,mahapakhhebrew:1444,mahapakhlefthebrew:1444,mahiragana:12414,maichattawalowleftthai:63637,maichattawalowrightthai:63636,maichattawathai:3659,maichattawaupperleftthai:63635,maieklowleftthai:63628,maieklowrightthai:63627,maiekthai:3656,maiekupperleftthai:63626,maihanakatleftthai:63620,maihanakatthai:3633,maitaikhuleftthai:63625,maitaikhuthai:3655,maitholowleftthai:63631,maitholowrightthai:63630,maithothai:3657,maithoupperleftthai:63629,maitrilowleftthai:63634,maitrilowrightthai:63633,maitrithai:3658,maitriupperleftthai:63632,maiyamokthai:3654,makatakana:12510,makatakanahalfwidth:65423,male:9794,mansyonsquare:13127,maqafhebrew:1470,mars:9794,masoracirclehebrew:1455,masquare:13187,mbopomofo:12551,mbsquare:13268,mcircle:9436,mcubedsquare:13221,mdotaccent:7745,mdotbelow:7747,meemarabic:1605,meemfinalarabic:65250,meeminitialarabic:65251,meemmedialarabic:65252,meemmeeminitialarabic:64721,meemmeemisolatedarabic:64584,meetorusquare:13133,mehiragana:12417,meizierasquare:13182,mekatakana:12513,mekatakanahalfwidth:65426,mem:1502,memdagesh:64318,memdageshhebrew:64318,memhebrew:1502,menarmenian:1396,merkhahebrew:1445,merkhakefulahebrew:1446,merkhakefulalefthebrew:1446,merkhalefthebrew:1445,mhook:625,mhzsquare:13202,middledotkatakanahalfwidth:65381,middot:183,mieumacirclekorean:12914,mieumaparenkorean:12818,mieumcirclekorean:12900,mieumkorean:12609,mieumpansioskorean:12656,mieumparenkorean:12804,mieumpieupkorean:12654,mieumsioskorean:12655,mihiragana:12415,mikatakana:12511,mikatakanahalfwidth:65424,minus:8722,minusbelowcmb:800,minuscircle:8854,minusmod:727,minusplus:8723,minute:8242,miribaarusquare:13130,mirisquare:13129,mlonglegturned:624,mlsquare:13206,mmcubedsquare:13219,mmonospace:65357,mmsquaredsquare:13215,mohiragana:12418,mohmsquare:13249,mokatakana:12514,mokatakanahalfwidth:65427,molsquare:13270,momathai:3617,moverssquare:13223,moverssquaredsquare:13224,mparen:9384,mpasquare:13227,mssquare:13235,msuperior:63215,mturned:623,mu:181,mu1:181,muasquare:13186,muchgreater:8811,muchless:8810,mufsquare:13196,mugreek:956,mugsquare:13197,muhiragana:12416,mukatakana:12512,mukatakanahalfwidth:65425,mulsquare:13205,multiply:215,mumsquare:13211,munahhebrew:1443,munahlefthebrew:1443,musicalnote:9834,musicalnotedbl:9835,musicflatsign:9837,musicsharpsign:9839,mussquare:13234,muvsquare:13238,muwsquare:13244,mvmegasquare:13241,mvsquare:13239,mwmegasquare:13247,mwsquare:13245,n:110,nabengali:2472,nabla:8711,nacute:324,nadeva:2344,nagujarati:2728,nagurmukhi:2600,nahiragana:12394,nakatakana:12490,nakatakanahalfwidth:65413,napostrophe:329,nasquare:13185,nbopomofo:12555,nbspace:160,ncaron:328,ncedilla:326,ncircle:9437,ncircumflexbelow:7755,ncommaaccent:326,ndotaccent:7749,ndotbelow:7751,nehiragana:12397,nekatakana:12493,nekatakanahalfwidth:65416,newsheqelsign:8362,nfsquare:13195,ngabengali:2457,ngadeva:2329,ngagujarati:2713,ngagurmukhi:2585,ngonguthai:3591,nhiragana:12435,nhookleft:626,nhookretroflex:627,nieunacirclekorean:12911,nieunaparenkorean:12815,nieuncieuckorean:12597,nieuncirclekorean:12897,nieunhieuhkorean:12598,nieunkorean:12596,nieunpansioskorean:12648,nieunparenkorean:12801,nieunsioskorean:12647,nieuntikeutkorean:12646,nihiragana:12395,nikatakana:12491,nikatakanahalfwidth:65414,nikhahitleftthai:63641,nikhahitthai:3661,nine:57,ninearabic:1641,ninebengali:2543,ninecircle:9320,ninecircleinversesansserif:10130,ninedeva:2415,ninegujarati:2799,ninegurmukhi:2671,ninehackarabic:1641,ninehangzhou:12329,nineideographicparen:12840,nineinferior:8329,ninemonospace:65305,nineoldstyle:63289,nineparen:9340,nineperiod:9360,ninepersian:1785,nineroman:8568,ninesuperior:8313,nineteencircle:9330,nineteenparen:9350,nineteenperiod:9370,ninethai:3673,nj:460,njecyrillic:1114,nkatakana:12531,nkatakanahalfwidth:65437,nlegrightlong:414,nlinebelow:7753,nmonospace:65358,nmsquare:13210,nnabengali:2467,nnadeva:2339,nnagujarati:2723,nnagurmukhi:2595,nnnadeva:2345,nohiragana:12398,nokatakana:12494,nokatakanahalfwidth:65417,nonbreakingspace:160,nonenthai:3603,nonuthai:3609,noonarabic:1606,noonfinalarabic:65254,noonghunnaarabic:1722,noonghunnafinalarabic:64415,nooninitialarabic:65255,noonjeeminitialarabic:64722,noonjeemisolatedarabic:64587,noonmedialarabic:65256,noonmeeminitialarabic:64725,noonmeemisolatedarabic:64590,noonnoonfinalarabic:64653,notcontains:8716,notelement:8713,notelementof:8713,notequal:8800,notgreater:8815,notgreaternorequal:8817,notgreaternorless:8825,notidentical:8802,notless:8814,notlessnorequal:8816,notparallel:8742,notprecedes:8832,notsubset:8836,notsucceeds:8833,notsuperset:8837,nowarmenian:1398,nparen:9385,nssquare:13233,nsuperior:8319,ntilde:241,nu:957,nuhiragana:12396,nukatakana:12492,nukatakanahalfwidth:65415,nuktabengali:2492,nuktadeva:2364,nuktagujarati:2748,nuktagurmukhi:2620,numbersign:35,numbersignmonospace:65283,numbersignsmall:65119,numeralsigngreek:884,numeralsignlowergreek:885,numero:8470,nun:1504,nundagesh:64320,nundageshhebrew:64320,nunhebrew:1504,nvsquare:13237,nwsquare:13243,nyabengali:2462,nyadeva:2334,nyagujarati:2718,nyagurmukhi:2590,o:111,oacute:243,oangthai:3629,obarred:629,obarredcyrillic:1257,obarreddieresiscyrillic:1259,obengali:2451,obopomofo:12571,obreve:335,ocandradeva:2321,ocandragujarati:2705,ocandravowelsigndeva:2377,ocandravowelsigngujarati:2761,ocaron:466,ocircle:9438,ocircumflex:244,ocircumflexacute:7889,ocircumflexdotbelow:7897,ocircumflexgrave:7891,ocircumflexhookabove:7893,ocircumflextilde:7895,ocyrillic:1086,odblacute:337,odblgrave:525,odeva:2323,odieresis:246,odieresiscyrillic:1255,odotbelow:7885,oe:339,oekorean:12634,ogonek:731,ogonekcmb:808,ograve:242,ogujarati:2707,oharmenian:1413,ohiragana:12362,ohookabove:7887,ohorn:417,ohornacute:7899,ohorndotbelow:7907,ohorngrave:7901,ohornhookabove:7903,ohorntilde:7905,ohungarumlaut:337,oi:419,oinvertedbreve:527,okatakana:12458,okatakanahalfwidth:65397,okorean:12631,olehebrew:1451,omacron:333,omacronacute:7763,omacrongrave:7761,omdeva:2384,omega:969,omega1:982,omegacyrillic:1121,omegalatinclosed:631,omegaroundcyrillic:1147,omegatitlocyrillic:1149,omegatonos:974,omgujarati:2768,omicron:959,omicrontonos:972,omonospace:65359,one:49,onearabic:1633,onebengali:2535,onecircle:9312,onecircleinversesansserif:10122,onedeva:2407,onedotenleader:8228,oneeighth:8539,onefitted:63196,onegujarati:2791,onegurmukhi:2663,onehackarabic:1633,onehalf:189,onehangzhou:12321,oneideographicparen:12832,oneinferior:8321,onemonospace:65297,onenumeratorbengali:2548,oneoldstyle:63281,oneparen:9332,oneperiod:9352,onepersian:1777,onequarter:188,oneroman:8560,onesuperior:185,onethai:3665,onethird:8531,oogonek:491,oogonekmacron:493,oogurmukhi:2579,oomatragurmukhi:2635,oopen:596,oparen:9386,openbullet:9702,option:8997,ordfeminine:170,ordmasculine:186,orthogonal:8735,oshortdeva:2322,oshortvowelsigndeva:2378,oslash:248,oslashacute:511,osmallhiragana:12361,osmallkatakana:12457,osmallkatakanahalfwidth:65387,ostrokeacute:511,osuperior:63216,otcyrillic:1151,otilde:245,otildeacute:7757,otildedieresis:7759,oubopomofo:12577,overline:8254,overlinecenterline:65098,overlinecmb:773,overlinedashed:65097,overlinedblwavy:65100,overlinewavy:65099,overscore:175,ovowelsignbengali:2507,ovowelsigndeva:2379,ovowelsigngujarati:2763,p:112,paampssquare:13184,paasentosquare:13099,pabengali:2474,pacute:7765,padeva:2346,pagedown:8671,pageup:8670,pagujarati:2730,pagurmukhi:2602,pahiragana:12401,paiyannoithai:3631,pakatakana:12497,palatalizationcyrilliccmb:1156,palochkacyrillic:1216,pansioskorean:12671,paragraph:182,parallel:8741,parenleft:40,parenleftaltonearabic:64830,parenleftbt:63725,parenleftex:63724,parenleftinferior:8333,parenleftmonospace:65288,parenleftsmall:65113,parenleftsuperior:8317,parenlefttp:63723,parenleftvertical:65077,parenright:41,parenrightaltonearabic:64831,parenrightbt:63736,parenrightex:63735,parenrightinferior:8334,parenrightmonospace:65289,parenrightsmall:65114,parenrightsuperior:8318,parenrighttp:63734,parenrightvertical:65078,partialdiff:8706,paseqhebrew:1472,pashtahebrew:1433,pasquare:13225,patah:1463,patah11:1463,patah1d:1463,patah2a:1463,patahhebrew:1463,patahnarrowhebrew:1463,patahquarterhebrew:1463,patahwidehebrew:1463,pazerhebrew:1441,pbopomofo:12550,pcircle:9439,pdotaccent:7767,pe:1508,pecyrillic:1087,pedagesh:64324,pedageshhebrew:64324,peezisquare:13115,pefinaldageshhebrew:64323,peharabic:1662,peharmenian:1402,pehebrew:1508,pehfinalarabic:64343,pehinitialarabic:64344,pehiragana:12410,pehmedialarabic:64345,pekatakana:12506,pemiddlehookcyrillic:1191,perafehebrew:64334,percent:37,percentarabic:1642,percentmonospace:65285,percentsmall:65130,period:46,periodarmenian:1417,periodcentered:183,periodhalfwidth:65377,periodinferior:63207,periodmonospace:65294,periodsmall:65106,periodsuperior:63208,perispomenigreekcmb:834,perpendicular:8869,perthousand:8240,peseta:8359,pfsquare:13194,phabengali:2475,phadeva:2347,phagujarati:2731,phagurmukhi:2603,phi:966,phi1:981,phieuphacirclekorean:12922,phieuphaparenkorean:12826,phieuphcirclekorean:12908,phieuphkorean:12621,phieuphparenkorean:12812,philatin:632,phinthuthai:3642,phisymbolgreek:981,phook:421,phophanthai:3614,phophungthai:3612,phosamphaothai:3616,pi:960,pieupacirclekorean:12915,pieupaparenkorean:12819,pieupcieuckorean:12662,pieupcirclekorean:12901,pieupkiyeokkorean:12658,pieupkorean:12610,pieupparenkorean:12805,pieupsioskiyeokkorean:12660,pieupsioskorean:12612,pieupsiostikeutkorean:12661,pieupthieuthkorean:12663,pieuptikeutkorean:12659,pihiragana:12404,pikatakana:12500,pisymbolgreek:982,piwrarmenian:1411,plus:43,plusbelowcmb:799,pluscircle:8853,plusminus:177,plusmod:726,plusmonospace:65291,plussmall:65122,plussuperior:8314,pmonospace:65360,pmsquare:13272,pohiragana:12413,pointingindexdownwhite:9759,pointingindexleftwhite:9756,pointingindexrightwhite:9758,pointingindexupwhite:9757,pokatakana:12509,poplathai:3611,postalmark:12306,postalmarkface:12320,pparen:9387,precedes:8826,prescription:8478,primemod:697,primereversed:8245,product:8719,projective:8965,prolongedkana:12540,propellor:8984,propersubset:8834,propersuperset:8835,proportion:8759,proportional:8733,psi:968,psicyrillic:1137,psilipneumatacyrilliccmb:1158,pssquare:13232,puhiragana:12407,pukatakana:12503,pvsquare:13236,pwsquare:13242,q:113,qadeva:2392,qadmahebrew:1448,qafarabic:1602,qaffinalarabic:65238,qafinitialarabic:65239,qafmedialarabic:65240,qamats:1464,qamats10:1464,qamats1a:1464,qamats1c:1464,qamats27:1464,qamats29:1464,qamats33:1464,qamatsde:1464,qamatshebrew:1464,qamatsnarrowhebrew:1464,qamatsqatanhebrew:1464,qamatsqatannarrowhebrew:1464,qamatsqatanquarterhebrew:1464,qamatsqatanwidehebrew:1464,qamatsquarterhebrew:1464,qamatswidehebrew:1464,qarneyparahebrew:1439,qbopomofo:12561,qcircle:9440,qhook:672,qmonospace:65361,qof:1511,qofdagesh:64327,qofdageshhebrew:64327,qofhebrew:1511,qparen:9388,quarternote:9833,qubuts:1467,qubuts18:1467,qubuts25:1467,qubuts31:1467,qubutshebrew:1467,qubutsnarrowhebrew:1467,qubutsquarterhebrew:1467,qubutswidehebrew:1467,question:63,questionarabic:1567,questionarmenian:1374,questiondown:191,questiondownsmall:63423,questiongreek:894,questionmonospace:65311,questionsmall:63295,quotedbl:34,quotedblbase:8222,quotedblleft:8220,quotedblmonospace:65282,quotedblprime:12318,quotedblprimereversed:12317,quotedblright:8221,quoteleft:8216,quoteleftreversed:8219,quotereversed:8219,quoteright:8217,quoterightn:329,quotesinglbase:8218,quotesingle:39,quotesinglemonospace:65287,r:114,raarmenian:1404,rabengali:2480,racute:341,radeva:2352,radical:8730,radicalex:63717,radoverssquare:13230,radoverssquaredsquare:13231,radsquare:13229,rafe:1471,rafehebrew:1471,ragujarati:2736,ragurmukhi:2608,rahiragana:12425,rakatakana:12521,rakatakanahalfwidth:65431,ralowerdiagonalbengali:2545,ramiddlediagonalbengali:2544,ramshorn:612,ratio:8758,rbopomofo:12566,rcaron:345,rcedilla:343,rcircle:9441,rcommaaccent:343,rdblgrave:529,rdotaccent:7769,rdotbelow:7771,rdotbelowmacron:7773,referencemark:8251,reflexsubset:8838,reflexsuperset:8839,registered:174,registersans:63720,registerserif:63194,reharabic:1585,reharmenian:1408,rehfinalarabic:65198,rehiragana:12428,rekatakana:12524,rekatakanahalfwidth:65434,resh:1512,reshdageshhebrew:64328,reshhebrew:1512,reversedtilde:8765,reviahebrew:1431,reviamugrashhebrew:1431,revlogicalnot:8976,rfishhook:638,rfishhookreversed:639,rhabengali:2525,rhadeva:2397,rho:961,rhook:637,rhookturned:635,rhookturnedsuperior:693,rhosymbolgreek:1009,rhotichookmod:734,rieulacirclekorean:12913,rieulaparenkorean:12817,rieulcirclekorean:12899,rieulhieuhkorean:12608,rieulkiyeokkorean:12602,rieulkiyeoksioskorean:12649,rieulkorean:12601,rieulmieumkorean:12603,rieulpansioskorean:12652,rieulparenkorean:12803,rieulphieuphkorean:12607,rieulpieupkorean:12604,rieulpieupsioskorean:12651,rieulsioskorean:12605,rieulthieuthkorean:12606,rieultikeutkorean:12650,rieulyeorinhieuhkorean:12653,rightangle:8735,righttackbelowcmb:793,righttriangle:8895,rihiragana:12426,rikatakana:12522,rikatakanahalfwidth:65432,ring:730,ringbelowcmb:805,ringcmb:778,ringhalfleft:703,ringhalfleftarmenian:1369,ringhalfleftbelowcmb:796,ringhalfleftcentered:723,ringhalfright:702,ringhalfrightbelowcmb:825,ringhalfrightcentered:722,rinvertedbreve:531,rittorusquare:13137,rlinebelow:7775,rlongleg:636,rlonglegturned:634,rmonospace:65362,rohiragana:12429,rokatakana:12525,rokatakanahalfwidth:65435,roruathai:3619,rparen:9389,rrabengali:2524,rradeva:2353,rragurmukhi:2652,rreharabic:1681,rrehfinalarabic:64397,rrvocalicbengali:2528,rrvocalicdeva:2400,rrvocalicgujarati:2784,rrvocalicvowelsignbengali:2500,rrvocalicvowelsigndeva:2372,rrvocalicvowelsigngujarati:2756,rsuperior:63217,rtblock:9616,rturned:633,rturnedsuperior:692,ruhiragana:12427,rukatakana:12523,rukatakanahalfwidth:65433,rupeemarkbengali:2546,rupeesignbengali:2547,rupiah:63197,ruthai:3620,rvocalicbengali:2443,rvocalicdeva:2315,rvocalicgujarati:2699,rvocalicvowelsignbengali:2499,rvocalicvowelsigndeva:2371,rvocalicvowelsigngujarati:2755,s:115,sabengali:2488,sacute:347,sacutedotaccent:7781,sadarabic:1589,sadeva:2360,sadfinalarabic:65210,sadinitialarabic:65211,sadmedialarabic:65212,sagujarati:2744,sagurmukhi:2616,sahiragana:12373,sakatakana:12469,sakatakanahalfwidth:65403,sallallahoualayhewasallamarabic:65018,samekh:1505,samekhdagesh:64321,samekhdageshhebrew:64321,samekhhebrew:1505,saraaathai:3634,saraaethai:3649,saraaimaimalaithai:3652,saraaimaimuanthai:3651,saraamthai:3635,saraathai:3632,saraethai:3648,saraiileftthai:63622,saraiithai:3637,saraileftthai:63621,saraithai:3636,saraothai:3650,saraueeleftthai:63624,saraueethai:3639,saraueleftthai:63623,sarauethai:3638,sarauthai:3640,sarauuthai:3641,sbopomofo:12569,scaron:353,scarondotaccent:7783,scedilla:351,schwa:601,schwacyrillic:1241,schwadieresiscyrillic:1243,schwahook:602,scircle:9442,scircumflex:349,scommaaccent:537,sdotaccent:7777,sdotbelow:7779,sdotbelowdotaccent:7785,seagullbelowcmb:828,second:8243,secondtonechinese:714,section:167,seenarabic:1587,seenfinalarabic:65202,seeninitialarabic:65203,seenmedialarabic:65204,segol:1462,segol13:1462,segol1f:1462,segol2c:1462,segolhebrew:1462,segolnarrowhebrew:1462,segolquarterhebrew:1462,segoltahebrew:1426,segolwidehebrew:1462,seharmenian:1405,sehiragana:12379,sekatakana:12475,sekatakanahalfwidth:65406,semicolon:59,semicolonarabic:1563,semicolonmonospace:65307,semicolonsmall:65108,semivoicedmarkkana:12444,semivoicedmarkkanahalfwidth:65439,sentisquare:13090,sentosquare:13091,seven:55,sevenarabic:1639,sevenbengali:2541,sevencircle:9318,sevencircleinversesansserif:10128,sevendeva:2413,seveneighths:8542,sevengujarati:2797,sevengurmukhi:2669,sevenhackarabic:1639,sevenhangzhou:12327,sevenideographicparen:12838,seveninferior:8327,sevenmonospace:65303,sevenoldstyle:63287,sevenparen:9338,sevenperiod:9358,sevenpersian:1783,sevenroman:8566,sevensuperior:8311,seventeencircle:9328,seventeenparen:9348,seventeenperiod:9368,seventhai:3671,sfthyphen:173,shaarmenian:1399,shabengali:2486,shacyrillic:1096,shaddaarabic:1617,shaddadammaarabic:64609,shaddadammatanarabic:64606,shaddafathaarabic:64608,shaddakasraarabic:64610,shaddakasratanarabic:64607,shade:9618,shadedark:9619,shadelight:9617,shademedium:9618,shadeva:2358,shagujarati:2742,shagurmukhi:2614,shalshelethebrew:1427,shbopomofo:12565,shchacyrillic:1097,sheenarabic:1588,sheenfinalarabic:65206,sheeninitialarabic:65207,sheenmedialarabic:65208,sheicoptic:995,sheqel:8362,sheqelhebrew:8362,sheva:1456,sheva115:1456,sheva15:1456,sheva22:1456,sheva2e:1456,shevahebrew:1456,shevanarrowhebrew:1456,shevaquarterhebrew:1456,shevawidehebrew:1456,shhacyrillic:1211,shimacoptic:1005,shin:1513,shindagesh:64329,shindageshhebrew:64329,shindageshshindot:64300,shindageshshindothebrew:64300,shindageshsindot:64301,shindageshsindothebrew:64301,shindothebrew:1473,shinhebrew:1513,shinshindot:64298,shinshindothebrew:64298,shinsindot:64299,shinsindothebrew:64299,shook:642,sigma:963,sigma1:962,sigmafinal:962,sigmalunatesymbolgreek:1010,sihiragana:12375,sikatakana:12471,sikatakanahalfwidth:65404,siluqhebrew:1469,siluqlefthebrew:1469,similar:8764,sindothebrew:1474,siosacirclekorean:12916,siosaparenkorean:12820,sioscieuckorean:12670,sioscirclekorean:12902,sioskiyeokkorean:12666,sioskorean:12613,siosnieunkorean:12667,siosparenkorean:12806,siospieupkorean:12669,siostikeutkorean:12668,six:54,sixarabic:1638,sixbengali:2540,sixcircle:9317,sixcircleinversesansserif:10127,sixdeva:2412,sixgujarati:2796,sixgurmukhi:2668,sixhackarabic:1638,sixhangzhou:12326,sixideographicparen:12837,sixinferior:8326,sixmonospace:65302,sixoldstyle:63286,sixparen:9337,sixperiod:9357,sixpersian:1782,sixroman:8565,sixsuperior:8310,sixteencircle:9327,sixteencurrencydenominatorbengali:2553,sixteenparen:9347,sixteenperiod:9367,sixthai:3670,slash:47,slashmonospace:65295,slong:383,slongdotaccent:7835,smileface:9786,smonospace:65363,sofpasuqhebrew:1475,softhyphen:173,softsigncyrillic:1100,sohiragana:12381,sokatakana:12477,sokatakanahalfwidth:65407,soliduslongoverlaycmb:824,solidusshortoverlaycmb:823,sorusithai:3625,sosalathai:3624,sosothai:3595,sosuathai:3626,space:32,spacehackarabic:32,spade:9824,spadesuitblack:9824,spadesuitwhite:9828,sparen:9390,squarebelowcmb:827,squarecc:13252,squarecm:13213,squarediagonalcrosshatchfill:9641,squarehorizontalfill:9636,squarekg:13199,squarekm:13214,squarekmcapital:13262,squareln:13265,squarelog:13266,squaremg:13198,squaremil:13269,squaremm:13212,squaremsquared:13217,squareorthogonalcrosshatchfill:9638,squareupperlefttolowerrightfill:9639,squareupperrighttolowerleftfill:9640,squareverticalfill:9637,squarewhitewithsmallblack:9635,srsquare:13275,ssabengali:2487,ssadeva:2359,ssagujarati:2743,ssangcieuckorean:12617,ssanghieuhkorean:12677,ssangieungkorean:12672,ssangkiyeokkorean:12594,ssangnieunkorean:12645,ssangpieupkorean:12611,ssangsioskorean:12614,ssangtikeutkorean:12600,ssuperior:63218,sterling:163,sterlingmonospace:65505,strokelongoverlaycmb:822,strokeshortoverlaycmb:821,subset:8834,subsetnotequal:8842,subsetorequal:8838,succeeds:8827,suchthat:8715,suhiragana:12377,sukatakana:12473,sukatakanahalfwidth:65405,sukunarabic:1618,summation:8721,sun:9788,superset:8835,supersetnotequal:8843,supersetorequal:8839,svsquare:13276,syouwaerasquare:13180,t:116,tabengali:2468,tackdown:8868,tackleft:8867,tadeva:2340,tagujarati:2724,tagurmukhi:2596,taharabic:1591,tahfinalarabic:65218,tahinitialarabic:65219,tahiragana:12383,tahmedialarabic:65220,taisyouerasquare:13181,takatakana:12479,takatakanahalfwidth:65408,tatweelarabic:1600,tau:964,tav:1514,tavdages:64330,tavdagesh:64330,tavdageshhebrew:64330,tavhebrew:1514,tbar:359,tbopomofo:12554,tcaron:357,tccurl:680,tcedilla:355,tcheharabic:1670,tchehfinalarabic:64379,tchehinitialarabic:64380,tchehmedialarabic:64381,tcircle:9443,tcircumflexbelow:7793,tcommaaccent:355,tdieresis:7831,tdotaccent:7787,tdotbelow:7789,tecyrillic:1090,tedescendercyrillic:1197,teharabic:1578,tehfinalarabic:65174,tehhahinitialarabic:64674,tehhahisolatedarabic:64524,tehinitialarabic:65175,tehiragana:12390,tehjeeminitialarabic:64673,tehjeemisolatedarabic:64523,tehmarbutaarabic:1577,tehmarbutafinalarabic:65172,tehmedialarabic:65176,tehmeeminitialarabic:64676,tehmeemisolatedarabic:64526,tehnoonfinalarabic:64627,tekatakana:12486,tekatakanahalfwidth:65411,telephone:8481,telephoneblack:9742,telishagedolahebrew:1440,telishaqetanahebrew:1449,tencircle:9321,tenideographicparen:12841,tenparen:9341,tenperiod:9361,tenroman:8569,tesh:679,tet:1496,tetdagesh:64312,tetdageshhebrew:64312,tethebrew:1496,tetsecyrillic:1205,tevirhebrew:1435,tevirlefthebrew:1435,thabengali:2469,thadeva:2341,thagujarati:2725,thagurmukhi:2597,thalarabic:1584,thalfinalarabic:65196,thanthakhatlowleftthai:63640,thanthakhatlowrightthai:63639,thanthakhatthai:3660,thanthakhatupperleftthai:63638,theharabic:1579,thehfinalarabic:65178,thehinitialarabic:65179,thehmedialarabic:65180,thereexists:8707,therefore:8756,theta:952,theta1:977,thetasymbolgreek:977,thieuthacirclekorean:12921,thieuthaparenkorean:12825,thieuthcirclekorean:12907,thieuthkorean:12620,thieuthparenkorean:12811,thirteencircle:9324,thirteenparen:9344,thirteenperiod:9364,thonangmonthothai:3601,thook:429,thophuthaothai:3602,thorn:254,thothahanthai:3607,thothanthai:3600,thothongthai:3608,thothungthai:3606,thousandcyrillic:1154,thousandsseparatorarabic:1644,thousandsseparatorpersian:1644,three:51,threearabic:1635,threebengali:2537,threecircle:9314,threecircleinversesansserif:10124,threedeva:2409,threeeighths:8540,threegujarati:2793,threegurmukhi:2665,threehackarabic:1635,threehangzhou:12323,threeideographicparen:12834,threeinferior:8323,threemonospace:65299,threenumeratorbengali:2550,threeoldstyle:63283,threeparen:9334,threeperiod:9354,threepersian:1779,threequarters:190,threequartersemdash:63198,threeroman:8562,threesuperior:179,threethai:3667,thzsquare:13204,tihiragana:12385,tikatakana:12481,tikatakanahalfwidth:65409,tikeutacirclekorean:12912,tikeutaparenkorean:12816,tikeutcirclekorean:12898,tikeutkorean:12599,tikeutparenkorean:12802,tilde:732,tildebelowcmb:816,tildecmb:771,tildecomb:771,tildedoublecmb:864,tildeoperator:8764,tildeoverlaycmb:820,tildeverticalcmb:830,timescircle:8855,tipehahebrew:1430,tipehalefthebrew:1430,tippigurmukhi:2672,titlocyrilliccmb:1155,tiwnarmenian:1407,tlinebelow:7791,tmonospace:65364,toarmenian:1385,tohiragana:12392,tokatakana:12488,tokatakanahalfwidth:65412,tonebarextrahighmod:741,tonebarextralowmod:745,tonebarhighmod:742,tonebarlowmod:744,tonebarmidmod:743, +tonefive:445,tonesix:389,tonetwo:424,tonos:900,tonsquare:13095,topatakthai:3599,tortoiseshellbracketleft:12308,tortoiseshellbracketleftsmall:65117,tortoiseshellbracketleftvertical:65081,tortoiseshellbracketright:12309,tortoiseshellbracketrightsmall:65118,tortoiseshellbracketrightvertical:65082,totaothai:3605,tpalatalhook:427,tparen:9391,trademark:8482,trademarksans:63722,trademarkserif:63195,tretroflexhook:648,triagdn:9660,triaglf:9668,triagrt:9658,triagup:9650,ts:678,tsadi:1510,tsadidagesh:64326,tsadidageshhebrew:64326,tsadihebrew:1510,tsecyrillic:1094,tsere:1461,tsere12:1461,tsere1e:1461,tsere2b:1461,tserehebrew:1461,tserenarrowhebrew:1461,tserequarterhebrew:1461,tserewidehebrew:1461,tshecyrillic:1115,tsuperior:63219,ttabengali:2463,ttadeva:2335,ttagujarati:2719,ttagurmukhi:2591,tteharabic:1657,ttehfinalarabic:64359,ttehinitialarabic:64360,ttehmedialarabic:64361,tthabengali:2464,tthadeva:2336,tthagujarati:2720,tthagurmukhi:2592,tturned:647,tuhiragana:12388,tukatakana:12484,tukatakanahalfwidth:65410,tusmallhiragana:12387,tusmallkatakana:12483,tusmallkatakanahalfwidth:65391,twelvecircle:9323,twelveparen:9343,twelveperiod:9363,twelveroman:8571,twentycircle:9331,twentyhangzhou:21316,twentyparen:9351,twentyperiod:9371,two:50,twoarabic:1634,twobengali:2536,twocircle:9313,twocircleinversesansserif:10123,twodeva:2408,twodotenleader:8229,twodotleader:8229,twodotleadervertical:65072,twogujarati:2792,twogurmukhi:2664,twohackarabic:1634,twohangzhou:12322,twoideographicparen:12833,twoinferior:8322,twomonospace:65298,twonumeratorbengali:2549,twooldstyle:63282,twoparen:9333,twoperiod:9353,twopersian:1778,tworoman:8561,twostroke:443,twosuperior:178,twothai:3666,twothirds:8532,u:117,uacute:250,ubar:649,ubengali:2441,ubopomofo:12584,ubreve:365,ucaron:468,ucircle:9444,ucircumflex:251,ucircumflexbelow:7799,ucyrillic:1091,udattadeva:2385,udblacute:369,udblgrave:533,udeva:2313,udieresis:252,udieresisacute:472,udieresisbelow:7795,udieresiscaron:474,udieresiscyrillic:1265,udieresisgrave:476,udieresismacron:470,udotbelow:7909,ugrave:249,ugujarati:2697,ugurmukhi:2569,uhiragana:12358,uhookabove:7911,uhorn:432,uhornacute:7913,uhorndotbelow:7921,uhorngrave:7915,uhornhookabove:7917,uhorntilde:7919,uhungarumlaut:369,uhungarumlautcyrillic:1267,uinvertedbreve:535,ukatakana:12454,ukatakanahalfwidth:65395,ukcyrillic:1145,ukorean:12636,umacron:363,umacroncyrillic:1263,umacrondieresis:7803,umatragurmukhi:2625,umonospace:65365,underscore:95,underscoredbl:8215,underscoremonospace:65343,underscorevertical:65075,underscorewavy:65103,union:8746,universal:8704,uogonek:371,uparen:9392,upblock:9600,upperdothebrew:1476,upsilon:965,upsilondieresis:971,upsilondieresistonos:944,upsilonlatin:650,upsilontonos:973,uptackbelowcmb:797,uptackmod:724,uragurmukhi:2675,uring:367,ushortcyrillic:1118,usmallhiragana:12357,usmallkatakana:12453,usmallkatakanahalfwidth:65385,ustraightcyrillic:1199,ustraightstrokecyrillic:1201,utilde:361,utildeacute:7801,utildebelow:7797,uubengali:2442,uudeva:2314,uugujarati:2698,uugurmukhi:2570,uumatragurmukhi:2626,uuvowelsignbengali:2498,uuvowelsigndeva:2370,uuvowelsigngujarati:2754,uvowelsignbengali:2497,uvowelsigndeva:2369,uvowelsigngujarati:2753,v:118,vadeva:2357,vagujarati:2741,vagurmukhi:2613,vakatakana:12535,vav:1493,vavdagesh:64309,vavdagesh65:64309,vavdageshhebrew:64309,vavhebrew:1493,vavholam:64331,vavholamhebrew:64331,vavvavhebrew:1520,vavyodhebrew:1521,vcircle:9445,vdotbelow:7807,vecyrillic:1074,veharabic:1700,vehfinalarabic:64363,vehinitialarabic:64364,vehmedialarabic:64365,vekatakana:12537,venus:9792,verticalbar:124,verticallineabovecmb:781,verticallinebelowcmb:809,verticallinelowmod:716,verticallinemod:712,vewarmenian:1406,vhook:651,vikatakana:12536,viramabengali:2509,viramadeva:2381,viramagujarati:2765,visargabengali:2435,visargadeva:2307,visargagujarati:2691,vmonospace:65366,voarmenian:1400,voicediterationhiragana:12446,voicediterationkatakana:12542,voicedmarkkana:12443,voicedmarkkanahalfwidth:65438,vokatakana:12538,vparen:9393,vtilde:7805,vturned:652,vuhiragana:12436,vukatakana:12532,w:119,wacute:7811,waekorean:12633,wahiragana:12431,wakatakana:12527,wakatakanahalfwidth:65436,wakorean:12632,wasmallhiragana:12430,wasmallkatakana:12526,wattosquare:13143,wavedash:12316,wavyunderscorevertical:65076,wawarabic:1608,wawfinalarabic:65262,wawhamzaabovearabic:1572,wawhamzaabovefinalarabic:65158,wbsquare:13277,wcircle:9446,wcircumflex:373,wdieresis:7813,wdotaccent:7815,wdotbelow:7817,wehiragana:12433,weierstrass:8472,wekatakana:12529,wekorean:12638,weokorean:12637,wgrave:7809,whitebullet:9702,whitecircle:9675,whitecircleinverse:9689,whitecornerbracketleft:12302,whitecornerbracketleftvertical:65091,whitecornerbracketright:12303,whitecornerbracketrightvertical:65092,whitediamond:9671,whitediamondcontainingblacksmalldiamond:9672,whitedownpointingsmalltriangle:9663,whitedownpointingtriangle:9661,whiteleftpointingsmalltriangle:9667,whiteleftpointingtriangle:9665,whitelenticularbracketleft:12310,whitelenticularbracketright:12311,whiterightpointingsmalltriangle:9657,whiterightpointingtriangle:9655,whitesmallsquare:9643,whitesmilingface:9786,whitesquare:9633,whitestar:9734,whitetelephone:9743,whitetortoiseshellbracketleft:12312,whitetortoiseshellbracketright:12313,whiteuppointingsmalltriangle:9653,whiteuppointingtriangle:9651,wihiragana:12432,wikatakana:12528,wikorean:12639,wmonospace:65367,wohiragana:12434,wokatakana:12530,wokatakanahalfwidth:65382,won:8361,wonmonospace:65510,wowaenthai:3623,wparen:9394,wring:7832,wsuperior:695,wturned:653,wynn:447,x:120,xabovecmb:829,xbopomofo:12562,xcircle:9447,xdieresis:7821,xdotaccent:7819,xeharmenian:1389,xi:958,xmonospace:65368,xparen:9395,xsuperior:739,y:121,yaadosquare:13134,yabengali:2479,yacute:253,yadeva:2351,yaekorean:12626,yagujarati:2735,yagurmukhi:2607,yahiragana:12420,yakatakana:12516,yakatakanahalfwidth:65428,yakorean:12625,yamakkanthai:3662,yasmallhiragana:12419,yasmallkatakana:12515,yasmallkatakanahalfwidth:65388,yatcyrillic:1123,ycircle:9448,ycircumflex:375,ydieresis:255,ydotaccent:7823,ydotbelow:7925,yeharabic:1610,yehbarreearabic:1746,yehbarreefinalarabic:64431,yehfinalarabic:65266,yehhamzaabovearabic:1574,yehhamzaabovefinalarabic:65162,yehhamzaaboveinitialarabic:65163,yehhamzaabovemedialarabic:65164,yehinitialarabic:65267,yehmedialarabic:65268,yehmeeminitialarabic:64733,yehmeemisolatedarabic:64600,yehnoonfinalarabic:64660,yehthreedotsbelowarabic:1745,yekorean:12630,yen:165,yenmonospace:65509,yeokorean:12629,yeorinhieuhkorean:12678,yerahbenyomohebrew:1450,yerahbenyomolefthebrew:1450,yericyrillic:1099,yerudieresiscyrillic:1273,yesieungkorean:12673,yesieungpansioskorean:12675,yesieungsioskorean:12674,yetivhebrew:1434,ygrave:7923,yhook:436,yhookabove:7927,yiarmenian:1397,yicyrillic:1111,yikorean:12642,yinyang:9775,yiwnarmenian:1410,ymonospace:65369,yod:1497,yoddagesh:64313,yoddageshhebrew:64313,yodhebrew:1497,yodyodhebrew:1522,yodyodpatahhebrew:64287,yohiragana:12424,yoikorean:12681,yokatakana:12520,yokatakanahalfwidth:65430,yokorean:12635,yosmallhiragana:12423,yosmallkatakana:12519,yosmallkatakanahalfwidth:65390,yotgreek:1011,yoyaekorean:12680,yoyakorean:12679,yoyakthai:3618,yoyingthai:3597,yparen:9396,ypogegrammeni:890,ypogegrammenigreekcmb:837,yr:422,yring:7833,ysuperior:696,ytilde:7929,yturned:654,yuhiragana:12422,yuikorean:12684,yukatakana:12518,yukatakanahalfwidth:65429,yukorean:12640,yusbigcyrillic:1131,yusbigiotifiedcyrillic:1133,yuslittlecyrillic:1127,yuslittleiotifiedcyrillic:1129,yusmallhiragana:12421,yusmallkatakana:12517,yusmallkatakanahalfwidth:65389,yuyekorean:12683,yuyeokorean:12682,yyabengali:2527,yyadeva:2399,z:122,zaarmenian:1382,zacute:378,zadeva:2395,zagurmukhi:2651,zaharabic:1592,zahfinalarabic:65222,zahinitialarabic:65223,zahiragana:12374,zahmedialarabic:65224,zainarabic:1586,zainfinalarabic:65200,zakatakana:12470,zaqefgadolhebrew:1429,zaqefqatanhebrew:1428,zarqahebrew:1432,zayin:1494,zayindagesh:64310,zayindageshhebrew:64310,zayinhebrew:1494,zbopomofo:12567,zcaron:382,zcircle:9449,zcircumflex:7825,zcurl:657,zdot:380,zdotaccent:380,zdotbelow:7827,zecyrillic:1079,zedescendercyrillic:1177,zedieresiscyrillic:1247,zehiragana:12380,zekatakana:12476,zero:48,zeroarabic:1632,zerobengali:2534,zerodeva:2406,zerogujarati:2790,zerogurmukhi:2662,zerohackarabic:1632,zeroinferior:8320,zeromonospace:65296,zerooldstyle:63280,zeropersian:1776,zerosuperior:8304,zerothai:3664,zerowidthjoiner:65279,zerowidthnonjoiner:8204,zerowidthspace:8203,zeta:950,zhbopomofo:12563,zhearmenian:1386,zhebrevecyrillic:1218,zhecyrillic:1078,zhedescendercyrillic:1175,zhedieresiscyrillic:1245,zihiragana:12376,zikatakana:12472,zinorhebrew:1454,zlinebelow:7829,zmonospace:65370,zohiragana:12382,zokatakana:12478,zparen:9397,zretroflexhook:656,zstroke:438,zuhiragana:12378,zukatakana:12474,".notdef":0},Ac={space:32,a1:9985,a2:9986,a202:9987,a3:9988,a4:9742,a5:9990,a119:9991,a118:9992,a117:9993,a11:9755,a12:9758,a13:9996,a14:9997,a15:9998,a16:9999,a105:1e4,a17:10001,a18:10002,a19:10003,a20:10004,a21:10005,a22:10006,a23:10007,a24:10008,a25:10009,a26:10010,a27:10011,a28:10012,a6:10013,a7:10014,a8:10015,a9:10016,a10:10017,a29:10018,a30:10019,a31:10020,a32:10021,a33:10022,a34:10023,a35:9733,a36:10025,a37:10026,a38:10027,a39:10028,a40:10029,a41:10030,a42:10031,a43:10032,a44:10033,a45:10034,a46:10035,a47:10036,a48:10037,a49:10038,a50:10039,a51:10040,a52:10041,a53:10042,a54:10043,a55:10044,a56:10045,a57:10046,a58:10047,a59:10048,a60:10049,a61:10050,a62:10051,a63:10052,a64:10053,a65:10054,a66:10055,a67:10056,a68:10057,a69:10058,a70:10059,a71:9679,a72:10061,a73:9632,a74:10063,a203:10064,a75:10065,a204:10066,a76:9650,a77:9660,a78:9670,a79:10070,a81:9687,a82:10072,a83:10073,a84:10074,a97:10075,a98:10076,a99:10077,a100:10078,a101:10081,a102:10082,a103:10083,a104:10084,a106:10085,a107:10086,a108:10087,a112:9827,a111:9830,a110:9829,a109:9824,a120:9312,a121:9313,a122:9314,a123:9315,a124:9316,a125:9317,a126:9318,a127:9319,a128:9320,a129:9321,a130:10102,a131:10103,a132:10104,a133:10105,a134:10106,a135:10107,a136:10108,a137:10109,a138:10110,a139:10111,a140:10112,a141:10113,a142:10114,a143:10115,a144:10116,a145:10117,a146:10118,a147:10119,a148:10120,a149:10121,a150:10122,a151:10123,a152:10124,a153:10125,a154:10126,a155:10127,a156:10128,a157:10129,a158:10130,a159:10131,a160:10132,a161:8594,a163:8596,a164:8597,a196:10136,a165:10137,a192:10138,a166:10139,a167:10140,a168:10141,a169:10142,a170:10143,a171:10144,a172:10145,a173:10146,a162:10147,a174:10148,a175:10149,a176:10150,a177:10151,a178:10152,a179:10153,a193:10154,a180:10155,a199:10156,a181:10157,a200:10158,a182:10159,a201:10161,a183:10162,a184:10163,a197:10164,a185:10165,a194:10166,a198:10167,a186:10168,a195:10169,a187:10170,a188:10171,a189:10172,a190:10173,a191:10174,a89:10088,a90:10089,a93:10090,a94:10091,a91:10092,a92:10093,a205:10094,a85:10095,a206:10096,a86:10097,a87:10098,a88:10099,a95:10100,a96:10101,".notdef":0},Bc=function(){function d(a,b,c,d){if(d instanceof Sc&&d.isNativelyDecodable(b,c)){var e=d.dict,f=e.get("ColorSpace","CS");f=Xa.parse(f,b,c);var g=f.numComps,h=a.sendWithPromise("JpegDecode",[d.getIR(),g]);return h.then(function(a){var b=a.data;return new Mc(b,0,b.length,d.dict)})}return Promise.resolve(d)}function f(a,b,c,d){return a=b+a*c,0>a?0:a>d?d:a}function g(b,d,e,f,h,i,j){this.image=e;var k=e.dict;if(k.has("Filter")){var l=k.get("Filter").name;if("JPXDecode"===l){var m=new hd;m.parseImageProperties(e.stream),e.stream.reset(),e.bitsPerComponent=m.bitsPerComponent,e.numComps=m.componentsCount}else"JBIG2Decode"===l&&(e.bitsPerComponent=1,e.numComps=1)}this.width=k.get("Width","W"),this.height=k.get("Height","H"),(this.width<1||this.height<1)&&c("Invalid image width: "+this.width+" or height: "+this.height),this.interpolate=k.get("Interpolate","I")||!1,this.imageMask=k.get("ImageMask","IM")||!1,this.matte=k.get("Matte")||!1;var n=e.bitsPerComponent;if(n||(n=k.get("BitsPerComponent","BPC"),n||(this.imageMask?n=1:c("Bits per component missing in image: "+this.imageMask))),this.bpc=n,!this.imageMask){var o=k.get("ColorSpace","CS");if(!o)switch(a("JPX images (which do not require color spaces)"),e.numComps){case 1:o=ya.get("DeviceGray");break;case 3:o=ya.get("DeviceRGB");break;case 4:o=ya.get("DeviceCMYK");break;default:c("JPX images with "+this.numComps+" color components not supported.")}this.colorSpace=Xa.parse(o,b,d),this.numComps=this.colorSpace.numComps}if(this.decode=k.get("Decode","D"),this.needsDecode=!1,this.decode&&(this.colorSpace&&!this.colorSpace.isDefaultDecode(this.decode)||j&&!Xa.isDefaultDecode(this.decode,1))){this.needsDecode=!0;var p=(1<=b?new Uint8Array(o):16>=b?new Uint16Array(o):new Uint32Array(o),q=e/g,r=f/h,s=0,t=new Uint16Array(g),u=e*d;for(1!==j&&(j=0),l=0;g>l;l++)t[l]=Math.floor(l*q)*d;if(1===d)for(k=0;h>k;k++)for(m=Math.floor(k*r)*u,l=0;g>l;l++)n=m+t[l],p[s++]=a[n];else if(3===d)for(k=0;h>k;k++)for(m=Math.floor(k*r)*u,l=0;g>l;l++)n=m+t[l],p[s++]=a[n++],p[s++]=a[n++],p[s++]=a[n++],s+=j;return p},g.createMask=function(a,b,c,d,e){var f,g,h=(b+7>>3)*c,i=a.byteLength,j=h===i;if(!d||e&&!j)if(e)for(f=new Uint8Array(h),f.set(a),g=i;h>g;g++)f[g]=255;else f=new Uint8Array(i),f.set(a);else f=a;if(e)for(g=0;i>g;g++)f[g]=~f[g];return{data:f,width:b,height:c}},g.prototype={get drawWidth(){return Math.max(this.width,this.smask&&this.smask.width||0,this.mask&&this.mask.width||0)},get drawHeight(){return Math.max(this.height,this.smask&&this.smask.height||0,this.mask&&this.mask.height||0)},decodeBuffer:function(a){var b,c,d=this.bpc,e=this.numComps,g=this.decodeAddends,h=this.decodeCoefficients,i=(1<b;b++)for(var k=0;e>k;k++)a[j]=f(a[j],g[k],h[k],i),j++}else for(b=0,c=a.length;c>b;b++)a[b]=+!a[b]},getComponents:function(a){var b=this.bpc;if(8===b)return a;var c,d,e=this.width,f=this.height,g=this.numComps,h=e*f*g,i=0,j=8>=b?new Uint8Array(h):16>=b?new Uint16Array(h):new Uint32Array(h),k=e*g,l=(1<q;q++){for(o=m+(-8&k),p=m+k;o>m;)d=a[i++],j[m]=d>>7&1,j[m+1]=d>>6&1,j[m+2]=d>>5&1,j[m+3]=d>>4&1,j[m+4]=d>>3&1,j[m+5]=d>>2&1,j[m+6]=d>>1&1,j[m+7]=1&d,m+=8;if(p>m)for(d=a[i++],n=128;p>m;)j[m++]=+!!(d&n),n>>=1}else{var r=0;for(d=0,m=0,c=h;c>m;++m){for(m%k===0&&(d=0,r=0);b>r;)d=d<<8|a[i++],r+=8;var s=r-b,t=d>>s;j[m]=0>t?0:t>l?l:t,d&=(1<k;++k)h[k]=255-h[k];i===b&&j===d||(h=g.resize(h,o.bpc,1,i,j,b,d))}else if(C(o)){h=new Uint8Array(b*d);var p=this.numComps;for(k=0,l=b*d;l>k;++k){var q=0,r=k*p;for(m=0;p>m;++m){var s=f[r+m],t=2*m;if(so[t+1]){q=255;break}}h[k]=q}}else c("Unknown mask format.");if(h)for(k=0,m=3,l=b*e;l>k;++k,m+=4)a[m]=h[k];else for(k=0,m=3,l=b*e;l>k;++k,m+=4)a[m]=255},undoPreblend:function(a,b,c){var d=this.smask&&this.smask.matte;if(d)for(var e,f,g,h=this.colorSpace.getRgb(d,0),i=h[0],j=h[1],k=h[2],l=b*c*4,m=0;l>m;m+=4){var n=a[m+3];if(0!==n){var o=255/n;e=(a[m]-i)*o+i,f=(a[m+1]-j)*o+j,g=(a[m+2]-k)*o+k,a[m]=0>=e?0:e>=255?255:0|e,a[m+1]=0>=f?0:f>=255?255:0|f,a[m+2]=0>=g?0:g>=255?255:0|g}else a[m]=255,a[m+1]=255,a[m+2]=255}},createImageData:function(a){var b,c=this.drawWidth,d=this.drawHeight,f={width:c,height:d},g=this.numComps,h=this.width,i=this.height,j=this.bpc,k=h*g*j+7>>3;if(!a){var l;if("DeviceGray"===this.colorSpace.name&&1===j?l=V.GRAYSCALE_1BPP:"DeviceRGB"!==this.colorSpace.name||8!==j||this.needsDecode||(l=V.RGB_24BPP),l&&!this.smask&&!this.mask&&c===h&&d===i){if(f.kind=l,b=this.getImageBytes(i*k),this.image instanceof Oc)f.data=b;else{var m=new Uint8Array(b.length);m.set(b),f.data=m}if(this.needsDecode){e(l===V.GRAYSCALE_1BPP);for(var n=f.data,o=0,p=n.length;p>o;o++)n[o]^=255}return f}if(this.image instanceof Sc&&!this.smask&&!this.mask&&("DeviceGray"===this.colorSpace.name||"DeviceRGB"===this.colorSpace.name||"DeviceCMYK"===this.colorSpace.name))return f.kind=V.RGB_24BPP,f.data=this.getImageBytes(i*k,c,d,!0),f}b=this.getImageBytes(i*k);var q,r,s=0|b.length/k*d/i,t=this.getComponents(b);return a||this.smask||this.mask?(f.kind=V.RGBA_32BPP,f.data=new Uint8Array(c*d*4),q=1,r=!0,this.fillOpacity(f.data,c,d,s,t)):(f.kind=V.RGB_24BPP,f.data=new Uint8Array(c*d*3),q=0,r=!1),this.needsDecode&&this.decodeBuffer(t),this.colorSpace.fillRgb(f.data,h,i,c,d,s,j,t,q),r&&this.undoPreblend(f.data,c,s),f},fillGrayBuffer:function(a){var b=this.numComps;1!==b&&c("Reading gray scale from a color image: "+b);var d,e,f=this.width,g=this.height,h=this.bpc,i=f*b*h+7>>3,j=this.getImageBytes(g*i),k=this.getComponents(j);if(1!==h){this.needsDecode&&this.decodeBuffer(k),e=f*g;var l=255/((1<d;++d)a[d]=l*k[d]|0}else if(e=f*g,this.needsDecode)for(d=0;e>d;++d)a[d]=k[d]-1&255;else for(d=0;e>d;++d)a[d]=255&-k[d]},getImageBytes:function(a,b,c,d){return this.image.reset(),this.image.drawWidth=b||this.width,this.image.drawHeight=c||this.height,this.image.forceRGB=!!d,this.image.getBytes(a)}},g}(),Cc={Courier:600,"Courier-Bold":600,"Courier-BoldOblique":600,"Courier-Oblique":600,Helvetica:{space:278,exclam:278,quotedbl:355,numbersign:556,dollar:556,percent:889,ampersand:667,quoteright:222,parenleft:333,parenright:333,asterisk:389,plus:584,comma:278,hyphen:333,period:278,slash:278,zero:556,one:556,two:556,three:556,four:556,five:556,six:556,seven:556,eight:556,nine:556,colon:278,semicolon:278,less:584,equal:584,greater:584,question:556,at:1015,A:667,B:667,C:722,D:722,E:667,F:611,G:778,H:722,I:278,J:500,K:667,L:556,M:833,N:722,O:778,P:667,Q:778,R:722,S:667,T:611,U:722,V:667,W:944,X:667,Y:667,Z:611,bracketleft:278,backslash:278,bracketright:278,asciicircum:469,underscore:556,quoteleft:222,a:556,b:556,c:500,d:556,e:556,f:278,g:556,h:556,i:222,j:222,k:500,l:222,m:833,n:556,o:556,p:556,q:556,r:333,s:500,t:278,u:556,v:500,w:722,x:500,y:500,z:500,braceleft:334,bar:260,braceright:334,asciitilde:584,exclamdown:333,cent:556,sterling:556,fraction:167,yen:556,florin:556,section:556,currency:556,quotesingle:191,quotedblleft:333,guillemotleft:556,guilsinglleft:333,guilsinglright:333,fi:500,fl:500,endash:556,dagger:556,daggerdbl:556,periodcentered:278,paragraph:537,bullet:350,quotesinglbase:222,quotedblbase:333,quotedblright:333,guillemotright:556,ellipsis:1e3,perthousand:1e3,questiondown:611,grave:333,acute:333,circumflex:333,tilde:333,macron:333,breve:333,dotaccent:333,dieresis:333,ring:333,cedilla:333,hungarumlaut:333,ogonek:333,caron:333,emdash:1e3,AE:1e3,ordfeminine:370,Lslash:556,Oslash:778,OE:1e3,ordmasculine:365,ae:889,dotlessi:278,lslash:222,oslash:611,oe:944,germandbls:611,Idieresis:278,eacute:556,abreve:556,uhungarumlaut:556,ecaron:556,Ydieresis:667,divide:584,Yacute:667,Acircumflex:667,aacute:556,Ucircumflex:722,yacute:500,scommaaccent:500,ecircumflex:556,Uring:722,Udieresis:722,aogonek:556,Uacute:722,uogonek:556,Edieresis:667,Dcroat:722,commaaccent:250,copyright:737,Emacron:667,ccaron:500,aring:556,Ncommaaccent:722,lacute:222,agrave:556,Tcommaaccent:611,Cacute:722,atilde:556,Edotaccent:667,scaron:500,scedilla:500,iacute:278,lozenge:471,Rcaron:722,Gcommaaccent:778,ucircumflex:556,acircumflex:556,Amacron:667,rcaron:333,ccedilla:500,Zdotaccent:611,Thorn:667,Omacron:778,Racute:722,Sacute:667,dcaron:643,Umacron:722,uring:556,threesuperior:333,Ograve:778,Agrave:667,Abreve:667,multiply:584,uacute:556,Tcaron:611,partialdiff:476,ydieresis:500,Nacute:722,icircumflex:278,Ecircumflex:667,adieresis:556,edieresis:556,cacute:500,nacute:556,umacron:556,Ncaron:722,Iacute:278,plusminus:584,brokenbar:260,registered:737,Gbreve:778,Idotaccent:278,summation:600,Egrave:667,racute:333,omacron:556,Zacute:611,Zcaron:611,greaterequal:549,Eth:722,Ccedilla:722,lcommaaccent:222,tcaron:317,eogonek:556,Uogonek:722,Aacute:667,Adieresis:667,egrave:556,zacute:500,iogonek:222,Oacute:778,oacute:556,amacron:556,sacute:500,idieresis:278,Ocircumflex:778,Ugrave:722,Delta:612,thorn:556,twosuperior:333,Odieresis:778,mu:556,igrave:278,ohungarumlaut:556,Eogonek:667,dcroat:556,threequarters:834,Scedilla:667,lcaron:299,Kcommaaccent:667,Lacute:556,trademark:1e3,edotaccent:556,Igrave:278,Imacron:278,Lcaron:556,onehalf:834,lessequal:549,ocircumflex:556,ntilde:556,Uhungarumlaut:722,Eacute:667,emacron:556,gbreve:556,onequarter:834,Scaron:667,Scommaaccent:667,Ohungarumlaut:778,degree:400,ograve:556,Ccaron:722,ugrave:556,radical:453,Dcaron:722,rcommaaccent:333,Ntilde:722,otilde:556,Rcommaaccent:722,Lcommaaccent:556,Atilde:667,Aogonek:667,Aring:667,Otilde:778,zdotaccent:500,Ecaron:667,Iogonek:278,kcommaaccent:500,minus:584,Icircumflex:278,ncaron:556,tcommaaccent:278,logicalnot:584,odieresis:556,udieresis:556,notequal:549,gcommaaccent:556,eth:556,zcaron:500,ncommaaccent:556,onesuperior:333,imacron:278,Euro:556},"Helvetica-Bold":{space:278,exclam:333,quotedbl:474,numbersign:556,dollar:556,percent:889,ampersand:722,quoteright:278,parenleft:333,parenright:333,asterisk:389,plus:584,comma:278,hyphen:333,period:278,slash:278,zero:556,one:556,two:556,three:556,four:556,five:556,six:556,seven:556,eight:556,nine:556,colon:333,semicolon:333,less:584,equal:584,greater:584,question:611,at:975,A:722,B:722,C:722,D:722,E:667,F:611,G:778,H:722,I:278,J:556,K:722,L:611,M:833,N:722,O:778,P:667,Q:778,R:722,S:667,T:611,U:722,V:667,W:944,X:667,Y:667,Z:611,bracketleft:333,backslash:278,bracketright:333,asciicircum:584,underscore:556,quoteleft:278,a:556,b:611,c:556,d:611,e:556,f:333,g:611,h:611,i:278,j:278,k:556,l:278,m:889,n:611,o:611,p:611,q:611,r:389,s:556,t:333,u:611,v:556,w:778,x:556,y:556,z:500,braceleft:389,bar:280,braceright:389,asciitilde:584,exclamdown:333,cent:556,sterling:556,fraction:167,yen:556,florin:556,section:556,currency:556,quotesingle:238,quotedblleft:500,guillemotleft:556,guilsinglleft:333,guilsinglright:333,fi:611,fl:611,endash:556,dagger:556,daggerdbl:556,periodcentered:278,paragraph:556,bullet:350,quotesinglbase:278,quotedblbase:500,quotedblright:500,guillemotright:556,ellipsis:1e3,perthousand:1e3,questiondown:611,grave:333,acute:333,circumflex:333,tilde:333,macron:333,breve:333,dotaccent:333,dieresis:333,ring:333,cedilla:333,hungarumlaut:333,ogonek:333,caron:333,emdash:1e3,AE:1e3,ordfeminine:370,Lslash:611,Oslash:778,OE:1e3,ordmasculine:365,ae:889,dotlessi:278,lslash:278,oslash:611,oe:944,germandbls:611,Idieresis:278,eacute:556,abreve:556,uhungarumlaut:611,ecaron:556,Ydieresis:667,divide:584,Yacute:667,Acircumflex:722,aacute:556,Ucircumflex:722,yacute:556,scommaaccent:556,ecircumflex:556,Uring:722,Udieresis:722,aogonek:556,Uacute:722,uogonek:611,Edieresis:667,Dcroat:722,commaaccent:250,copyright:737,Emacron:667,ccaron:556,aring:556,Ncommaaccent:722,lacute:278,agrave:556,Tcommaaccent:611,Cacute:722,atilde:556,Edotaccent:667,scaron:556,scedilla:556,iacute:278,lozenge:494,Rcaron:722,Gcommaaccent:778,ucircumflex:611,acircumflex:556,Amacron:722,rcaron:389,ccedilla:556,Zdotaccent:611,Thorn:667,Omacron:778,Racute:722,Sacute:667,dcaron:743,Umacron:722,uring:611,threesuperior:333,Ograve:778,Agrave:722,Abreve:722,multiply:584,uacute:611,Tcaron:611,partialdiff:494,ydieresis:556,Nacute:722,icircumflex:278,Ecircumflex:667,adieresis:556,edieresis:556,cacute:556,nacute:611,umacron:611,Ncaron:722,Iacute:278,plusminus:584,brokenbar:280,registered:737,Gbreve:778,Idotaccent:278,summation:600,Egrave:667,racute:389,omacron:611,Zacute:611,Zcaron:611,greaterequal:549,Eth:722,Ccedilla:722,lcommaaccent:278,tcaron:389,eogonek:556,Uogonek:722,Aacute:722,Adieresis:722,egrave:556,zacute:500,iogonek:278,Oacute:778,oacute:611,amacron:556,sacute:556,idieresis:278,Ocircumflex:778,Ugrave:722,Delta:612,thorn:611,twosuperior:333,Odieresis:778,mu:611,igrave:278,ohungarumlaut:611,Eogonek:667,dcroat:611,threequarters:834,Scedilla:667,lcaron:400,Kcommaaccent:722,Lacute:611,trademark:1e3,edotaccent:556,Igrave:278,Imacron:278,Lcaron:611,onehalf:834,lessequal:549,ocircumflex:611,ntilde:611,Uhungarumlaut:722,Eacute:667,emacron:556,gbreve:611,onequarter:834,Scaron:667,Scommaaccent:667,Ohungarumlaut:778,degree:400,ograve:611,Ccaron:722,ugrave:611,radical:549,Dcaron:722,rcommaaccent:389,Ntilde:722,otilde:611,Rcommaaccent:722,Lcommaaccent:611,Atilde:722,Aogonek:722,Aring:722,Otilde:778,zdotaccent:500,Ecaron:667,Iogonek:278,kcommaaccent:556,minus:584,Icircumflex:278,ncaron:611,tcommaaccent:333,logicalnot:584,odieresis:611,udieresis:611,notequal:549,gcommaaccent:611,eth:611,zcaron:500,ncommaaccent:611,onesuperior:333,imacron:278,Euro:556},"Helvetica-BoldOblique":{space:278,exclam:333,quotedbl:474,numbersign:556,dollar:556,percent:889,ampersand:722,quoteright:278,parenleft:333,parenright:333,asterisk:389,plus:584,comma:278,hyphen:333,period:278,slash:278,zero:556,one:556,two:556,three:556,four:556,five:556,six:556,seven:556,eight:556,nine:556,colon:333,semicolon:333,less:584,equal:584,greater:584,question:611,at:975,A:722,B:722,C:722,D:722,E:667,F:611,G:778,H:722,I:278,J:556,K:722,L:611,M:833,N:722,O:778,P:667,Q:778,R:722,S:667,T:611,U:722,V:667,W:944,X:667,Y:667,Z:611,bracketleft:333,backslash:278,bracketright:333,asciicircum:584,underscore:556,quoteleft:278,a:556,b:611,c:556,d:611,e:556,f:333,g:611,h:611,i:278,j:278,k:556,l:278,m:889,n:611,o:611,p:611,q:611,r:389,s:556,t:333,u:611,v:556,w:778,x:556,y:556,z:500,braceleft:389,bar:280,braceright:389,asciitilde:584,exclamdown:333,cent:556,sterling:556,fraction:167,yen:556,florin:556,section:556,currency:556,quotesingle:238,quotedblleft:500,guillemotleft:556,guilsinglleft:333,guilsinglright:333,fi:611,fl:611,endash:556,dagger:556,daggerdbl:556,periodcentered:278,paragraph:556,bullet:350,quotesinglbase:278,quotedblbase:500,quotedblright:500,guillemotright:556,ellipsis:1e3,perthousand:1e3,questiondown:611,grave:333,acute:333,circumflex:333,tilde:333,macron:333,breve:333,dotaccent:333,dieresis:333,ring:333,cedilla:333,hungarumlaut:333,ogonek:333,caron:333,emdash:1e3,AE:1e3,ordfeminine:370,Lslash:611,Oslash:778,OE:1e3,ordmasculine:365,ae:889,dotlessi:278,lslash:278,oslash:611,oe:944,germandbls:611,Idieresis:278,eacute:556,abreve:556,uhungarumlaut:611,ecaron:556,Ydieresis:667,divide:584,Yacute:667,Acircumflex:722,aacute:556,Ucircumflex:722,yacute:556,scommaaccent:556,ecircumflex:556,Uring:722,Udieresis:722,aogonek:556,Uacute:722,uogonek:611,Edieresis:667,Dcroat:722,commaaccent:250,copyright:737,Emacron:667,ccaron:556,aring:556,Ncommaaccent:722,lacute:278,agrave:556,Tcommaaccent:611,Cacute:722,atilde:556,Edotaccent:667,scaron:556,scedilla:556,iacute:278,lozenge:494,Rcaron:722,Gcommaaccent:778,ucircumflex:611,acircumflex:556,Amacron:722,rcaron:389,ccedilla:556,Zdotaccent:611,Thorn:667,Omacron:778,Racute:722,Sacute:667,dcaron:743,Umacron:722,uring:611,threesuperior:333,Ograve:778,Agrave:722,Abreve:722,multiply:584,uacute:611,Tcaron:611,partialdiff:494,ydieresis:556,Nacute:722,icircumflex:278,Ecircumflex:667,adieresis:556,edieresis:556,cacute:556,nacute:611,umacron:611,Ncaron:722,Iacute:278,plusminus:584,brokenbar:280,registered:737,Gbreve:778,Idotaccent:278,summation:600,Egrave:667,racute:389,omacron:611,Zacute:611,Zcaron:611,greaterequal:549,Eth:722,Ccedilla:722,lcommaaccent:278,tcaron:389,eogonek:556,Uogonek:722,Aacute:722,Adieresis:722,egrave:556,zacute:500,iogonek:278,Oacute:778,oacute:611,amacron:556,sacute:556,idieresis:278,Ocircumflex:778,Ugrave:722,Delta:612,thorn:611,twosuperior:333,Odieresis:778,mu:611,igrave:278,ohungarumlaut:611,Eogonek:667,dcroat:611,threequarters:834,Scedilla:667,lcaron:400,Kcommaaccent:722,Lacute:611,trademark:1e3,edotaccent:556,Igrave:278,Imacron:278,Lcaron:611,onehalf:834,lessequal:549,ocircumflex:611,ntilde:611,Uhungarumlaut:722,Eacute:667,emacron:556,gbreve:611,onequarter:834,Scaron:667,Scommaaccent:667,Ohungarumlaut:778,degree:400,ograve:611,Ccaron:722,ugrave:611,radical:549,Dcaron:722,rcommaaccent:389,Ntilde:722,otilde:611,Rcommaaccent:722,Lcommaaccent:611,Atilde:722,Aogonek:722,Aring:722,Otilde:778,zdotaccent:500,Ecaron:667,Iogonek:278,kcommaaccent:556,minus:584,Icircumflex:278,ncaron:611,tcommaaccent:333,logicalnot:584,odieresis:611,udieresis:611,notequal:549,gcommaaccent:611,eth:611,zcaron:500,ncommaaccent:611,onesuperior:333,imacron:278,Euro:556},"Helvetica-Oblique":{space:278,exclam:278,quotedbl:355,numbersign:556,dollar:556,percent:889,ampersand:667,quoteright:222,parenleft:333,parenright:333,asterisk:389,plus:584,comma:278,hyphen:333,period:278,slash:278,zero:556,one:556,two:556,three:556,four:556,five:556,six:556,seven:556,eight:556,nine:556,colon:278,semicolon:278,less:584,equal:584,greater:584,question:556,at:1015,A:667,B:667,C:722,D:722,E:667,F:611,G:778,H:722,I:278,J:500,K:667,L:556,M:833,N:722,O:778,P:667,Q:778,R:722,S:667,T:611,U:722,V:667,W:944,X:667,Y:667,Z:611,bracketleft:278,backslash:278,bracketright:278,asciicircum:469,underscore:556,quoteleft:222,a:556,b:556,c:500,d:556,e:556,f:278,g:556,h:556,i:222,j:222,k:500,l:222,m:833,n:556,o:556,p:556,q:556,r:333,s:500,t:278,u:556,v:500,w:722,x:500,y:500,z:500,braceleft:334,bar:260,braceright:334,asciitilde:584,exclamdown:333,cent:556,sterling:556,fraction:167,yen:556,florin:556,section:556,currency:556,quotesingle:191,quotedblleft:333,guillemotleft:556,guilsinglleft:333,guilsinglright:333,fi:500,fl:500,endash:556,dagger:556,daggerdbl:556,periodcentered:278,paragraph:537,bullet:350,quotesinglbase:222,quotedblbase:333,quotedblright:333,guillemotright:556,ellipsis:1e3,perthousand:1e3,questiondown:611,grave:333,acute:333,circumflex:333,tilde:333,macron:333,breve:333,dotaccent:333,dieresis:333,ring:333,cedilla:333,hungarumlaut:333,ogonek:333,caron:333,emdash:1e3,AE:1e3,ordfeminine:370,Lslash:556,Oslash:778,OE:1e3,ordmasculine:365,ae:889,dotlessi:278,lslash:222,oslash:611,oe:944,germandbls:611,Idieresis:278,eacute:556,abreve:556,uhungarumlaut:556,ecaron:556,Ydieresis:667,divide:584,Yacute:667,Acircumflex:667,aacute:556,Ucircumflex:722,yacute:500,scommaaccent:500,ecircumflex:556,Uring:722,Udieresis:722,aogonek:556,Uacute:722,uogonek:556,Edieresis:667,Dcroat:722,commaaccent:250,copyright:737,Emacron:667,ccaron:500,aring:556,Ncommaaccent:722,lacute:222,agrave:556,Tcommaaccent:611,Cacute:722,atilde:556,Edotaccent:667,scaron:500,scedilla:500,iacute:278,lozenge:471,Rcaron:722,Gcommaaccent:778,ucircumflex:556,acircumflex:556,Amacron:667,rcaron:333,ccedilla:500,Zdotaccent:611,Thorn:667,Omacron:778,Racute:722,Sacute:667,dcaron:643,Umacron:722,uring:556,threesuperior:333,Ograve:778,Agrave:667,Abreve:667,multiply:584,uacute:556,Tcaron:611,partialdiff:476,ydieresis:500,Nacute:722,icircumflex:278,Ecircumflex:667,adieresis:556,edieresis:556,cacute:500,nacute:556,umacron:556,Ncaron:722,Iacute:278,plusminus:584,brokenbar:260,registered:737,Gbreve:778,Idotaccent:278,summation:600,Egrave:667,racute:333,omacron:556,Zacute:611,Zcaron:611,greaterequal:549,Eth:722,Ccedilla:722,lcommaaccent:222,tcaron:317,eogonek:556,Uogonek:722,Aacute:667,Adieresis:667,egrave:556,zacute:500,iogonek:222,Oacute:778,oacute:556,amacron:556,sacute:500,idieresis:278,Ocircumflex:778,Ugrave:722,Delta:612,thorn:556,twosuperior:333,Odieresis:778,mu:556,igrave:278,ohungarumlaut:556,Eogonek:667,dcroat:556,threequarters:834,Scedilla:667,lcaron:299,Kcommaaccent:667,Lacute:556,trademark:1e3,edotaccent:556,Igrave:278,Imacron:278,Lcaron:556, +onehalf:834,lessequal:549,ocircumflex:556,ntilde:556,Uhungarumlaut:722,Eacute:667,emacron:556,gbreve:556,onequarter:834,Scaron:667,Scommaaccent:667,Ohungarumlaut:778,degree:400,ograve:556,Ccaron:722,ugrave:556,radical:453,Dcaron:722,rcommaaccent:333,Ntilde:722,otilde:556,Rcommaaccent:722,Lcommaaccent:556,Atilde:667,Aogonek:667,Aring:667,Otilde:778,zdotaccent:500,Ecaron:667,Iogonek:278,kcommaaccent:500,minus:584,Icircumflex:278,ncaron:556,tcommaaccent:278,logicalnot:584,odieresis:556,udieresis:556,notequal:549,gcommaaccent:556,eth:556,zcaron:500,ncommaaccent:556,onesuperior:333,imacron:278,Euro:556},Symbol:{space:250,exclam:333,universal:713,numbersign:500,existential:549,percent:833,ampersand:778,suchthat:439,parenleft:333,parenright:333,asteriskmath:500,plus:549,comma:250,minus:549,period:250,slash:278,zero:500,one:500,two:500,three:500,four:500,five:500,six:500,seven:500,eight:500,nine:500,colon:278,semicolon:278,less:549,equal:549,greater:549,question:444,congruent:549,Alpha:722,Beta:667,Chi:722,Delta:612,Epsilon:611,Phi:763,Gamma:603,Eta:722,Iota:333,theta1:631,Kappa:722,Lambda:686,Mu:889,Nu:722,Omicron:722,Pi:768,Theta:741,Rho:556,Sigma:592,Tau:611,Upsilon:690,sigma1:439,Omega:768,Xi:645,Psi:795,Zeta:611,bracketleft:333,therefore:863,bracketright:333,perpendicular:658,underscore:500,radicalex:500,alpha:631,beta:549,chi:549,delta:494,epsilon:439,phi:521,gamma:411,eta:603,iota:329,phi1:603,kappa:549,lambda:549,mu:576,nu:521,omicron:549,pi:549,theta:521,rho:549,sigma:603,tau:439,upsilon:576,omega1:713,omega:686,xi:493,psi:686,zeta:494,braceleft:480,bar:200,braceright:480,similar:549,Euro:750,Upsilon1:620,minute:247,lessequal:549,fraction:167,infinity:713,florin:500,club:753,diamond:753,heart:753,spade:753,arrowboth:1042,arrowleft:987,arrowup:603,arrowright:987,arrowdown:603,degree:400,plusminus:549,second:411,greaterequal:549,multiply:549,proportional:713,partialdiff:494,bullet:460,divide:549,notequal:549,equivalence:549,approxequal:549,ellipsis:1e3,arrowvertex:603,arrowhorizex:1e3,carriagereturn:658,aleph:823,Ifraktur:686,Rfraktur:795,weierstrass:987,circlemultiply:768,circleplus:768,emptyset:823,intersection:768,union:768,propersuperset:713,reflexsuperset:713,notsubset:713,propersubset:713,reflexsubset:713,element:713,notelement:713,angle:768,gradient:713,registerserif:790,copyrightserif:790,trademarkserif:890,product:823,radical:549,dotmath:250,logicalnot:713,logicaland:603,logicalor:603,arrowdblboth:1042,arrowdblleft:987,arrowdblup:603,arrowdblright:987,arrowdbldown:603,lozenge:494,angleleft:329,registersans:790,copyrightsans:790,trademarksans:786,summation:713,parenlefttp:384,parenleftex:384,parenleftbt:384,bracketlefttp:384,bracketleftex:384,bracketleftbt:384,bracelefttp:494,braceleftmid:494,braceleftbt:494,braceex:494,angleright:329,integral:274,integraltp:686,integralex:686,integralbt:686,parenrighttp:384,parenrightex:384,parenrightbt:384,bracketrighttp:384,bracketrightex:384,bracketrightbt:384,bracerighttp:494,bracerightmid:494,bracerightbt:494,apple:790},"Times-Roman":{space:250,exclam:333,quotedbl:408,numbersign:500,dollar:500,percent:833,ampersand:778,quoteright:333,parenleft:333,parenright:333,asterisk:500,plus:564,comma:250,hyphen:333,period:250,slash:278,zero:500,one:500,two:500,three:500,four:500,five:500,six:500,seven:500,eight:500,nine:500,colon:278,semicolon:278,less:564,equal:564,greater:564,question:444,at:921,A:722,B:667,C:667,D:722,E:611,F:556,G:722,H:722,I:333,J:389,K:722,L:611,M:889,N:722,O:722,P:556,Q:722,R:667,S:556,T:611,U:722,V:722,W:944,X:722,Y:722,Z:611,bracketleft:333,backslash:278,bracketright:333,asciicircum:469,underscore:500,quoteleft:333,a:444,b:500,c:444,d:500,e:444,f:333,g:500,h:500,i:278,j:278,k:500,l:278,m:778,n:500,o:500,p:500,q:500,r:333,s:389,t:278,u:500,v:500,w:722,x:500,y:500,z:444,braceleft:480,bar:200,braceright:480,asciitilde:541,exclamdown:333,cent:500,sterling:500,fraction:167,yen:500,florin:500,section:500,currency:500,quotesingle:180,quotedblleft:444,guillemotleft:500,guilsinglleft:333,guilsinglright:333,fi:556,fl:556,endash:500,dagger:500,daggerdbl:500,periodcentered:250,paragraph:453,bullet:350,quotesinglbase:333,quotedblbase:444,quotedblright:444,guillemotright:500,ellipsis:1e3,perthousand:1e3,questiondown:444,grave:333,acute:333,circumflex:333,tilde:333,macron:333,breve:333,dotaccent:333,dieresis:333,ring:333,cedilla:333,hungarumlaut:333,ogonek:333,caron:333,emdash:1e3,AE:889,ordfeminine:276,Lslash:611,Oslash:722,OE:889,ordmasculine:310,ae:667,dotlessi:278,lslash:278,oslash:500,oe:722,germandbls:500,Idieresis:333,eacute:444,abreve:444,uhungarumlaut:500,ecaron:444,Ydieresis:722,divide:564,Yacute:722,Acircumflex:722,aacute:444,Ucircumflex:722,yacute:500,scommaaccent:389,ecircumflex:444,Uring:722,Udieresis:722,aogonek:444,Uacute:722,uogonek:500,Edieresis:611,Dcroat:722,commaaccent:250,copyright:760,Emacron:611,ccaron:444,aring:444,Ncommaaccent:722,lacute:278,agrave:444,Tcommaaccent:611,Cacute:667,atilde:444,Edotaccent:611,scaron:389,scedilla:389,iacute:278,lozenge:471,Rcaron:667,Gcommaaccent:722,ucircumflex:500,acircumflex:444,Amacron:722,rcaron:333,ccedilla:444,Zdotaccent:611,Thorn:556,Omacron:722,Racute:667,Sacute:556,dcaron:588,Umacron:722,uring:500,threesuperior:300,Ograve:722,Agrave:722,Abreve:722,multiply:564,uacute:500,Tcaron:611,partialdiff:476,ydieresis:500,Nacute:722,icircumflex:278,Ecircumflex:611,adieresis:444,edieresis:444,cacute:444,nacute:500,umacron:500,Ncaron:722,Iacute:333,plusminus:564,brokenbar:200,registered:760,Gbreve:722,Idotaccent:333,summation:600,Egrave:611,racute:333,omacron:500,Zacute:611,Zcaron:611,greaterequal:549,Eth:722,Ccedilla:667,lcommaaccent:278,tcaron:326,eogonek:444,Uogonek:722,Aacute:722,Adieresis:722,egrave:444,zacute:444,iogonek:278,Oacute:722,oacute:500,amacron:444,sacute:389,idieresis:278,Ocircumflex:722,Ugrave:722,Delta:612,thorn:500,twosuperior:300,Odieresis:722,mu:500,igrave:278,ohungarumlaut:500,Eogonek:611,dcroat:500,threequarters:750,Scedilla:556,lcaron:344,Kcommaaccent:722,Lacute:611,trademark:980,edotaccent:444,Igrave:333,Imacron:333,Lcaron:611,onehalf:750,lessequal:549,ocircumflex:500,ntilde:500,Uhungarumlaut:722,Eacute:611,emacron:444,gbreve:500,onequarter:750,Scaron:556,Scommaaccent:556,Ohungarumlaut:722,degree:400,ograve:500,Ccaron:667,ugrave:500,radical:453,Dcaron:722,rcommaaccent:333,Ntilde:722,otilde:500,Rcommaaccent:667,Lcommaaccent:611,Atilde:722,Aogonek:722,Aring:722,Otilde:722,zdotaccent:444,Ecaron:611,Iogonek:333,kcommaaccent:500,minus:564,Icircumflex:333,ncaron:500,tcommaaccent:278,logicalnot:564,odieresis:500,udieresis:500,notequal:549,gcommaaccent:500,eth:500,zcaron:444,ncommaaccent:500,onesuperior:300,imacron:278,Euro:500},"Times-Bold":{space:250,exclam:333,quotedbl:555,numbersign:500,dollar:500,percent:1e3,ampersand:833,quoteright:333,parenleft:333,parenright:333,asterisk:500,plus:570,comma:250,hyphen:333,period:250,slash:278,zero:500,one:500,two:500,three:500,four:500,five:500,six:500,seven:500,eight:500,nine:500,colon:333,semicolon:333,less:570,equal:570,greater:570,question:500,at:930,A:722,B:667,C:722,D:722,E:667,F:611,G:778,H:778,I:389,J:500,K:778,L:667,M:944,N:722,O:778,P:611,Q:778,R:722,S:556,T:667,U:722,V:722,W:1e3,X:722,Y:722,Z:667,bracketleft:333,backslash:278,bracketright:333,asciicircum:581,underscore:500,quoteleft:333,a:500,b:556,c:444,d:556,e:444,f:333,g:500,h:556,i:278,j:333,k:556,l:278,m:833,n:556,o:500,p:556,q:556,r:444,s:389,t:333,u:556,v:500,w:722,x:500,y:500,z:444,braceleft:394,bar:220,braceright:394,asciitilde:520,exclamdown:333,cent:500,sterling:500,fraction:167,yen:500,florin:500,section:500,currency:500,quotesingle:278,quotedblleft:500,guillemotleft:500,guilsinglleft:333,guilsinglright:333,fi:556,fl:556,endash:500,dagger:500,daggerdbl:500,periodcentered:250,paragraph:540,bullet:350,quotesinglbase:333,quotedblbase:500,quotedblright:500,guillemotright:500,ellipsis:1e3,perthousand:1e3,questiondown:500,grave:333,acute:333,circumflex:333,tilde:333,macron:333,breve:333,dotaccent:333,dieresis:333,ring:333,cedilla:333,hungarumlaut:333,ogonek:333,caron:333,emdash:1e3,AE:1e3,ordfeminine:300,Lslash:667,Oslash:778,OE:1e3,ordmasculine:330,ae:722,dotlessi:278,lslash:278,oslash:500,oe:722,germandbls:556,Idieresis:389,eacute:444,abreve:500,uhungarumlaut:556,ecaron:444,Ydieresis:722,divide:570,Yacute:722,Acircumflex:722,aacute:500,Ucircumflex:722,yacute:500,scommaaccent:389,ecircumflex:444,Uring:722,Udieresis:722,aogonek:500,Uacute:722,uogonek:556,Edieresis:667,Dcroat:722,commaaccent:250,copyright:747,Emacron:667,ccaron:444,aring:500,Ncommaaccent:722,lacute:278,agrave:500,Tcommaaccent:667,Cacute:722,atilde:500,Edotaccent:667,scaron:389,scedilla:389,iacute:278,lozenge:494,Rcaron:722,Gcommaaccent:778,ucircumflex:556,acircumflex:500,Amacron:722,rcaron:444,ccedilla:444,Zdotaccent:667,Thorn:611,Omacron:778,Racute:722,Sacute:556,dcaron:672,Umacron:722,uring:556,threesuperior:300,Ograve:778,Agrave:722,Abreve:722,multiply:570,uacute:556,Tcaron:667,partialdiff:494,ydieresis:500,Nacute:722,icircumflex:278,Ecircumflex:667,adieresis:500,edieresis:444,cacute:444,nacute:556,umacron:556,Ncaron:722,Iacute:389,plusminus:570,brokenbar:220,registered:747,Gbreve:778,Idotaccent:389,summation:600,Egrave:667,racute:444,omacron:500,Zacute:667,Zcaron:667,greaterequal:549,Eth:722,Ccedilla:722,lcommaaccent:278,tcaron:416,eogonek:444,Uogonek:722,Aacute:722,Adieresis:722,egrave:444,zacute:444,iogonek:278,Oacute:778,oacute:500,amacron:500,sacute:389,idieresis:278,Ocircumflex:778,Ugrave:722,Delta:612,thorn:556,twosuperior:300,Odieresis:778,mu:556,igrave:278,ohungarumlaut:500,Eogonek:667,dcroat:556,threequarters:750,Scedilla:556,lcaron:394,Kcommaaccent:778,Lacute:667,trademark:1e3,edotaccent:444,Igrave:389,Imacron:389,Lcaron:667,onehalf:750,lessequal:549,ocircumflex:500,ntilde:556,Uhungarumlaut:722,Eacute:667,emacron:444,gbreve:500,onequarter:750,Scaron:556,Scommaaccent:556,Ohungarumlaut:778,degree:400,ograve:500,Ccaron:722,ugrave:556,radical:549,Dcaron:722,rcommaaccent:444,Ntilde:722,otilde:500,Rcommaaccent:722,Lcommaaccent:667,Atilde:722,Aogonek:722,Aring:722,Otilde:778,zdotaccent:444,Ecaron:667,Iogonek:389,kcommaaccent:556,minus:570,Icircumflex:389,ncaron:556,tcommaaccent:333,logicalnot:570,odieresis:500,udieresis:556,notequal:549,gcommaaccent:500,eth:500,zcaron:444,ncommaaccent:556,onesuperior:300,imacron:278,Euro:500},"Times-BoldItalic":{space:250,exclam:389,quotedbl:555,numbersign:500,dollar:500,percent:833,ampersand:778,quoteright:333,parenleft:333,parenright:333,asterisk:500,plus:570,comma:250,hyphen:333,period:250,slash:278,zero:500,one:500,two:500,three:500,four:500,five:500,six:500,seven:500,eight:500,nine:500,colon:333,semicolon:333,less:570,equal:570,greater:570,question:500,at:832,A:667,B:667,C:667,D:722,E:667,F:667,G:722,H:778,I:389,J:500,K:667,L:611,M:889,N:722,O:722,P:611,Q:722,R:667,S:556,T:611,U:722,V:667,W:889,X:667,Y:611,Z:611,bracketleft:333,backslash:278,bracketright:333,asciicircum:570,underscore:500,quoteleft:333,a:500,b:500,c:444,d:500,e:444,f:333,g:500,h:556,i:278,j:278,k:500,l:278,m:778,n:556,o:500,p:500,q:500,r:389,s:389,t:278,u:556,v:444,w:667,x:500,y:444,z:389,braceleft:348,bar:220,braceright:348,asciitilde:570,exclamdown:389,cent:500,sterling:500,fraction:167,yen:500,florin:500,section:500,currency:500,quotesingle:278,quotedblleft:500,guillemotleft:500,guilsinglleft:333,guilsinglright:333,fi:556,fl:556,endash:500,dagger:500,daggerdbl:500,periodcentered:250,paragraph:500,bullet:350,quotesinglbase:333,quotedblbase:500,quotedblright:500,guillemotright:500,ellipsis:1e3,perthousand:1e3,questiondown:500,grave:333,acute:333,circumflex:333,tilde:333,macron:333,breve:333,dotaccent:333,dieresis:333,ring:333,cedilla:333,hungarumlaut:333,ogonek:333,caron:333,emdash:1e3,AE:944,ordfeminine:266,Lslash:611,Oslash:722,OE:944,ordmasculine:300,ae:722,dotlessi:278,lslash:278,oslash:500,oe:722,germandbls:500,Idieresis:389,eacute:444,abreve:500,uhungarumlaut:556,ecaron:444,Ydieresis:611,divide:570,Yacute:611,Acircumflex:667,aacute:500,Ucircumflex:722,yacute:444,scommaaccent:389,ecircumflex:444,Uring:722,Udieresis:722,aogonek:500,Uacute:722,uogonek:556,Edieresis:667,Dcroat:722,commaaccent:250,copyright:747,Emacron:667,ccaron:444,aring:500,Ncommaaccent:722,lacute:278,agrave:500,Tcommaaccent:611,Cacute:667,atilde:500,Edotaccent:667,scaron:389,scedilla:389,iacute:278,lozenge:494,Rcaron:667,Gcommaaccent:722,ucircumflex:556,acircumflex:500,Amacron:667,rcaron:389,ccedilla:444,Zdotaccent:611,Thorn:611,Omacron:722,Racute:667,Sacute:556,dcaron:608,Umacron:722,uring:556,threesuperior:300,Ograve:722,Agrave:667,Abreve:667,multiply:570,uacute:556,Tcaron:611,partialdiff:494,ydieresis:444,Nacute:722,icircumflex:278,Ecircumflex:667,adieresis:500,edieresis:444,cacute:444,nacute:556,umacron:556,Ncaron:722,Iacute:389,plusminus:570,brokenbar:220,registered:747,Gbreve:722,Idotaccent:389,summation:600,Egrave:667,racute:389,omacron:500,Zacute:611,Zcaron:611,greaterequal:549,Eth:722,Ccedilla:667,lcommaaccent:278,tcaron:366,eogonek:444,Uogonek:722,Aacute:667,Adieresis:667,egrave:444,zacute:389,iogonek:278,Oacute:722,oacute:500,amacron:500,sacute:389,idieresis:278,Ocircumflex:722,Ugrave:722,Delta:612,thorn:500,twosuperior:300,Odieresis:722,mu:576,igrave:278,ohungarumlaut:500,Eogonek:667,dcroat:500,threequarters:750,Scedilla:556,lcaron:382,Kcommaaccent:667,Lacute:611,trademark:1e3,edotaccent:444,Igrave:389,Imacron:389,Lcaron:611,onehalf:750,lessequal:549,ocircumflex:500,ntilde:556,Uhungarumlaut:722,Eacute:667,emacron:444,gbreve:500,onequarter:750,Scaron:556,Scommaaccent:556,Ohungarumlaut:722,degree:400,ograve:500,Ccaron:667,ugrave:556,radical:549,Dcaron:722,rcommaaccent:389,Ntilde:722,otilde:500,Rcommaaccent:667,Lcommaaccent:611,Atilde:667,Aogonek:667,Aring:667,Otilde:722,zdotaccent:389,Ecaron:667,Iogonek:389,kcommaaccent:500,minus:606,Icircumflex:389,ncaron:556,tcommaaccent:278,logicalnot:606,odieresis:500,udieresis:556,notequal:549,gcommaaccent:500,eth:500,zcaron:389,ncommaaccent:556,onesuperior:300,imacron:278,Euro:500},"Times-Italic":{space:250,exclam:333,quotedbl:420,numbersign:500,dollar:500,percent:833,ampersand:778,quoteright:333,parenleft:333,parenright:333,asterisk:500,plus:675,comma:250,hyphen:333,period:250,slash:278,zero:500,one:500,two:500,three:500,four:500,five:500,six:500,seven:500,eight:500,nine:500,colon:333,semicolon:333,less:675,equal:675,greater:675,question:500,at:920,A:611,B:611,C:667,D:722,E:611,F:611,G:722,H:722,I:333,J:444,K:667,L:556,M:833,N:667,O:722,P:611,Q:722,R:611,S:500,T:556,U:722,V:611,W:833,X:611,Y:556,Z:556,bracketleft:389,backslash:278,bracketright:389,asciicircum:422,underscore:500,quoteleft:333,a:500,b:500,c:444,d:500,e:444,f:278,g:500,h:500,i:278,j:278,k:444,l:278,m:722,n:500,o:500,p:500,q:500,r:389,s:389,t:278,u:500,v:444,w:667,x:444,y:444,z:389,braceleft:400,bar:275,braceright:400,asciitilde:541,exclamdown:389,cent:500,sterling:500,fraction:167,yen:500,florin:500,section:500,currency:500,quotesingle:214,quotedblleft:556,guillemotleft:500,guilsinglleft:333,guilsinglright:333,fi:500,fl:500,endash:500,dagger:500,daggerdbl:500,periodcentered:250,paragraph:523,bullet:350,quotesinglbase:333,quotedblbase:556,quotedblright:556,guillemotright:500,ellipsis:889,perthousand:1e3,questiondown:500,grave:333,acute:333,circumflex:333,tilde:333,macron:333,breve:333,dotaccent:333,dieresis:333,ring:333,cedilla:333,hungarumlaut:333,ogonek:333,caron:333,emdash:889,AE:889,ordfeminine:276,Lslash:556,Oslash:722,OE:944,ordmasculine:310,ae:667,dotlessi:278,lslash:278,oslash:500,oe:667,germandbls:500,Idieresis:333,eacute:444,abreve:500,uhungarumlaut:500,ecaron:444,Ydieresis:556,divide:675,Yacute:556,Acircumflex:611,aacute:500,Ucircumflex:722,yacute:444,scommaaccent:389,ecircumflex:444,Uring:722,Udieresis:722,aogonek:500,Uacute:722,uogonek:500,Edieresis:611,Dcroat:722,commaaccent:250,copyright:760,Emacron:611,ccaron:444,aring:500,Ncommaaccent:667,lacute:278,agrave:500,Tcommaaccent:556,Cacute:667,atilde:500,Edotaccent:611,scaron:389,scedilla:389,iacute:278,lozenge:471,Rcaron:611,Gcommaaccent:722,ucircumflex:500,acircumflex:500,Amacron:611,rcaron:389,ccedilla:444,Zdotaccent:556,Thorn:611,Omacron:722,Racute:611,Sacute:500,dcaron:544,Umacron:722,uring:500,threesuperior:300,Ograve:722,Agrave:611,Abreve:611,multiply:675,uacute:500,Tcaron:556,partialdiff:476,ydieresis:444,Nacute:667,icircumflex:278,Ecircumflex:611,adieresis:500,edieresis:444,cacute:444,nacute:500,umacron:500,Ncaron:667,Iacute:333,plusminus:675,brokenbar:275,registered:760,Gbreve:722,Idotaccent:333,summation:600,Egrave:611,racute:389,omacron:500,Zacute:556,Zcaron:556,greaterequal:549,Eth:722,Ccedilla:667,lcommaaccent:278,tcaron:300,eogonek:444,Uogonek:722,Aacute:611,Adieresis:611,egrave:444,zacute:389,iogonek:278,Oacute:722,oacute:500,amacron:500,sacute:389,idieresis:278,Ocircumflex:722,Ugrave:722,Delta:612,thorn:500,twosuperior:300,Odieresis:722,mu:500,igrave:278,ohungarumlaut:500,Eogonek:611,dcroat:500,threequarters:750,Scedilla:500,lcaron:300,Kcommaaccent:667,Lacute:556,trademark:980,edotaccent:444,Igrave:333,Imacron:333,Lcaron:611,onehalf:750,lessequal:549,ocircumflex:500,ntilde:500,Uhungarumlaut:722,Eacute:611,emacron:444,gbreve:500,onequarter:750,Scaron:500,Scommaaccent:500,Ohungarumlaut:722,degree:400,ograve:500,Ccaron:667,ugrave:500,radical:453,Dcaron:722,rcommaaccent:389,Ntilde:667,otilde:500,Rcommaaccent:611,Lcommaaccent:556,Atilde:611,Aogonek:611,Aring:611,Otilde:722,zdotaccent:389,Ecaron:611,Iogonek:333,kcommaaccent:444,minus:675,Icircumflex:333,ncaron:500,tcommaaccent:278,logicalnot:675,odieresis:500,udieresis:500,notequal:549,gcommaaccent:500,eth:500,zcaron:389,ncommaaccent:500,onesuperior:300,imacron:278,Euro:500},ZapfDingbats:{space:278,a1:974,a2:961,a202:974,a3:980,a4:719,a5:789,a119:790,a118:791,a117:690,a11:960,a12:939,a13:549,a14:855,a15:911,a16:933,a105:911,a17:945,a18:974,a19:755,a20:846,a21:762,a22:761,a23:571,a24:677,a25:763,a26:760,a27:759,a28:754,a6:494,a7:552,a8:537,a9:577,a10:692,a29:786,a30:788,a31:788,a32:790,a33:793,a34:794,a35:816,a36:823,a37:789,a38:841,a39:823,a40:833,a41:816,a42:831,a43:923,a44:744,a45:723,a46:749,a47:790,a48:792,a49:695,a50:776,a51:768,a52:792,a53:759,a54:707,a55:708,a56:682,a57:701,a58:826,a59:815,a60:789,a61:789,a62:707,a63:687,a64:696,a65:689,a66:786,a67:787,a68:713,a69:791,a70:785,a71:791,a72:873,a73:761,a74:762,a203:762,a75:759,a204:759,a76:892,a77:892,a78:788,a79:784,a81:438,a82:138,a83:277,a84:415,a97:392,a98:392,a99:668,a100:668,a89:390,a90:390,a93:317,a94:317,a91:276,a92:276,a205:509,a85:509,a206:410,a86:410,a87:234,a88:234,a95:334,a96:334,a101:732,a102:544,a103:544,a104:910,a106:667,a107:760,a108:760,a112:776,a111:595,a110:694,a109:626,a120:788,a121:788,a122:788,a123:788,a124:788,a125:788,a126:788,a127:788,a128:788,a129:788,a130:788,a131:788,a132:788,a133:788,a134:788,a135:788,a136:788,a137:788,a138:788,a139:788,a140:788,a141:788,a142:788,a143:788,a144:788,a145:788,a146:788,a147:788,a148:788,a149:788,a150:788,a151:788,a152:788,a153:788,a154:788,a155:788,a156:788,a157:788,a158:788,a159:788,a160:894,a161:838,a163:1016,a164:458,a196:748,a165:924,a192:748,a166:918,a167:927,a168:928,a169:928,a170:834,a171:873,a172:828,a173:924,a162:924,a174:917,a175:930,a176:931,a177:463,a178:883,a179:836,a193:836,a180:867,a199:867,a181:696,a200:696,a182:874,a201:874,a183:760,a184:946,a197:771,a185:865,a194:771,a198:888,a186:967,a195:888,a187:831,a188:873,a189:927,a190:970,a191:918}},Dc={},Ec=1e3,Fc=function(){function d(a,b,c){this.lexer=a,this.allowStreams=b,this.xref=c,this.imageCache={},this.refill()}return d.prototype={refill:function(){this.buf1=this.lexer.getObj(),this.buf2=this.lexer.getObj()},shift:function(){A(this.buf2,"ID")?(this.buf1=this.buf2,this.buf2=null):(this.buf1=this.buf2,this.buf2=this.lexer.getObj())},tryShift:function(){try{return this.shift(),!0}catch(a){if(a instanceof ja)throw a;return!1}},getObj:function(b){var d=this.buf1;if(this.shift(),d instanceof za)switch(d.cmd){case"BI":return this.makeInlineImage(b);case"[":for(var e=[];!A(this.buf1,"]")&&!R(this.buf1);)e.push(this.getObj(b));return R(this.buf1)&&c("End of file inside array"),this.shift(),e;case"<<":for(var f=new Aa(this.xref);!A(this.buf1,">>")&&!R(this.buf1);)if(z(this.buf1)){var g=this.buf1.name;if(this.shift(),R(this.buf1))break;f.set(g,this.getObj(b))}else a("Malformed dictionary: key must be a name object"),this.shift();return R(this.buf1)&&c("End of file inside dictionary"),A(this.buf2,"stream")?this.allowStreams?this.makeStream(f,b):f:(this.shift(),f);default:return d}if(w(d)){var h=d;if(w(this.buf1)&&A(this.buf2,"R")){var i=new Ba(h,this.buf1);return this.shift(),this.shift(),i}return h}if(y(d)){var j=d;return b&&(j=b.decryptString(j)),j}return d},findDefaultInlineStreamEnd:function(a){for(var b,c,d,f,g=69,h=73,i=32,j=10,k=13,l=a.pos,m=0;-1!==(b=a.getByte());)if(0===m)m=b===g?1:0;else if(1===m)m=b===h?2:0;else if(e(2===m),b===i||b===j||b===k){for(d=5,f=a.peekBytes(d),c=0;d>c;c++)if(b=f[c],b!==j&&b!==k&&(i>b||b>127)){m=0;break}if(2===m)break}else m=0;return a.pos-4-l},findDCTDecodeInlineStreamEnd:function(a){for(var c,d,e,f=a.pos,g=!1;-1!==(c=a.getByte());)if(255===c){switch(a.getByte()){case 0:break;case 255:a.skip(-1);break;case 217:g=!0;break;case 192:case 193:case 194:case 195:case 197:case 198:case 199:case 201:case 202:case 203:case 205:case 206:case 207:case 196:case 204:case 218:case 219:case 220:case 221:case 222:case 223:case 224:case 225:case 226:case 227:case 228:case 229:case 230:case 231:case 232:case 233:case 234:case 235:case 236:case 237:case 238:case 239:case 254:d=a.getUint16(),d>2?a.skip(d-2):a.skip(-2)}if(g)break}return e=a.pos-f,-1===c?(b("Inline DCTDecode image stream: EOI marker not found, searching for /EI/ instead."),a.skip(-e),this.findDefaultInlineStreamEnd(a)):(this.inlineStreamSkipEI(a),e)},findASCII85DecodeInlineStreamEnd:function(a){for(var c,d,e=126,f=62,g=a.pos;-1!==(c=a.getByte());)if(c===e&&a.peekByte()===f){a.skip();break}return d=a.pos-g,-1===c?(b("Inline ASCII85Decode image stream: EOD marker not found, searching for /EI/ instead."),a.skip(-d),this.findDefaultInlineStreamEnd(a)):(this.inlineStreamSkipEI(a),d)},findASCIIHexDecodeInlineStreamEnd:function(a){for(var c,d,e=62,f=a.pos;-1!==(c=a.getByte())&&c!==e;);return d=a.pos-f,-1===c?(b("Inline ASCIIHexDecode image stream: EOD marker not found, searching for /EI/ instead."),a.skip(-d),this.findDefaultInlineStreamEnd(a)):(this.inlineStreamSkipEI(a),d)},inlineStreamSkipEI:function(a){for(var b,c=69,d=73,e=0;-1!==(b=a.getByte());)if(0===e)e=b===c?1:0;else if(1===e)e=b===d?2:0;else if(2===e)break},makeInlineImage:function(a){for(var b=this.lexer,d=b.stream,e=new Aa(this.xref);!A(this.buf1,"ID")&&!R(this.buf1);){z(this.buf1)||c("Dictionary key must be a name object");var f=this.buf1.name;if(this.shift(),R(this.buf1))break;e.set(f,this.getObj(a))}var g,h=e.get("Filter","F");z(h)?g=h.name:C(h)&&z(h[0])&&(g=h[0].name);var i,j,k,l=d.pos;i="DCTDecode"===g||"DCT"===g?this.findDCTDecodeInlineStreamEnd(d):"ASCII85Decide"===g||"A85"===g?this.findASCII85DecodeInlineStreamEnd(d):"ASCIIHexDecode"===g||"AHx"===g?this.findASCIIHexDecodeInlineStreamEnd(d):this.findDefaultInlineStreamEnd(d);var m,n=d.makeSubStream(l,i,e);if(Ec>i){var o=n.getBytes();n.reset();var p=1,q=0;for(j=0,k=o.length;k>j;++j)p+=255&o[j],q+=p;if(m=q%65521<<16|p%65521,this.imageCache.adler32===m)return this.buf2=za.get("EI"),this.shift(),this.imageCache[m].reset(),this.imageCache[m]}return a&&(n=a.createStream(n,i)),n=this.filter(n,e,i),n.dict=e,void 0!==m&&(n.cacheKey="inline_"+i+"_"+m,this.imageCache[m]=n),this.buf2=za.get("EI"),this.shift(),n},makeStream:function(b,d){var e=this.lexer,f=e.stream;e.skipToNextLine();var g=f.pos-1,h=b.get("Length");if(w(h)||(a("Bad "+h+" attribute in stream"),h=0),f.pos=g+h,e.nextChar(),this.tryShift()&&A(this.buf2,"endstream"))this.shift();else{f.pos=g;for(var i,j,k=2048,l=9,m=[101,110,100,115,116,114,101,97,109],n=0,o=!1;f.pos=q)break;for(o=!1,i=0,j=0;q>i;i++){var r=p[i];if(r!==m[j])i-=j,j=0;else if(j++,j>=l){i++,o=!0;break}}if(o){n+=i-l,f.pos+=i-l;break}n+=q,f.pos+=q}o||c("Missing endstream"),h=n,e.nextChar(),this.shift(),this.shift()}return this.shift(),f=f.makeSubStream(g,h,b),d&&(f=d.createStream(f,h)),f=this.filter(f,b,h),f.dict=b,f},filter:function(a,b,d){var e=b.get("Filter","F"),f=b.get("DecodeParms","DP");if(z(e))return this.makeFilter(a,e.name,d,f);var g=d;if(C(e))for(var h=e,i=f,j=0,k=h.length;k>j;++j)e=h[j],z(e)||c("Bad filter name: "+e),f=null,C(i)&&j in i&&(f=i[j]),a=this.makeFilter(a,e.name,g,f),g=null;return a},makeFilter:function(a,c,d,e){if(0===a.dict.get("Length")&&!d)return b('Empty "'+c+'" stream.'),new _c(a);try{e&&this.xref&&(e=this.xref.fetchIfRef(e));var f=this.xref.stats.streamTypes;if("FlateDecode"===c||"Fl"===c)return f[Y.FLATE]=!0,e?new Rc(new Qc(a,d),d,e):new Qc(a,d);if("LZWDecode"===c||"LZW"===c){f[Y.LZW]=!0;var g=1;return e?(e.has("EarlyChange")&&(g=e.get("EarlyChange")),new Rc(new $c(a,d,g),d,e)):new $c(a,d,g)}return"DCTDecode"===c||"DCT"===c?(f[Y.DCT]=!0,new Sc(a,d,a.dict,this.xref)):"JPXDecode"===c||"JPX"===c?(f[Y.JPX]=!0,new Tc(a,d,a.dict)):"ASCII85Decode"===c||"A85"===c?(f[Y.A85]=!0,new Wc(a,d)):"ASCIIHexDecode"===c||"AHx"===c?(f[Y.AHX]=!0,new Xc(a,d)):"CCITTFaxDecode"===c||"CCF"===c?(f[Y.CCF]=!0,new Zc(a,d,e)):"RunLengthDecode"===c||"RL"===c?(f[Y.RL]=!0,new Yc(a,d)):"JBIG2Decode"===c?(f[Y.JBIG]=!0,new Uc(a,d,a.dict)):(b('filter "'+c+'" not supported yet'),a)}catch(h){if(h instanceof ja)throw h;return b('Invalid stream: "'+h+'"'),new _c(a)}}},d}(),Gc=function(){function a(a,b){this.stream=a,this.nextChar(),this.strBuf=[],this.knownCommands=b}function d(a){return a>=48&&57>=a?15&a:a>=65&&70>=a||a>=97&&102>=a?(15&a)+9:-1}a.isSpace=function(a){return 32===a||9===a||13===a||10===a};var e=[1,0,0,0,0,0,0,0,0,1,1,0,1,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,2,0,0,2,2,0,0,0,0,0,2,0,0,0,0,0,0,0,0,0,0,0,0,2,0,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,2,0,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,2,0,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0];return a.prototype={nextChar:function(){return this.currentChar=this.stream.getByte()},peekChar:function(){return this.stream.peekByte()},getNumber:function(){var a=this.currentChar,d=!1,e=0,f=1;if(45===a?(f=-1,a=this.nextChar(),45===a&&(a=this.nextChar())):43===a&&(a=this.nextChar()),46===a&&(e=10,a=this.nextChar()),48>a||a>57)return c("Invalid number: "+String.fromCharCode(a)),0;for(var g=a-48,h=0,i=1;(a=this.nextChar())>=0;)if(a>=48&&57>=a){var j=a-48;d?h=10*h+j:(0!==e&&(e*=10),g=10*g+j)}else if(46===a){if(0!==e)break;e=1}else if(45===a)b("Badly formated number");else{if(69!==a&&101!==a)break;if(a=this.peekChar(),43===a||45===a)i=45===a?-1:1,this.nextChar();else if(48>a||a>57)break;d=!0}return 0!==e&&(g/=e),d&&(g*=Math.pow(10,i*h)),f*g},getString:function(){var a=1,c=!1,d=this.strBuf;d.length=0;for(var e=this.nextChar();;){var f=!1;switch(0|e){case-1:b("Unterminated string"),c=!0;break;case 40:++a,d.push("(");break;case 41:0===--a?(this.nextChar(),c=!0):d.push(")");break;case 92:switch(e=this.nextChar()){case-1:b("Unterminated string"),c=!0;break;case 110:d.push("\n");break;case 114:d.push("\r");break;case 116:d.push(" ");break;case 98:d.push("\b");break;case 102:d.push("\f");break;case 92:case 40:case 41:d.push(String.fromCharCode(e));break;case 48:case 49:case 50:case 51:case 52:case 53:case 54:case 55:var g=15&e;e=this.nextChar(),f=!0,e>=48&&55>=e&&(g=(g<<3)+(15&e),e=this.nextChar(),e>=48&&55>=e&&(f=!1,g=(g<<3)+(15&e))),d.push(String.fromCharCode(g));break;case 13:10===this.peekChar()&&this.nextChar();break;case 10:break;default:d.push(String.fromCharCode(e))}break;default:d.push(String.fromCharCode(e))}if(c)break;f||(e=this.nextChar())}return d.join("")},getName:function(){var a,f=this.strBuf;for(f.length=0;(a=this.nextChar())>=0&&!e[a];)if(35===a){a=this.nextChar();var g=d(a);if(-1!==g){var h=d(this.nextChar());-1===h&&c("Illegal digit in hex char in name: "+h),f.push(String.fromCharCode(g<<4|h))}else f.push("#",String.fromCharCode(a))}else f.push(String.fromCharCode(a));return f.length>127&&b("name token is longer than allowed by the spec: "+f.length),ya.get(f.join(""))},getHexString:function(){var a=this.strBuf;a.length=0;for(var c,f,g=this.currentChar,h=!0;;){if(0>g){b("Unterminated hex string");break}if(62===g){this.nextChar();break}if(1!==e[g]){if(h){if(c=d(g),-1===c){b('Ignoring invalid character "'+g+'" in hex string'),g=this.nextChar();continue}}else{if(f=d(g),-1===f){b('Ignoring invalid character "'+g+'" in hex string'),g=this.nextChar();continue}a.push(String.fromCharCode(c<<4|f))}h=!h,g=this.nextChar()}else g=this.nextChar()}return a.join("")},getObj:function(){for(var a=!1,b=this.currentChar;;){if(0>b)return Dc;if(a)10!==b&&13!==b||(a=!1);else if(37===b)a=!0;else if(1!==e[b])break;b=this.nextChar()}switch(0|b){case 48:case 49:case 50:case 51:case 52:case 53:case 54:case 55:case 56:case 57:case 43:case 45:case 46:return this.getNumber();case 40:return this.getString();case 47:return this.getName();case 91:return this.nextChar(),za.get("[");case 93:return this.nextChar(),za.get("]");case 60:return b=this.nextChar(),60===b?(this.nextChar(),za.get("<<")):this.getHexString();case 62:return b=this.nextChar(),62===b?(this.nextChar(),za.get(">>")):za.get(">");case 123:return this.nextChar(),za.get("{");case 125:return this.nextChar(),za.get("}");case 41:c("Illegal character: "+b)}for(var d=String.fromCharCode(b),f=this.knownCommands,g=f&&void 0!==f[d];(b=this.nextChar())>=0&&!e[b];){var h=d+String.fromCharCode(b);if(g&&void 0===f[h])break;128===d.length&&c("Command token too long: "+d.length),d=h,g=f&&void 0!==f[d]}return"true"===d?!0:"false"===d?!1:"null"===d?null:za.get(d)},skipToNextLine:function(){for(var a=this.currentChar;a>=0;){if(13===a){a=this.nextChar(),10===a&&this.nextChar();break}if(10===a){this.nextChar();break}a=this.nextChar()}}},a}(),Hc={create:function(a){function b(a,b){var c=j.get(a);if(w(c)&&(b?c>=0:c>0))return c;throw new Error('The "'+a+'" parameter in the linearization dictionary is invalid.')}function c(){var a,b,c=j.get("H");if(C(c)&&(2===(a=c.length)||4===a)){for(var d=0;a>d;d++)if(!(w(b=c[d])&&b>0))throw new Error("Hint ("+d+") in the linearization dictionary is invalid.");return c}throw new Error("Hint array in the linearization dictionary is invalid.")}var d,e,f=new Fc(new Gc(a),!1,null),g=f.getObj(),h=f.getObj(),i=f.getObj(),j=f.getObj();if(!(w(g)&&w(h)&&A(i,"obj")&&B(j)&&x(d=j.get("Linearized"))&&d>0))return null;if((e=b("L"))!==a.length)throw new Error('The "L" parameter in the linearization dictionary does not equal the stream length.');return{length:e,hints:c(),objectNumberFirst:b("O"),endFirst:b("E"),numPages:b("N"),mainXRefEntriesOffset:b("T"),pageFirst:j.has("P")?b("P",!0):0}}},Ic=function(){function a(a){this.lexer=a,this.operators=[],this.token=null,this.prev=null}return a.prototype={nextToken:function(){this.prev=this.token,this.token=this.lexer.getToken()},accept:function(a){return this.token.type===a?(this.nextToken(),!0):!1},expect:function(a){return this.accept(a)?!0:void c("Unexpected symbol: found "+this.token.type+" expected "+a+".")},parse:function(){return this.nextToken(),this.expect(Jc.LBRACE),this.parseBlock(),this.expect(Jc.RBRACE),this.operators},parseBlock:function(){for(;;)if(this.accept(Jc.NUMBER))this.operators.push(this.prev.value);else if(this.accept(Jc.OPERATOR))this.operators.push(this.prev.value);else{if(!this.accept(Jc.LBRACE))return;this.parseCondition()}},parseCondition:function(){var a=this.operators.length;if(this.operators.push(null,null),this.parseBlock(),this.expect(Jc.RBRACE),this.accept(Jc.IF))this.operators[a]=this.operators.length,this.operators[a+1]="jz";else if(this.accept(Jc.LBRACE)){var b=this.operators.length;this.operators.push(null,null);var d=this.operators.length; +this.parseBlock(),this.expect(Jc.RBRACE),this.expect(Jc.IFELSE),this.operators[b]=this.operators.length,this.operators[b+1]="j",this.operators[a]=d,this.operators[a+1]="jz"}else c("PS Function: error parsing conditional.")}},a}(),Jc={LBRACE:0,RBRACE:1,NUMBER:2,OPERATOR:3,IF:4,IFELSE:5},Kc=function(){function a(a,b){this.type=a,this.value=b}var b={};return a.getOperator=function(c){var d=b[c];return d?d:b[c]=new a(Jc.OPERATOR,c)},a.LBRACE=new a(Jc.LBRACE,"{"),a.RBRACE=new a(Jc.RBRACE,"}"),a.IF=new a(Jc.IF,"IF"),a.IFELSE=new a(Jc.IFELSE,"IFELSE"),a}(),Lc=function(){function a(a){this.stream=a,this.nextChar(),this.strBuf=[]}return a.prototype={nextChar:function(){return this.currentChar=this.stream.getByte()},getToken:function(){for(var a=!1,b=this.currentChar;;){if(0>b)return Dc;if(a)10!==b&&13!==b||(a=!1);else if(37===b)a=!0;else if(!Gc.isSpace(b))break;b=this.nextChar()}switch(0|b){case 48:case 49:case 50:case 51:case 52:case 53:case 54:case 55:case 56:case 57:case 43:case 45:case 46:return new Kc(Jc.NUMBER,this.getNumber());case 123:return this.nextChar(),Kc.LBRACE;case 125:return this.nextChar(),Kc.RBRACE}var c=this.strBuf;for(c.length=0,c[0]=String.fromCharCode(b);(b=this.nextChar())>=0&&(b>=65&&90>=b||b>=97&&122>=b);)c.push(String.fromCharCode(b));var d=c.join("");switch(d.toLowerCase()){case"if":return Kc.IF;case"ifelse":return Kc.IFELSE;default:return Kc.getOperator(d)}},getNumber:function(){var a=this.currentChar,b=this.strBuf;for(b.length=0,b[0]=String.fromCharCode(a);(a=this.nextChar())>=0&&(a>=48&&57>=a||45===a||46===a);)b.push(String.fromCharCode(a));var d=parseFloat(b.join(""));return isNaN(d)&&c("Invalid floating point number: "+d),d}},a}(),Mc=function(){function a(a,b,c,d){this.bytes=a instanceof Uint8Array?a:new Uint8Array(a),this.start=b||0,this.pos=this.start,this.end=b+c||this.bytes.length,this.dict=d}return a.prototype={get length(){return this.end-this.start},get isEmpty(){return 0===this.length},getByte:function(){return this.pos>=this.end?-1:this.bytes[this.pos++]},getUint16:function(){var a=this.getByte(),b=this.getByte();return-1===a||-1===b?-1:(a<<8)+b},getInt32:function(){var a=this.getByte(),b=this.getByte(),c=this.getByte(),d=this.getByte();return(a<<24)+(b<<16)+(c<<8)+d},getBytes:function(a){var b=this.bytes,c=this.pos,d=this.end;if(!a)return b.subarray(c,d);var e=c+a;return e>d&&(e=d),this.pos=e,b.subarray(c,e)},peekByte:function(){var a=this.getByte();return this.pos--,a},peekBytes:function(a){var b=this.getBytes(a);return this.pos-=b.length,b},skip:function(a){a||(a=1),this.pos+=a},reset:function(){this.pos=this.start},moveStart:function(){this.start=this.pos},makeSubStream:function(b,c,d){return new a(this.bytes.buffer,b,c,d)},isStream:!0},a}(),Nc=function(){function a(a){for(var b=a.length,c=new Uint8Array(b),d=0;b>d;++d)c[d]=a.charCodeAt(d);Mc.call(this,c)}return a.prototype=Mc.prototype,a}(),Oc=function(){function a(a){if(this.pos=0,this.bufferLength=0,this.eof=!1,this.buffer=b,this.minBufferLength=512,a)for(;this.minBufferLengthc;)c*=2;var d=new Uint8Array(c);return d.set(b),this.buffer=d},getByte:function(){for(var a=this.pos;this.bufferLength<=a;){if(this.eof)return-1;this.readBlock()}return this.buffer[this.pos++]},getUint16:function(){var a=this.getByte(),b=this.getByte();return-1===a||-1===b?-1:(a<<8)+b},getInt32:function(){var a=this.getByte(),b=this.getByte(),c=this.getByte(),d=this.getByte();return(a<<24)+(b<<16)+(c<<8)+d},getBytes:function(a){var b,c=this.pos;if(a){for(this.ensureBuffer(c+a),b=c+a;!this.eof&&this.bufferLengthd&&(b=d)}else{for(;!this.eof;)this.readBlock();b=this.bufferLength}return this.pos=b,this.buffer.subarray(c,b)},peekByte:function(){var a=this.getByte();return this.pos--,a},peekBytes:function(a){var b=this.getBytes(a);return this.pos-=b.length,b},makeSubStream:function(a,b,c){for(var d=a+b;this.bufferLength<=d&&!this.eof;)this.readBlock();return new Mc(this.buffer,a,b,c)},skip:function(a){a||(a=1),this.pos+=a},reset:function(){this.pos=0},getBaseStreams:function(){return this.str&&this.str.getBaseStreams?this.str.getBaseStreams():[]}},a}(),Pc=function(){function a(a){this.streams=a,Oc.call(this,null)}return a.prototype=Object.create(Oc.prototype),a.prototype.readBlock=function(){var a=this.streams;if(0===a.length)return void(this.eof=!0);var b=a.shift(),c=b.getBytes(),d=this.bufferLength,e=d+c.length,f=this.ensureBuffer(e);f.set(c,d),this.bufferLength=e},a.prototype.getBaseStreams=function(){for(var a=[],b=0,c=this.streams.length;c>b;b++){var d=this.streams[b];d.getBaseStreams&&na.appendToArray(a,d.getBaseStreams())}return a},a}(),Qc=function(){function a(a,b){this.str=a,this.dict=a.dict;var d=a.getByte(),e=a.getByte();-1!==d&&-1!==e||c("Invalid header in flate stream: "+d+", "+e),8!==(15&d)&&c("Unknown compression method in flate stream: "+d+", "+e),((d<<8)+e)%31!==0&&c("Bad FCHECK in flate stream: "+d+", "+e),32&e&&c("FDICT bit set in flate stream: "+d+", "+e),this.codeSize=0,this.codeBuf=0,Oc.call(this,b)}var b=new Int32Array([16,17,18,0,8,7,9,6,10,5,11,4,12,3,13,2,14,1,15]),d=new Int32Array([3,4,5,6,7,8,9,10,65547,65549,65551,65553,131091,131095,131099,131103,196643,196651,196659,196667,262211,262227,262243,262259,327811,327843,327875,327907,258,258,258]),e=new Int32Array([1,2,3,4,65541,65543,131081,131085,196625,196633,262177,262193,327745,327777,393345,393409,459009,459137,524801,525057,590849,591361,657409,658433,724993,727041,794625,798721,868353,876545]),f=[new Int32Array([459008,524368,524304,524568,459024,524400,524336,590016,459016,524384,524320,589984,524288,524416,524352,590048,459012,524376,524312,589968,459028,524408,524344,590032,459020,524392,524328,59e4,524296,524424,524360,590064,459010,524372,524308,524572,459026,524404,524340,590024,459018,524388,524324,589992,524292,524420,524356,590056,459014,524380,524316,589976,459030,524412,524348,590040,459022,524396,524332,590008,524300,524428,524364,590072,459009,524370,524306,524570,459025,524402,524338,590020,459017,524386,524322,589988,524290,524418,524354,590052,459013,524378,524314,589972,459029,524410,524346,590036,459021,524394,524330,590004,524298,524426,524362,590068,459011,524374,524310,524574,459027,524406,524342,590028,459019,524390,524326,589996,524294,524422,524358,590060,459015,524382,524318,589980,459031,524414,524350,590044,459023,524398,524334,590012,524302,524430,524366,590076,459008,524369,524305,524569,459024,524401,524337,590018,459016,524385,524321,589986,524289,524417,524353,590050,459012,524377,524313,589970,459028,524409,524345,590034,459020,524393,524329,590002,524297,524425,524361,590066,459010,524373,524309,524573,459026,524405,524341,590026,459018,524389,524325,589994,524293,524421,524357,590058,459014,524381,524317,589978,459030,524413,524349,590042,459022,524397,524333,590010,524301,524429,524365,590074,459009,524371,524307,524571,459025,524403,524339,590022,459017,524387,524323,589990,524291,524419,524355,590054,459013,524379,524315,589974,459029,524411,524347,590038,459021,524395,524331,590006,524299,524427,524363,590070,459011,524375,524311,524575,459027,524407,524343,590030,459019,524391,524327,589998,524295,524423,524359,590062,459015,524383,524319,589982,459031,524415,524351,590046,459023,524399,524335,590014,524303,524431,524367,590078,459008,524368,524304,524568,459024,524400,524336,590017,459016,524384,524320,589985,524288,524416,524352,590049,459012,524376,524312,589969,459028,524408,524344,590033,459020,524392,524328,590001,524296,524424,524360,590065,459010,524372,524308,524572,459026,524404,524340,590025,459018,524388,524324,589993,524292,524420,524356,590057,459014,524380,524316,589977,459030,524412,524348,590041,459022,524396,524332,590009,524300,524428,524364,590073,459009,524370,524306,524570,459025,524402,524338,590021,459017,524386,524322,589989,524290,524418,524354,590053,459013,524378,524314,589973,459029,524410,524346,590037,459021,524394,524330,590005,524298,524426,524362,590069,459011,524374,524310,524574,459027,524406,524342,590029,459019,524390,524326,589997,524294,524422,524358,590061,459015,524382,524318,589981,459031,524414,524350,590045,459023,524398,524334,590013,524302,524430,524366,590077,459008,524369,524305,524569,459024,524401,524337,590019,459016,524385,524321,589987,524289,524417,524353,590051,459012,524377,524313,589971,459028,524409,524345,590035,459020,524393,524329,590003,524297,524425,524361,590067,459010,524373,524309,524573,459026,524405,524341,590027,459018,524389,524325,589995,524293,524421,524357,590059,459014,524381,524317,589979,459030,524413,524349,590043,459022,524397,524333,590011,524301,524429,524365,590075,459009,524371,524307,524571,459025,524403,524339,590023,459017,524387,524323,589991,524291,524419,524355,590055,459013,524379,524315,589975,459029,524411,524347,590039,459021,524395,524331,590007,524299,524427,524363,590071,459011,524375,524311,524575,459027,524407,524343,590031,459019,524391,524327,589999,524295,524423,524359,590063,459015,524383,524319,589983,459031,524415,524351,590047,459023,524399,524335,590015,524303,524431,524367,590079]),9],g=[new Int32Array([327680,327696,327688,327704,327684,327700,327692,327708,327682,327698,327690,327706,327686,327702,327694,0,327681,327697,327689,327705,327685,327701,327693,327709,327683,327699,327691,327707,327687,327703,327695,0]),5];return a.prototype=Object.create(Oc.prototype),a.prototype.getBits=function(a){for(var b,d=this.str,e=this.codeSize,f=this.codeBuf;a>e;)-1===(b=d.getByte())&&c("Bad encoding in flate stream"),f|=b<>a,this.codeSize=e-=a,b},a.prototype.getCode=function(a){for(var b,d=this.str,e=a[0],f=a[1],g=this.codeSize,h=this.codeBuf;f>g&&-1!==(b=d.getByte());)h|=b<>16,k=65535&i;return(1>j||j>g)&&c("Bad encoding in flate stream"),this.codeBuf=h>>j,this.codeSize=g-j,k},a.prototype.generateHuffmanTable=function(a){var b,c=a.length,d=0;for(b=0;c>b;++b)a[b]>d&&(d=a[b]);for(var e=1<=g;++g,h<<=1,i<<=1)for(var j=0;c>j;++j)if(a[j]===g){var k=0,l=h;for(b=0;g>b;++b)k=k<<1|1&l,l>>=1;for(b=k;e>b;b+=i)f[b]=g<<16|j;++h}return[f,d]},a.prototype.readBlock=function(){var a,h,i=this.str,j=this.getBits(3);if(1&j&&(this.eof=!0),j>>=1,0!==j){var k,l;if(1===j)k=f,l=g;else if(2===j){var m,n=this.getBits(5)+257,o=this.getBits(5)+1,p=this.getBits(4)+4,q=new Uint8Array(b.length);for(m=0;p>m;++m)q[b[m]]=this.getBits(3);var r=this.generateHuffmanTable(q);h=0,m=0;for(var s,t,u,v=n+o,w=new Uint8Array(v);v>m;){var x=this.getCode(r);if(16===x)s=2,t=3,u=h;else if(17===x)s=3,t=3,u=h=0;else{if(18!==x){w[m++]=h=x;continue}s=7,t=11,u=h=0}for(var y=this.getBits(s)+t;y-- >0;)w[m++]=u}k=this.generateHuffmanTable(w.subarray(0,n)),l=this.generateHuffmanTable(w.subarray(n,v))}else c("Unknown block type in flate stream");a=this.buffer;for(var z=a?a.length:0,A=this.bufferLength;;){var B=this.getCode(k);if(256>B)A+1>=z&&(a=this.ensureBuffer(A+1),z=a.length),a[A++]=B;else{if(256===B)return void(this.bufferLength=A);B-=257,B=d[B];var C=B>>16;C>0&&(C=this.getBits(C)),h=(65535&B)+C,B=this.getCode(l),B=e[B],C=B>>16,C>0&&(C=this.getBits(C));var D=(65535&B)+C;A+h>=z&&(a=this.ensureBuffer(A+h),z=a.length);for(var E=0;h>E;++E,++A)a[A]=a[A-D]}}}else{var F;-1===(F=i.getByte())&&c("Bad block header in flate stream");var G=F;-1===(F=i.getByte())&&c("Bad block header in flate stream"),G|=F<<8,-1===(F=i.getByte())&&c("Bad block header in flate stream");var H=F;-1===(F=i.getByte())&&c("Bad block header in flate stream"),H|=F<<8,H===(65535&~G)||0===G&&0===H||c("Bad uncompressed block length in flate stream"),this.codeBuf=0,this.codeSize=0;var I=this.bufferLength;a=this.ensureBuffer(I+G);var J=I+G;if(this.bufferLength=J,0===G)-1===i.peekByte()&&(this.eof=!0);else for(var K=I;J>K;++K){if(-1===(F=i.getByte())){this.eof=!0;break}a[K]=F}}},a}(),Rc=function(){function a(a,b,d){var e=this.predictor=d.get("Predictor")||1;if(1>=e)return a;2!==e&&(10>e||e>15)&&c("Unsupported predictor: "+e),2===e?this.readBlock=this.readBlockTiff:this.readBlock=this.readBlockPng,this.str=a,this.dict=a.dict;var f=this.colors=d.get("Colors")||1,g=this.bits=d.get("BitsPerComponent")||8,h=this.columns=d.get("Columns")||1;return this.pixBytes=f*g+7>>3,this.rowBytes=h*f*g+7>>3,Oc.call(this,b),this}return a.prototype=Object.create(Oc.prototype),a.prototype.readBlockTiff=function(){var a=this.rowBytes,b=this.bufferLength,c=this.ensureBuffer(b+a),d=this.bits,e=this.colors,f=this.str.getBytes(a);if(this.eof=!f.length,!this.eof){var g,h=0,i=0,j=0,k=0,l=b;if(1===d)for(g=0;a>g;++g){var m=f[g];h=h<<8|m,c[l++]=255&(m^h>>e),h&=65535}else if(8===d){for(g=0;e>g;++g)c[l++]=f[g];for(;a>g;++g)c[l]=c[l-e]+f[g],l++}else{var n=new Uint8Array(e+1),o=(1<g;++g)for(var s=0;e>s;++s)d>j&&(h=h<<8|255&f[p++],j+=8),n[s]=n[s]+(h>>j-d)&o,j-=d,i=i<=8&&(c[q++]=i>>k-8&255,k-=8);k>0&&(c[q++]=(i<<8-k)+(h&(1<<8-k)-1))}this.bufferLength+=a}},a.prototype.readBlockPng=function(){var a=this.rowBytes,b=this.pixBytes,d=this.str.getByte(),e=this.str.getBytes(a);if(this.eof=!e.length,!this.eof){var f=this.bufferLength,g=this.ensureBuffer(f+a),h=g.subarray(f-a,f);0===h.length&&(h=new Uint8Array(a));var i,j,k,l=f;switch(d){case 0:for(i=0;a>i;++i)g[l++]=e[i];break;case 1:for(i=0;b>i;++i)g[l++]=e[i];for(;a>i;++i)g[l]=g[l-b]+e[i]&255,l++;break;case 2:for(i=0;a>i;++i)g[l++]=h[i]+e[i]&255;break;case 3:for(i=0;b>i;++i)g[l++]=(h[i]>>1)+e[i];for(;a>i;++i)g[l]=(h[i]+g[l-b]>>1)+e[i]&255,l++;break;case 4:for(i=0;b>i;++i)j=h[i],k=e[i],g[l++]=j+k;for(;a>i;++i){j=h[i];var m=h[i-b],n=g[l-b],o=n+j-m,p=o-n;0>p&&(p=-p);var q=o-j;0>q&&(q=-q);var r=o-m;0>r&&(r=-r),k=e[i],q>=p&&r>=p?g[l++]=n+k:r>=q?g[l++]=j+k:g[l++]=m+k}break;default:c("Unsupported predictor: "+d)}this.bufferLength+=a}},a}(),Sc=function(){function a(a,b,c,d){for(var e;-1!==(e=a.getByte());)if(255===e){a.skip(-1);break}this.stream=a,this.maybeLength=b,this.dict=c,Oc.call(this,b)}return a.prototype=Object.create(Oc.prototype),Object.defineProperty(a.prototype,"bytes",{get:function(){return g(this,"bytes",this.stream.getBytes(this.maybeLength))},configurable:!0}),a.prototype.ensureBuffer=function(a){if(!this.bufferLength)try{var b=new gd;if(this.forceRGB&&this.dict&&C(this.dict.get("Decode"))){for(var d=this.dict.get("Decode"),e=this.dict.get("BitsPerComponent")||8,f=d.length,g=new Int32Array(f),h=!1,i=(1<j;j+=2)g[j]=256*(d[j+1]-d[j])|0,g[j+1]=d[j]*i|0,256===g[j]&&0===g[j+1]||(h=!0);h&&(b.decodeTransform=g)}b.parse(this.bytes);var k=b.getData(this.drawWidth,this.drawHeight,this.forceRGB);this.buffer=k,this.bufferLength=k.length,this.eof=!0}catch(l){c("JPEG error: "+l)}},a.prototype.getBytes=function(a){return this.ensureBuffer(),this.buffer},a.prototype.getIR=function(){return PDFJS.createObjectURL(this.bytes,"image/jpeg")},a.prototype.isNativelySupported=function(a,b){var c=Xa.parse(this.dict.get("ColorSpace","CS"),a,b);return("DeviceGray"===c.name||"DeviceRGB"===c.name)&&c.isDefaultDecode(this.dict.get("Decode","D"))},a.prototype.isNativelyDecodable=function(a,b){var c=Xa.parse(this.dict.get("ColorSpace","CS"),a,b);return(1===c.numComps||3===c.numComps)&&c.isDefaultDecode(this.dict.get("Decode","D"))},a}(),Tc=function(){function a(a,b,c){this.stream=a,this.maybeLength=b,this.dict=c,Oc.call(this,b)}return a.prototype=Object.create(Oc.prototype),Object.defineProperty(a.prototype,"bytes",{get:function(){return g(this,"bytes",this.stream.getBytes(this.maybeLength))},configurable:!0}),a.prototype.ensureBuffer=function(a){if(!this.bufferLength){var b=new hd;b.parse(this.bytes);var c=b.width,d=b.height,e=b.componentsCount,f=b.tiles.length;if(1===f)this.buffer=b.tiles[0].items;else{for(var g=new Uint8Array(c*d*e),h=0;f>h;h++)for(var i=b.tiles[h],j=i.width,k=i.height,l=i.left,m=i.top,n=i.items,o=0,p=(c*m+l)*e,q=c*e,r=j*e,s=0;k>s;s++){var t=n.subarray(o,o+r);g.set(t,p),o+=r,p+=q}this.buffer=g}this.bufferLength=this.buffer.length,this.eof=!0}},a}(),Uc=function(){function a(a,b,c){this.stream=a,this.maybeLength=b,this.dict=c,Oc.call(this,b)}return a.prototype=Object.create(Oc.prototype),Object.defineProperty(a.prototype,"bytes",{get:function(){return g(this,"bytes",this.stream.getBytes(this.maybeLength))},configurable:!0}),a.prototype.ensureBuffer=function(a){if(!this.bufferLength){var c=new id,d=[],e=this.dict.xref,f=e.fetchIfRef(this.dict.get("DecodeParms"));if(C(f)&&(f.length>1&&b("JBIG2 - 'DecodeParms' array with multiple elements not supported."),f=e.fetchIfRef(f[0])),f&&f.has("JBIG2Globals")){var g=f.get("JBIG2Globals"),h=g.getBytes();d.push({data:h,start:0,end:h.length})}d.push({data:this.bytes,start:0,end:this.bytes.length});for(var i=c.parseChunks(d),j=i.length,k=0;j>k;k++)i[k]^=255;this.buffer=i,this.bufferLength=j,this.eof=!0}},a}(),Vc=function(){function a(a,b,c){this.str=a,this.dict=a.dict,this.decrypt=c,this.nextChunk=null,this.initialized=!1,Oc.call(this,b)}var b=512;return a.prototype=Object.create(Oc.prototype),a.prototype.readBlock=function(){var a;if(this.initialized?a=this.nextChunk:(a=this.str.getBytes(b),this.initialized=!0),!a||0===a.length)return void(this.eof=!0);this.nextChunk=this.str.getBytes(b);var c=this.nextChunk&&this.nextChunk.length>0,d=this.decrypt;a=d(a,!c);var e,f=this.bufferLength,g=a.length,h=this.ensureBuffer(f+g);for(e=0;g>e;e++)h[f++]=a[e];this.bufferLength=f},a}(),Wc=function(){function a(a,b){this.str=a,this.dict=a.dict,this.input=new Uint8Array(5),b&&(b=.8*b),Oc.call(this,b)}return a.prototype=Object.create(Oc.prototype),a.prototype.readBlock=function(){for(var a=126,b=122,c=-1,d=this.str,e=d.getByte();Gc.isSpace(e);)e=d.getByte();if(e===c||e===a)return void(this.eof=!0);var f,g,h=this.bufferLength;if(e===b){for(f=this.ensureBuffer(h+4),g=0;4>g;++g)f[h+g]=0;this.bufferLength+=4}else{var i=this.input;for(i[0]=e,g=1;5>g;++g){for(e=d.getByte();Gc.isSpace(e);)e=d.getByte();if(i[g]=e,e===c||e===a)break}if(f=this.ensureBuffer(h+g-1),this.bufferLength+=g-1,5>g){for(;5>g;++g)i[g]=117;this.eof=!0}var j=0;for(g=0;5>g;++g)j=85*j+(i[g]-33);for(g=3;g>=0;--g)f[h+g]=255&j,j>>=8}},a}(),Xc=function(){function a(a,b){this.str=a,this.dict=a.dict,this.firstDigit=-1,b&&(b=.5*b),Oc.call(this,b)}return a.prototype=Object.create(Oc.prototype),a.prototype.readBlock=function(){var a=8e3,b=this.str.getBytes(a);if(!b.length)return void(this.eof=!0);for(var c=b.length+1>>1,d=this.ensureBuffer(this.bufferLength+c),e=this.bufferLength,f=this.firstDigit,g=0,h=b.length;h>g;g++){var i,j=b[g];if(j>=48&&57>=j)i=15&j;else{if(!(j>=65&&70>=j||j>=97&&102>=j)){if(62===j){this.eof=!0;break}continue}i=(15&j)+9}0>f?f=i:(d[e++]=f<<4|i,f=-1)}f>=0&&this.eof&&(d[e++]=f<<4,f=-1),this.firstDigit=f,this.bufferLength=e},a}(),Yc=function(){function a(a,b){this.str=a,this.dict=a.dict,Oc.call(this,b)}return a.prototype=Object.create(Oc.prototype),a.prototype.readBlock=function(){var a=this.str.getBytes(2);if(!a||a.length<2||128===a[0])return void(this.eof=!0);var b,c=this.bufferLength,d=a[0];if(128>d){if(b=this.ensureBuffer(c+d+1),b[c++]=a[1],d>0){var e=this.str.getBytes(d);b.set(e,c),c+=d}}else{d=257-d;var f=a[1];b=this.ensureBuffer(c+d+1);for(var g=0;d>g;g++)b[c++]=f}this.bufferLength=c},a}(),Zc=function(){function b(a,b,c){this.str=a,this.dict=a.dict,c=c||Aa.empty,this.encoding=c.get("K")||0,this.eoline=c.get("EndOfLine")||!1,this.byteAlign=c.get("EncodedByteAlign")||!1,this.columns=c.get("Columns")||1728,this.rows=c.get("Rows")||0;var d=c.get("EndOfBlock");null!==d&&void 0!==d||(d=!0),this.eoblock=d,this.black=c.get("BlackIs1")||!1,this.codingLine=new Uint32Array(this.columns+1),this.refLine=new Uint32Array(this.columns+2),this.codingLine[0]=this.columns,this.codingPos=0,this.row=0,this.nextLine2D=this.encoding<0,this.inputBits=0,this.inputBuf=0,this.outputBits=0;for(var e;0===(e=this.lookBits(12));)this.eatBits(1);1===e&&this.eatBits(12),this.encoding>0&&(this.nextLine2D=!this.lookBits(1),this.eatBits(1)),Oc.call(this,b)}var c=-2,d=0,e=1,f=2,g=3,h=4,i=5,j=6,k=7,l=8,m=[[-1,-1],[-1,-1],[7,l],[7,k],[6,j],[6,j],[6,i],[6,i],[4,d],[4,d],[4,d],[4,d],[4,d],[4,d],[4,d],[4,d],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,e],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,h],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[3,g],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f],[1,f]],n=[[-1,-1],[12,c],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[11,1792],[11,1792],[12,1984],[12,2048],[12,2112],[12,2176],[12,2240],[12,2304],[11,1856],[11,1856],[11,1920],[11,1920],[12,2368],[12,2432],[12,2496],[12,2560]],o=[[-1,-1],[-1,-1],[-1,-1],[-1,-1],[8,29],[8,29],[8,30],[8,30],[8,45],[8,45],[8,46],[8,46],[7,22],[7,22],[7,22],[7,22],[7,23],[7,23],[7,23],[7,23],[8,47],[8,47],[8,48],[8,48],[6,13],[6,13],[6,13],[6,13],[6,13],[6,13],[6,13],[6,13],[7,20],[7,20],[7,20],[7,20],[8,33],[8,33],[8,34],[8,34],[8,35],[8,35],[8,36],[8,36],[8,37],[8,37],[8,38],[8,38],[7,19],[7,19],[7,19],[7,19],[8,31],[8,31],[8,32],[8,32],[6,1],[6,1],[6,1],[6,1],[6,1],[6,1],[6,1],[6,1],[6,12],[6,12],[6,12],[6,12],[6,12],[6,12],[6,12],[6,12],[8,53],[8,53],[8,54],[8,54],[7,26],[7,26],[7,26],[7,26],[8,39],[8,39],[8,40],[8,40],[8,41],[8,41],[8,42],[8,42],[8,43],[8,43],[8,44],[8,44],[7,21],[7,21],[7,21],[7,21],[7,28],[7,28],[7,28],[7,28],[8,61],[8,61],[8,62],[8,62],[8,63],[8,63],[8,0],[8,0],[8,320],[8,320],[8,384],[8,384],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,10],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[5,11],[7,27],[7,27],[7,27],[7,27],[8,59],[8,59],[8,60],[8,60],[9,1472],[9,1536],[9,1600],[9,1728],[7,18],[7,18],[7,18],[7,18],[7,24],[7,24],[7,24],[7,24],[8,49],[8,49],[8,50],[8,50],[8,51],[8,51],[8,52],[8,52],[7,25],[7,25],[7,25],[7,25],[8,55],[8,55],[8,56],[8,56],[8,57],[8,57],[8,58],[8,58],[6,192],[6,192],[6,192],[6,192],[6,192],[6,192],[6,192],[6,192],[6,1664],[6,1664],[6,1664],[6,1664],[6,1664],[6,1664],[6,1664],[6,1664],[8,448],[8,448],[8,512],[8,512],[9,704],[9,768],[8,640],[8,640],[8,576],[8,576],[9,832],[9,896],[9,960],[9,1024],[9,1088],[9,1152],[9,1216],[9,1280],[9,1344],[9,1408],[7,256],[7,256],[7,256],[7,256],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,2],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[4,3],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,128],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,8],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[5,9],[6,16],[6,16],[6,16],[6,16],[6,16],[6,16],[6,16],[6,16],[6,17],[6,17],[6,17],[6,17],[6,17],[6,17],[6,17],[6,17],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,4],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[4,5],[6,14],[6,14],[6,14],[6,14],[6,14],[6,14],[6,14],[6,14],[6,15],[6,15],[6,15],[6,15],[6,15],[6,15],[6,15],[6,15],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[5,64],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,6],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7],[4,7]],p=[[-1,-1],[-1,-1],[12,c],[12,c],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[-1,-1],[11,1792],[11,1792],[11,1792],[11,1792],[12,1984],[12,1984],[12,2048],[12,2048],[12,2112],[12,2112],[12,2176],[12,2176],[12,2240],[12,2240],[12,2304],[12,2304],[11,1856],[11,1856],[11,1856],[11,1856],[11,1920],[11,1920],[11,1920],[11,1920],[12,2368],[12,2368],[12,2432],[12,2432],[12,2496],[12,2496],[12,2560],[12,2560],[10,18],[10,18],[10,18],[10,18],[10,18],[10,18],[10,18],[10,18],[12,52],[12,52],[13,640],[13,704],[13,768],[13,832],[12,55],[12,55],[12,56],[12,56],[13,1280],[13,1344],[13,1408],[13,1472],[12,59],[12,59],[12,60],[12,60],[13,1536],[13,1600],[11,24],[11,24],[11,24],[11,24],[11,25],[11,25],[11,25],[11,25],[13,1664],[13,1728],[12,320],[12,320],[12,384],[12,384],[12,448],[12,448],[13,512],[13,576],[12,53],[12,53],[12,54],[12,54],[13,896],[13,960],[13,1024],[13,1088],[13,1152],[13,1216],[10,64],[10,64],[10,64],[10,64],[10,64],[10,64],[10,64],[10,64]],q=[[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[8,13],[11,23],[11,23],[12,50],[12,51],[12,44],[12,45],[12,46],[12,47],[12,57],[12,58],[12,61],[12,256],[10,16],[10,16],[10,16],[10,16],[10,17],[10,17],[10,17],[10,17],[12,48],[12,49],[12,62],[12,63],[12,30],[12,31],[12,32],[12,33],[12,40],[12,41],[11,22],[11,22],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[8,14],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,10],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[7,11],[9,15],[9,15],[9,15],[9,15],[9,15],[9,15],[9,15],[9,15],[12,128],[12,192],[12,26],[12,27],[12,28],[12,29],[11,19],[11,19],[11,20],[11,20],[12,34],[12,35],[12,36],[12,37],[12,38],[12,39],[11,21],[11,21],[12,42],[12,43],[10,0],[10,0],[10,0],[10,0],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12],[7,12]],r=[[-1,-1],[-1,-1],[-1,-1],[-1,-1],[6,9],[6,8],[5,7],[5,7],[4,6],[4,6],[4,6],[4,6],[4,5],[4,5],[4,5],[4,5],[3,1],[3,1],[3,1],[3,1],[3,1],[3,1],[3,1],[3,1],[3,4],[3,4],[3,4],[3,4],[3,4],[3,4],[3,4],[3,4],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,3],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2],[2,2]];return b.prototype=Object.create(Oc.prototype),b.prototype.readBlock=function(){for(;!this.eof;){var a=this.lookChar();this.ensureBuffer(this.bufferLength+1),this.buffer[this.bufferLength++]=a}},b.prototype.addPixels=function(b,c){var d=this.codingLine,e=this.codingPos;b>d[e]&&(b>this.columns&&(a("row is wrong length"),this.err=!0,b=this.columns),1&e^c&&++e,d[e]=b),this.codingPos=e},b.prototype.addPixelsNeg=function(b,c){var d=this.codingLine,e=this.codingPos;if(b>d[e])b>this.columns&&(a("row is wrong length"),this.err=!0,b=this.columns),1&e^c&&++e,d[e]=b;else if(bb&&(a("invalid code"),this.err=!0,b=0);e>0&&b=64);do s+=t=this.getWhiteCode();while(t>=64)}else{do r+=t=this.getWhiteCode();while(t>=64);do s+=t=this.getBlackCode();while(t>=64)}for(this.addPixels(p[this.codingPos]+r,c),p[this.codingPos]0?--b:++b;o[b]<=p[this.codingPos]&&o[b]0?--b:++b;o[b]<=p[this.codingPos]&&o[b]0?--b:++b;o[b]<=p[this.codingPos]&&o[b]=64)}else do r+=t=this.getWhiteCode();while(t>=64);this.addPixels(p[this.codingPos]+r,c),c^=1}var u=!1;if(this.byteAlign&&(this.inputBits&=-8),this.eoblock||this.row!==this.rows-1){if(r=this.lookBits(12),this.eoline)for(;r!==Dc&&1!==r;)this.eatBits(1),r=this.lookBits(12);else for(;0===r;)this.eatBits(1),r=this.lookBits(12);1===r?(this.eatBits(12),u=!0):r===Dc&&(this.eof=!0)}else this.eof=!0;if(!this.eof&&this.encoding>0&&(this.nextLine2D=!this.lookBits(1),this.eatBits(1)),this.eoblock&&u&&this.byteAlign){if(r=this.lookBits(12),1===r){if(this.eatBits(12),this.encoding>0&&(this.lookBits(1),this.eatBits(1)),this.encoding>=0)for(n=0;4>n;++n)r=this.lookBits(12),1!==r&&a("bad rtc code: "+r),this.eatBits(12),this.encoding>0&&(this.lookBits(1),this.eatBits(1));this.eof=!0}}else if(this.err&&this.eoline){for(;;){if(r=this.lookBits(13),r===Dc)return this.eof=!0,null;if(r>>1===1)break;this.eatBits(1)}this.eatBits(12),this.encoding>0&&(this.eatBits(1),this.nextLine2D=!(1&r))}p[0]>0?this.outputBits=p[this.codingPos=0]:this.outputBits=p[this.codingPos=1],this.row++}var v;if(this.outputBits>=8)v=1&this.codingPos?0:255,this.outputBits-=8,0===this.outputBits&&p[this.codingPos]m?(v<<=m,1&this.codingPos||(v|=255>>8-m),this.outputBits-=m,m=0):(v<<=this.outputBits,1&this.codingPos||(v|=255>>8-this.outputBits),m-=this.outputBits,this.outputBits=0,p[this.codingPos]0&&(v<<=m,m=0));while(m)}return this.black&&(v^=255),v},b.prototype.findTableCode=function(a,b,c,d){for(var e=d||0,f=a;b>=f;++f){var g=this.lookBits(f);if(g===Dc)return[!0,1,!1];if(b>f&&(g<<=b-f),!e||g>=e){var h=c[g-e];if(h[0]===f)return this.eatBits(f),[!0,h[1],!0]}}return[!1,0,!1]},b.prototype.getTwoDimCode=function(){var b,c=0;if(this.eoblock){if(c=this.lookBits(7),b=m[c],b&&b[0]>0)return this.eatBits(b[0]), +b[1]}else{var d=this.findTableCode(1,7,m);if(d[0]&&d[2])return d[1]}return a("Bad two dim code"),Dc},b.prototype.getWhiteCode=function(){var b,c=0;if(this.eoblock){if(c=this.lookBits(12),c===Dc)return 1;if(b=c>>5===0?n[c]:o[c>>3],b[0]>0)return this.eatBits(b[0]),b[1]}else{var d=this.findTableCode(1,9,o);if(d[0])return d[1];if(d=this.findTableCode(11,12,n),d[0])return d[1]}return a("bad white code"),this.eatBits(1),1},b.prototype.getBlackCode=function(){var b,c;if(this.eoblock){if(b=this.lookBits(13),b===Dc)return 1;if(c=b>>7===0?p[b]:b>>9===0&&b>>7!==0?q[(b>>1)-64]:r[b>>7],c[0]>0)return this.eatBits(c[0]),c[1]}else{var d=this.findTableCode(2,6,r);if(d[0])return d[1];if(d=this.findTableCode(7,12,q,64),d[0])return d[1];if(d=this.findTableCode(10,13,p),d[0])return d[1]}return a("bad black code"),this.eatBits(1),1},b.prototype.lookBits=function(a){for(var b;this.inputBits>16-a;this.inputBuf=(this.inputBuf<<8)+b,this.inputBits+=8}return this.inputBuf>>this.inputBits-a&65535>>16-a},b.prototype.eatBits=function(a){(this.inputBits-=a)<0&&(this.inputBits=0)},b}(),$c=function(){function a(a,b,c){this.str=a,this.dict=a.dict,this.cachedData=0,this.bitsCached=0;for(var d=4096,e={earlyChange:c,codeLength:9,nextCode:258,dictionaryValues:new Uint8Array(d),dictionaryLengths:new Uint16Array(d),dictionaryPrevCodes:new Uint16Array(d),currentSequence:new Uint8Array(d),currentSequenceLength:0},f=0;256>f;++f)e.dictionaryValues[f]=f,e.dictionaryLengths[f]=1;this.lzwState=e,Oc.call(this,b)}return a.prototype=Object.create(Oc.prototype),a.prototype.readBits=function(a){for(var b=this.bitsCached,c=this.cachedData;a>b;){var d=this.str.getByte();if(-1===d)return this.eof=!0,null;c=c<<8|d,b+=8}return this.bitsCached=b-=a,this.cachedData=c,this.lastCode=null,c>>>b&(1<a;a++){var t=this.readBits(m),u=p>0;if(256>t)o[0]=t,p=1;else{if(!(t>=258)){if(256===t){m=9,i=258,p=0;continue}this.eof=!0,delete this.lzwState;break}if(i>t)for(p=k[t],b=p-1,c=t;b>=0;b--)o[b]=j[c],c=l[c];else o[p++]=o[0]}if(u&&(l[i]=n,k[i]=k[n]+1,j[i]=o[0],i++,m=i+h&i+h-1?m:0|Math.min(Math.log(i+h)/.6931471805599453+1,12)),n=t,q+=p,q>e){do e+=f;while(q>e);s=this.ensureBuffer(this.bufferLength+e)}for(b=0;p>b;b++)s[r++]=o[b]}g.nextCode=i,g.codeLength=m,g.prevCode=n,g.currentSequenceLength=p,this.bufferLength=r}},a}(),_c=function(){function a(){Mc.call(this,new Uint8Array(0))}return a.prototype=Mc.prototype,a}(),ad=function(){function a(a){this.name=a,this.terminated=!1,this._capability=G()}return a.prototype={get finished(){return this._capability.promise},finish:function(){this._capability.resolve()},terminate:function(){this.terminated=!0},ensureNotTerminated:function(){if(this.terminated)throw new Error("Worker task was terminated")}},a}(),bd=PDFJS.WorkerMessageHandler={setup:function(c){function d(){if(j)throw new Error("Worker was terminated")}function e(a){l.push(a)}function f(a){a.finish();var b=l.indexOf(a);l.splice(b,1)}function g(a){var b=G(),c=function(){var a=i.ensureDoc("numPages"),c=i.ensureDoc("fingerprint"),e=i.ensureXRef("encrypt");Promise.all([a,c,e]).then(function(a){var c={numPages:a[0],fingerprint:a[1],encrypted:!!a[2]};b.resolve(c)},d)},d=function(a){b.reject(a)};return i.ensureDoc("checkHeader",[]).then(function(){i.ensureDoc("parseStartXRef",[]).then(function(){i.ensureDoc("parse",[a]).then(c,d)},d)},d),b.promise}function h(a){var d,e=G(),f=a.source,g=a.disableRange;if(f.data){try{d=new ua(f.data,f.password),e.resolve(d)}catch(h){e.reject(h)}return e.promise}if(f.chunkedViewerLoading){try{d=new va(f,c),e.resolve(d)}catch(h){e.reject(h)}return e.promise}var i=new pa(f.url,{httpHeaders:f.httpHeaders,withCredentials:f.withCredentials}),j=[],l=i.requestFull({onHeadersReceived:function(){if(!g){var a=i.getRequestXhr(l);if("bytes"===a.getResponseHeader("Accept-Ranges")){var b=a.getResponseHeader("Content-Encoding")||"identity";if("identity"===b){var h=a.getResponseHeader("Content-Length");if(h=parseInt(h,10),w(h)&&(f.length=h,!(2*sa>=h))){i.isStreamingRequest(l)?f.disableAutoFetch=!0:i.abortRequest(l);try{d=new va(f,c),e.resolve(d)}catch(j){e.reject(j)}k=null}}}}},onProgressiveData:f.disableStream?null:function(a){return d?void d.sendProgressiveData(a):void j.push(a)},onDone:function(a){if(!d){var c;if(null===a){var g=0,h=0;j.forEach(function(a){g+=a.byteLength}),f.length&&g!==f.length&&b("reported HTTP length is different from actual");var i=new Uint8Array(g);j.forEach(function(a){i.set(new Uint8Array(a),h),h+=a.byteLength}),c=i.buffer}else c=a.chunk;try{d=new ua(c,f.password),e.resolve(d)}catch(l){e.reject(l)}k=null}},onError:function(a){var b;404===a?(b=new ga('Missing PDF "'+f.url+'".'),c.send("MissingPDF",b)):(b=new ha("Unexpected server response ("+a+') while retrieving PDF "'+f.url+'".',a),c.send("UnexpectedResponse",b)),k=null},onProgress:function(a){c.send("DocProgress",{loaded:a.loaded,total:a.lengthComputable?a.total:f.length})}});return k=function(){i.abortRequest(l)},e.promise}var i,j=!1,k=null,l=[];c.on("test",function(a){if(!(a instanceof Uint8Array))return void c.send("test",!1);var b=255===a[0];c.postMessageTransfers=b;var d=new XMLHttpRequest,e="response"in d;try{d.responseType}catch(f){e=!1}return e?void c.send("test",{supportTypedArray:!0,supportTransfers:b}):void c.send("test",!1)}),c.on("GetDocRequest",function(a){var b=function(a){d(),c.send("GetDoc",{pdfInfo:a})},e=function(a){a instanceof da?a.code===ca.NEED_PASSWORD?c.send("NeedPassword",a):a.code===ca.INCORRECT_PASSWORD&&c.send("IncorrectPassword",a):a instanceof fa?c.send("InvalidPDF",a):a instanceof ga?c.send("MissingPDF",a):a instanceof ha?c.send("UnexpectedResponse",a):c.send("UnknownError",new ea(a.message,a.toString()))};d(),PDFJS.maxImageSize=void 0===a.maxImageSize?-1:a.maxImageSize,PDFJS.disableFontFace=a.disableFontFace,PDFJS.disableCreateObjectURL=a.disableCreateObjectURL,PDFJS.verbosity=a.verbosity,PDFJS.cMapUrl=void 0===a.cMapUrl?null:a.cMapUrl,PDFJS.cMapPacked=a.cMapPacked===!0,h(a).then(function(a){if(j)throw a.terminate(),new Error("Worker was terminated");i=a,c.send("PDFManagerReady",null),i.onLoadedStream().then(function(a){c.send("DataLoaded",{length:a.bytes.byteLength})})}).then(function f(){d(),g(!1).then(b,function(a){return d(),a instanceof ka?(i.requestLoadedStream(),void i.onLoadedStream().then(function(){d(),g(!0).then(b,e)})):(a instanceof da&&i.passwordChanged().then(f),void e(a))},e)},e)}),c.on("GetPage",function(a){return i.getPage(a.pageIndex).then(function(a){var b=i.ensure(a,"rotate"),c=i.ensure(a,"ref"),d=i.ensure(a,"view");return Promise.all([b,c,d]).then(function(a){return{rotate:a[0],ref:a[1],view:a[2]}})})}),c.on("GetPageIndex",function(a){var b=new Ba(a.ref.num,a.ref.gen),c=i.pdfDocument.catalog;return c.getPageIndex(b)}),c.on("GetDestinations",function(a){return i.ensureCatalog("destinations")}),c.on("GetDestination",function(a){return i.ensureCatalog("getDestination",[a.id])}),c.on("GetAttachments",function(a){return i.ensureCatalog("attachments")}),c.on("GetJavaScript",function(a){return i.ensureCatalog("javaScript")}),c.on("GetOutline",function(a){return i.ensureCatalog("documentOutline")}),c.on("GetMetadata",function(a){return Promise.all([i.ensureDoc("documentInfo"),i.ensureCatalog("metadata")])}),c.on("GetData",function(a){return i.requestLoadedStream(),i.onLoadedStream().then(function(a){return a.bytes})}),c.on("GetStats",function(a){return i.pdfDocument.xref.stats}),c.on("UpdatePassword",function(a){i.updatePassword(a)}),c.on("GetAnnotations",function(a){return i.getPage(a.pageIndex).then(function(a){return i.ensure(a,"getAnnotationsData",[])})}),c.on("RenderPageRequest",function(b){var d=b.pageIndex;i.getPage(d).then(function(g){var h=new ad("RenderPageRequest: page "+d);e(h);var i=d+1,j=Date.now();g.getOperatorList(c,h,b.intent).then(function(b){f(h),a("page="+i+" - getOperatorList: time="+(Date.now()-j)+"ms, len="+b.fnArray.length)},function(a){if(f(h),!h.terminated){var d,e="worker.js: while trying to getPage() and getOperatorList()";d="string"==typeof a?{message:a,stack:e}:"object"==typeof a?{message:a.message||a.toString(),stack:a.stack||e}:{message:"Unknown exception type: "+typeof a,stack:e},c.send("PageError",{pageNum:i,error:d,intent:b.intent})}})})},this),c.on("GetTextContent",function(b){var c=b.pageIndex;return i.getPage(c).then(function(b){var d=new ad("GetTextContent: page "+c);e(d);var g=c+1,h=Date.now();return b.extractTextContent(d).then(function(b){return f(d),a("text indexing: page="+g+" - time="+(Date.now()-h)+"ms"),b},function(a){if(f(d),!d.terminated)throw a})})}),c.on("Cleanup",function(a){return i.cleanup()}),c.on("Terminate",function(a){j=!0,i&&(i.terminate(),i=null),k&&k();var b=[];return l.forEach(function(a){b.push(a.finished),a.terminate()}),Promise.all(b).then(function(){})})}},cd={},dd={log:function(){var a=Array.prototype.slice.call(arguments);S.postMessage({action:"console_log",data:a})},error:function(){var a=Array.prototype.slice.call(arguments);throw S.postMessage({action:"console_error",data:a}),"pdf.js execution error"},time:function(a){cd[a]=Date.now()},timeEnd:function(a){var b=cd[a];b||c("Unknown timer name "+a),this.log("Timer:",a,Date.now()-b)}};if("undefined"==typeof window){"console"in S||(S.console=dd),PDFJS.UnsupportedManager.listen(function(a){S.postMessage({action:"_unsupported_feature",data:a})});var ed=new H("worker_processor",this);bd.setup(ed)}var fd=function(){function a(a,b,c){this.data=a,this.bp=b,this.dataEnd=c,this.chigh=a[b],this.clow=0,this.byteIn(),this.chigh=this.chigh<<7&65535|this.clow>>9&127,this.clow=this.clow<<7&65535,this.ct-=7,this.a=32768}var b=[{qe:22017,nmps:1,nlps:1,switchFlag:1},{qe:13313,nmps:2,nlps:6,switchFlag:0},{qe:6145,nmps:3,nlps:9,switchFlag:0},{qe:2753,nmps:4,nlps:12,switchFlag:0},{qe:1313,nmps:5,nlps:29,switchFlag:0},{qe:545,nmps:38,nlps:33,switchFlag:0},{qe:22017,nmps:7,nlps:6,switchFlag:1},{qe:21505,nmps:8,nlps:14,switchFlag:0},{qe:18433,nmps:9,nlps:14,switchFlag:0},{qe:14337,nmps:10,nlps:14,switchFlag:0},{qe:12289,nmps:11,nlps:17,switchFlag:0},{qe:9217,nmps:12,nlps:18,switchFlag:0},{qe:7169,nmps:13,nlps:20,switchFlag:0},{qe:5633,nmps:29,nlps:21,switchFlag:0},{qe:22017,nmps:15,nlps:14,switchFlag:1},{qe:21505,nmps:16,nlps:14,switchFlag:0},{qe:20737,nmps:17,nlps:15,switchFlag:0},{qe:18433,nmps:18,nlps:16,switchFlag:0},{qe:14337,nmps:19,nlps:17,switchFlag:0},{qe:13313,nmps:20,nlps:18,switchFlag:0},{qe:12289,nmps:21,nlps:19,switchFlag:0},{qe:10241,nmps:22,nlps:19,switchFlag:0},{qe:9217,nmps:23,nlps:20,switchFlag:0},{qe:8705,nmps:24,nlps:21,switchFlag:0},{qe:7169,nmps:25,nlps:22,switchFlag:0},{qe:6145,nmps:26,nlps:23,switchFlag:0},{qe:5633,nmps:27,nlps:24,switchFlag:0},{qe:5121,nmps:28,nlps:25,switchFlag:0},{qe:4609,nmps:29,nlps:26,switchFlag:0},{qe:4353,nmps:30,nlps:27,switchFlag:0},{qe:2753,nmps:31,nlps:28,switchFlag:0},{qe:2497,nmps:32,nlps:29,switchFlag:0},{qe:2209,nmps:33,nlps:30,switchFlag:0},{qe:1313,nmps:34,nlps:31,switchFlag:0},{qe:1089,nmps:35,nlps:32,switchFlag:0},{qe:673,nmps:36,nlps:33,switchFlag:0},{qe:545,nmps:37,nlps:34,switchFlag:0},{qe:321,nmps:38,nlps:35,switchFlag:0},{qe:273,nmps:39,nlps:36,switchFlag:0},{qe:133,nmps:40,nlps:37,switchFlag:0},{qe:73,nmps:41,nlps:38,switchFlag:0},{qe:37,nmps:42,nlps:39,switchFlag:0},{qe:21,nmps:43,nlps:40,switchFlag:0},{qe:9,nmps:44,nlps:41,switchFlag:0},{qe:5,nmps:45,nlps:42,switchFlag:0},{qe:1,nmps:45,nlps:43,switchFlag:0},{qe:22017,nmps:46,nlps:46,switchFlag:0}];return a.prototype={byteIn:function(){var a=this.data,b=this.bp;if(255===a[b]){var c=a[b+1];c>143?(this.clow+=65280,this.ct=8):(b++,this.clow+=a[b]<<9,this.ct=7,this.bp=b)}else b++,this.clow+=b65535&&(this.chigh+=this.clow>>16,this.clow&=65535)},readBit:function(a,c){var d,e=a[c]>>1,f=1&a[c],g=b[e],h=g.qe,i=this.a-h;if(this.chighi?(i=h,d=f,e=g.nmps):(i=h,d=1^f,1===g.switchFlag&&(f=d),e=g.nlps);else{if(this.chigh-=h,0!==(32768&i))return this.a=i,f;h>i?(d=1^f,1===g.switchFlag&&(f=d),e=g.nlps):(d=f,e=g.nmps)}do 0===this.ct&&this.byteIn(),i<<=1,this.chigh=this.chigh<<1&65535|this.clow>>15&1,this.clow=this.clow<<1&65535,this.ct--;while(0===(32768&i));return this.a=i,a[c]=e<<1|f,d}},a}(),gd=function(){function a(){}function b(a,b){for(var c,d,e=0,f=[],g=16;g>0&&!a[g-1];)g--;f.push({children:[],index:0});var h,i=f[0];for(c=0;g>c;c++){for(d=0;d0;)i=f.pop();for(i.index++,f.push(i);f.length<=c;)f.push(h={children:[],index:0}),i.children[i.index]=h.children,i=h;e++}g>c+1&&(f.push(h={children:[],index:0}),i.children[i.index]=h.children,i=h)}return f[0].children}function c(a,b,c){return 64*((a.blocksPerLine+1)*b+c)}function d(a,b,d,e,f,g,i,j,k){function l(){if(H>0)return H--,G>>H&1;if(G=a[b++],255===G){var c=a[b++];if(c)throw"unexpected marker: "+(G<<8|c).toString(16)}return H=7,G>>>7}function m(a){for(var b=a;;){if(b=b[l()],"number"==typeof b)return b;if("object"!=typeof b)throw"invalid huffman sequence"}}function n(a){for(var b=0;a>0;)b=b<<1|l(),a--;return b}function o(a){if(1===a)return 1===l()?1:-1;var b=n(a);return b>=1<e;){var f=m(a.huffmanTableAC),g=15&f,i=f>>4;if(0!==g){e+=i;var j=h[e];a.blockData[b+j]=o(g),e++}else{if(15>i)break;e+=16}}}function q(a,b){var c=m(a.huffmanTableDC),d=0===c?0:o(c)<0)return void I--;for(var c=g,d=i;d>=c;){var e=m(a.huffmanTableAC),f=15&e,j=e>>4;if(0!==f){c+=j;var l=h[c];a.blockData[b+l]=o(f)*(1<j){I=n(j)+(1<=e;){var p=h[e];switch(J){case 0:if(d=m(a.huffmanTableAC),c=15&d,j=d>>4,0===c)15>j?(I=n(j)+(1<N;){for(y=0;K>y;y++)e[y].pred=0;if(I=0,1===K)for(x=e[0],B=0;f>B;B++)v(x,C,N),N++;else for(B=0;f>B;B++){for(y=0;K>y;y++)for(x=e[y],O=x.h,P=x.v,z=0;P>z;z++)for(A=0;O>A;A++)u(x,C,N,z,A);N++}if(H=0,L=a[b]<<8|a[b+1],65280>=L)throw"marker was not found";if(!(L>=65488&&65495>=L))break;b+=2}return b-F}function e(a,b,c){for(var d,e,f,g,h,q,r,s,t,u,v,w,x,y,z,A,B,C=a.quantizationTable,D=a.blockData,E=0;64>E;E+=8)t=D[b+E],u=D[b+E+1],v=D[b+E+2],w=D[b+E+3],x=D[b+E+4],y=D[b+E+5],z=D[b+E+6],A=D[b+E+7],t*=C[E],0!==(u|v|w|x|y|z|A)?(u*=C[E+1],v*=C[E+2],w*=C[E+3],x*=C[E+4],y*=C[E+5],z*=C[E+6],A*=C[E+7],d=o*t+128>>8,e=o*x+128>>8,f=v,g=z,h=p*(u-A)+128>>8,s=p*(u+A)+128>>8,q=w<<4,r=y<<4,d=d+e+1>>1,e=d-e,B=f*n+g*m+128>>8,f=f*m-g*n+128>>8,g=B,h=h+r+1>>1,r=h-r,s=s+q+1>>1,q=s-q,d=d+g+1>>1,g=d-g,e=e+f+1>>1,f=e-f,B=h*l+s*k+2048>>12,h=h*k-s*l+2048>>12,s=B,B=q*j+r*i+2048>>12,q=q*i-r*j+2048>>12,r=B,c[E]=d+s,c[E+7]=d-s,c[E+1]=e+r,c[E+6]=e-r,c[E+2]=f+q,c[E+5]=f-q,c[E+3]=g+h,c[E+4]=g-h):(B=o*t+512>>10,c[E]=B,c[E+1]=B,c[E+2]=B,c[E+3]=B,c[E+4]=B,c[E+5]=B,c[E+6]=B,c[E+7]=B);for(var F=0;8>F;++F)t=c[F],u=c[F+8],v=c[F+16],w=c[F+24],x=c[F+32],y=c[F+40],z=c[F+48],A=c[F+56],0!==(u|v|w|x|y|z|A)?(d=o*t+2048>>12,e=o*x+2048>>12,f=v,g=z,h=p*(u-A)+2048>>12,s=p*(u+A)+2048>>12,q=w,r=y,d=(d+e+1>>1)+4112,e=d-e,B=f*n+g*m+2048>>12,f=f*m-g*n+2048>>12,g=B,h=h+r+1>>1,r=h-r,s=s+q+1>>1,q=s-q,d=d+g+1>>1,g=d-g,e=e+f+1>>1,f=e-f,B=h*l+s*k+2048>>12,h=h*k-s*l+2048>>12,s=B,B=q*j+r*i+2048>>12,q=q*i-r*j+2048>>12,r=B,t=d+s,A=d-s,u=e+r,z=e-r,v=f+q,y=f-q,w=g+h,x=g-h,t=16>t?0:t>=4080?255:t>>4,u=16>u?0:u>=4080?255:u>>4,v=16>v?0:v>=4080?255:v>>4,w=16>w?0:w>=4080?255:w>>4,x=16>x?0:x>=4080?255:x>>4,y=16>y?0:y>=4080?255:y>>4,z=16>z?0:z>=4080?255:z>>4,A=16>A?0:A>=4080?255:A>>4,D[b+F]=t,D[b+F+8]=u,D[b+F+16]=v,D[b+F+24]=w,D[b+F+32]=x,D[b+F+40]=y,D[b+F+48]=z,D[b+F+56]=A):(B=o*t+8192>>14,B=-2040>B?0:B>=2024?255:B+2056>>4,D[b+F]=B,D[b+F+8]=B,D[b+F+16]=B,D[b+F+24]=B,D[b+F+32]=B,D[b+F+40]=B,D[b+F+48]=B,D[b+F+56]=B)}function f(a,b){for(var d=b.blocksPerLine,f=b.blocksPerColumn,g=new Int16Array(64),h=0;f>h;h++)for(var i=0;d>i;i++){var j=c(b,h,i);e(b,j,g)}return b.blockData}function g(a){return 0>=a?0:a>=255?255:a}var h=new Uint8Array([0,1,8,16,9,2,3,10,17,24,32,25,18,11,4,5,12,19,26,33,40,48,41,34,27,20,13,6,7,14,21,28,35,42,49,56,57,50,43,36,29,22,15,23,30,37,44,51,58,59,52,45,38,31,39,46,53,60,61,54,47,55,62,63]),i=4017,j=799,k=3406,l=2276,m=1567,n=3784,o=5793,p=2896;return a.prototype={parse:function(a){function c(){var b=a[k]<<8|a[k+1];return k+=2,b}function e(){var b=c(),d=a.subarray(k,k+b-2);return k+=d.length,d}function g(a){for(var b=Math.ceil(a.samplesPerLine/8/a.maxH),c=Math.ceil(a.scanLines/8/a.maxV),d=0;dk;){var y=a[k++],z=new Uint16Array(64);if(y>>4===0)for(s=0;64>s;s++)v=h[s],z[v]=a[k++];else{if(y>>4!==1)throw"DQT: invalid table spec";for(s=0;64>s;s++)v=h[s],z[v]=c()}n[15&y]=z}break;case 65472:case 65473:case 65474:if(i)throw"Only single frame JPEGs supported";c(),i={},i.extended=65473===q,i.progressive=65474===q,i.precision=a[k++],i.scanLines=c(),i.samplesPerLine=c(),i.components=[],i.componentIds={};var A,B=a[k++],C=0,D=0;for(r=0;B>r;r++){A=a[k];var E=a[k+1]>>4,F=15&a[k+1];E>C&&(C=E),F>D&&(D=F);var G=a[k+2];t=i.components.push({h:E,v:F,quantizationTable:n[G]}),i.componentIds[A]=t-1,k+=3}i.maxH=C,i.maxV=D,g(i);break;case 65476:var H=c();for(r=2;H>r;){var I=a[k++],J=new Uint8Array(16),K=0;for(s=0;16>s;s++,k++)K+=J[s]=a[k];var L=new Uint8Array(K);for(s=0;K>s;s++,k++)L[s]=a[k];r+=17+K,(I>>4===0?p:o)[15&I]=b(J,L)}break;case 65501:c(),j=c();break;case 65498:var M,N=(c(),a[k++]),O=[];for(r=0;N>r;r++){var P=i.componentIds[a[k++]];M=i.components[P];var Q=a[k++];M.huffmanTableDC=p[Q>>4],M.huffmanTableAC=o[15&Q],O.push(M)}var R=a[k++],S=a[k++],T=a[k++],U=d(a,k,i,O,j,R,S,T>>4,15&T);k+=U;break;case 65535:255!==a[k]&&k--;break;default:if(255===a[k-3]&&a[k-2]>=192&&a[k-2]<=254){k-=3;break}throw"unknown JPEG marker "+q.toString(16)}q=c()}for(this.width=i.samplesPerLine,this.height=i.scanLines,this.jfif=l,this.adobe=m,this.components=[],r=0;ri;i++){for(c=this.components[i],d=c.scaleX*n,e=c.scaleY*o,p=i,m=c.output,f=c.blocksPerLine+1<<3,g=0;a>g;g++)j=0|g*d,t[g]=(j&u)<<3|7&j;for(h=0;b>h;h++)for(j=0|h*e,l=f*(j&u)|(7&j)<<3,g=0;a>g;g++)s[p]=m[l+t[g]],p+=q}var v=this.decodeTransform;if(v)for(i=0;r>i;)for(j=0,k=0;q>j;j++,i++,k+=2)s[i]=(s[i]*v[k]>>8)+v[k+1];return s},_isColorConversionNeeded:function(){return this.adobe&&this.adobe.transformCode?!0:3===this.numComponents},_convertYccToRgb:function(a){for(var b,c,d,e=0,f=a.length;f>e;e+=3)b=a[e],c=a[e+1],d=a[e+2],a[e]=g(b-179.456+1.402*d),a[e+1]=g(b+135.459-.344*c-.714*d),a[e+2]=g(b-226.816+1.772*c);return a},_convertYcckToRgb:function(a){for(var b,c,d,e,f=0,h=0,i=a.length;i>h;h+=4){b=a[h],c=a[h+1],d=a[h+2],e=a[h+3];var j=-122.67195406894+c*(-660635669420364e-19*c+.000437130475926232*d-54080610064599e-18*b+.00048449797120281*e-.154362151871126)+d*(-.000957964378445773*d+.000817076911346625*b-.00477271405408747*e+1.53380253221734)+b*(.000961250184130688*b-.00266257332283933*e+.48357088451265)+e*(-.000336197177618394*e+.484791561490776),k=107.268039397724+c*(219927104525741e-19*c-.000640992018297945*d+.000659397001245577*b+.000426105652938837*e-.176491792462875)+d*(-.000778269941513683*d+.00130872261408275*b+.000770482631801132*e-.151051492775562)+b*(.00126935368114843*b-.00265090189010898*e+.25802910206845)+e*(-.000318913117588328*e-.213742400323665),l=-20.810012546947+c*(-.000570115196973677*c-263409051004589e-19*d+.0020741088115012*b-.00288260236853442*e+.814272968359295)+d*(-153496057440975e-19*d-.000132689043961446*b+.000560833691242812*e-.195152027534049)+b*(.00174418132927582*b-.00255243321439347*e+.116935020465145)+e*(-.000343531996510555*e+.24165260232407);a[f++]=g(j),a[f++]=g(k),a[f++]=g(l)}return a},_convertYcckToCmyk:function(a){for(var b,c,d,e=0,f=a.length;f>e;e+=4)b=a[e],c=a[e+1],d=a[e+2],a[e]=g(434.456-b-1.402*d),a[e+1]=g(119.541-b+.344*c+.714*d),a[e+2]=g(481.816-b-1.772*c);return a},_convertCmykToRgb:function(a){for(var b,c,d,e,f=0,g=-16581375,h=1/255/255,i=0,j=a.length;j>i;i+=4){b=a[i],c=a[i+1],d=a[i+2],e=a[i+3];var k=b*(-4.387332384609988*b+54.48615194189176*c+18.82290502165302*d+212.25662451639585*e-72734.4411664936)+c*(1.7149763477362134*c-5.6096736904047315*d-17.873870861415444*e-1401.7366389350734)+d*(-2.5217340131683033*d-21.248923337353073*e+4465.541406466231)-e*(21.86122147463605*e+48317.86113160301),l=b*(8.841041422036149*b+60.118027045597366*c+6.871425592049007*d+31.159100130055922*e-20220.756542821975)+c*(-15.310361306967817*c+17.575251261109482*d+131.35250912493976*e-48691.05921601825)+d*(4.444339102852739*d+9.8632861493405*e-6341.191035517494)-e*(20.737325471181034*e+47890.15695978492),m=b*(.8842522430003296*b+8.078677503112928*c+30.89978309703729*d-.23883238689178934*e-3616.812083916688)+c*(10.49593273432072*c+63.02378494754052*d+50.606957656360734*e-28620.90484698408)+d*(.03296041114873217*d+115.60384449646641*e-49363.43385999684)-e*(22.33816807309886*e+45932.16563550634);a[f++]=k>=0?255:g>=k?0:255+k*h|0,a[f++]=l>=0?255:g>=l?0:255+l*h|0,a[f++]=m>=0?255:g>=m?0:255+m*h|0}return a},getData:function(a,b,c){if(this.numComponents>4)throw"Unsupported color mode";var d=this._getLinearizedBlockData(a,b);if(3===this.numComponents)return this._convertYccToRgb(d);if(4===this.numComponents){if(this._isColorConversionNeeded())return c?this._convertYcckToRgb(d):this._convertYcckToCmyk(d);if(c)return this._convertCmykToRgb(d)}return d}},a}(),hd=function(){function c(){this.failOnCorruptedImage=!1}function d(a,b){a.x0=Math.ceil(b.XOsiz/a.XRsiz),a.x1=Math.ceil(b.Xsiz/a.XRsiz),a.y0=Math.ceil(b.YOsiz/a.YRsiz),a.y1=Math.ceil(b.Ysiz/a.YRsiz),a.width=a.x1-a.x0,a.height=a.y1-a.y0}function e(a,b){for(var c,d=a.SIZ,e=[],f=Math.ceil((d.Xsiz-d.XTOsiz)/d.XTsiz),g=Math.ceil((d.Ysiz-d.YTOsiz)/d.YTsiz),h=0;g>h;h++)for(var i=0;f>i;i++)c={},c.tx0=Math.max(d.XTOsiz+i*d.XTsiz,d.XOsiz),c.ty0=Math.max(d.YTOsiz+h*d.YTsiz,d.YOsiz),c.tx1=Math.min(d.XTOsiz+(i+1)*d.XTsiz,d.Xsiz),c.ty1=Math.min(d.YTOsiz+(h+1)*d.YTsiz,d.Ysiz),c.width=c.tx1-c.tx0,c.height=c.ty1-c.ty0,c.components=[],e.push(c);a.tiles=e;for(var j=d.Csiz,k=0,l=j;l>k;k++)for(var m=b[k],n=0,o=e.length;o>n;n++){var p={};c=e[n],p.tcx0=Math.ceil(c.tx0/m.XRsiz),p.tcy0=Math.ceil(c.ty0/m.YRsiz),p.tcx1=Math.ceil(c.tx1/m.XRsiz),p.tcy1=Math.ceil(c.ty1/m.YRsiz),p.width=p.tcx1-p.tcx0,p.height=p.tcy1-p.tcy0,c.components[k]=p}}function f(a,b,c){var d=b.codingStyleParameters,e={};return d.entropyCoderWithCustomPrecincts?(e.PPx=d.precinctsSizes[c].PPx,e.PPy=d.precinctsSizes[c].PPy):(e.PPx=15,e.PPy=15),e.xcb_=c>0?Math.min(d.xcb,e.PPx-1):Math.min(d.xcb,e.PPx),e.ycb_=c>0?Math.min(d.ycb,e.PPy-1):Math.min(d.ycb,e.PPy),e}function g(a,b,c){var d=1<b.trx0?Math.ceil(b.trx1/d)-Math.floor(b.trx0/d):0,j=b.try1>b.try0?Math.ceil(b.try1/e)-Math.floor(b.try0/e):0,k=i*j;b.precinctParameters={precinctWidth:d,precinctHeight:e,numprecinctswide:i,numprecinctshigh:j,numprecincts:k,precinctWidthInSubband:g,precinctHeightInSubband:h}}function h(a,b,c){var d,e,f,g,h=c.xcb_,i=c.ycb_,j=1<>h,m=b.tby0>>i,n=b.tbx1+j-1>>h,o=b.tby1+k-1>>i,p=b.resolution.precinctParameters,q=[],r=[];for(e=m;o>e;e++)for(d=l;n>d;d++){f={cbx:d,cby:e,tbx0:j*d,tby0:k*e,tbx1:j*(d+1),tby1:k*(e+1)},f.tbx0_=Math.max(b.tbx0,f.tbx0),f.tby0_=Math.max(b.tby0,f.tby0),f.tbx1_=Math.min(b.tbx1,f.tbx1),f.tby1_=Math.min(b.tby1,f.tby1);var s=Math.floor((f.tbx0_-b.tbx0)/p.precinctWidthInSubband),t=Math.floor((f.tby0_-b.tby0)/p.precinctHeightInSubband);if(g=s+t*p.numprecinctswide,f.precinctNumber=g,f.subbandType=b.type,f.Lblock=3,!(f.tbx1_<=f.tbx0_||f.tby1_<=f.tby0_)){q.push(f);var u=r[g];void 0!==u?(du.cbxMax&&(u.cbxMax=d),eu.cbyMax&&(u.cbyMax=e)):r[g]=u={cbxMin:d,cbyMin:e,cbxMax:d,cbyMax:e},f.precinct=u}}b.codeblockParameters={codeblockWidth:h,codeblockHeight:i,numcodeblockwide:n-l+1,numcodeblockhigh:o-m+1},b.codeblocks=q,b.precincts=r}function i(a,b,c){for(var d=[],e=a.subbands,f=0,g=e.length;g>f;f++)for(var h=e[f],i=h.codeblocks,j=0,k=i.length;k>j;j++){var l=i[j];l.precinctNumber===b&&d.push(l)}return{layerNumber:c,codeblocks:d}}function j(a){for(var b=a.SIZ,c=a.currentTile.index,d=a.tiles[c],e=d.codingStyleDefaultParameters.layersCount,f=b.Csiz,g=0,h=0;f>h;h++)g=Math.max(g,d.components[h].codingStyleParameters.decompositionLevelsCount);var j=0,k=0,l=0,m=0;this.nextPacket=function(){for(;e>j;j++){for(;g>=k;k++){for(;f>l;l++){var a=d.components[l];if(!(k>a.codingStyleParameters.decompositionLevelsCount)){for(var b=a.resolutions[k],c=b.precinctParameters.numprecincts;c>m;){var h=i(b,m,j);return m++,h}m=0}}l=0}k=0}throw new Error("JPX Error: Out of packets")}}function k(a){for(var b=a.SIZ,c=a.currentTile.index,d=a.tiles[c],e=d.codingStyleDefaultParameters.layersCount,f=b.Csiz,g=0,h=0;f>h;h++)g=Math.max(g,d.components[h].codingStyleParameters.decompositionLevelsCount);var j=0,k=0,l=0,m=0;this.nextPacket=function(){for(;g>=j;j++){for(;e>k;k++){for(;f>l;l++){var a=d.components[l];if(!(j>a.codingStyleParameters.decompositionLevelsCount)){for(var b=a.resolutions[j],c=b.precinctParameters.numprecincts;c>m;){var h=i(b,m,k);return m++,h}m=0}}l=0}k=0}throw new Error("JPX Error: Out of packets")}}function m(a){var b,c,d,e,f=a.SIZ,g=a.currentTile.index,h=a.tiles[g],j=h.codingStyleDefaultParameters.layersCount,k=f.Csiz,l=0;for(d=0;k>d;d++){var m=h.components[d];l=Math.max(l,m.codingStyleParameters.decompositionLevelsCount)}var n=new Int32Array(l+1);for(c=0;l>=c;++c){var o=0;for(d=0;k>d;++d){var p=h.components[d].resolutions;c=c;c++){for(;ed;d++){var a=h.components[d];if(!(c>a.codingStyleParameters.decompositionLevelsCount)){var f=a.resolutions[c],g=f.precinctParameters.numprecincts;if(!(e>=g)){for(;j>b;){var m=i(f,e,b);return b++,m}b=0}}}d=0}e=0}throw new Error("JPX Error: Out of packets")}}function p(a){var b=a.SIZ,c=a.currentTile.index,d=a.tiles[c],e=d.codingStyleDefaultParameters.layersCount,f=b.Csiz,g=s(d),h=g,j=0,k=0,l=0,m=0,n=0;this.nextPacket=function(){for(;nl;l++){for(var a=d.components[l],b=a.codingStyleParameters.decompositionLevelsCount;b>=k;k++){var c=a.resolutions[k],o=g.components[l].resolutions[k],p=r(m,n,o,h,c);if(null!==p){for(;e>j;){var q=i(c,p,j);return j++,q}j=0}}k=0}l=0}m=0}throw new Error("JPX Error: Out of packets")}}function q(a){var b=a.SIZ,c=a.currentTile.index,d=a.tiles[c],e=d.codingStyleDefaultParameters.layersCount,f=b.Csiz,g=s(d),h=0,j=0,k=0,l=0,m=0;this.nextPacket=function(){for(;f>k;++k){for(var a=d.components[k],b=g.components[k],c=a.codingStyleParameters.decompositionLevelsCount;m=j;j++){var n=a.resolutions[j],o=b.resolutions[j],p=r(l,m,o,b,n);if(null!==p){for(;e>h;){var q=i(n,p,h);return h++,q}h=0}}j=0}l=0}m=0}throw new Error("JPX Error: Out of packets")}}function r(a,b,c,d,e){var f=a*d.minWidth,g=b*d.minHeight;if(f%c.width!==0||g%c.height!==0)return null;var h=g/c.width*e.precinctParameters.numprecinctswide;return f/c.height+h}function s(a){for(var b=a.components.length,c=Number.MAX_VALUE,d=Number.MAX_VALUE,e=0,f=0,g=new Array(b),h=0;b>h;h++){for(var i=a.components[h],j=i.codingStyleParameters.decompositionLevelsCount,k=new Array(j+1),l=Number.MAX_VALUE,m=Number.MAX_VALUE,n=0,o=0,p=1,q=j;q>=0;--q){var r=i.resolutions[q],s=p*r.precinctParameters.precinctWidth,t=p*r.precinctParameters.precinctHeight;l=Math.min(l,s),m=Math.min(m,t),n=Math.max(n,r.precinctParameters.numprecinctswide),o=Math.max(o,r.precinctParameters.numprecinctshigh),k[q]={width:s,height:t},p<<=1}c=Math.min(c,l),d=Math.min(d,m),e=Math.max(e,n),f=Math.max(f,o),g[h]={resolutions:k,minWidth:l,minHeight:m,maxNumWide:n,maxNumHigh:o}}return{components:g,minWidth:c,minHeight:d,maxNumWide:e,maxNumHigh:f}}function t(a){for(var b=a.SIZ,c=a.currentTile.index,d=a.tiles[c],e=b.Csiz,i=0;e>i;i++){for(var l=d.components[i],n=l.codingStyleParameters.decompositionLevelsCount,o=[],r=[],s=0;n>=s;s++){var t=f(a,l,s),u={},v=1<m;){var d=b[c+k];k++,n?(j=j<<7|d,m+=7,n=!1):(j=j<<8|d,m+=8),255===d&&(n=!0)}return m-=a,j>>>m&(1<a?a+3:(a=e(5),31>a?a+6:(a=e(7),a+37))}for(var j,k=0,m=0,n=!1,o=a.currentTile.index,p=a.tiles[o],q=a.COD.sopMarkerUsed,r=a.COD.ephMarkerUsed,s=p.packetsIterator;d>k;){h(),q&&f(145)&&g(4);var t=s.nextPacket();if(e(1)){for(var u,v=t.layerNumber,w=[],x=0,y=t.codeblocks.length;y>x;x++){u=t.codeblocks[x];var z,C=u.precinct,D=u.cbx-C.cbxMin,E=u.cby-C.cbyMin,F=!1,G=!1;if(void 0!==u.included)F=!!e(1);else{C=u.precinct;var H,I;if(void 0!==C.inclusionTree)H=C.inclusionTree;else{var J=C.cbxMax-C.cbxMin+1,K=C.cbyMax-C.cbyMin+1;H=new B(J,K,v),I=new A(J,K),C.inclusionTree=H,C.zeroBitPlanesTree=I}if(H.reset(D,E,v))for(;;){if(!e(1)){H.incrementValue(v);break}if(z=!H.nextLevel()){u.included=!0,F=G=!0;break}}}if(F){if(G){for(I=C.zeroBitPlanesTree,I.reset(D,E);;)if(e(1)){if(z=!I.nextLevel())break}else I.incrementValue();u.zeroBitPlanes=I.value}for(var L=i();e(1);)u.Lblock++;var M=l(L),N=(1<L?M-1:M)+u.Lblock,O=e(N);w.push({codeblock:u,codingpasses:L,dataLength:O})}}for(h(),r&&f(146);w.length>0;){var P=w.shift();u=P.codeblock,void 0===u.data&&(u.data=[]),u.data.push({data:b,start:c+k,end:c+k+P.dataLength,codingpasses:P.codingpasses}),k+=P.dataLength}}}return k}function v(a,b,c,d,e,f,g,h){for(var i=d.tbx0,j=d.tby0,k=d.tbx1-d.tbx0,l=d.codeblocks,m="H"===d.type.charAt(0)?1:0,n="H"===d.type.charAt(1)?b:0,o=0,p=l.length;p>o;++o){var q=l[o],r=q.tbx1_-q.tbx0_,s=q.tby1_-q.tby0_;if(0!==r&&0!==s&&void 0!==q.data){var t,u;t=new C(r,s,q.subbandType,q.zeroBitPlanes,f),u=2;var v,w,x,y=q.data,z=0,A=0;for(v=0,w=y.length;w>v;v++)x=y[v],z+=x.end-x.start,A+=x.codingpasses;var B=new Uint8Array(z),D=0;for(v=0,w=y.length;w>v;v++){x=y[v];var E=x.data.subarray(x.start,x.end);B.set(E,D),D+=E.length}var F=new fd(B,0,z);for(t.setDecoder(F),v=0;A>v;v++){switch(u){case 0:t.runSignificancePropogationPass();break;case 1:t.runMagnitudeRefinementPass();break;case 2:t.runCleanupPass(),h&&t.checkSegmentationSymbol()}u=(u+1)%3}var G,H,I,J=q.tbx0_-i+(q.tby0_-j)*k,K=t.coefficentsSign,L=t.coefficentsMagnitude,M=t.bitsDecoded,N=g?0:.5;D=0;var O="LL"!==d.type;for(v=0;s>v;v++){var P=J/k|0,Q=2*P*(b-k)+m+n;for(G=0;r>G;G++){if(H=L[D],0!==H){H=(H+N)*e,0!==K[D]&&(H=-H),I=M[D];var R=O?Q+(J<<1):J;g&&I>=f?a[R]=H:a[R]=H*(1<=q;q++){for(var r=d.resolutions[q],s=r.trx1-r.trx0,t=r.try1-r.try0,u=new Float32Array(s*t),w=0,x=r.subbands.length;x>w;w++){var y,A;i?(y=h[p].mu,A=h[p].epsilon,p++):(y=h[0].mu,A=h[0].epsilon+(q>0?1-q:0));var B=r.subbands[w],C=z[B.type],D=m?1:Math.pow(2,l+C-A)*(1+y/2048),G=j+A-1;v(u,s,t,B,D,G,m,k)}o.push({width:s,height:t,items:u})}var H=n.calculate(o,d.tcx0,d.tcy0);return{left:d.tcx0,top:d.tcy0,width:H.width,height:H.height,items:H.items}}function x(a){for(var b=a.SIZ,c=a.components,d=b.Csiz,e=[],f=0,g=a.tiles.length;g>f;f++){var h,i=a.tiles[f],j=[];for(h=0;d>h;h++)j[h]=w(a,i,h);var k,l,m,n,o,p,q,r,s,t,u,v,x,y,z,A=j[0],B=new Uint8Array(A.items.length*d),C={left:A.left,top:A.top,width:A.width,height:A.height,items:B},D=0;if(i.codingStyleDefaultParameters.multipleComponentTransform){var E=4===d,F=j[0].items,G=j[1].items,H=j[2].items,I=E?j[3].items:null;k=c[0].precision-8,l=(128<p;p++,D+=K)r=F[p]+l,s=G[p],t=H[p],v=r-(t+s>>2),u=v+t,x=v+s,B[D++]=0>=u?0:u>=m?255:u>>k,B[D++]=0>=v?0:v>=m?255:v>>k,B[D++]=0>=x?0:x>=m?255:x>>k;else for(p=0;q>p;p++,D+=K)r=F[p]+l,s=G[p],t=H[p],u=r+1.402*t,v=r-.34413*s-.71414*t,x=r+1.772*s,B[D++]=0>=u?0:u>=m?255:u>>k,B[D++]=0>=v?0:v>=m?255:v>>k,B[D++]=0>=x?0:x>=m?255:x>>k;if(E)for(p=0,D=3;q>p;p++,D+=4)y=I[p],B[D]=n>=y?0:y>=o?255:y+l>>k}else for(h=0;d>h;h++){var L=j[h].items;for(k=c[h].precision-8,l=(128<p;p++)z=L[p],B[D]=n>=z?0:z>=m?255:z+l>>k,D+=d}e.push(C)}return e}function y(a,b){for(var c=a.SIZ,d=c.Csiz,e=a.tiles[b],f=0;d>f;f++){var g=e.components[f],h=void 0!==a.currentTile.QCC[f]?a.currentTile.QCC[f]:a.currentTile.QCD;g.quantizationParameters=h;var i=void 0!==a.currentTile.COC[f]?a.currentTile.COC[f]:a.currentTile.COD;g.codingStyleParameters=i}e.codingStyleDefaultParameters=a.currentTile.COD}var z={LL:0,LH:1,HL:1,HH:2};c.prototype={parse:function(c){var d=n(c,0);if(65359===d)return void this.parseCodestream(c,0,c.length);for(var e=0,f=c.length;f>e;){var g=8,h=o(c,e),i=o(c,e+4);if(e+=g,1===h&&(h=4294967296*o(c,e)+o(c,e+4),e+=8,g+=8),0===h&&(h=f-e+g),g>h)throw new Error("JPX Error: Invalid box field size");var j=h-g,k=!0;switch(i){case 1785737832:k=!1;break;case 1668246642:var l=c[e];c[e+1],c[e+2];if(1===l){var m=o(c,e+3);switch(m){case 16:case 17:case 18:break;default:b("Unknown colorspace "+m)}}else 2===l&&a("ICC profile not supported");break;case 1785737827:this.parseCodestream(c,e,e+j);break;case 1783636e3:218793738!==o(c,e)&&b("Invalid JP2 signature");break;case 1783634458:case 1718909296:case 1920099697:case 1919251232:case 1768449138:break;default:var p=String.fromCharCode(i>>24&255,i>>16&255,i>>8&255,255&i);b("Unsupported header type "+i+" ("+p+")")}k&&(e+=j)}},parseImageProperties:function(a){for(var b=a.getByte();b>=0;){var c=b;b=a.getByte();var d=c<<8|b;if(65361===d){a.skip(4);var e=a.getInt32()>>>0,f=a.getInt32()>>>0,g=a.getInt32()>>>0,h=a.getInt32()>>>0;a.skip(16);var i=a.getUint16();return this.width=e-g,this.height=f-h,this.componentsCount=i,void(this.bitsPerComponent=8)}}throw new Error("JPX Error: No size marker found in JPX stream")},parseCodestream:function(a,c,f){var g={};try{for(var h=!1,i=c;f>i+1;){var j=n(a,i);i+=2;var k,l,m,p,q,r,s=0;switch(j){case 65359:g.mainHeader=!0;break;case 65497:break;case 65361:s=n(a,i);var v={};v.Xsiz=o(a,i+4),v.Ysiz=o(a,i+8),v.XOsiz=o(a,i+12),v.YOsiz=o(a,i+16),v.XTsiz=o(a,i+20),v.YTsiz=o(a,i+24),v.XTOsiz=o(a,i+28),v.YTOsiz=o(a,i+32);var w=n(a,i+36);v.Csiz=w;var z=[];k=i+38;for(var A=0;w>A;A++){var B={precision:(127&a[k])+1,isSigned:!!(128&a[k]),XRsiz:a[k+1],YRsiz:a[k+1]};d(B,v),z.push(B)}g.SIZ=v,g.components=z,e(g,z),g.QCC=[],g.COC=[];break;case 65372:s=n(a,i);var C={};switch(k=i+2,l=a[k++],31&l){case 0:p=8,q=!0;break;case 1:p=16,q=!1;break;case 2:p=16,q=!0;break;default:throw new Error("JPX Error: Invalid SQcd value "+l)}for(C.noQuantization=8===p,C.scalarExpounded=q,C.guardBits=l>>5,m=[];s+i>k;){var D={};8===p?(D.epsilon=a[k++]>>3,D.mu=0):(D.epsilon=a[k]>>3,D.mu=(7&a[k])<<8|a[k+1],k+=2),m.push(D)}C.SPqcds=m,g.mainHeader?g.QCD=C:(g.currentTile.QCD=C,g.currentTile.QCC=[]);break;case 65373:s=n(a,i);var E={};k=i+2;var F;switch(g.SIZ.Csiz<257?F=a[k++]:(F=n(a,k),k+=2),l=a[k++],31&l){case 0:p=8,q=!0;break;case 1:p=16,q=!1;break;case 2:p=16,q=!0;break;default:throw new Error("JPX Error: Invalid SQcd value "+l)}for(E.noQuantization=8===p,E.scalarExpounded=q,E.guardBits=l>>5,m=[];s+i>k;)D={},8===p?(D.epsilon=a[k++]>>3,D.mu=0):(D.epsilon=a[k]>>3,D.mu=(7&a[k])<<8|a[k+1],k+=2),m.push(D);E.SPqcds=m,g.mainHeader?g.QCC[F]=E:g.currentTile.QCC[F]=E;break;case 65362:s=n(a,i);var G={};k=i+2;var H=a[k++];G.entropyCoderWithCustomPrecincts=!!(1&H),G.sopMarkerUsed=!!(2&H),G.ephMarkerUsed=!!(4&H),G.progressionOrder=a[k++],G.layersCount=n(a,k),k+=2,G.multipleComponentTransform=a[k++],G.decompositionLevelsCount=a[k++],G.xcb=(15&a[k++])+2,G.ycb=(15&a[k++])+2;var I=a[k++];if(G.selectiveArithmeticCodingBypass=!!(1&I),G.resetContextProbabilities=!!(2&I),G.terminationOnEachCodingPass=!!(4&I),G.verticalyStripe=!!(8&I),G.predictableTermination=!!(16&I),G.segmentationSymbolUsed=!!(32&I),G.reversibleTransformation=a[k++],G.entropyCoderWithCustomPrecincts){for(var J=[];s+i>k;){var K=a[k++];J.push({PPx:15&K,PPy:K>>4})}G.precinctsSizes=J}var L=[];if(G.selectiveArithmeticCodingBypass&&L.push("selectiveArithmeticCodingBypass"),G.resetContextProbabilities&&L.push("resetContextProbabilities"),G.terminationOnEachCodingPass&&L.push("terminationOnEachCodingPass"),G.verticalyStripe&&L.push("verticalyStripe"),G.predictableTermination&&L.push("predictableTermination"),L.length>0)throw h=!0,new Error("JPX Error: Unsupported COD options ("+L.join(", ")+")");g.mainHeader?g.COD=G:(g.currentTile.COD=G,g.currentTile.COC=[]);break;case 65424:s=n(a,i),r={},r.index=n(a,i+2),r.length=o(a,i+4),r.dataEnd=r.length+i-2,r.partIndex=a[i+8],r.partsCount=a[i+9],g.mainHeader=!1,0===r.partIndex&&(r.COD=g.COD,r.COC=g.COC.slice(0),r.QCD=g.QCD,r.QCC=g.QCC.slice(0)),g.currentTile=r;break;case 65427:r=g.currentTile,0===r.partIndex&&(y(g,r.index),t(g)),s=r.dataEnd-i,u(g,a,i,s);break;case 65365:case 65367:case 65368:case 65380:s=n(a,i);break;case 65363:throw new Error("JPX Error: Codestream code 0xFF53 (COC) is not implemented");default:throw new Error("JPX Error: Unknown codestream code: "+j.toString(16))}i+=s}}catch(M){if(h||this.failOnCorruptedImage)throw M;b("Trying to recover from "+M.message)}this.tiles=x(g),this.width=g.SIZ.Xsiz-g.SIZ.XOsiz,this.height=g.SIZ.Ysiz-g.SIZ.YOsiz,this.componentsCount=g.SIZ.Csiz}};var A=function(){function a(a,b){var c=l(Math.max(a,b))+1;this.levels=[];for(var d=0;c>d;d++){var e={width:a,height:b,items:[]};this.levels.push(e),a=Math.ceil(a/2),b=Math.ceil(b/2)}}return a.prototype={reset:function(a,b){for(var c,d=0,e=0;d>=1,b>>=1,d++}d--,c=this.levels[d],c.items[c.index]=e,this.currentLevel=d,delete this.value},incrementValue:function(){var a=this.levels[this.currentLevel];a.items[a.index]++},nextLevel:function(){var a=this.currentLevel,b=this.levels[a],c=b.items[b.index];return a--,0>a?(this.value=c,!1):(this.currentLevel=a,b=this.levels[a],b.items[b.index]=c,!0)}},a}(),B=function(){function a(a,b,c){var d=l(Math.max(a,b))+1;this.levels=[];for(var e=0;d>e;e++){for(var f=new Uint8Array(a*b),g=0,h=f.length;h>g;g++)f[g]=c;var i={width:a,height:b,items:f};this.levels.push(i),a=Math.ceil(a/2),b=Math.ceil(b/2)}}return a.prototype={reset:function(a,b,c){for(var d=0;dc)return this.currentLevel=d,this.propagateValues(),!1;a>>=1,b>>=1,d++}return this.currentLevel=d-1,!0},incrementValue:function(a){var b=this.levels[this.currentLevel];b.items[b.index]=a+1,this.propagateValues()},propagateValues:function(){for(var a=this.currentLevel,b=this.levels[a],c=b.items[b.index];--a>=0;)b=this.levels[a],b.items[b.index]=c},nextLevel:function(){var a=this.currentLevel,b=this.levels[a],c=b.items[b.index];return b.items[b.index]=255,a--,0>a?!1:(this.currentLevel=a,b=this.levels[a],b.items[b.index]=c,!0)}},a}(),C=function(){function a(a,b,c,g,h){this.width=a,this.height=b,this.contextLabelTable="HH"===c?f:"HL"===c?e:d;var i=a*b;this.neighborsSignificance=new Uint8Array(i),this.coefficentsSign=new Uint8Array(i),this.coefficentsMagnitude=h>14?new Uint32Array(i):h>6?new Uint16Array(i):new Uint8Array(i),this.processingFlags=new Uint8Array(i);var j=new Uint8Array(i);if(0!==g)for(var k=0;i>k;k++)j[k]=g;this.bitsDecoded=j,this.reset()}var b=17,c=18,d=new Uint8Array([0,5,8,0,3,7,8,0,4,7,8,0,0,0,0,0,1,6,8,0,3,7,8,0,4,7,8,0,0,0,0,0,2,6,8,0,3,7,8,0,4,7,8,0,0,0,0,0,2,6,8,0,3,7,8,0,4,7,8,0,0,0,0,0,2,6,8,0,3,7,8,0,4,7,8]),e=new Uint8Array([0,3,4,0,5,7,7,0,8,8,8,0,0,0,0,0,1,3,4,0,6,7,7,0,8,8,8,0,0,0,0,0,2,3,4,0,6,7,7,0,8,8,8,0,0,0,0,0,2,3,4,0,6,7,7,0,8,8,8,0,0,0,0,0,2,3,4,0,6,7,7,0,8,8,8]),f=new Uint8Array([0,1,2,0,1,2,2,0,2,2,2,0,0,0,0,0,3,4,5,0,4,5,5,0,5,5,5,0,0,0,0,0,6,7,7,0,7,7,7,0,7,7,7,0,0,0,0,0,8,8,8,0,8,8,8,0,8,8,8,0,0,0,0,0,8,8,8,0,8,8,8,0,8,8,8]);return a.prototype={setDecoder:function(a){this.decoder=a},reset:function(){this.contexts=new Int8Array(19),this.contexts[0]=8,this.contexts[b]=92,this.contexts[c]=6},setNeighborsSignificance:function(a,b,c){var d,e=this.neighborsSignificance,f=this.width,g=this.height,h=b>0,i=f>b+1;a>0&&(d=c-f,h&&(e[d-1]+=16),i&&(e[d+1]+=16),e[d]+=4),g>a+1&&(d=c+f,h&&(e[d-1]+=16),i&&(e[d+1]+=16),e[d]+=4),h&&(e[c-1]+=1),i&&(e[c+1]+=1),e[c]|=128},runSignificancePropogationPass:function(){for(var a=this.decoder,b=this.width,c=this.height,d=this.coefficentsMagnitude,e=this.coefficentsSign,f=this.neighborsSignificance,g=this.processingFlags,h=this.contexts,i=this.contextLabelTable,j=this.bitsDecoded,k=-2,l=1,m=2,n=0;c>n;n+=4)for(var o=0;b>o;o++)for(var p=n*b+o,q=0;4>q;q++,p+=b){var r=n+q;if(r>=c)break;if(g[p]&=k,!d[p]&&f[p]){var s=i[f[p]],t=a.readBit(h,s);if(t){var u=this.decodeSignBit(r,o,p);e[p]=u,d[p]=1,this.setNeighborsSignificance(r,o,p),g[p]|=m}j[p]++,g[p]|=l}}},decodeSignBit:function(a,b,c){var d,e,f,g,h,i,j=this.width,k=this.height,l=this.coefficentsMagnitude,m=this.coefficentsSign;g=b>0&&0!==l[c-1],j>b+1&&0!==l[c+1]?(f=m[c+1],g?(e=m[c-1],d=1-f-e):d=1-f-f):g?(e=m[c-1],d=1-e-e):d=0;var n=3*d;return g=a>0&&0!==l[c-j],k>a+1&&0!==l[c+j]?(f=m[c+j],g?(e=m[c-j],d=1-f-e+n):d=1-f-f+n):g?(e=m[c-j],d=1-e-e+n):d=n,d>=0?(h=9+d,i=this.decoder.readBit(this.contexts,h)):(h=9-d,i=1^this.decoder.readBit(this.contexts,h)),i},runMagnitudeRefinementPass:function(){for(var a,b=this.decoder,c=this.width,d=this.height,e=this.coefficentsMagnitude,f=this.neighborsSignificance,g=this.contexts,h=this.bitsDecoded,i=this.processingFlags,j=1,k=2,l=c*d,m=4*c,n=0;l>n;n=a){a=Math.min(l,n+m);for(var o=0;c>o;o++)for(var p=n+o;a>p;p+=c)if(e[p]&&0===(i[p]&j)){var q=16;if(0!==(i[p]&k)){i[p]^=k;var r=127&f[p];q=0===r?15:14}var s=b.readBit(g,q);e[p]=e[p]<<1|s,h[p]++,i[p]|=j}}},runCleanupPass:function(){for(var a,d=this.decoder,e=this.width,f=this.height,g=this.neighborsSignificance,h=this.coefficentsMagnitude,i=this.coefficentsSign,j=this.contexts,k=this.contextLabelTable,l=this.bitsDecoded,m=this.processingFlags,n=1,o=2,p=e,q=2*e,r=3*e,s=0;f>s;s=a){a=Math.min(s+4,f);for(var t=s*e,u=f>s+3,v=0;e>v;v++){var w,x=t+v,y=u&&0===m[x]&&0===m[x+p]&&0===m[x+q]&&0===m[x+r]&&0===g[x]&&0===g[x+p]&&0===g[x+q]&&0===g[x+r],z=0,A=x,B=s;if(y){var C=d.readBit(j,c);if(!C){l[x]++,l[x+p]++,l[x+q]++,l[x+r]++;continue}z=d.readBit(j,b)<<1|d.readBit(j,b),0!==z&&(B=s+z,A+=z*e),w=this.decodeSignBit(B,v,A),i[A]=w,h[A]=1,this.setNeighborsSignificance(B,v,A),m[A]|=o,A=x;for(var D=s;B>=D;D++,A+=e)l[A]++;z++}for(B=s+z;a>B;B++,A+=e)if(!h[A]&&0===(m[A]&n)){var E=k[g[A]],F=d.readBit(j,E);1===F&&(w=this.decodeSignBit(B,v,A),i[A]=w,h[A]=1,this.setNeighborsSignificance(B,v,A),m[A]|=o),l[A]++}}}},checkSegmentationSymbol:function(){var a=this.decoder,c=this.contexts,d=a.readBit(c,b)<<3|a.readBit(c,b)<<2|a.readBit(c,b)<<1|a.readBit(c,b);if(10!==d)throw new Error("JPX Error: Invalid segmentation symbol")}},a}(),D=function(){function a(){}return a.prototype.calculate=function(a,b,c){for(var d=a[0],e=1,f=a.length;f>e;e++)d=this.iterate(d,a[e],b,c);return d},a.prototype.extend=function(a,b,c){var d=b-1,e=b+1,f=b+c-2,g=b+c;a[d--]=a[e++],a[g++]=a[f--],a[d--]=a[e++],a[g++]=a[f--],a[d--]=a[e++],a[g++]=a[f--],a[d]=a[e],a[g]=a[f]},a.prototype.iterate=function(a,b,c,d){var e,f,g,h,i,j,k=a.width,l=a.height,m=a.items,n=b.width,o=b.height,p=b.items;for(g=0,e=0;l>e;e++)for(h=2*e*n,f=0;k>f;f++,g++,h+=2)p[h]=m[g];m=a.items=null;var q=4,r=new Float32Array(n+2*q);if(1===n){if(0!==(1&c))for(j=0,g=0;o>j;j++,g+=n)p[g]*=.5}else for(j=0,g=0;o>j;j++,g+=n)r.set(p.subarray(g,g+n),q),this.extend(r,q,n),this.filter(r,q,n),p.set(r.subarray(q,q+n),g);var s=16,t=[];for(e=0;s>e;e++)t.push(new Float32Array(o+2*q));var u,v=0;if(a=q+o,1===o){if(0!==(1&d))for(i=0;n>i;i++)p[i]*=.5}else for(i=0;n>i;i++){if(0===v){for(s=Math.min(n-i,s),g=i,h=q;a>h;g+=n,h++)for(u=0;s>u;u++)t[u][h]=p[g+u];v=s}v--;var w=t[v];if(this.extend(w,q,o),this.filter(w,q,o),0===v)for(g=i-s+1,h=q;a>h;g+=n,h++)for(u=0;s>u;u++)p[g+u]=t[u][h]}return{width:n,height:o,items:p}},a}(),E=function(){function a(){D.call(this)}return a.prototype=Object.create(D.prototype),a.prototype.filter=function(a,b,c){var d=c>>1;b=0|b;var e,f,g,h,i=-1.586134342059924,j=-.052980118572961,k=.882911075530934,l=.443506852043971,m=1.230174104914001,n=1/m;for(e=b-3,f=d+4;f--;e+=2)a[e]*=n;for(e=b-2,g=l*a[e-1],f=d+3;f--&&(h=l*a[e+1],a[e]=m*a[e]-g-h,f--);e+=2)e+=2,g=l*a[e+1],a[e]=m*a[e]-g-h;for(e=b-1,g=k*a[e-1],f=d+2;f--&&(h=k*a[e+1],a[e]-=g+h,f--);e+=2)e+=2,g=k*a[e+1],a[e]-=g+h;for(e=b,g=j*a[e-1],f=d+1;f--&&(h=j*a[e+1],a[e]-=g+h,f--);e+=2)e+=2,g=j*a[e+1],a[e]-=g+h;if(0!==d)for(e=b+1,g=i*a[e-1],f=d;f--&&(h=i*a[e+1],a[e]-=g+h,f--);e+=2)e+=2,g=i*a[e+1],a[e]-=g+h},a}(),F=function(){function a(){D.call(this)}return a.prototype=Object.create(D.prototype),a.prototype.filter=function(a,b,c){var d=c>>1;b=0|b;var e,f;for(e=b,f=d+1;f--;e+=2)a[e]-=a[e-1]+a[e+1]+2>>2;for(e=b+1,f=d;f--;e+=2)a[e]+=a[e-1]+a[e+1]>>1},a}();return c}(),id=function(){function a(){}function b(a,b,c){this.data=a,this.start=b,this.end=c}function d(a,b,c){function d(a){for(var b=0,d=0;a>d;d++){var g=c.readBit(e,f);f=256>f?f<<1|g:511&(f<<1|g)|256,b=b<<1|g}return b>>>0}var e=a.getContexts(b),f=1,g=d(1),h=d(1)?d(1)?d(1)?d(1)?d(1)?d(32)+4436:d(12)+340:d(8)+84:d(6)+20:d(4)+4:d(2);return 0===g?h:h>0?-h:null}function e(a,b,c){for(var d=a.getContexts("IAID"),e=1,f=0;c>f;f++){var g=b.readBit(d,e);e=e<<1|g}return 31>c?e&(1<e;e++)for(h=m[e]=new Uint8Array(a),i=1>e?h:m[e-1],j=2>e?h:m[e-2],d=j[0]<<13|j[1]<<12|j[2]<<11|i[0]<<7|i[1]<<6|i[2]<<5|i[3]<<4,f=0;a>f;f++)h[f]=g=k.readBit(l,d),d=(d&n)<<1|(a>f+3?j[f+3]<<11:0)|(a>f+4?i[f+4]<<4:0)|g;return m}function h(a,b,d,e,g,h,i,j){if(a&&c("JBIG2 error: MMR encoding is not supported"),0===e&&!h&&!g&&4===i.length&&3===i[0].x&&-1===i[0].y&&-3===i[1].x&&-1===i[1].y&&2===i[2].x&&-2===i[2].y&&-2===i[3].x&&-2===i[3].y)return f(b,d,j);var k=!!h,l=y[e].concat(i);l.sort(function(a,b){return a.y-b.y||a.x-b.x});var m,n,o=l.length,p=new Int8Array(o),q=new Int8Array(o),r=[],s=0,t=0,u=0,v=0;for(n=0;o>n;n++)p[n]=l[n].x,q[n]=l[n].y,t=Math.min(t,l[n].x),u=Math.max(u,l[n].x),v=Math.min(v,l[n].y),o-1>n&&l[n].y===l[n+1].y&&l[n].x===l[n+1].x-1?s|=1<m;m++)n=r[m],x[m]=l[n].x,z[m]=l[n].y,B[m]=1<R;R++){if(g){var S=N.readBit(O,K);if(P^=S){M.push(L);continue}}for(L=new Uint8Array(L),M.push(L),C=0;b>C;C++)if(k&&h[R][C])L[C]=0;else{if(C>=H&&J>C&&R>=I)for(Q=Q<<1&s,n=0;w>n;n++)D=R+z[n],E=C+x[n],F=M[D][E],F&&(F=B[n],Q|=F);else for(Q=0,G=o-1,n=0;o>n;n++,G--)E=C+p[n],E>=0&&b>E&&(D=R+q[n],D>=0&&(F=M[D][E],F&&(Q|=F<l;l++)n[l]=k[l].x,o[l]=k[l].y;var p=z[d].reference;0===d&&(p=p.concat([i[1]]));var q=p.length,r=new Int32Array(q),s=new Int32Array(q);for(l=0;q>l;l++)r[l]=p[l].x,s[l]=p[l].y;for(var t=e[0].length,u=e.length,v=B[d],w=[],x=j.decoder,y=j.contextCache.getContexts("GR"),A=0,C=0;b>C;C++){if(h){var D=x.readBit(y,v);A^=D,A&&c("JBIG2 error: prediction is not supported")}var E=new Uint8Array(a);w.push(E);for(var F=0;a>F;F++){var G,H,I=0;for(l=0;m>l;l++)G=C+o[l],H=F+n[l],0>G||0>H||H>=a?I<<=1:I=I<<1|w[G][H];for(l=0;q>l;l++)G=C+s[l]+g,H=F+r[l]+f,0>G||G>=u||0>H||H>=t?I<<=1:I=I<<1|e[G][H];var J=x.readBit(y,I);E[F]=J}}return w}function j(a,b,f,g,j,m,n,o,p,q,r){a&&c("JBIG2 error: huffman is not supported");for(var s=[],t=0,u=l(f.length+g),v=r.decoder,w=r.contextCache;s.length1)B=k(a,b,y,t,0,C,1,f.concat(s),u,0,0,1,0,m,p,q,r);else{var D=e(w,v,u),E=d(w,"IARDX",v),F=d(w,"IARDY",v),G=DM;M++)I[M]&&H.push(f[M]);for(var O=0;g>O;M++,O++)I[M]&&H.push(s[O]);return H}function k(a,b,f,g,h,j,k,l,m,n,o,p,q,r,s,t,u){a&&c("JBIG2 error: huffman is not supported");var v,w,x=[];for(v=0;g>v;v++){if(w=new Uint8Array(f),h)for(var y=0;f>y;y++)w[y]=h;x.push(w)}var z=u.decoder,A=u.contextCache,B=-d(A,"IADT",z),C=0;for(v=0;j>v;){var D=d(A,"IADT",z);B+=D;var E=d(A,"IAFS",z);C+=E;for(var F=C;;){var G=1===k?0:d(A,"IAIT",z),H=k*B+G,I=e(A,z,m),J=b&&d(A,"IARI",z),K=l[I],L=K[0].length,M=K.length;if(J){var N=d(A,"IARDW",z),O=d(A,"IARDH",z),P=d(A,"IARDX",z),Q=d(A,"IARDY",z);L+=N,M+=O,K=i(L,M,s,K,(N>>1)+P,(O>>1)+Q,!1,t,u)}var R,S,T,U=H-(1&p?0:M),V=F-(2&p?L:0);if(n){for(R=0;M>R;R++)if(w=x[V+R]){T=K[R];var W=Math.min(f-U,L);switch(q){case 0:for(S=0;W>S;S++)w[U+S]|=T[S];break;case 2:for(S=0;W>S;S++)w[U+S]^=T[S];break;default:c("JBIG2 error: operator "+q+" is not supported")}}F+=M-1}else{for(S=0;M>S;S++)if(w=x[U+S])switch(T=K[S],q){case 0:for(R=0;L>R;R++)w[V+R]|=T[R];break;case 2:for(R=0;L>R;R++)w[V+R]^=T[R];break;default:c("JBIG2 error: operator "+q+" is not supported")}F+=L-1}v++;var X=d(A,"IADS",z);if(null===X)break;F+=X+o}}return x}function p(a,b){var d={};d.number=o(a,b);var e=a[b+4],f=63&e;x[f]||c("JBIG2 error: invalid segment type: "+f),d.type=f,d.typeName=x[f],d.deferredNonRetain=!!(128&e);var g=!!(64&e),h=a[b+5],i=h>>5&7,j=[31&h],k=b+6;if(7===h){i=536870911&o(a,k-1),k+=3;var l=i+7>>3;for(j[0]=a[k++];--l>0;)j.push(a[k++])}else 5!==h&&6!==h||c("JBIG2 error: invalid referred-to flags");d.retainBits=j;var m,p,q=d.number<=256?1:d.number<=65536?2:4,s=[];for(m=0;i>m;m++){var t=1===q?a[k]:2===q?n(a,k):o(a,k);s.push(t),k+=q}if(d.referredTo=s,g?(d.pageAssociation=o(a,k),k+=4):d.pageAssociation=a[k++],d.length=o(a,k),k+=4,4294967295===d.length)if(38===f){var u=r(a,k),v=a[k+C],w=!!(1&v),y=6,z=new Uint8Array(y);for(w||(z[0]=255,z[1]=172),z[2]=u.height>>>24&255,z[3]=u.height>>16&255,z[4]=u.height>>8&255,z[5]=255&u.height,m=k,p=a.length;p>m;m++){for(var A=0;y>A&&z[A]===a[m+A];)A++;if(A===y){d.length=m+y;break}}4294967295===d.length&&c("JBIG2 error: segment end was not found")}else c("JBIG2 error: invalid unknown segment length");return d.headerEnd=k,d}function q(a,b,c,d){for(var e=[],f=c;d>f;){var g=p(b,f);f=g.headerEnd;var h={header:g,data:b};if(a.randomAccess||(h.start=f,f+=g.length,h.end=f),e.push(h),51===g.type)break}if(a.randomAccess)for(var i=0,j=e.length;j>i;i++)e[i].start=f,f+=e[i].header.length,e[i].end=f;return e}function r(a,b){return{width:o(a,b),height:o(a,b+4),x:o(a,b+8),y:o(a,b+12),combinationOperator:7&a[b+16]}}function s(a,b){var d,e,f,g,h=a.header,i=a.data,j=a.start,k=a.end;switch(h.type){case 0:var l={},p=n(i,j);if(l.huffman=!!(1&p),l.refinement=!!(2&p),l.huffmanDHSelector=p>>2&3,l.huffmanDWSelector=p>>4&3,l.bitmapSizeSelector=p>>6&1,l.aggregationInstancesSelector=p>>7&1,l.bitmapCodingContextUsed=!!(256&p),l.bitmapCodingContextRetained=!!(512&p),l.template=p>>10&3,l.refinementTemplate=p>>12&1,j+=2,!l.huffman){for(g=0===l.template?4:1,e=[],f=0;g>f;f++)e.push({x:m(i,j),y:m(i,j+1)}),j+=2;l.at=e}if(l.refinement&&!l.refinementTemplate){for(e=[],f=0;2>f;f++)e.push({x:m(i,j),y:m(i,j+1)}),j+=2;l.refinementAt=e}l.numberOfExportedSymbols=o(i,j),j+=4,l.numberOfNewSymbols=o(i,j),j+=4,d=[l,h.number,h.referredTo,i,j,k];break;case 6:case 7:var q={};q.info=r(i,j),j+=C;var s=n(i,j);if(j+=2,q.huffman=!!(1&s),q.refinement=!!(2&s),q.stripSize=1<<(s>>2&3),q.referenceCorner=s>>4&3,q.transposed=!!(64&s),q.combinationOperator=s>>7&3,q.defaultPixelValue=s>>9&1,q.dsOffset=s<<17>>27,q.refinementTemplate=s>>15&1,q.huffman){var t=n(i,j);j+=2,q.huffmanFS=3&t,q.huffmanDS=t>>2&3,q.huffmanDT=t>>4&3,q.huffmanRefinementDW=t>>6&3,q.huffmanRefinementDH=t>>8&3,q.huffmanRefinementDX=t>>10&3,q.huffmanRefinementDY=t>>12&3,q.huffmanRefinementSizeSelector=!!(14&t)}if(q.refinement&&!q.refinementTemplate){for(e=[],f=0;2>f;f++)e.push({x:m(i,j),y:m(i,j+1)}),j+=2;q.refinementAt=e}q.numberOfSymbolInstances=o(i,j),j+=4,q.huffman&&c("JBIG2 error: huffman is not supported"),d=[q,h.referredTo,i,j,k];break;case 38:case 39:var u={};u.info=r(i,j),j+=C;var v=i[j++];if(u.mmr=!!(1&v),u.template=v>>1&3,u.prediction=!!(8&v),!u.mmr){for(g=0===u.template?4:1,e=[],f=0;g>f;f++)e.push({x:m(i,j),y:m(i,j+1)}),j+=2;u.at=e}d=[u,i,j,k];break;case 48:var w={width:o(i,j),height:o(i,j+4),resolutionX:o(i,j+8),resolutionY:o(i,j+12)};4294967295===w.height&&delete w.height;var x=i[j+16];n(i,j+17);w.lossless=!!(1&x),w.refinement=!!(2&x),w.defaultPixelValue=x>>2&1,w.combinationOperator=x>>3&3,w.requiresBuffer=!!(32&x),w.combinationOperatorOverride=!!(64&x),d=[w];break;case 49:break;case 50:break;case 51:break;case 62:break;default:c("JBIG2 error: segment type "+h.typeName+"("+h.type+") is not implemented")}var y="on"+h.typeName;y in b&&b[y].apply(b,d)}function t(a,b){for(var c=0,d=a.length;d>c;c++)s(a[c],b)}function u(a){for(var b=new v,c=0,d=a.length;d>c;c++){var e=a[c],f=q({},e.data,e.start,e.end);t(f,b)}return b.buffer}function v(){}function w(){}a.prototype={getContexts:function(a){return a in this?this[a]:this[a]=new Int8Array(65536)}},b.prototype={get decoder(){var a=new fd(this.data,this.start,this.end);return g(this,"decoder",a)},get contextCache(){var b=new a;return g(this,"contextCache",b)}};var x=["SymbolDictionary",null,null,null,"IntermediateTextRegion",null,"ImmediateTextRegion","ImmediateLosslessTextRegion",null,null,null,null,null,null,null,null,"patternDictionary",null,null,null,"IntermediateHalftoneRegion",null,"ImmediateHalftoneRegion","ImmediateLosslessHalftoneRegion",null,null,null,null,null,null,null,null,null,null,null,null,"IntermediateGenericRegion",null,"ImmediateGenericRegion","ImmediateLosslessGenericRegion","IntermediateGenericRefinementRegion",null,"ImmediateGenericRefinementRegion","ImmediateLosslessGenericRefinementRegion",null,null,null,null,"PageInformation","EndOfPage","EndOfStripe","EndOfFile","Profiles","Tables",null,null,null,null,null,null,null,null,"Extension"],y=[[{x:-1,y:-2},{x:0,y:-2},{x:1,y:-2},{x:-2,y:-1},{x:-1,y:-1},{x:0,y:-1},{x:1,y:-1},{x:2,y:-1},{x:-4,y:0},{x:-3,y:0},{x:-2,y:0},{x:-1,y:0}],[{x:-1,y:-2},{x:0,y:-2},{x:1,y:-2},{x:2,y:-2},{x:-2,y:-1},{x:-1,y:-1},{x:0,y:-1},{x:1,y:-1},{x:2,y:-1},{x:-3,y:0},{x:-2,y:0},{x:-1,y:0}],[{x:-1,y:-2},{x:0,y:-2},{x:1,y:-2},{x:-2,y:-1},{x:-1,y:-1},{x:0,y:-1},{x:1,y:-1},{x:-2,y:0},{x:-1,y:0}],[{x:-3,y:-1},{x:-2,y:-1},{x:-1,y:-1},{x:0,y:-1},{x:1,y:-1},{x:-4,y:0},{x:-3,y:0},{x:-2,y:0},{x:-1,y:0}]],z=[{coding:[{x:0,y:-1},{x:1,y:-1},{x:-1,y:0}],reference:[{x:0,y:-1},{x:1,y:-1},{x:-1,y:0},{x:0,y:0},{x:1,y:0},{x:-1,y:1},{x:0,y:1},{x:1,y:1}]},{coding:[{x:-1,y:-1},{x:0,y:-1},{x:1,y:-1},{x:-1,y:0}],reference:[{x:0,y:-1},{x:-1,y:0},{x:0,y:0},{x:1,y:0},{x:0,y:1},{x:1,y:1}]}],A=[39717,1941,229,405],B=[32,8],C=17;return v.prototype={onPageInformation:function(a){this.currentPageInfo=a;var b=a.width+7>>3,c=new Uint8Array(b*a.height);if(a.defaultPixelValue)for(var d=0,e=c.length;e>d;d++)c[d]=255;this.buffer=c},drawBitmap:function(a,b){var d,e,f,g,h=this.currentPageInfo,i=a.width,j=a.height,k=h.width+7>>3,l=h.combinationOperatorOverride?a.combinationOperator:h.combinationOperator,m=this.buffer,n=128>>(7&a.x),o=a.y*k+(a.x>>3);switch(l){case 0:for(d=0;j>d;d++){for(f=n,g=o,e=0;i>e;e++)b[d][e]&&(m[g]|=f),f>>=1,f||(f=128,g++);o+=k}break;case 2:for(d=0;j>d;d++){for(f=n,g=o,e=0;i>e;e++)b[d][e]&&(m[g]^=f),f>>=1,f||(f=128,g++);o+=k}break;default:c("JBIG2 error: operator "+l+" is not supported")}},onImmediateGenericRegion:function(a,c,d,e){var f=a.info,g=new b(c,d,e),i=h(a.mmr,f.width,f.height,a.template,a.prediction,null,a.at,g);this.drawBitmap(f,i)},onImmediateLosslessGenericRegion:function(){this.onImmediateGenericRegion.apply(this,arguments)},onSymbolDictionary:function(a,d,e,f,g,h){var i;a.huffman&&c("JBIG2 error: huffman is not supported");var k=this.symbols;k||(this.symbols=k={});for(var l=[],m=0,n=e.length;n>m;m++)l=l.concat(k[e[m]]);var o=new b(f,g,h);k[d]=j(a.huffman,a.refinement,l,a.numberOfNewSymbols,a.numberOfExportedSymbols,i,a.template,a.at,a.refinementTemplate,a.refinementAt,o)},onImmediateTextRegion:function(a,c,d,e,f){for(var g,h=a.info,i=this.symbols,j=[],m=0,n=c.length;n>m;m++)j=j.concat(i[c[m]]);var o=l(j.length),p=new b(d,e,f),q=k(a.huffman,a.refinement,h.width,h.height,a.defaultPixelValue,a.numberOfSymbolInstances,a.stripSize,j,o,a.transposed,a.dsOffset,a.referenceCorner,a.combinationOperator,g,a.refinementTemplate,a.refinementAt,p);this.drawBitmap(h,q)},onImmediateLosslessTextRegion:function(){this.onImmediateTextRegion.apply(this,arguments)}},w.prototype={parseChunks:function(a){return u(a)}},w}(),jd=(PDFJS.bidi=function(){function a(a){return 0!==(1&a)}function b(a){return 0===(1&a)}function c(a,b,c){for(var d=b,e=a.length;e>d;++d)if(a[d]!==c)return d;return d}function d(a,b,c,d){for(var e=b;c>e;++e)a[e]=d}function e(a,b,c){for(var d=b,e=c-1;e>d;++d,--e){var f=a[d];a[d]=a[e],a[e]=f}}function f(a,b,c){return{str:a,dir:c?"ttb":b?"ltr":"rtl"}}function g(g,l,m){var n=!0,o=g.length;if(0===o||m)return f(g,n,m);j.length=o,k.length=o;var p,q,r=0;for(p=0;o>p;++p){j[p]=g.charAt(p);var s=g.charCodeAt(p),t="L";255>=s?t=h[s]:s>=1424&&1524>=s?t="R":s>=1536&&1791>=s?t=i[255&s]:s>=1792&&2220>=s&&(t="AL"),"R"!==t&&"AL"!==t&&"AN"!==t||r++,k[p]=t}if(0===r)return n=!0,f(g,n);-1===l&&(.3>o/r?(n=!0,l=0):(n=!1,l=1));var u=[];for(p=0;o>p;++p)u[p]=l;var v=a(l)?"R":"L",w=v,x=w,y=w;for(p=0;o>p;++p)"NSM"===k[p]?k[p]=y:y=k[p];y=w;var z;for(p=0;o>p;++p)z=k[p],"EN"===z?k[p]="AL"===y?"AN":"EN":"R"!==z&&"L"!==z&&"AL"!==z||(y=z);for(p=0;o>p;++p)z=k[p],"AL"===z&&(k[p]="R");for(p=1;o-1>p;++p)"ES"===k[p]&&"EN"===k[p-1]&&"EN"===k[p+1]&&(k[p]="EN"),"CS"!==k[p]||"EN"!==k[p-1]&&"AN"!==k[p-1]||k[p+1]!==k[p-1]||(k[p]=k[p-1]);for(p=0;o>p;++p)if("EN"===k[p]){var A;for(A=p-1;A>=0&&"ET"===k[A];--A)k[A]="EN";for(A=p+1;o>A&&"ET"===k[A];--A)k[A]="EN"}for(p=0;o>p;++p)z=k[p],"WS"!==z&&"ES"!==z&&"ET"!==z&&"CS"!==z||(k[p]="ON");for(y=w,p=0;o>p;++p)z=k[p],"EN"===z?k[p]="L"===y?"L":"EN":"R"!==z&&"L"!==z||(y=z);for(p=0;o>p;++p)if("ON"===k[p]){var B=c(k,p+1,"ON"),C=w;p>0&&(C=k[p-1]);var D=x;o>B+1&&(D=k[B+1]),"L"!==C&&(C="R"),"L"!==D&&(D="R"),C===D&&d(k,p,B,C),p=B-1}for(p=0;o>p;++p)"ON"===k[p]&&(k[p]=v);for(p=0;o>p;++p)z=k[p],b(u[p])?"R"===z?u[p]+=1:"AN"!==z&&"EN"!==z||(u[p]+=2):"L"!==z&&"AN"!==z&&"EN"!==z||(u[p]+=1);var E,F=-1,G=99;for(p=0,q=u.length;q>p;++p)E=u[p],E>F&&(F=E),G>E&&a(E)&&(G=E);for(E=F;E>=G;--E){var H=-1;for(p=0,q=u.length;q>p;++p)u[p]=0&&(e(j,H,p),H=-1):0>H&&(H=p);H>=0&&e(j,H,u.length)}for(p=0,q=j.length;q>p;++p){var I=j[p];"<"!==I&&">"!==I||(j[p]="")}return f(j.join(""),n)}var h=["BN","BN","BN","BN","BN","BN","BN","BN","BN","S","B","S","WS","B","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","B","B","B","S","WS","ON","ON","ET","ET","ET","ON","ON","ON","ON","ON","ON","CS","ON","CS","ON","EN","EN","EN","EN","EN","EN","EN","EN","EN","EN","ON","ON","ON","ON","ON","ON","ON","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","ON","ON","ON","ON","ON","ON","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","ON","ON","ON","ON","BN","BN","BN","BN","BN","BN","B","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","BN","CS","ON","ET","ET","ET","ET","ON","ON","ON","ON","L","ON","ON","ON","ON","ON","ET","ET","EN","EN","ON","L","ON","ON","ON","EN","L","ON","ON","ON","ON","ON","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","ON","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","L","ON","L","L","L","L","L","L","L","L"],i=["AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","CS","AL","ON","ON","NSM","NSM","NSM","NSM","NSM","NSM","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","AL","AL","AL","AL","AL","AL","AL","AN","AN","AN","AN","AN","AN","AN","AN","AN","AN","ET","AN","AN","AL","AL","AL","NSM","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","NSM","ON","NSM","NSM","NSM","NSM","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL","AL"],j=[],k=[]; +return g}(),function(a){function b(a){var b=3285377520;this.h1=a?4294967295&a:b,this.h2=a?4294967295&a:b}var c=4294901760,d=65535,e=!1;try{new Uint32Array(new Uint8Array(5).buffer,0,1)}catch(f){e=!0}return b.prototype={update:function(a){var b,f=e;if("string"==typeof a){var g=new Uint8Array(2*a.length),h=0;for(b=0;b=i?g[h++]=i:(g[h++]=i>>>8,g[h++]=255&i)}}else if(a instanceof Uint8Array)g=a,h=g.length;else{if(!("object"==typeof a&&"length"in a))throw new Error("Wrong data format in MurmurHash3_64_update. Input must be a string or array.");g=a,h=g.length,f=!0}var j=h>>2,k=h-4*j,l=f?new la(g,j):new Uint32Array(g.buffer,0,j),m=0,n=0,o=this.h1,p=this.h2,q=3432918353,r=461845907,s=q&d,t=r&d;for(b=0;j>b;b++)1&b?(m=l[b],m=m*q&c|m*s&d,m=m<<15|m>>>17,m=m*r&c|m*t&d,o^=m,o=o<<13|o>>>19,o=5*o+3864292196):(n=l[b],n=n*q&c|n*s&d,n=n<<15|n>>>17,n=n*r&c|n*t&d,p^=n,p=p<<13|p>>>19,p=5*p+3864292196);switch(m=0,k){case 3:m^=g[4*j+2]<<16;case 2:m^=g[4*j+1]<<8;case 1:m^=g[4*j],m=m*q&c|m*s&d,m=m<<15|m>>>17,m=m*r&c|m*t&d,1&j?o^=m:p^=m}return this.h1=o,this.h2=p,this},hexdigest:function(){var a=this.h1,b=this.h2;a^=b>>>1,a=3981806797*a&c|36045*a&d,b=4283543511*b&c|(2950163797*(b<<16|a>>>16)&c)>>>16,a^=b>>>1,a=444984403*a&c|60499*a&d,b=3301882366*b&c|(3120437893*(b<<16|a>>>16)&c)>>>16,a^=b>>>1;for(var e=0,f=[a,b],g="";e>>0).toString(16);h.length<8;)h="0"+h;g+=h}return g}},b}())}.call("undefined"==typeof window?this:window),PDFJS.workerSrc||"undefined"==typeof document||(PDFJS.workerSrc=function(){"use strict";var a=document.body||document.getElementsByTagName("head")[0],b=a.lastChild.src;return b&&b.replace(/\.js$/i,".worker.js")}()),function(a){"function"==typeof define&&define.amd?define(["jquery"],a):a("object"==typeof exports?require("jquery"):jQuery)}(function(a){var b=function(){if(a&&a.fn&&a.fn.select2&&a.fn.select2.amd)var b=a.fn.select2.amd;var b;return function(){if(!b||!b.requirejs){b?c=b:b={};var a,c,d;!function(b){function e(a,b){return u.call(a,b)}function f(a,b){var c,d,e,f,g,h,i,j,k,l,m,n=b&&b.split("/"),o=s.map,p=o&&o["*"]||{};if(a&&"."===a.charAt(0))if(b){for(a=a.split("/"),g=a.length-1,s.nodeIdCompat&&w.test(a[g])&&(a[g]=a[g].replace(w,"")),a=n.slice(0,n.length-1).concat(a),k=0;k0&&(a.splice(k-1,2),k-=2)}a=a.join("/")}else 0===a.indexOf("./")&&(a=a.substring(2));if((n||p)&&o){for(c=a.split("/"),k=c.length;k>0;k-=1){if(d=c.slice(0,k).join("/"),n)for(l=n.length;l>0;l-=1)if(e=o[n.slice(0,l).join("/")],e&&(e=e[d])){f=e,h=k;break}if(f)break;!i&&p&&p[d]&&(i=p[d],j=k)}!f&&i&&(f=i,h=j),f&&(c.splice(0,h,f),a=c.join("/"))}return a}function g(a,c){return function(){var d=v.call(arguments,0);return"string"!=typeof d[0]&&1===d.length&&d.push(null),n.apply(b,d.concat([a,c]))}}function h(a){return function(b){return f(b,a)}}function i(a){return function(b){q[a]=b}}function j(a){if(e(r,a)){var c=r[a];delete r[a],t[a]=!0,m.apply(b,c)}if(!e(q,a)&&!e(t,a))throw new Error("No "+a);return q[a]}function k(a){var b,c=a?a.indexOf("!"):-1;return c>-1&&(b=a.substring(0,c),a=a.substring(c+1,a.length)),[b,a]}function l(a){return function(){return s&&s.config&&s.config[a]||{}}}var m,n,o,p,q={},r={},s={},t={},u=Object.prototype.hasOwnProperty,v=[].slice,w=/\.js$/;o=function(a,b){var c,d=k(a),e=d[0];return a=d[1],e&&(e=f(e,b),c=j(e)),e?a=c&&c.normalize?c.normalize(a,h(b)):f(a,b):(a=f(a,b),d=k(a),e=d[0],a=d[1],e&&(c=j(e))),{f:e?e+"!"+a:a,n:a,pr:e,p:c}},p={require:function(a){return g(a)},exports:function(a){var b=q[a];return"undefined"!=typeof b?b:q[a]={}},module:function(a){return{id:a,uri:"",exports:q[a],config:l(a)}}},m=function(a,c,d,f){var h,k,l,m,n,s,u=[],v=typeof d;if(f=f||a,"undefined"===v||"function"===v){for(c=!c.length&&d.length?["require","exports","module"]:c,n=0;n0&&(b.call(arguments,a.prototype.constructor),e=c.prototype.constructor),e.apply(this,arguments)}function e(){this.constructor=d}var f=b(c),g=b(a);c.displayName=a.displayName,d.prototype=new e;for(var h=0;hc;c++)a[c].apply(this,b)},c.Observable=d,c.generateChars=function(a){for(var b="",c=0;a>c;c++){var d=Math.floor(36*Math.random());b+=d.toString(36)}return b},c.bind=function(a,b){return function(){a.apply(b,arguments)}},c._convertData=function(a){for(var b in a){var c=b.split("-"),d=a;if(1!==c.length){for(var e=0;e":">",'"':""","'":"'","/":"/"};return"string"!=typeof a?a:String(a).replace(/[&<>"'\/\\]/g,function(a){return b[a]})},c.appendMany=function(b,c){if("1.7"===a.fn.jquery.substr(0,3)){var d=a();a.map(c,function(a){d=d.add(a)}),c=d}b.append(c)},c}),b.define("select2/results",["jquery","./utils"],function(a,b){function c(a,b,d){this.$element=a,this.data=d,this.options=b,c.__super__.constructor.call(this)}return b.Extend(c,b.Observable),c.prototype.render=function(){var b=a('
          ');return this.options.get("multiple")&&b.attr("aria-multiselectable","true"),this.$results=b,b},c.prototype.clear=function(){this.$results.empty()},c.prototype.displayMessage=function(b){var c=this.options.get("escapeMarkup");this.clear(),this.hideLoading();var d=a('
        • '),e=this.options.get("translations").get(b.message);d.append(c(e(b.args))),d[0].className+=" select2-results__message",this.$results.append(d)},c.prototype.hideMessages=function(){this.$results.find(".select2-results__message").remove()},c.prototype.append=function(a){this.hideLoading();var b=[];if(null==a.results||0===a.results.length)return void(0===this.$results.children().length&&this.trigger("results:message",{message:"noResults"}));a.results=this.sort(a.results);for(var c=0;c-1?b.attr("aria-selected","true"):b.attr("aria-selected","false")});var f=e.filter("[aria-selected=true]");f.length>0?f.first().trigger("mouseenter"):e.first().trigger("mouseenter")})},c.prototype.showLoading=function(a){this.hideLoading();var b=this.options.get("translations").get("searching"),c={disabled:!0,loading:!0,text:b(a)},d=this.option(c);d.className+=" loading-results",this.$results.prepend(d)},c.prototype.hideLoading=function(){this.$results.find(".loading-results").remove()},c.prototype.option=function(b){var c=document.createElement("li");c.className="select2-results__option";var d={role:"treeitem","aria-selected":"false"};b.disabled&&(delete d["aria-selected"],d["aria-disabled"]="true"),null==b.id&&delete d["aria-selected"],null!=b._resultId&&(c.id=b._resultId),b.title&&(c.title=b.title),b.children&&(d.role="group",d["aria-label"]=b.text,delete d["aria-selected"]);for(var e in d){var f=d[e];c.setAttribute(e,f)}if(b.children){var g=a(c),h=document.createElement("strong");h.className="select2-results__group";a(h);this.template(b,h);for(var i=[],j=0;j",{"class":"select2-results__options select2-results__options--nested"});m.append(i),g.append(h),g.append(m)}else this.template(b,c);return a.data(c,"data",b),c},c.prototype.bind=function(b,c){var d=this,e=b.id+"-results";this.$results.attr("id",e),b.on("results:all",function(a){d.clear(),d.append(a.data),b.isOpen()&&d.setClasses()}),b.on("results:append",function(a){d.append(a.data),b.isOpen()&&d.setClasses()}),b.on("query",function(a){d.hideMessages(),d.showLoading(a)}),b.on("select",function(){b.isOpen()&&d.setClasses()}),b.on("unselect",function(){b.isOpen()&&d.setClasses()}),b.on("open",function(){d.$results.attr("aria-expanded","true"),d.$results.attr("aria-hidden","false"),d.setClasses(),d.ensureHighlightVisible()}),b.on("close",function(){d.$results.attr("aria-expanded","false"),d.$results.attr("aria-hidden","true"),d.$results.removeAttr("aria-activedescendant")}),b.on("results:toggle",function(){var a=d.getHighlightedResults();0!==a.length&&a.trigger("mouseup")}),b.on("results:select",function(){var a=d.getHighlightedResults();if(0!==a.length){var b=a.data("data");"true"==a.attr("aria-selected")?d.trigger("close",{}):d.trigger("select",{data:b})}}),b.on("results:previous",function(){var a=d.getHighlightedResults(),b=d.$results.find("[aria-selected]"),c=b.index(a);if(0!==c){var e=c-1;0===a.length&&(e=0);var f=b.eq(e);f.trigger("mouseenter");var g=d.$results.offset().top,h=f.offset().top,i=d.$results.scrollTop()+(h-g);0===e?d.$results.scrollTop(0):0>h-g&&d.$results.scrollTop(i)}}),b.on("results:next",function(){var a=d.getHighlightedResults(),b=d.$results.find("[aria-selected]"),c=b.index(a),e=c+1;if(!(e>=b.length)){var f=b.eq(e);f.trigger("mouseenter");var g=d.$results.offset().top+d.$results.outerHeight(!1),h=f.offset().top+f.outerHeight(!1),i=d.$results.scrollTop()+h-g;0===e?d.$results.scrollTop(0):h>g&&d.$results.scrollTop(i)}}),b.on("results:focus",function(a){a.element.addClass("select2-results__option--highlighted")}),b.on("results:message",function(a){d.displayMessage(a)}),a.fn.mousewheel&&this.$results.on("mousewheel",function(a){var b=d.$results.scrollTop(),c=d.$results.get(0).scrollHeight-d.$results.scrollTop()+a.deltaY,e=a.deltaY>0&&b-a.deltaY<=0,f=a.deltaY<0&&c<=d.$results.height();e?(d.$results.scrollTop(0),a.preventDefault(),a.stopPropagation()):f&&(d.$results.scrollTop(d.$results.get(0).scrollHeight-d.$results.height()),a.preventDefault(),a.stopPropagation())}),this.$results.on("mouseup",".select2-results__option[aria-selected]",function(b){var c=a(this),e=c.data("data");return"true"===c.attr("aria-selected")?void(d.options.get("multiple")?d.trigger("unselect",{originalEvent:b,data:e}):d.trigger("close",{})):void d.trigger("select",{originalEvent:b,data:e})}),this.$results.on("mouseenter",".select2-results__option[aria-selected]",function(b){var c=a(this).data("data");d.getHighlightedResults().removeClass("select2-results__option--highlighted"),d.trigger("results:focus",{data:c,element:a(this)})})},c.prototype.getHighlightedResults=function(){var a=this.$results.find(".select2-results__option--highlighted");return a},c.prototype.destroy=function(){this.$results.remove()},c.prototype.ensureHighlightVisible=function(){var a=this.getHighlightedResults();if(0!==a.length){var b=this.$results.find("[aria-selected]"),c=b.index(a),d=this.$results.offset().top,e=a.offset().top,f=this.$results.scrollTop()+(e-d),g=e-d;f-=2*a.outerHeight(!1),2>=c?this.$results.scrollTop(0):(g>this.$results.outerHeight()||0>g)&&this.$results.scrollTop(f)}},c.prototype.template=function(b,c){var d=this.options.get("templateResult"),e=this.options.get("escapeMarkup"),f=d(b,c);null==f?c.style.display="none":"string"==typeof f?c.innerHTML=e(f):a(c).append(f)},c}),b.define("select2/keys",[],function(){var a={BACKSPACE:8,TAB:9,ENTER:13,SHIFT:16,CTRL:17,ALT:18,ESC:27,SPACE:32,PAGE_UP:33,PAGE_DOWN:34,END:35,HOME:36,LEFT:37,UP:38,RIGHT:39,DOWN:40,DELETE:46};return a}),b.define("select2/selection/base",["jquery","../utils","../keys"],function(a,b,c){function d(a,b){this.$element=a,this.options=b,d.__super__.constructor.call(this)}return b.Extend(d,b.Observable),d.prototype.render=function(){var b=a('');return this._tabindex=0,null!=this.$element.data("old-tabindex")?this._tabindex=this.$element.data("old-tabindex"):null!=this.$element.attr("tabindex")&&(this._tabindex=this.$element.attr("tabindex")),b.attr("title",this.$element.attr("title")),b.attr("tabindex",this._tabindex),this.$selection=b,b},d.prototype.bind=function(a,b){var d=this,e=(a.id+"-container",a.id+"-results");this.container=a,this.$selection.on("focus",function(a){d.trigger("focus",a)}),this.$selection.on("blur",function(a){d._handleBlur(a)}),this.$selection.on("keydown",function(a){d.trigger("keypress",a),a.which===c.SPACE&&a.preventDefault()}),a.on("results:focus",function(a){d.$selection.attr("aria-activedescendant",a.data._resultId)}),a.on("selection:update",function(a){d.update(a.data)}),a.on("open",function(){d.$selection.attr("aria-expanded","true"),d.$selection.attr("aria-owns",e),d._attachCloseHandler(a)}),a.on("close",function(){d.$selection.attr("aria-expanded","false"),d.$selection.removeAttr("aria-activedescendant"),d.$selection.removeAttr("aria-owns"),d.$selection.focus(),d._detachCloseHandler(a)}),a.on("enable",function(){d.$selection.attr("tabindex",d._tabindex)}),a.on("disable",function(){d.$selection.attr("tabindex","-1")})},d.prototype._handleBlur=function(b){var c=this;window.setTimeout(function(){document.activeElement==c.$selection[0]||a.contains(c.$selection[0],document.activeElement)||c.trigger("blur",b)},1)},d.prototype._attachCloseHandler=function(b){a(document.body).on("mousedown.select2."+b.id,function(b){var c=a(b.target),d=c.closest(".select2"),e=a(".select2.select2-container--open");e.each(function(){var b=a(this);if(this!=d[0]){var c=b.data("element");c.select2("close")}})})},d.prototype._detachCloseHandler=function(b){a(document.body).off("mousedown.select2."+b.id)},d.prototype.position=function(a,b){var c=b.find(".selection");c.append(a)},d.prototype.destroy=function(){this._detachCloseHandler(this.container)},d.prototype.update=function(a){throw new Error("The `update` method must be defined in child classes.")},d}),b.define("select2/selection/single",["jquery","./base","../utils","../keys"],function(a,b,c,d){function e(){e.__super__.constructor.apply(this,arguments)}return c.Extend(e,b),e.prototype.render=function(){var a=e.__super__.render.call(this);return a.addClass("select2-selection--single"),a.html(''),a},e.prototype.bind=function(a,b){var c=this;e.__super__.bind.apply(this,arguments);var d=a.id+"-container";this.$selection.find(".select2-selection__rendered").attr("id",d),this.$selection.attr("aria-labelledby",d),this.$selection.on("mousedown",function(a){1===a.which&&c.trigger("toggle",{originalEvent:a})}),this.$selection.on("focus",function(a){}),this.$selection.on("blur",function(a){}),a.on("selection:update",function(a){c.update(a.data)})},e.prototype.clear=function(){this.$selection.find(".select2-selection__rendered").empty()},e.prototype.display=function(a,b){var c=this.options.get("templateSelection"),d=this.options.get("escapeMarkup");return d(c(a,b))},e.prototype.selectionContainer=function(){return a("")},e.prototype.update=function(a){if(0===a.length)return void this.clear();var b=a[0],c=this.$selection.find(".select2-selection__rendered"),d=this.display(b,c);c.empty().append(d),c.prop("title",b.title||b.text)},e}),b.define("select2/selection/multiple",["jquery","./base","../utils"],function(a,b,c){function d(a,b){d.__super__.constructor.apply(this,arguments)}return c.Extend(d,b),d.prototype.render=function(){var a=d.__super__.render.call(this);return a.addClass("select2-selection--multiple"),a.html('
            '),a},d.prototype.bind=function(b,c){var e=this;d.__super__.bind.apply(this,arguments),this.$selection.on("click",function(a){e.trigger("toggle",{originalEvent:a})}),this.$selection.on("click",".select2-selection__choice__remove",function(b){if(!e.options.get("disabled")){var c=a(this),d=c.parent(),f=d.data("data");e.trigger("unselect",{originalEvent:b,data:f})}})},d.prototype.clear=function(){this.$selection.find(".select2-selection__rendered").empty()},d.prototype.display=function(a,b){var c=this.options.get("templateSelection"),d=this.options.get("escapeMarkup");return d(c(a,b))},d.prototype.selectionContainer=function(){var b=a('
          • ×
          • ');return b},d.prototype.update=function(a){if(this.clear(),0!==a.length){for(var b=[],d=0;d1;if(d||c)return a.call(this,b);this.clear();var e=this.createPlaceholder(this.placeholder);this.$selection.find(".select2-selection__rendered").append(e)},b}),b.define("select2/selection/allowClear",["jquery","../keys"],function(a,b){function c(){}return c.prototype.bind=function(a,b,c){var d=this;a.call(this,b,c),null==this.placeholder&&this.options.get("debug")&&window.console&&console.error&&console.error("Select2: The `allowClear` option should be used in combination with the `placeholder` option."),this.$selection.on("mousedown",".select2-selection__clear",function(a){d._handleClear(a)}),b.on("keypress",function(a){d._handleKeyboardClear(a,b)})},c.prototype._handleClear=function(a,b){if(!this.options.get("disabled")){var c=this.$selection.find(".select2-selection__clear");if(0!==c.length){b.stopPropagation();for(var d=c.data("data"),e=0;e0||0===c.length)){var d=a('×');d.data("data",c),this.$selection.find(".select2-selection__rendered").prepend(d)}},c}),b.define("select2/selection/search",["jquery","../utils","../keys"],function(a,b,c){function d(a,b,c){a.call(this,b,c)}return d.prototype.render=function(b){var c=a('');this.$searchContainer=c,this.$search=c.find("input");var d=b.call(this);return this._transferTabIndex(),d},d.prototype.bind=function(a,b,d){var e=this;a.call(this,b,d),b.on("open",function(){e.$search.trigger("focus")}),b.on("close",function(){e.$search.val(""),e.$search.removeAttr("aria-activedescendant"),e.$search.trigger("focus")}),b.on("enable",function(){e.$search.prop("disabled",!1),e._transferTabIndex()}),b.on("disable",function(){e.$search.prop("disabled",!0)}),b.on("focus",function(a){e.$search.trigger("focus")}),b.on("results:focus",function(a){e.$search.attr("aria-activedescendant",a.id)}),this.$selection.on("focusin",".select2-search--inline",function(a){e.trigger("focus",a)}),this.$selection.on("focusout",".select2-search--inline",function(a){e._handleBlur(a)}),this.$selection.on("keydown",".select2-search--inline",function(a){a.stopPropagation(),e.trigger("keypress",a),e._keyUpPrevented=a.isDefaultPrevented();var b=a.which;if(b===c.BACKSPACE&&""===e.$search.val()){var d=e.$searchContainer.prev(".select2-selection__choice");if(d.length>0){var f=d.data("data");e.searchRemoveChoice(f),a.preventDefault()}}});var f=document.documentMode,g=f&&11>=f;this.$selection.on("input.searchcheck",".select2-search--inline",function(a){return g?void e.$selection.off("input.search input.searchcheck"):void e.$selection.off("keyup.search")}),this.$selection.on("keyup.search input.search",".select2-search--inline",function(a){if(g&&"input"===a.type)return void e.$selection.off("input.search input.searchcheck");var b=a.which;b!=c.SHIFT&&b!=c.CTRL&&b!=c.ALT&&b!=c.TAB&&e.handleSearch(a)})},d.prototype._transferTabIndex=function(a){this.$search.attr("tabindex",this.$selection.attr("tabindex")),this.$selection.attr("tabindex","-1")},d.prototype.createPlaceholder=function(a,b){this.$search.attr("placeholder",b.text)},d.prototype.update=function(a,b){var c=this.$search[0]==document.activeElement;this.$search.attr("placeholder",""),a.call(this,b),this.$selection.find(".select2-selection__rendered").append(this.$searchContainer),this.resizeSearch(),c&&this.$search.focus()},d.prototype.handleSearch=function(){if(this.resizeSearch(),!this._keyUpPrevented){var a=this.$search.val();this.trigger("query",{term:a})}this._keyUpPrevented=!1},d.prototype.searchRemoveChoice=function(a,b){this.trigger("unselect",{data:b}),this.$search.val(b.text),this.handleSearch()},d.prototype.resizeSearch=function(){this.$search.css("width","25px");var a="";if(""!==this.$search.attr("placeholder"))a=this.$selection.find(".select2-selection__rendered").innerWidth();else{var b=this.$search.val().length+1;a=.75*b+"em"}this.$search.css("width",a)},d}),b.define("select2/selection/eventRelay",["jquery"],function(a){function b(){}return b.prototype.bind=function(b,c,d){var e=this,f=["open","opening","close","closing","select","selecting","unselect","unselecting"],g=["opening","closing","selecting","unselecting"];b.call(this,c,d),c.on("*",function(b,c){if(-1!==a.inArray(b,f)){c=c||{};var d=a.Event("select2:"+b,{params:c});e.$element.trigger(d),-1!==a.inArray(b,g)&&(c.prevented=d.isDefaultPrevented())}})},b}),b.define("select2/translation",["jquery","require"],function(a,b){function c(a){this.dict=a||{}}return c.prototype.all=function(){return this.dict},c.prototype.get=function(a){return this.dict[a]},c.prototype.extend=function(b){this.dict=a.extend({},b.all(),this.dict)},c._cache={},c.loadPath=function(a){if(!(a in c._cache)){var d=b(a);c._cache[a]=d}return new c(c._cache[a])},c}),b.define("select2/diacritics",[],function(){var a={"Ⓐ":"A","A":"A","À":"A","Á":"A","Â":"A","Ầ":"A","Ấ":"A","Ẫ":"A","Ẩ":"A","Ã":"A","Ā":"A","Ă":"A","Ằ":"A","Ắ":"A","Ẵ":"A","Ẳ":"A","Ȧ":"A","Ç ":"A","Ä":"A","Ǟ":"A","Ả":"A","Å":"A","Ǻ":"A","Ǎ":"A","Ȁ":"A","Ȃ":"A","Ạ":"A","Ậ":"A","Ặ":"A","Ḁ":"A","Ą":"A","Ⱥ":"A","Ɐ":"A","Ꜳ":"AA","Æ":"AE","Ǽ":"AE","Ç¢":"AE","Ꜵ":"AO","Ꜷ":"AU","Ꜹ":"AV","Ꜻ":"AV","Ꜽ":"AY","Ⓑ":"B","ï¼¢":"B","Ḃ":"B","Ḅ":"B","Ḇ":"B","Ƀ":"B","Ƃ":"B","Ɓ":"B","Ⓒ":"C","ï¼£":"C","Ć":"C","Ĉ":"C","Ċ":"C","Č":"C","Ç":"C","Ḉ":"C","Ƈ":"C","È»":"C","Ꜿ":"C","Ⓓ":"D","D":"D","Ḋ":"D","Ď":"D","Ḍ":"D","Ḑ":"D","Ḓ":"D","Ḏ":"D","Đ":"D","Ƌ":"D","Ɗ":"D","Ɖ":"D","Ꝺ":"D","DZ":"DZ","DŽ":"DZ","Dz":"Dz","Dž":"Dz","Ⓔ":"E","ï¼¥":"E","È":"E","É":"E","Ê":"E","Ề":"E","Ế":"E","Ễ":"E","Ể":"E","Ẽ":"E","Ē":"E","Ḕ":"E","Ḗ":"E","Ĕ":"E","Ė":"E","Ë":"E","Ẻ":"E","Ě":"E","Ȅ":"E","Ȇ":"E","Ẹ":"E","Ệ":"E","Ȩ":"E","Ḝ":"E","Ę":"E","Ḙ":"E","Ḛ":"E","Ɛ":"E","Ǝ":"E","Ⓕ":"F","F":"F","Ḟ":"F","Ƒ":"F","Ꝼ":"F","Ⓖ":"G","ï¼§":"G","Ç´":"G","Ĝ":"G","Ḡ":"G","Ğ":"G","Ä ":"G","Ǧ":"G","Ä¢":"G","Ǥ":"G","Ɠ":"G","Ꞡ":"G","Ᵹ":"G","Ꝿ":"G","Ⓗ":"H","H":"H","Ĥ":"H","Ḣ":"H","Ḧ":"H","Ȟ":"H","Ḥ":"H","Ḩ":"H","Ḫ":"H","Ħ":"H","â±§":"H","â±µ":"H","Ɥ":"H","Ⓘ":"I","I":"I","Ì":"I","Í":"I","Î":"I","Ĩ":"I","Ī":"I","Ĭ":"I","İ":"I","Ï":"I","Ḯ":"I","Ỉ":"I","Ǐ":"I","Ȉ":"I","Ȋ":"I","Ị":"I","Ä®":"I","Ḭ":"I","Ɨ":"I","Ⓙ":"J","J":"J","Ä´":"J","Ɉ":"J","Ⓚ":"K","K":"K","Ḱ":"K","Ǩ":"K","Ḳ":"K","Ķ":"K","Ḵ":"K","Ƙ":"K","Ⱪ":"K","Ꝁ":"K","Ꝃ":"K","Ꝅ":"K","Ꞣ":"K","Ⓛ":"L","L":"L","Ä¿":"L","Ĺ":"L","Ľ":"L","Ḷ":"L","Ḹ":"L","Ä»":"L","Ḽ":"L","Ḻ":"L","Ł":"L","Ƚ":"L","â±¢":"L","â± ":"L","Ꝉ":"L","Ꝇ":"L","Ꞁ":"L","LJ":"LJ","Lj":"Lj","Ⓜ":"M","ï¼­":"M","Ḿ":"M","Ṁ":"M","Ṃ":"M","â±®":"M","Ɯ":"M","Ⓝ":"N","ï¼®":"N","Ǹ":"N","Ń":"N","Ñ":"N","Ṅ":"N","Ň":"N","Ṇ":"N","Ņ":"N","Ṋ":"N","Ṉ":"N","È ":"N","Ɲ":"N","Ꞑ":"N","Ꞥ":"N","NJ":"NJ","Nj":"Nj","Ⓞ":"O","O":"O","Ò":"O","Ó":"O","Ô":"O","Ồ":"O","Ố":"O","Ỗ":"O","Ổ":"O","Õ":"O","Ṍ":"O","Ȭ":"O","Ṏ":"O","Ō":"O","Ṑ":"O","Ṓ":"O","Ŏ":"O","È®":"O","Ȱ":"O","Ö":"O","Ȫ":"O","Ỏ":"O","Ő":"O","Ǒ":"O","Ȍ":"O","Ȏ":"O","Æ ":"O","Ờ":"O","Ớ":"O","á» ":"O","Ở":"O","Ợ":"O","Ọ":"O","Ộ":"O","Ǫ":"O","Ǭ":"O","Ø":"O","Ǿ":"O","Ɔ":"O","Ɵ":"O","Ꝋ":"O","Ꝍ":"O","Æ¢":"OI","Ꝏ":"OO","È¢":"OU","Ⓟ":"P","ï¼°":"P","Ṕ":"P","Ṗ":"P","Ƥ":"P","â±£":"P","Ꝑ":"P","Ꝓ":"P","Ꝕ":"P","Ⓠ":"Q","ï¼±":"Q","Ꝗ":"Q","Ꝙ":"Q","Ɋ":"Q","Ⓡ":"R","ï¼²":"R","Ŕ":"R","Ṙ":"R","Ř":"R","Ȑ":"R","Ȓ":"R","Ṛ":"R","Ṝ":"R","Ŗ":"R","Ṟ":"R","Ɍ":"R","Ɽ":"R","Ꝛ":"R","Ꞧ":"R","Ꞃ":"R","Ⓢ":"S","ï¼³":"S","ẞ":"S","Ś":"S","Ṥ":"S","Ŝ":"S","á¹ ":"S","Å ":"S","Ṧ":"S","á¹¢":"S","Ṩ":"S","Ș":"S","Ş":"S","â±¾":"S","Ꞩ":"S","Ꞅ":"S","Ⓣ":"T","ï¼´":"T","Ṫ":"T","Ť":"T","Ṭ":"T","Ț":"T","Å¢":"T","á¹°":"T","á¹®":"T","Ŧ":"T","Ƭ":"T","Æ®":"T","Ⱦ":"T","Ꞇ":"T","Ꜩ":"TZ","Ⓤ":"U","ï¼µ":"U","Ù":"U","Ú":"U","Û":"U","Ũ":"U","Ṹ":"U","Ū":"U","Ṻ":"U","Ŭ":"U","Ü":"U","Ǜ":"U","Ǘ":"U","Ǖ":"U","Ǚ":"U","Ủ":"U","Å®":"U","Ű":"U","Ǔ":"U","Ȕ":"U","Ȗ":"U","Ư":"U","Ừ":"U","Ứ":"U","á»®":"U","Ử":"U","á»°":"U","Ụ":"U","á¹²":"U","Ų":"U","á¹¶":"U","á¹´":"U","Ʉ":"U","Ⓥ":"V","ï¼¶":"V","á¹¼":"V","á¹¾":"V","Ʋ":"V","Ꝟ":"V","Ʌ":"V","Ꝡ":"VY","Ⓦ":"W","ï¼·":"W","Ẁ":"W","Ẃ":"W","Å´":"W","Ẇ":"W","Ẅ":"W","Ẉ":"W","â±²":"W","Ⓧ":"X","X":"X","Ẋ":"X","Ẍ":"X","Ⓨ":"Y","ï¼¹":"Y","Ỳ":"Y","Ý":"Y","Ŷ":"Y","Ỹ":"Y","Ȳ":"Y","Ẏ":"Y","Ÿ":"Y","á»¶":"Y","á»´":"Y","Ƴ":"Y","Ɏ":"Y","Ỿ":"Y","Ⓩ":"Z","Z":"Z","Ź":"Z","Ẑ":"Z","Å»":"Z","Ž":"Z","Ẓ":"Z","Ẕ":"Z","Ƶ":"Z","Ȥ":"Z","Ɀ":"Z","Ⱬ":"Z","Ꝣ":"Z","ⓐ":"a","a":"a","ẚ":"a","à":"a","á":"a","â":"a","ầ":"a","ấ":"a","ẫ":"a","ẩ":"a","ã":"a","ā":"a","ă":"a","ằ":"a","ắ":"a","ẵ":"a","ẳ":"a","ȧ":"a","Ç¡":"a","ä":"a","ǟ":"a","ả":"a","Ã¥":"a","Ç»":"a","ǎ":"a","ȁ":"a","ȃ":"a","ạ":"a","ậ":"a","ặ":"a","ḁ":"a","ą":"a","â±¥":"a","ɐ":"a","ꜳ":"aa","æ":"ae","ǽ":"ae","Ç£":"ae","ꜵ":"ao","ꜷ":"au","ꜹ":"av","ꜻ":"av","ꜽ":"ay","ⓑ":"b","b":"b","ḃ":"b","ḅ":"b","ḇ":"b","ƀ":"b","ƃ":"b","ɓ":"b","ⓒ":"c","c":"c","ć":"c","ĉ":"c","ċ":"c","č":"c","ç":"c","ḉ":"c","ƈ":"c","ȼ":"c","ꜿ":"c","ↄ":"c","ⓓ":"d","d":"d","ḋ":"d","ď":"d","ḍ":"d","ḑ":"d","ḓ":"d","ḏ":"d","đ":"d","ƌ":"d","ɖ":"d","ɗ":"d","ꝺ":"d","dz":"dz","dž":"dz","ⓔ":"e","e":"e","è":"e","é":"e","ê":"e","ề":"e","ế":"e","ễ":"e","ể":"e","ẽ":"e","ē":"e","ḕ":"e","ḗ":"e","ĕ":"e","ė":"e","ë":"e","ẻ":"e","ě":"e","ȅ":"e","ȇ":"e","ẹ":"e","ệ":"e","È©":"e","ḝ":"e","ę":"e","ḙ":"e","ḛ":"e","ɇ":"e","ɛ":"e","ǝ":"e","ⓕ":"f","f":"f","ḟ":"f","ƒ":"f","ꝼ":"f","ⓖ":"g","g":"g","ǵ":"g","ĝ":"g","ḡ":"g","ğ":"g","Ä¡":"g","ǧ":"g","Ä£":"g","Ç¥":"g","É ":"g","ꞡ":"g","áµ¹":"g","ꝿ":"g","ⓗ":"h","h":"h","Ä¥":"h","ḣ":"h","ḧ":"h","ȟ":"h","ḥ":"h","ḩ":"h","ḫ":"h","ẖ":"h","ħ":"h","ⱨ":"h","â±¶":"h","É¥":"h","ƕ":"hv","ⓘ":"i","i":"i","ì":"i","í":"i","î":"i","Ä©":"i","Ä«":"i","Ä­":"i","ï":"i","ḯ":"i","ỉ":"i","ǐ":"i","ȉ":"i","ȋ":"i","ị":"i","į":"i","ḭ":"i","ɨ":"i","ı":"i","ⓙ":"j","j":"j","ĵ":"j","ǰ":"j","ɉ":"j","ⓚ":"k","k":"k","ḱ":"k","Ç©":"k","ḳ":"k","Ä·":"k","ḵ":"k","ƙ":"k","ⱪ":"k","ꝁ":"k","ꝃ":"k","ꝅ":"k","ꞣ":"k","ⓛ":"l","l":"l","ŀ":"l","ĺ":"l","ľ":"l","ḷ":"l","ḹ":"l","ļ":"l","ḽ":"l","ḻ":"l","Å¿":"l","ł":"l","ƚ":"l","É«":"l","ⱡ":"l","ꝉ":"l","ꞁ":"l","ꝇ":"l","lj":"lj","ⓜ":"m","m":"m","ḿ":"m","ṁ":"m","ṃ":"m","ɱ":"m","ɯ":"m","ⓝ":"n","n":"n","ǹ":"n","ń":"n","ñ":"n","ṅ":"n","ň":"n","ṇ":"n","ņ":"n","ṋ":"n","ṉ":"n","ƞ":"n","ɲ":"n","ʼn":"n","ꞑ":"n","ꞥ":"n","nj":"nj","ⓞ":"o","o":"o","ò":"o","ó":"o","ô":"o","ồ":"o","ố":"o","ỗ":"o","ổ":"o","õ":"o","ṍ":"o","È­":"o","ṏ":"o","ō":"o","ṑ":"o","ṓ":"o","ŏ":"o","ȯ":"o","ȱ":"o","ö":"o","È«":"o","ỏ":"o","ő":"o","ǒ":"o","ȍ":"o","ȏ":"o","Æ¡":"o","ờ":"o","ớ":"o","ỡ":"o","ở":"o","ợ":"o","ọ":"o","ộ":"o","Ç«":"o","Ç­":"o","ø":"o","Ç¿":"o","ɔ":"o","ꝋ":"o","ꝍ":"o","ɵ":"o","Æ£":"oi","È£":"ou","ꝏ":"oo","ⓟ":"p","p":"p","ṕ":"p","ṗ":"p","Æ¥":"p","áµ½":"p","ꝑ":"p","ꝓ":"p","ꝕ":"p","ⓠ":"q","q":"q","ɋ":"q","ꝗ":"q","ꝙ":"q","ⓡ":"r","r":"r","ŕ":"r","ṙ":"r","ř":"r","ȑ":"r","ȓ":"r","ṛ":"r","ṝ":"r","ŗ":"r","ṟ":"r","ɍ":"r","ɽ":"r","ꝛ":"r","ꞧ":"r","ꞃ":"r","ⓢ":"s","s":"s","ß":"s","ś":"s","á¹¥":"s","ŝ":"s","ṡ":"s","Å¡":"s","á¹§":"s","á¹£":"s","ṩ":"s","ș":"s","ş":"s","È¿":"s","ꞩ":"s","ꞅ":"s","ẛ":"s","ⓣ":"t","t":"t","ṫ":"t","ẗ":"t","Å¥":"t","á¹­":"t","ț":"t","Å£":"t","á¹±":"t","ṯ":"t","ŧ":"t","Æ­":"t","ʈ":"t","ⱦ":"t","ꞇ":"t","ꜩ":"tz","ⓤ":"u","u":"u","ù":"u","ú":"u","û":"u","Å©":"u","á¹¹":"u","Å«":"u","á¹»":"u","Å­":"u","ü":"u","ǜ":"u","ǘ":"u","ǖ":"u","ǚ":"u","á»§":"u","ů":"u","ű":"u","ǔ":"u","ȕ":"u","ȗ":"u","ư":"u","ừ":"u","ứ":"u","ữ":"u","á»­":"u","á»±":"u","ụ":"u","á¹³":"u","ų":"u","á¹·":"u","á¹µ":"u","ʉ":"u","ⓥ":"v","v":"v","á¹½":"v","ṿ":"v","ʋ":"v","ꝟ":"v","ʌ":"v","ꝡ":"vy","ⓦ":"w","w":"w","ẁ":"w","ẃ":"w","ŵ":"w","ẇ":"w","ẅ":"w","ẘ":"w","ẉ":"w","â±³":"w","ⓧ":"x","x":"x","ẋ":"x","ẍ":"x","ⓨ":"y","y":"y","ỳ":"y","ý":"y","Å·":"y","ỹ":"y","ȳ":"y","ẏ":"y", +"ÿ":"y","á»·":"y","ẙ":"y","ỵ":"y","Æ´":"y","ɏ":"y","ỿ":"y","ⓩ":"z","z":"z","ź":"z","ẑ":"z","ż":"z","ž":"z","ẓ":"z","ẕ":"z","ƶ":"z","È¥":"z","ɀ":"z","ⱬ":"z","ꝣ":"z","Ά":"Α","Έ":"Ε","Ή":"Η","Ί":"Ι","Ϊ":"Ι","Ό":"Ο","Ύ":"Î¥","Ϋ":"Î¥","Ώ":"Ω","ά":"α","έ":"ε","ή":"η","ί":"ι","ϊ":"ι","ΐ":"ι","ό":"ο","ύ":"υ","ϋ":"υ","ΰ":"υ","ω":"ω","ς":"σ"};return a}),b.define("select2/data/base",["../utils"],function(a){function b(a,c){b.__super__.constructor.call(this)}return a.Extend(b,a.Observable),b.prototype.current=function(a){throw new Error("The `current` method must be defined in child classes.")},b.prototype.query=function(a,b){throw new Error("The `query` method must be defined in child classes.")},b.prototype.bind=function(a,b){},b.prototype.destroy=function(){},b.prototype.generateResultId=function(b,c){var d=b.id+"-result-";return d+=a.generateChars(4),d+=null!=c.id?"-"+c.id.toString():"-"+a.generateChars(4)},b}),b.define("select2/data/select",["./base","../utils","jquery"],function(a,b,c){function d(a,b){this.$element=a,this.options=b,d.__super__.constructor.call(this)}return b.Extend(d,a),d.prototype.current=function(a){var b=[],d=this;this.$element.find(":selected").each(function(){var a=c(this),e=d.item(a);b.push(e)}),a(b)},d.prototype.select=function(a){var b=this;if(a.selected=!0,c(a.element).is("option"))return a.element.selected=!0,void this.$element.trigger("change");if(this.$element.prop("multiple"))this.current(function(d){var e=[];a=[a],a.push.apply(a,d);for(var f=0;f=0){var k=f.filter(d(j)),l=this.item(k),m=c.extend(!0,{},l,j),n=this.option(m);k.replaceWith(n)}else{var o=this.option(j);if(j.children){var p=this.convertToOptions(j.children);b.appendMany(o,p)}h.push(o)}}return h},d}),b.define("select2/data/ajax",["./array","../utils","jquery"],function(a,b,c){function d(a,b){this.ajaxOptions=this._applyDefaults(b.get("ajax")),null!=this.ajaxOptions.processResults&&(this.processResults=this.ajaxOptions.processResults),d.__super__.constructor.call(this,a,b)}return b.Extend(d,a),d.prototype._applyDefaults=function(a){var b={data:function(a){return c.extend({},a,{q:a.term})},transport:function(a,b,d){var e=c.ajax(a);return e.then(b),e.fail(d),e}};return c.extend({},b,a,!0)},d.prototype.processResults=function(a){return a},d.prototype.query=function(a,b){function d(){var d=f.transport(f,function(d){var f=e.processResults(d,a);e.options.get("debug")&&window.console&&console.error&&(f&&f.results&&c.isArray(f.results)||console.error("Select2: The AJAX results did not return an array in the `results` key of the response.")),b(f)},function(){});e._request=d}var e=this;null!=this._request&&(c.isFunction(this._request.abort)&&this._request.abort(),this._request=null);var f=c.extend({type:"GET"},this.ajaxOptions);"function"==typeof f.url&&(f.url=f.url.call(this.$element,a)),"function"==typeof f.data&&(f.data=f.data.call(this.$element,a)),this.ajaxOptions.delay&&""!==a.term?(this._queryTimeout&&window.clearTimeout(this._queryTimeout),this._queryTimeout=window.setTimeout(d,this.ajaxOptions.delay)):d()},d}),b.define("select2/data/tags",["jquery"],function(a){function b(b,c,d){var e=d.get("tags"),f=d.get("createTag");if(void 0!==f&&(this.createTag=f),b.call(this,c,d),a.isArray(e))for(var g=0;g0&&b.term.length>this.maximumInputLength?void this.trigger("results:message",{message:"inputTooLong",args:{maximum:this.maximumInputLength,input:b.term,params:b}}):void a.call(this,b,c)},a}),b.define("select2/data/maximumSelectionLength",[],function(){function a(a,b,c){this.maximumSelectionLength=c.get("maximumSelectionLength"),a.call(this,b,c)}return a.prototype.query=function(a,b,c){var d=this;this.current(function(e){var f=null!=e?e.length:0;return d.maximumSelectionLength>0&&f>=d.maximumSelectionLength?void d.trigger("results:message",{message:"maximumSelected",args:{maximum:d.maximumSelectionLength}}):void a.call(d,b,c)})},a}),b.define("select2/dropdown",["jquery","./utils"],function(a,b){function c(a,b){this.$element=a,this.options=b,c.__super__.constructor.call(this)}return b.Extend(c,b.Observable),c.prototype.render=function(){var b=a('');return b.attr("dir",this.options.get("dir")),this.$dropdown=b,b},c.prototype.bind=function(){},c.prototype.position=function(a,b){},c.prototype.destroy=function(){this.$dropdown.remove()},c}),b.define("select2/dropdown/search",["jquery","../utils"],function(a,b){function c(){}return c.prototype.render=function(b){var c=b.call(this),d=a('');return this.$searchContainer=d,this.$search=d.find("input"),c.prepend(d),c},c.prototype.bind=function(b,c,d){var e=this;b.call(this,c,d),this.$search.on("keydown",function(a){e.trigger("keypress",a),e._keyUpPrevented=a.isDefaultPrevented()}),this.$search.on("input",function(b){a(this).off("keyup")}),this.$search.on("keyup input",function(a){e.handleSearch(a)}),c.on("open",function(){e.$search.attr("tabindex",0),e.$search.focus(),window.setTimeout(function(){e.$search.focus()},0)}),c.on("close",function(){e.$search.attr("tabindex",-1),e.$search.val("")}),c.on("results:all",function(a){if(null==a.query.term||""===a.query.term){var b=e.showSearch(a);b?e.$searchContainer.removeClass("select2-search--hide"):e.$searchContainer.addClass("select2-search--hide")}})},c.prototype.handleSearch=function(a){if(!this._keyUpPrevented){var b=this.$search.val();this.trigger("query",{term:b})}this._keyUpPrevented=!1},c.prototype.showSearch=function(a,b){return!0},c}),b.define("select2/dropdown/hidePlaceholder",[],function(){function a(a,b,c,d){this.placeholder=this.normalizePlaceholder(c.get("placeholder")),a.call(this,b,c,d)}return a.prototype.append=function(a,b){b.results=this.removePlaceholder(b.results),a.call(this,b)},a.prototype.normalizePlaceholder=function(a,b){return"string"==typeof b&&(b={id:"",text:b}),b},a.prototype.removePlaceholder=function(a,b){for(var c=b.slice(0),d=b.length-1;d>=0;d--){var e=b[d];this.placeholder.id===e.id&&c.splice(d,1)}return c},a}),b.define("select2/dropdown/infiniteScroll",["jquery"],function(a){function b(a,b,c,d){this.lastParams={},a.call(this,b,c,d),this.$loadingMore=this.createLoadingMore(),this.loading=!1}return b.prototype.append=function(a,b){this.$loadingMore.remove(),this.loading=!1,a.call(this,b),this.showLoadingMore(b)&&this.$results.append(this.$loadingMore)},b.prototype.bind=function(b,c,d){var e=this;b.call(this,c,d),c.on("query",function(a){e.lastParams=a,e.loading=!0}),c.on("query:append",function(a){e.lastParams=a,e.loading=!0}),this.$results.on("scroll",function(){var b=a.contains(document.documentElement,e.$loadingMore[0]);if(!e.loading&&b){var c=e.$results.offset().top+e.$results.outerHeight(!1),d=e.$loadingMore.offset().top+e.$loadingMore.outerHeight(!1);c+50>=d&&e.loadMore()}})},b.prototype.loadMore=function(){this.loading=!0;var b=a.extend({},{page:1},this.lastParams);b.page++,this.trigger("query:append",b)},b.prototype.showLoadingMore=function(a,b){return b.pagination&&b.pagination.more},b.prototype.createLoadingMore=function(){var b=a('
          • '),c=this.options.get("translations").get("loadingMore");return b.html(c(this.lastParams)),b},b}),b.define("select2/dropdown/attachBody",["jquery","../utils"],function(a,b){function c(b,c,d){this.$dropdownParent=d.get("dropdownParent")||a(document.body),b.call(this,c,d)}return c.prototype.bind=function(a,b,c){var d=this,e=!1;a.call(this,b,c),b.on("open",function(){d._showDropdown(),d._attachPositioningHandler(b),e||(e=!0,b.on("results:all",function(){d._positionDropdown(),d._resizeDropdown()}),b.on("results:append",function(){d._positionDropdown(),d._resizeDropdown()}))}),b.on("close",function(){d._hideDropdown(),d._detachPositioningHandler(b)}),this.$dropdownContainer.on("mousedown",function(a){a.stopPropagation()})},c.prototype.destroy=function(a){a.call(this),this.$dropdownContainer.remove()},c.prototype.position=function(a,b,c){b.attr("class",c.attr("class")),b.removeClass("select2"),b.addClass("select2-container--open"),b.css({position:"absolute",top:-999999}),this.$container=c},c.prototype.render=function(b){var c=a(""),d=b.call(this);return c.append(d),this.$dropdownContainer=c,c},c.prototype._hideDropdown=function(a){this.$dropdownContainer.detach()},c.prototype._attachPositioningHandler=function(c,d){var e=this,f="scroll.select2."+d.id,g="resize.select2."+d.id,h="orientationchange.select2."+d.id,i=this.$container.parents().filter(b.hasScroll);i.each(function(){a(this).data("select2-scroll-position",{x:a(this).scrollLeft(),y:a(this).scrollTop()})}),i.on(f,function(b){var c=a(this).data("select2-scroll-position");a(this).scrollTop(c.y)}),a(window).on(f+" "+g+" "+h,function(a){e._positionDropdown(),e._resizeDropdown()})},c.prototype._detachPositioningHandler=function(c,d){var e="scroll.select2."+d.id,f="resize.select2."+d.id,g="orientationchange.select2."+d.id,h=this.$container.parents().filter(b.hasScroll);h.off(e),a(window).off(e+" "+f+" "+g)},c.prototype._positionDropdown=function(){var b=a(window),c=this.$dropdown.hasClass("select2-dropdown--above"),d=this.$dropdown.hasClass("select2-dropdown--below"),e=null,f=(this.$container.position(),this.$container.offset());f.bottom=f.top+this.$container.outerHeight(!1);var g={height:this.$container.outerHeight(!1)};g.top=f.top,g.bottom=f.top+g.height;var h={height:this.$dropdown.outerHeight(!1)},i={top:b.scrollTop(),bottom:b.scrollTop()+b.height()},j=i.topf.bottom+h.height,l={left:f.left,top:g.bottom};if("static"!==this.$dropdownParent[0].style.position){var m=this.$dropdownParent.offset();l.top-=m.top,l.left-=m.left}c||d||(e="below"),k||!j||c?!j&&k&&c&&(e="below"):e="above",("above"==e||c&&"below"!==e)&&(l.top=g.top-h.height),null!=e&&(this.$dropdown.removeClass("select2-dropdown--below select2-dropdown--above").addClass("select2-dropdown--"+e),this.$container.removeClass("select2-container--below select2-container--above").addClass("select2-container--"+e)),this.$dropdownContainer.css(l)},c.prototype._resizeDropdown=function(){var a={width:this.$container.outerWidth(!1)+"px"};this.options.get("dropdownAutoWidth")&&(a.minWidth=a.width,a.width="auto"),this.$dropdown.css(a)},c.prototype._showDropdown=function(a){this.$dropdownContainer.appendTo(this.$dropdownParent),this._positionDropdown(),this._resizeDropdown()},c}),b.define("select2/dropdown/minimumResultsForSearch",[],function(){function a(b){for(var c=0,d=0;d0&&(l.dataAdapter=j.Decorate(l.dataAdapter,r)),l.maximumInputLength>0&&(l.dataAdapter=j.Decorate(l.dataAdapter,s)),l.maximumSelectionLength>0&&(l.dataAdapter=j.Decorate(l.dataAdapter,t)),l.tags&&(l.dataAdapter=j.Decorate(l.dataAdapter,p)),null==l.tokenSeparators&&null==l.tokenizer||(l.dataAdapter=j.Decorate(l.dataAdapter,q)),null!=l.query){var C=b(l.amdBase+"compat/query");l.dataAdapter=j.Decorate(l.dataAdapter,C)}if(null!=l.initSelection){var D=b(l.amdBase+"compat/initSelection");l.dataAdapter=j.Decorate(l.dataAdapter,D)}}if(null==l.resultsAdapter&&(l.resultsAdapter=c,null!=l.ajax&&(l.resultsAdapter=j.Decorate(l.resultsAdapter,x)),null!=l.placeholder&&(l.resultsAdapter=j.Decorate(l.resultsAdapter,w)),l.selectOnClose&&(l.resultsAdapter=j.Decorate(l.resultsAdapter,A))),null==l.dropdownAdapter){if(l.multiple)l.dropdownAdapter=u;else{var E=j.Decorate(u,v);l.dropdownAdapter=E}if(0!==l.minimumResultsForSearch&&(l.dropdownAdapter=j.Decorate(l.dropdownAdapter,z)),l.closeOnSelect&&(l.dropdownAdapter=j.Decorate(l.dropdownAdapter,B)),null!=l.dropdownCssClass||null!=l.dropdownCss||null!=l.adaptDropdownCssClass){var F=b(l.amdBase+"compat/dropdownCss");l.dropdownAdapter=j.Decorate(l.dropdownAdapter,F)}l.dropdownAdapter=j.Decorate(l.dropdownAdapter,y)}if(null==l.selectionAdapter){if(l.multiple?l.selectionAdapter=e:l.selectionAdapter=d,null!=l.placeholder&&(l.selectionAdapter=j.Decorate(l.selectionAdapter,f)),l.allowClear&&(l.selectionAdapter=j.Decorate(l.selectionAdapter,g)),l.multiple&&(l.selectionAdapter=j.Decorate(l.selectionAdapter,h)),null!=l.containerCssClass||null!=l.containerCss||null!=l.adaptContainerCssClass){var G=b(l.amdBase+"compat/containerCss");l.selectionAdapter=j.Decorate(l.selectionAdapter,G)}l.selectionAdapter=j.Decorate(l.selectionAdapter,i)}if("string"==typeof l.language)if(l.language.indexOf("-")>0){var H=l.language.split("-"),I=H[0];l.language=[l.language,I]}else l.language=[l.language];if(a.isArray(l.language)){var J=new k;l.language.push("en");for(var K=l.language,L=0;L0){for(var f=a.extend(!0,{},e),g=e.children.length-1;g>=0;g--){var h=e.children[g],i=c(d,h);null==i&&f.children.splice(g,1)}return f.children.length>0?f:c(d,f)}var j=b(e.text).toUpperCase(),k=b(d.term).toUpperCase();return j.indexOf(k)>-1?e:null}this.defaults={amdBase:"./",amdLanguageBase:"./i18n/",closeOnSelect:!0,debug:!1,dropdownAutoWidth:!1,escapeMarkup:j.escapeMarkup,language:C,matcher:c,minimumInputLength:0,maximumInputLength:0,maximumSelectionLength:0,minimumResultsForSearch:0,selectOnClose:!1,sorter:function(a){return a},templateResult:function(a){return a.text},templateSelection:function(a){return a.text},theme:"default",width:"resolve"}},D.prototype.set=function(b,c){var d=a.camelCase(b),e={};e[d]=c;var f=j._convertData(e);a.extend(this.defaults,f)};var E=new D;return E}),b.define("select2/options",["require","jquery","./defaults","./utils"],function(a,b,c,d){function e(b,e){if(this.options=b,null!=e&&this.fromElement(e),this.options=c.apply(this.options),e&&e.is("input")){var f=a(this.get("amdBase")+"compat/inputData");this.options.dataAdapter=d.Decorate(this.options.dataAdapter,f)}}return e.prototype.fromElement=function(a){var c=["select2"];null==this.options.multiple&&(this.options.multiple=a.prop("multiple")),null==this.options.disabled&&(this.options.disabled=a.prop("disabled")),null==this.options.language&&(a.prop("lang")?this.options.language=a.prop("lang").toLowerCase():a.closest("[lang]").prop("lang")&&(this.options.language=a.closest("[lang]").prop("lang"))),null==this.options.dir&&(a.prop("dir")?this.options.dir=a.prop("dir"):a.closest("[dir]").prop("dir")?this.options.dir=a.closest("[dir]").prop("dir"):this.options.dir="ltr"),a.prop("disabled",this.options.disabled),a.prop("multiple",this.options.multiple),a.data("select2Tags")&&(this.options.debug&&window.console&&console.warn&&console.warn('Select2: The `data-select2-tags` attribute has been changed to use the `data-data` and `data-tags="true"` attributes and will be removed in future versions of Select2.'),a.data("data",a.data("select2Tags")),a.data("tags",!0)),a.data("ajaxUrl")&&(this.options.debug&&window.console&&console.warn&&console.warn("Select2: The `data-ajax-url` attribute has been changed to `data-ajax--url` and support for the old attribute will be removed in future versions of Select2."),a.attr("ajax--url",a.data("ajaxUrl")),a.data("ajax--url",a.data("ajaxUrl")));var e={};e=b.fn.jquery&&"1."==b.fn.jquery.substr(0,2)&&a[0].dataset?b.extend(!0,{},a[0].dataset,a.data()):a.data();var f=b.extend(!0,{},e);f=d._convertData(f);for(var g in f)b.inArray(g,c)>-1||(b.isPlainObject(this.options[g])?b.extend(this.options[g],f[g]):this.options[g]=f[g]);return this},e.prototype.get=function(a){return this.options[a]},e.prototype.set=function(a,b){this.options[a]=b},e}),b.define("select2/core",["jquery","./options","./utils","./keys"],function(a,b,c,d){var e=function(a,c){null!=a.data("select2")&&a.data("select2").destroy(),this.$element=a,this.id=this._generateId(a),c=c||{},this.options=new b(c,a),e.__super__.constructor.call(this);var d=a.attr("tabindex")||0;a.data("old-tabindex",d),a.attr("tabindex","-1");var f=this.options.get("dataAdapter");this.dataAdapter=new f(a,this.options);var g=this.render();this._placeContainer(g);var h=this.options.get("selectionAdapter");this.selection=new h(a,this.options),this.$selection=this.selection.render(),this.selection.position(this.$selection,g);var i=this.options.get("dropdownAdapter");this.dropdown=new i(a,this.options),this.$dropdown=this.dropdown.render(),this.dropdown.position(this.$dropdown,g);var j=this.options.get("resultsAdapter");this.results=new j(a,this.options,this.dataAdapter),this.$results=this.results.render(),this.results.position(this.$results,this.$dropdown);var k=this;this._bindAdapters(),this._registerDomEvents(),this._registerDataEvents(),this._registerSelectionEvents(),this._registerDropdownEvents(),this._registerResultsEvents(),this._registerEvents(),this.dataAdapter.current(function(a){k.trigger("selection:update",{data:a})}),a.addClass("select2-hidden-accessible"),a.attr("aria-hidden","true"),this._syncAttributes(),a.data("select2",this)};return c.Extend(e,c.Observable),e.prototype._generateId=function(a){var b="";return b=null!=a.attr("id")?a.attr("id"):null!=a.attr("name")?a.attr("name")+"-"+c.generateChars(2):c.generateChars(4),b="select2-"+b},e.prototype._placeContainer=function(a){a.insertAfter(this.$element);var b=this._resolveWidth(this.$element,this.options.get("width"));null!=b&&a.css("width",b)},e.prototype._resolveWidth=function(a,b){var c=/^width:(([-+]?([0-9]*\.)?[0-9]+)(px|em|ex|%|in|cm|mm|pt|pc))/i;if("resolve"==b){var d=this._resolveWidth(a,"style");return null!=d?d:this._resolveWidth(a,"element")}if("element"==b){var e=a.outerWidth(!1);return 0>=e?"auto":e+"px"}if("style"==b){var f=a.attr("style");if("string"!=typeof f)return null;for(var g=f.split(";"),h=0,i=g.length;i>h;h+=1){var j=g[h].replace(/\s/g,""),k=j.match(c);if(null!==k&&k.length>=1)return k[1]}return null}return b},e.prototype._bindAdapters=function(){this.dataAdapter.bind(this,this.$container),this.selection.bind(this,this.$container),this.dropdown.bind(this,this.$container),this.results.bind(this,this.$container)},e.prototype._registerDomEvents=function(){var b=this;this.$element.on("change.select2",function(){b.dataAdapter.current(function(a){b.trigger("selection:update",{data:a})})}),this._sync=c.bind(this._syncAttributes,this),this.$element[0].attachEvent&&this.$element[0].attachEvent("onpropertychange",this._sync);var d=window.MutationObserver||window.WebKitMutationObserver||window.MozMutationObserver;null!=d?(this._observer=new d(function(c){a.each(c,b._sync)}),this._observer.observe(this.$element[0],{attributes:!0,subtree:!1})):this.$element[0].addEventListener&&this.$element[0].addEventListener("DOMAttrModified",b._sync,!1)},e.prototype._registerDataEvents=function(){var a=this;this.dataAdapter.on("*",function(b,c){a.trigger(b,c)})},e.prototype._registerSelectionEvents=function(){var b=this,c=["toggle","focus"];this.selection.on("toggle",function(){b.toggleDropdown()}),this.selection.on("focus",function(a){b.focus(a)}),this.selection.on("*",function(d,e){-1===a.inArray(d,c)&&b.trigger(d,e)})},e.prototype._registerDropdownEvents=function(){var a=this;this.dropdown.on("*",function(b,c){a.trigger(b,c)})},e.prototype._registerResultsEvents=function(){var a=this;this.results.on("*",function(b,c){a.trigger(b,c)})},e.prototype._registerEvents=function(){var a=this;this.on("open",function(){a.$container.addClass("select2-container--open")}),this.on("close",function(){a.$container.removeClass("select2-container--open")}),this.on("enable",function(){a.$container.removeClass("select2-container--disabled")}),this.on("disable",function(){a.$container.addClass("select2-container--disabled")}),this.on("blur",function(){a.$container.removeClass("select2-container--focus")}),this.on("query",function(b){a.isOpen()||a.trigger("open",{}),this.dataAdapter.query(b,function(c){a.trigger("results:all",{data:c,query:b})})}),this.on("query:append",function(b){this.dataAdapter.query(b,function(c){a.trigger("results:append",{data:c,query:b})})}),this.on("keypress",function(b){var c=b.which;a.isOpen()?c===d.ESC||c===d.TAB||c===d.UP&&b.altKey?(a.close(),b.preventDefault()):c===d.ENTER?(a.trigger("results:select",{}),b.preventDefault()):c===d.SPACE&&b.ctrlKey?(a.trigger("results:toggle",{}),b.preventDefault()):c===d.UP?(a.trigger("results:previous",{}),b.preventDefault()):c===d.DOWN&&(a.trigger("results:next",{}),b.preventDefault()):(c===d.ENTER||c===d.SPACE||c===d.DOWN&&b.altKey)&&(a.open(),b.preventDefault())})},e.prototype._syncAttributes=function(){this.options.set("disabled",this.$element.prop("disabled")),this.options.get("disabled")?(this.isOpen()&&this.close(),this.trigger("disable",{})):this.trigger("enable",{})},e.prototype.trigger=function(a,b){var c=e.__super__.trigger,d={open:"opening",close:"closing",select:"selecting",unselect:"unselecting"};if(void 0===b&&(b={}),a in d){var f=d[a],g={prevented:!1,name:a,args:b};if(c.call(this,f,g),g.prevented)return void(b.prevented=!0)}c.call(this,a,b)},e.prototype.toggleDropdown=function(){this.options.get("disabled")||(this.isOpen()?this.close():this.open())},e.prototype.open=function(){this.isOpen()||this.trigger("query",{})},e.prototype.close=function(){this.isOpen()&&this.trigger("close",{})},e.prototype.isOpen=function(){return this.$container.hasClass("select2-container--open")},e.prototype.hasFocus=function(){return this.$container.hasClass("select2-container--focus")},e.prototype.focus=function(a){this.hasFocus()||(this.$container.addClass("select2-container--focus"),this.trigger("focus",{}))},e.prototype.enable=function(a){this.options.get("debug")&&window.console&&console.warn&&console.warn('Select2: The `select2("enable")` method has been deprecated and will be removed in later Select2 versions. Use $element.prop("disabled") instead.'),null!=a&&0!==a.length||(a=[!0]);var b=!a[0];this.$element.prop("disabled",b)},e.prototype.data=function(){this.options.get("debug")&&arguments.length>0&&window.console&&console.warn&&console.warn('Select2: Data can no longer be set using `select2("data")`. You should consider setting the value instead using `$element.val()`.');var a=[];return this.dataAdapter.current(function(b){a=b}),a},e.prototype.val=function(b){if(this.options.get("debug")&&window.console&&console.warn&&console.warn('Select2: The `select2("val")` method has been deprecated and will be removed in later Select2 versions. Use $element.val() instead.'),null==b||0===b.length)return this.$element.val();var c=b[0];a.isArray(c)&&(c=a.map(c,function(a){return a.toString()})),this.$element.val(c).trigger("change")},e.prototype.destroy=function(){this.$container.remove(),this.$element[0].detachEvent&&this.$element[0].detachEvent("onpropertychange",this._sync),null!=this._observer?(this._observer.disconnect(),this._observer=null):this.$element[0].removeEventListener&&this.$element[0].removeEventListener("DOMAttrModified",this._sync,!1),this._sync=null,this.$element.off(".select2"),this.$element.attr("tabindex",this.$element.data("old-tabindex")),this.$element.removeClass("select2-hidden-accessible"),this.$element.attr("aria-hidden","false"),this.$element.removeData("select2"),this.dataAdapter.destroy(),this.selection.destroy(),this.dropdown.destroy(),this.results.destroy(),this.dataAdapter=null,this.selection=null,this.dropdown=null,this.results=null},e.prototype.render=function(){var b=a(''); +return b.attr("dir",this.options.get("dir")),this.$container=b,this.$container.addClass("select2-container--"+this.options.get("theme")),b.data("element",this.$element),b},e}),b.define("jquery-mousewheel",["jquery"],function(a){return a}),b.define("jquery.select2",["jquery","jquery-mousewheel","./select2/core","./select2/defaults"],function(a,b,c,d){if(null==a.fn.select2){var e=["open","close","destroy"];a.fn.select2=function(b){if(b=b||{},"object"==typeof b)return this.each(function(){var d=a.extend(!0,{},b);new c(a(this),d)}),this;if("string"==typeof b){var d;return this.each(function(){var c=a(this).data("select2");null==c&&window.console&&console.error&&console.error("The select2('"+b+"') method was called on an element that is not using Select2.");var e=Array.prototype.slice.call(arguments,1);d=c[b].apply(c,e)}),a.inArray(b,e)>-1?this:d}throw new Error("Invalid arguments for Select2: "+b)}}return null==a.fn.select2.defaults&&(a.fn.select2.defaults=d),c}),{define:b.define,require:b.require}}(),c=b.require("jquery.select2");return a.fn.select2.amd=b,c}),angular.module("sprintf",[]).filter("sprintf",function(){return function(){return sprintf.apply(null,arguments)}}).filter("fmt",["$filter",function(a){return a("sprintf")}]).filter("vsprintf",function(){return function(a,b){return vsprintf(a,b)}}).filter("vfmt",["$filter",function(a){return a("vsprintf")}]),angular.module("ui.navbar",["ui.bootstrap","template/navbar-ul.html","template/navbar-li.html"]).directive("tree",function(){return{restrict:"E",replace:!0,scope:{tree:"="},templateUrl:"template/navbar-ul.html"}}).directive("leaf",["$compile",function(a){return{restrict:"E",replace:!0,scope:{leaf:"="},templateUrl:"template/navbar-li.html",link:function(b,c,d){if(angular.isArray(b.leaf.subtree)){c.append('');for(var e=c.parent(),f=!1;e.length>0&&!f;)e.hasClass("navbar-right")&&(f=!0),e=e.parent();f?c.addClass("dropdown-submenu-right"):c.addClass("dropdown-submenu"),a(c.contents())(b)}}}}]),angular.module("template/navbar-li.html",[]).run(["$templateCache",function(a){a.put("template/navbar-li.html",'
          • \n {{leaf.name}}\n
          • ')}]),angular.module("template/navbar-ul.html",[]).run(["$templateCache",function(a){a.put("template/navbar-ul.html","")}]),angular.module("ngAudio",[]).directive("ngAudio",["$compile","$q","ngAudio",function(a,b,c){return{restrict:"AEC",scope:{volume:"=",start:"=",currentTime:"=",loop:"=",clickPlay:"=",disablePreload:"="},controller:["$scope","$attrs","$element","$timeout",function(a,b,d,e){function f(){g=c.load(b.ngAudio,a),a.$audio=g,g.unbind()}var g;a.disablePreload||f(),d.on("click",function(){a.clickPlay!==!1&&(a.disablePreload&&f(),g.audio.play(),g.volume=a.volume||g.volume,g.loop=a.loop,g.currentTime=a.start||0,e(function(){g.play()},5))}),d.on("$destroy",function(){g.destroy()})}]}}]).directive("ngAudioHover",["$compile","$q","ngAudio",function(a,b,c){return{restrict:"AEC",controller:["$scope","$attrs","$element","$timeout",function(a,b,d,e){var f=c.load(b.ngAudioHover,a);d.on("mouseover rollover hover",function(){f.audio.play(),f.volume=b.volumeHover||f.volume,f.loop=b.loop,f.currentTime=b.startHover||0}),d.on("$destroy",function(){f.destroy()})}]}}]).service("localAudioFindingService",["$q",function(a){this.find=function(b){var c=a.defer(),d=document.getElementById(b);return d?c.resolve(d):c.reject(b),c.promise}}]).service("remoteAudioFindingService",["$q",function(a){this.find=function(b){var c=a.defer(),d=new Audio;return d.addEventListener("error",function(){c.reject()}),d.addEventListener("loadstart",function(){c.resolve(d)}),setTimeout(function(){d.src=b},1),c.promise}}]).service("cleverAudioFindingService",["$q","localAudioFindingService","remoteAudioFindingService",function(a,b,c){this.find=function(d){var e=a.defer();return d=d.replace("|","/"),b.find(d).then(e.resolve,function(){return c.find(d)}).then(e.resolve,e.reject),e.promise}}]).value("ngAudioGlobals",{muting:!1,songmuting:!1,performance:25,unlock:!0}).factory("NgAudioObject",["cleverAudioFindingService","$rootScope","$interval","$timeout","ngAudioGlobals",function(a,b,c,d,e){return function(d,f){function g(){o.play(),o.pause(),window.removeEventListener("click",g)}function h(){w||(A&&c.cancel(A),l&&l(),k&&k(),w=!0)}function i(){w||(k=x.$watch(function(){return{volume:y.volume,currentTime:y.currentTime,progress:y.progress,muting:y.muting,loop:y.loop,playbackRate:y.playbackRate}},function(a,b){a.currentTime!==b.currentTime&&y.setCurrentTime(a.currentTime),a.progress!==b.progress&&y.setProgress(a.progress),a.volume!==b.volume&&y.setVolume(a.volume),a.playbackRate!==b.playbackRate&&y.setPlaybackRate(a.playbackRate),n=a.loop,a.muting!==b.muting&&y.setMuting(a.muting)},!0))}function j(){k&&k(),o&&(u||e.isMuting?o.volume=0:o.volume=void 0!==y.volume?y.volume:1,p&&(o.play(),p=!1),r&&(o.pause(),o.currentTime=0,r=!1),q&&(o.pause(),q=!1),s&&(o.playbackRate=t,s=!1),m&&(o.volume=m,m=void 0),v&&(y.currentTime=o.currentTime,y.duration=o.duration,y.remaining=o.duration-o.currentTime,y.progress=o.currentTime/o.duration,y.paused=o.paused,y.src=o.src,y.currentTime>=y.duration&&z.forEach(function(a){a(y)}),n&&y.currentTime>=y.duration&&(n!==!0&&(n--,y.loop--),y.setCurrentTime(0),y.play())),u||e.isMuting||(y.volume=o.volume),y.audio=o),i()}var k,l,m,n,o,p=!1,q=!1,r=!1,s=!1,t=!1,u=!1,v=!0,w=!1,x=f||b,y=this;this.id=d,this.safeId=d.replace("/","|"),this.loop=0,this.unbind=function(){v=!1},this.play=function(){return p=!0,this};var z=[];this.complete=function(a){z.push(a)},this.pause=function(){q=!0},this.restart=function(){r=!0},this.stop=function(){this.restart()},this.setVolume=function(a){m=a},this.setPlaybackRate=function(a){t=a,s=!0},this.setMuting=function(a){u=a},this.setProgress=function(a){o&&o.duration&&isFinite(a)&&(o.currentTime=o.duration*a)},this.setCurrentTime=function(a){o&&o.duration&&(o.currentTime=a)},this.destroy=h,x.$on("$destroy",function(){h()}),a.find(d).then(function(a){o=a,e.unlock&&(window.addEventListener("click",g),o.addEventListener("playing",function(){window.removeEventListener("click",g)})),o.addEventListener("canplay",function(){y.canPlay=!0})},function(a){y.error=!0,console.warn(a)});var A=c(j,e.performance);l=x.$watch(function(){return e.performance},function(){c.cancel(A),A=c(j,e.performance)})}}]).service("ngAudio",["NgAudioObject","ngAudioGlobals",function(a,b){this.play=function(b,c){var d=new a(b,c);return d.play(),d},this.load=function(b,c){return new a(b,c)},this.mute=function(){b.muting=!0},this.unmute=function(){b.muting=!1},this.toggleMute=function(){b.muting=!b.muting},this.setUnlock=function(a){b.unlock=a}}]).filter("trackTime",function(){return function(a){var b=Math.floor(0|a),c="",d=0,e=0,f=0;return b>3599?(d=Math.floor(b/3600),e=Math.floor((b-3600*d)/60),f=b-(60*e+3600*d),1==d.toString().length&&(d="0"+Math.floor(b/3600).toString()),1==e.toString().length&&(e="0"+Math.floor((b-3600*d)/60).toString()),1==f.toString().length&&(f="0"+(b-(60*e+3600*d)).toString()),c=d+":"+e+":"+f):b>59?(e=Math.floor(b/60),f=b-60*e,1==e.toString().length&&(e="0"+Math.floor(b/60).toString()),1==f.toString().length&&(f="0"+(b-60*e).toString()),c=e+":"+f):(f=b,1==f.toString().length&&(f="0"+b.toString()),c=b+"s"),"function"==typeof Number.isNaN&&Number.isNaN(c),c}}),function(){"use strict";angular.module("ngEmoticons",["ngSanitize"]).filter("emoticons",["$sce",function(a){var b=[{text:":)","class":"smiley",code:"e60a"},{text:":D","class":"happy",code:"e608"},{text:":d","class":"happy",code:"e608"},{text:":(","class":"sad",code:"e60e"},{text:":/","class":"wondering",code:"e620"},{text:":P","class":"tongue",code:"e60c"},{text:":p","class":"tongue",code:"e60c"},{text:"3:)","class":"evil",code:"e618"},{text:"(^)","class":"thumbsup2",code:"e607"},{text:";)","class":"wink",code:"e610"},{text:":o","class":"shocked",code:"e61a"},{text:"-_-","class":"neutral",code:"e61e"},{text:"(y)","class":"thumbs-up",code:"e606"},{text:":*","class":"heart",code:"e604"},{text:"<3","class":"heart",code:"e604"},{text:"<3","class":"heart",code:"e604"},{text:"</3","class":"heart-broken",code:"e605"},{text:"&#x'+a.code+"")}),c.join(" ")}function h(a){var b=/((href|src)=["']|)(\b(https?|ftp|file):\/\/[-A-Z0-9+()&@#\/%?=~_|!:,.;]*[-A-Z0-9+&@#\/%=~_|])/gi,c=a.replace(b,function(a){return''+a+""});return c}function i(a){var b=new RegExp(":("+c.join("|")+"):","g");return a.replace(b,function(a,b){return""})}var j={link:!0,linkTarget:"_self"};return f(j,e),void 0!==d&&null!==d?"object"==typeof d?d:(d=g(d),d=i(d),j.link&&(d=h(d)),a.trustAsHtml(d)):void 0}}]).directive("ngEmoticons",["$filter","$sce","$http","$timeout","$templateCache",function(a,b,c,d,e){var f="",g='
            {{video.description}}
            {{video.views}} {{video.likes}}
            ';return e.put(f,g),{restrict:"AE",scope:!0,templateUrl:function(a,b){return b.emoticonsTemplateUrl||f},link:function(e,f,g){function h(a){return angular.forEach(arguments,function(b){b!==a&&angular.forEach(b,function(b,c){a[c]&&a[c].constructor&&a[c].constructor===Object?h(a[c],b):a[c]=b})}),a}var i=e.$eval(g.emoticonsData),j=e.$eval(g.emoticonsOptions);e.video={},e.image={},e.pdf={},e.audio={};var k={link:!0,linkTarget:"_self",pdf:{embed:!0},image:{embed:!1},audio:{embed:!0},code:{highlight:!0,lineNumbers:!1},basicVideo:!1,video:{embed:!1,width:null,height:null,ytTheme:"dark",details:!1,thumbnailQuality:"medium",ytAuthKey:null}};h(k,j),String.prototype.trunc=function(a,b){var c=this.length>a,d=c?this.substr(0,a-1):this;return d=b&&c?d.substr(0,d.lastIndexOf(" ")):d,c?d+"...":d};var l={calcDimensions:function(a){var b={width:null,height:null};return b.width=a.video.width,b.height=a.video.height,a.video.height&&a.video.width?b:a.video.height?(b.width=a.video.height/390*640,b):a.video.width?(b.height=b.width/640*390,b):(b.width=640,b.height=390,b)},embed:function(a,d){var f=/https?:\/\/(?:[0-9A-Z-]+\.)?(?:youtu\.be\/|youtube\.com(?:\/embed\/|\/v\/|\/watch\?v=|\/ytscreeningroom\?v=|\/feeds\/api\/videos\/|\/user\S*[^\w\-\s]|\S*[^\w\-\s]))([\w\-]{11})[?=&+%\w-]*/gi;if(a.match(f)){var g=this.calcDimensions(d);return e.video.id=RegExp.$1,d.video.details?c.get("https://www.googleapis.com/youtube/v3/videos?id="+RegExp.$1+"&key="+d.video.ytAuthKey+"&part=snippet,statistics").success(function(a){var c=a.items[0];e.video.host="youtube",e.video.title=c.snippet.title,e.video.thumbnail=c.snippet.thumbnails.medium.url,e.video.description=c.snippet.description.trunc(250,!0).replace(/\n/g," ").replace(/ /g," "),e.video.rawDescription=c.snippet.description,e.video.views=c.statistics.viewCount,e.video.likes=c.statistics.likeCount,e.video.uploader=c.snippet.channelTitle,e.video.uploaderPage="https://www.youtube.com/channel/"+c.snippet.channelId,e.video.uploadDate=c.snippet.publishedAt,e.video.url=b.trustAsResourceUrl("https://www.youtube.com/watch?v="+c.id),e.video.embedSrc=b.trustAsResourceUrl("https://www.youtube.com/embed/"+e.video.id+"?autoplay=1"),e.video.width=g.width,e.video.height=g.height}):(e.video.width=g.width,e.video.height=g.height,e.video.host="youtube",e.video.embedSrc=b.trustAsResourceUrl("https://www.youtube.com/embed/"+RegExp.$1+"?autoplay=0")),a}var h=/https?:\/\/(?:www\.)?vimeo.com\/(?:channels\/(?:\w+\/)?|groups\/([^\/]*)\/videos\/|album\/(\d+)\/video\/|)(\d+)(?:$|\/|\?)*/gi;if(a.match(h)){var i=this.calcDimensions(d);e.video.id=RegExp.$3,d.video.details?c.get("https://vimeo.com/api/v2/video/"+RegExp.$3+".json").success(function(a){e.video.host="vimeo",e.video.title=a[0].title,e.video.rawDescription=a[0].description.replace(/\n/g,"
            ").replace(/ /g,"
            "),e.video.description=a[0].description.replace(/((<|<)br\s*\/*(>|>)\r\n)/g," ").trunc(250,!0),e.video.thumbnail=a[0].thumbnail_medium,e.video.views=a[0].stats_number_of_plays,e.video.likes=a[0].stats_number_of_likes,e.video.uploader=a[0].user_name,e.video.uploaderPage=a[0].user_url,e.video.uploadDate=a[0].uploadDate,e.video.url=a[0].url,e.video.embedSrc=b.trustAsResourceUrl("//player.vimeo.com/video/"+a[0].id+"?title=0&byline=0&portrait=0&autoplay=1"),e.video.width=i.width,e.video.height=i.height}):(e.video.width=i.width,e.video.height=i.height,e.video.host="vimeo",e.video.embedSrc=b.trustAsResourceUrl("//player.vimeo.com/video/"+RegExp.$3+"?title=0&byline=0&portrait=0&autoplay=0"))}return a},embedBasic:function(a){var c=/((?:https?):\/\/\S*\.(?:ogv|webm|mp4))/gi;return a.match(c)&&(e.video.basic=b.trustAsResourceUrl(RegExp.$1)),a}},m={embed:function(a){var c=/((?:https?):\/\/\S*\.(?:wav|mp3|ogg))/gi;return a.match(c)&&(e.audio.url=b.trustAsResourceUrl(RegExp.$1)),a}},n={embed:function(a){var b=/((?:https?):\/\/\S*\.(?:gif|jpg|jpeg|tiff|png|svg|webp))/gi;return a.match(b)&&(e.image.url=RegExp.$1),a}},o={encodeCode:function(a){return a=a.replace(/\&/gm,"&"),a=a.replace(//gm,">")},getCode:function(a){var b=this;return a=a.replace(/(`+)(\s|[a-z]+)\s*([\s\S]*?[^`])\s*\1(?!`)/gm,function(a,c,d,e){var f=e;return f=f.replace(/^([ \t]*)/g,""),f=f.replace(/[ \t]*$/g,""),f=b.encodeCode(f),f=f.replace(/:\/\//g,"~P"),'
            '+f+"
            "})}},p={embed:function(a){var c=/((?:https?):\/\/\S*\.(?:pdf|PDF))/gi;return a.match(c)&&(e.pdf.url=b.trustAsResourceUrl(RegExp.$1)),a}};if(k.code.highlight){if(!window.hljs)throw"hlsj (Highlight JS is not defined.";i=o.getCode(i),d(function(){hljs.initHighlighting(),k.code.lineNumbers&&angular.element(".ne-code").each(function(){var a=1,b=$(this).text().split("\n").length,c=$("
              ").addClass("pre-numbering");for(angular.element(this).addClass("has-numbering").parent().append(c),a;b>=a;a++)c.append(angular.element("
            • ").text(a))})},0)}var q=a("emoticons")(i,k).$$unwrapTrustedValue();if(k.video.embed){if(!k.video.ytAuthKey)throw"Youtube authentication key is required to get data from youtube.";q=l.embed(q,k)}k.basicVideo&&(q=l.embedBasic(q)),k.audio.embed&&(q=m.embed(q)),k.image.embed&&(q=n.embed(q)),k.pdf.embed&&(q=p.embed(q)),e.neText=b.trustAsHtml(q)}}}]).directive("neHljs",["$timeout",function(a){return{restrict:"AE",link:function(b,c){a(function(){c.find(".ne-code").each(function(){hljs.highlightBlock(this)})},0)}}}])}(),function(a){"undefined"!=typeof exports?a(exports):(window.hljs=a({}),"function"==typeof define&&define.amd&&define("hljs",[],function(){return window.hljs}))}(function(a){function b(a){return a.replace(/&/gm,"&").replace(//gm,">")}function c(a){return a.nodeName.toLowerCase()}function d(a,b){var c=a&&a.exec(b);return c&&0==c.index}function e(a){return/^(no-?highlight|plain|text)$/i.test(a)}function f(a){var b,c,d,f=a.className+" ";if(f+=a.parentNode?a.parentNode.className:"",c=/\blang(?:uage)?-([\w-]+)\b/i.exec(f))return u(c[1])?c[1]:"no-highlight";for(f=f.split(/\s+/),b=0,d=f.length;d>b;b++)if(u(f[b])||e(f[b]))return f[b]}function g(a,b){var c,d={};for(c in a)d[c]=a[c];if(b)for(c in b)d[c]=b[c];return d}function h(a){var b=[];return function d(a,e){for(var f=a.firstChild;f;f=f.nextSibling)3==f.nodeType?e+=f.nodeValue.length:1==f.nodeType&&(b.push({event:"start",offset:e,node:f}),e=d(f,e),c(f).match(/br|hr|img|input/)||b.push({event:"stop",offset:e,node:f}));return e}(a,0),b}function i(a,d,e){function f(){return a.length&&d.length?a[0].offset!=d[0].offset?a[0].offset"}function h(a){k+=""}function i(a){("start"==a.event?g:h)(a.node)}for(var j=0,k="",l=[];a.length||d.length;){var m=f();if(k+=b(e.substr(j,m[0].offset-j)),j=m[0].offset,m==a){l.reverse().forEach(h);do i(m.splice(0,1)[0]),m=f();while(m==a&&m.length&&m[0].offset==j);l.reverse().forEach(g)}else"start"==m[0].event?l.push(m[0].node):l.pop(),i(m.splice(0,1)[0])}return k+b(e.substr(j))}function j(a){function b(a){return a&&a.source||a}function c(c,d){return new RegExp(b(c),"m"+(a.case_insensitive?"i":"")+(d?"g":""))}function d(e,f){if(!e.compiled){if(e.compiled=!0,e.keywords=e.keywords||e.beginKeywords,e.keywords){var h={},i=function(b,c){a.case_insensitive&&(c=c.toLowerCase()),c.split(" ").forEach(function(a){var c=a.split("|");h[c[0]]=[b,c[1]?Number(c[1]):1]})};"string"==typeof e.keywords?i("keyword",e.keywords):Object.keys(e.keywords).forEach(function(a){i(a,e.keywords[a])}),e.keywords=h}e.lexemesRe=c(e.lexemes||/\b\w+\b/,!0),f&&(e.beginKeywords&&(e.begin="\\b("+e.beginKeywords.split(" ").join("|")+")\\b"),e.begin||(e.begin=/\B|\b/),e.beginRe=c(e.begin),e.end||e.endsWithParent||(e.end=/\B|\b/),e.end&&(e.endRe=c(e.end)),e.terminator_end=b(e.end)||"",e.endsWithParent&&f.terminator_end&&(e.terminator_end+=(e.end?"|":"")+f.terminator_end)),e.illegal&&(e.illegalRe=c(e.illegal)),void 0===e.relevance&&(e.relevance=1),e.contains||(e.contains=[]);var j=[];e.contains.forEach(function(a){a.variants?a.variants.forEach(function(b){j.push(g(a,b))}):j.push("self"==a?e:a)}),e.contains=j,e.contains.forEach(function(a){d(a,e)}),e.starts&&d(e.starts,f);var k=e.contains.map(function(a){return a.beginKeywords?"\\.?("+a.begin+")\\.?":a.begin}).concat([e.terminator_end,e.illegal]).map(b).filter(Boolean);e.terminators=k.length?c(k.join("|"),!0):{exec:function(){return null}}}}d(a)}function k(a,c,e,f){function g(a,b){for(var c=0;c";return f+=a+'">',f+b+g}function o(){if(!y.keywords)return b(B);var a="",c=0;y.lexemesRe.lastIndex=0;for(var d=y.lexemesRe.exec(B);d;){a+=b(B.substr(c,d.index-c));var e=m(y,d);e?(C+=e[1],a+=n(e[0],b(d[0]))):a+=b(d[0]),c=y.lexemesRe.lastIndex,d=y.lexemesRe.exec(B)}return a+b(B.substr(c))}function p(){var a="string"==typeof y.subLanguage;if(a&&!w[y.subLanguage])return b(B);var c=a?k(y.subLanguage,B,!0,z[y.subLanguage]):l(B,y.subLanguage.length?y.subLanguage:void 0);return y.relevance>0&&(C+=c.relevance),a&&(z[y.subLanguage]=c.top),n(c.language,c.value,!1,!0)}function q(){return void 0!==y.subLanguage?p():o(); +}function r(a,c){var d=a.className?n(a.className,"",!0):"";a.returnBegin?(A+=d,B=""):a.excludeBegin?(A+=b(c)+d,B=""):(A+=d,B=c),y=Object.create(a,{parent:{value:y}})}function s(a,c){if(B+=a,void 0===c)return A+=q(),0;var d=g(c,y);if(d)return A+=q(),r(d,c),d.returnBegin?0:c.length;var e=h(y,c);if(e){var f=y;f.returnEnd||f.excludeEnd||(B+=c),A+=q();do y.className&&(A+=""),C+=y.relevance,y=y.parent;while(y!=e.parent);return f.excludeEnd&&(A+=b(c)),B="",e.starts&&r(e.starts,""),f.returnEnd?0:c.length}if(i(c,y))throw new Error('Illegal lexeme "'+c+'" for mode "'+(y.className||"")+'"');return B+=c,c.length||1}var t=u(a);if(!t)throw new Error('Unknown language: "'+a+'"');j(t);var x,y=f||t,z={},A="";for(x=y;x!=t;x=x.parent)x.className&&(A=n(x.className,"",!0)+A);var B="",C=0;try{for(var D,E,F=0;;){if(y.terminators.lastIndex=F,D=y.terminators.exec(c),!D)break;E=s(c.substr(F,D.index-F),D[0]),F=D.index+E}for(s(c.substr(F)),x=y;x.parent;x=x.parent)x.className&&(A+="");return{relevance:C,value:A,language:a,top:y}}catch(G){if(-1!=G.message.indexOf("Illegal"))return{relevance:0,value:b(c)};throw G}}function l(a,c){c=c||v.languages||Object.keys(w);var d={relevance:0,value:b(a)},e=d;return c.forEach(function(b){if(u(b)){var c=k(b,a,!1);c.language=b,c.relevance>e.relevance&&(e=c),c.relevance>d.relevance&&(e=d,d=c)}}),e.language&&(d.second_best=e),d}function m(a){return v.tabReplace&&(a=a.replace(/^((<[^>]+>|\t)+)/gm,function(a,b){return b.replace(/\t/g,v.tabReplace)})),v.useBR&&(a=a.replace(/\n/g,"
              ")),a}function n(a,b,c){var d=b?x[b]:c,e=[a.trim()];return a.match(/\bhljs\b/)||e.push("hljs"),-1===a.indexOf(d)&&e.push(d),e.join(" ").trim()}function o(a){var b=f(a);if(!e(b)){var c;v.useBR?(c=document.createElementNS("http://www.w3.org/1999/xhtml","div"),c.innerHTML=a.innerHTML.replace(/\n/g,"").replace(//g,"\n")):c=a;var d=c.textContent,g=b?k(b,d,!0):l(d),j=h(c);if(j.length){var o=document.createElementNS("http://www.w3.org/1999/xhtml","div");o.innerHTML=g.value,g.value=i(j,h(o),d)}g.value=m(g.value),a.innerHTML=g.value,a.className=n(a.className,b,g.language),a.result={language:g.language,re:g.relevance},g.second_best&&(a.second_best={language:g.second_best.language,re:g.second_best.relevance})}}function p(a){v=g(v,a)}function q(){if(!q.called){q.called=!0;var a=document.querySelectorAll("pre code");Array.prototype.forEach.call(a,o)}}function r(){addEventListener("DOMContentLoaded",q,!1),addEventListener("load",q,!1)}function s(b,c){var d=w[b]=c(a);d.aliases&&d.aliases.forEach(function(a){x[a]=b})}function t(){return Object.keys(w)}function u(a){return a=(a||"").toLowerCase(),w[a]||w[x[a]]}var v={classPrefix:"hljs-",tabReplace:null,useBR:!1,languages:void 0},w={},x={};return a.highlight=k,a.highlightAuto=l,a.fixMarkup=m,a.highlightBlock=o,a.configure=p,a.initHighlighting=q,a.initHighlightingOnLoad=r,a.registerLanguage=s,a.listLanguages=t,a.getLanguage=u,a.inherit=g,a.IDENT_RE="[a-zA-Z]\\w*",a.UNDERSCORE_IDENT_RE="[a-zA-Z_]\\w*",a.NUMBER_RE="\\b\\d+(\\.\\d+)?",a.C_NUMBER_RE="(\\b0[xX][a-fA-F0-9]+|(\\b\\d+(\\.\\d*)?|\\.\\d+)([eE][-+]?\\d+)?)",a.BINARY_NUMBER_RE="\\b(0b[01]+)",a.RE_STARTERS_RE="!|!=|!==|%|%=|&|&&|&=|\\*|\\*=|\\+|\\+=|,|-|-=|/=|/|:|;|<<|<<=|<=|<|===|==|=|>>>=|>>=|>=|>>>|>>|>|\\?|\\[|\\{|\\(|\\^|\\^=|\\||\\|=|\\|\\||~",a.BACKSLASH_ESCAPE={begin:"\\\\[\\s\\S]",relevance:0},a.APOS_STRING_MODE={className:"string",begin:"'",end:"'",illegal:"\\n",contains:[a.BACKSLASH_ESCAPE]},a.QUOTE_STRING_MODE={className:"string",begin:'"',end:'"',illegal:"\\n",contains:[a.BACKSLASH_ESCAPE]},a.PHRASAL_WORDS_MODE={begin:/\b(a|an|the|are|I|I'm|isn't|don't|doesn't|won't|but|just|should|pretty|simply|enough|gonna|going|wtf|so|such|will|you|your|like)\b/},a.COMMENT=function(b,c,d){var e=a.inherit({className:"comment",begin:b,end:c,contains:[]},d||{});return e.contains.push(a.PHRASAL_WORDS_MODE),e.contains.push({className:"doctag",begin:"(?:TODO|FIXME|NOTE|BUG|XXX):",relevance:0}),e},a.C_LINE_COMMENT_MODE=a.COMMENT("//","$"),a.C_BLOCK_COMMENT_MODE=a.COMMENT("/\\*","\\*/"),a.HASH_COMMENT_MODE=a.COMMENT("#","$"),a.NUMBER_MODE={className:"number",begin:a.NUMBER_RE,relevance:0},a.C_NUMBER_MODE={className:"number",begin:a.C_NUMBER_RE,relevance:0},a.BINARY_NUMBER_MODE={className:"number",begin:a.BINARY_NUMBER_RE,relevance:0},a.CSS_NUMBER_MODE={className:"number",begin:a.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},a.REGEXP_MODE={className:"regexp",begin:/\//,end:/\/[gimuy]*/,illegal:/\n/,contains:[a.BACKSLASH_ESCAPE,{begin:/\[/,end:/\]/,relevance:0,contains:[a.BACKSLASH_ESCAPE]}]},a.TITLE_MODE={className:"title",begin:a.IDENT_RE,relevance:0},a.UNDERSCORE_TITLE_MODE={className:"title",begin:a.UNDERSCORE_IDENT_RE,relevance:0},a.registerLanguage("1c",function(a){var b="[a-zA-Zа-яА-Я][a-zA-Z0-9_а-яА-Я]*",c="возврат дата для если и или иначе иначеесли исключение конецесли конецпопытки конецпроцедуры конецфункции конеццикла константа не перейти перем перечисление по пока попытка прервать продолжить процедура строка тогда фс функция цикл число экспорт",d="ansitooem oemtoansi ввестивидсубконто ввестидату ввестизначение ввестиперечисление ввестипериод ввестиплансчетов ввестистроку ввестичисло вопрос восстановитьзначение врег выбранныйплансчетов вызватьисключение датагод датамесяц датачисло добавитьмесяц завершитьработусистемы заголовоксистемы записьжурналарегистрации запуститьприложение зафиксироватьтранзакцию значениевстроку значениевстрокувнутр значениевфайл значениеизстроки значениеизстрокивнутр значениеизфайла имякомпьютера имяпользователя каталогвременныхфайлов каталогиб каталогпользователя каталогпрограммы кодсимв командасистемы конгода конецпериодаби конецрассчитанногопериодаби конецстандартногоинтервала конквартала конмесяца коннедели лев лог лог10 макс максимальноеколичествосубконто мин монопольныйрежим названиеинтерфейса названиенабораправ назначитьвид назначитьсчет найти найтипомеченныенаудаление найтиссылки началопериодаби началостандартногоинтервала начатьтранзакцию начгода начквартала начмесяца начнедели номерднягода номерднянедели номернеделигода нрег обработкаожидания окр описаниеошибки основнойжурналрасчетов основнойплансчетов основнойязык открытьформу открытьформумодально отменитьтранзакцию очиститьокносообщений периодстр полноеимяпользователя получитьвремята получитьдатута получитьдокументта получитьзначенияотбора получитьпозициюта получитьпустоезначение получитьта прав праводоступа предупреждение префиксавтонумерации пустаястрока пустоезначение рабочаядаттьпустоезначение рабочаядата разделительстраниц разделительстрок разм разобратьпозициюдокумента рассчитатьрегистрына рассчитатьрегистрыпо сигнал симв символтабуляции создатьобъект сокрл сокрлп сокрп сообщить состояние сохранитьзначение сред статусвозврата стрдлина стрзаменить стрколичествострок стрполучитьстроку стрчисловхождений сформироватьпозициюдокумента счетпокоду текущаядата текущеевремя типзначения типзначениястр удалитьобъекты установитьтана установитьтапо фиксшаблон формат цел шаблон",e={className:"dquote",begin:'""'},f={className:"string",begin:'"',end:'"|$',contains:[e]},g={className:"string",begin:"\\|",end:'"|$',contains:[e]};return{case_insensitive:!0,lexemes:b,keywords:{keyword:c,built_in:d},contains:[a.C_LINE_COMMENT_MODE,a.NUMBER_MODE,f,g,{className:"function",begin:"(процедура|функция)",end:"$",lexemes:b,keywords:"процедура функция",contains:[a.inherit(a.TITLE_MODE,{begin:b}),{className:"tail",endsWithParent:!0,contains:[{className:"params",begin:"\\(",end:"\\)",lexemes:b,keywords:"знач",contains:[f,g]},{className:"export",begin:"экспорт",endsWithParent:!0,lexemes:b,keywords:"экспорт",contains:[a.C_LINE_COMMENT_MODE]}]},a.C_LINE_COMMENT_MODE]},{className:"preprocessor",begin:"#",end:"$"},{className:"date",begin:"'\\d{2}\\.\\d{2}\\.(\\d{2}|\\d{4})'"}]}}),a.registerLanguage("accesslog",function(a){return{contains:[{className:"number",begin:"\\b\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}(:\\d{1,5})?\\b"},{className:"number",begin:"\\b\\d+\\b",relevance:0},{className:"string",begin:'"(GET|POST|HEAD|PUT|DELETE|CONNECT|OPTIONS|PATCH|TRACE)',end:'"',keywords:"GET POST HEAD PUT DELETE CONNECT OPTIONS PATCH TRACE",illegal:"\\n",relevance:10},{className:"string",begin:/\[/,end:/\]/,illegal:"\\n"},{className:"string",begin:'"',end:'"',illegal:"\\n"}]}}),a.registerLanguage("actionscript",function(a){var b="[a-zA-Z_$][a-zA-Z0-9_$]*",c="([*]|[a-zA-Z_$][a-zA-Z0-9_$]*)",d={className:"rest_arg",begin:"[.]{3}",end:b,relevance:10};return{aliases:["as"],keywords:{keyword:"as break case catch class const continue default delete do dynamic each else extends final finally for function get if implements import in include instanceof interface internal is namespace native new override package private protected public return set static super switch this throw try typeof use var void while with",literal:"true false null undefined"},contains:[a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,a.C_NUMBER_MODE,{className:"package",beginKeywords:"package",end:"{",contains:[a.TITLE_MODE]},{className:"class",beginKeywords:"class interface",end:"{",excludeEnd:!0,contains:[{beginKeywords:"extends implements"},a.TITLE_MODE]},{className:"preprocessor",beginKeywords:"import include",end:";"},{className:"function",beginKeywords:"function",end:"[{;]",excludeEnd:!0,illegal:"\\S",contains:[a.TITLE_MODE,{className:"params",begin:"\\(",end:"\\)",contains:[a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,d]},{className:"type",begin:":",end:c,relevance:10}]}],illegal:/#/}}),a.registerLanguage("apache",function(a){var b={className:"number",begin:"[\\$%]\\d+"};return{aliases:["apacheconf"],case_insensitive:!0,contains:[a.HASH_COMMENT_MODE,{className:"tag",begin:""},{className:"keyword",begin:/\w+/,relevance:0,keywords:{common:"order deny allow setenv rewriterule rewriteengine rewritecond documentroot sethandler errordocument loadmodule options header listen serverroot servername"},starts:{end:/$/,relevance:0,keywords:{literal:"on off all"},contains:[{className:"sqbracket",begin:"\\s\\[",end:"\\]$"},{className:"cbracket",begin:"[\\$%]\\{",end:"\\}",contains:["self",b]},b,a.QUOTE_STRING_MODE]}}],illegal:/\S/}}),a.registerLanguage("applescript",function(a){var b=a.inherit(a.QUOTE_STRING_MODE,{illegal:""}),c={className:"params",begin:"\\(",end:"\\)",contains:["self",a.C_NUMBER_MODE,b]},d=a.COMMENT("--","$"),e=a.COMMENT("\\(\\*","\\*\\)",{contains:["self",d]}),f=[d,e,a.HASH_COMMENT_MODE];return{aliases:["osascript"],keywords:{keyword:"about above after against and around as at back before beginning behind below beneath beside between but by considering contain contains continue copy div does eighth else end equal equals error every exit fifth first for fourth from front get given global if ignoring in into is it its last local me middle mod my ninth not of on onto or over prop property put ref reference repeat returning script second set seventh since sixth some tell tenth that the|0 then third through thru timeout times to transaction try until where while whose with without",constant:"AppleScript false linefeed return pi quote result space tab true",type:"alias application boolean class constant date file integer list number real record string text",command:"activate beep count delay launch log offset read round run say summarize write",property:"character characters contents day frontmost id item length month name paragraph paragraphs rest reverse running time version weekday word words year"},contains:[b,a.C_NUMBER_MODE,{className:"type",begin:"\\bPOSIX file\\b"},{className:"command",begin:"\\b(clipboard info|the clipboard|info for|list (disks|folder)|mount volume|path to|(close|open for) access|(get|set) eof|current date|do shell script|get volume settings|random number|set volume|system attribute|system info|time to GMT|(load|run|store) script|scripting components|ASCII (character|number)|localized string|choose (application|color|file|file name|folder|from list|remote application|URL)|display (alert|dialog))\\b|^\\s*return\\b"},{className:"constant",begin:"\\b(text item delimiters|current application|missing value)\\b"},{className:"keyword",begin:"\\b(apart from|aside from|instead of|out of|greater than|isn't|(doesn't|does not) (equal|come before|come after|contain)|(greater|less) than( or equal)?|(starts?|ends|begins?) with|contained by|comes (before|after)|a (ref|reference))\\b"},{className:"property",begin:"\\b(POSIX path|(date|time) string|quoted form)\\b"},{className:"function_start",beginKeywords:"on",illegal:"[${=;\\n]",contains:[a.UNDERSCORE_TITLE_MODE,c]}].concat(f),illegal:"//|->|=>|\\[\\["}}),a.registerLanguage("armasm",function(a){return{case_insensitive:!0,aliases:["arm"],lexemes:"\\.?"+a.IDENT_RE,keywords:{literal:"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 pc lr sp ip sl sb fp a1 a2 a3 a4 v1 v2 v3 v4 v5 v6 v7 v8 f0 f1 f2 f3 f4 f5 f6 f7 p0 p1 p2 p3 p4 p5 p6 p7 p8 p9 p10 p11 p12 p13 p14 p15 c0 c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 c11 c12 c13 c14 c15 q0 q1 q2 q3 q4 q5 q6 q7 q8 q9 q10 q11 q12 q13 q14 q15 cpsr_c cpsr_x cpsr_s cpsr_f cpsr_cx cpsr_cxs cpsr_xs cpsr_xsf cpsr_sf cpsr_cxsf spsr_c spsr_x spsr_s spsr_f spsr_cx spsr_cxs spsr_xs spsr_xsf spsr_sf spsr_cxsf s0 s1 s2 s3 s4 s5 s6 s7 s8 s9 s10 s11 s12 s13 s14 s15 s16 s17 s18 s19 s20 s21 s22 s23 s24 s25 s26 s27 s28 s29 s30 s31 d0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 d16 d17 d18 d19 d20 d21 d22 d23 d24 d25 d26 d27 d28 d29 d30 d31 ",preprocessor:".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .arm .thumb .code16 .code32 .force_thumb .thumb_func .ltorg ALIAS ALIGN ARM AREA ASSERT ATTR CN CODE CODE16 CODE32 COMMON CP DATA DCB DCD DCDU DCDO DCFD DCFDU DCI DCQ DCQU DCW DCWU DN ELIF ELSE END ENDFUNC ENDIF ENDP ENTRY EQU EXPORT EXPORTAS EXTERN FIELD FILL FUNCTION GBLA GBLL GBLS GET GLOBAL IF IMPORT INCBIN INCLUDE INFO KEEP LCLA LCLL LCLS LTORG MACRO MAP MEND MEXIT NOFP OPT PRESERVE8 PROC QN READONLY RELOC REQUIRE REQUIRE8 RLIST FN ROUT SETA SETL SETS SN SPACE SUBT THUMB THUMBX TTL WHILE WEND ",built_in:"{PC} {VAR} {TRUE} {FALSE} {OPT} {CONFIG} {ENDIAN} {CODESIZE} {CPU} {FPU} {ARCHITECTURE} {PCSTOREOFFSET} {ARMASM_VERSION} {INTER} {ROPI} {RWPI} {SWST} {NOSWST} . @ "},contains:[{className:"keyword",begin:"\\b(adc|(qd?|sh?|u[qh]?)?add(8|16)?|usada?8|(q|sh?|u[qh]?)?(as|sa)x|and|adrl?|sbc|rs[bc]|asr|b[lx]?|blx|bxj|cbn?z|tb[bh]|bic|bfc|bfi|[su]bfx|bkpt|cdp2?|clz|clrex|cmp|cmn|cpsi[ed]|cps|setend|dbg|dmb|dsb|eor|isb|it[te]{0,3}|lsl|lsr|ror|rrx|ldm(([id][ab])|f[ds])?|ldr((s|ex)?[bhd])?|movt?|mvn|mra|mar|mul|[us]mull|smul[bwt][bt]|smu[as]d|smmul|smmla|mla|umlaal|smlal?([wbt][bt]|d)|mls|smlsl?[ds]|smc|svc|sev|mia([bt]{2}|ph)?|mrr?c2?|mcrr2?|mrs|msr|orr|orn|pkh(tb|bt)|rbit|rev(16|sh)?|sel|[su]sat(16)?|nop|pop|push|rfe([id][ab])?|stm([id][ab])?|str(ex)?[bhd]?|(qd?)?sub|(sh?|q|u[qh]?)?sub(8|16)|[su]xt(a?h|a?b(16)?)|srs([id][ab])?|swpb?|swi|smi|tst|teq|wfe|wfi|yield)(eq|ne|cs|cc|mi|pl|vs|vc|hi|ls|ge|lt|gt|le|al|hs|lo)?[sptrx]?",end:"\\s"},a.COMMENT("[;@]","$",{relevance:0}),a.C_BLOCK_COMMENT_MODE,a.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",relevance:0},{className:"title",begin:"\\|",end:"\\|",illegal:"\\n",relevance:0},{className:"number",variants:[{begin:"[#$=]?0x[0-9a-f]+"},{begin:"[#$=]?0b[01]+"},{begin:"[#$=]\\d+"},{begin:"\\b\\d+"}],relevance:0},{className:"label",variants:[{begin:"^[a-z_\\.\\$][a-z0-9_\\.\\$]+"},{begin:"^\\s*[a-z_\\.\\$][a-z0-9_\\.\\$]+:"},{begin:"[=#]\\w+"}],relevance:0}]}}),a.registerLanguage("xml",function(a){var b="[A-Za-z0-9\\._:-]+",c={begin:/<\?(php)?(?!\w)/,end:/\?>/,subLanguage:"php"},d={endsWithParent:!0,illegal:/]+/}]}]}]};return{aliases:["html","xhtml","rss","atom","xsl","plist"],case_insensitive:!0,contains:[{className:"doctype",begin:"",relevance:10,contains:[{begin:"\\[",end:"\\]"}]},a.COMMENT("",{relevance:10}),{className:"cdata",begin:"<\\!\\[CDATA\\[",end:"\\]\\]>",relevance:10},{className:"tag",begin:"|$)",end:">",keywords:{title:"style"},contains:[d],starts:{end:"",returnEnd:!0,subLanguage:"css"}},{className:"tag",begin:"|$)",end:">",keywords:{title:"script"},contains:[d],starts:{end:"",returnEnd:!0,subLanguage:["actionscript","javascript","handlebars"]}},c,{className:"pi",begin:/<\?\w+/,end:/\?>/,relevance:10},{className:"tag",begin:"",contains:[{className:"title",begin:/[^ \/><\n\t]+/,relevance:0},d]}]}}),a.registerLanguage("asciidoc",function(a){return{aliases:["adoc"],contains:[a.COMMENT("^/{4,}\\n","\\n/{4,}$",{relevance:10}),a.COMMENT("^//","$",{relevance:0}),{className:"title",begin:"^\\.\\w.*$"},{begin:"^[=\\*]{4,}\\n",end:"\\n^[=\\*]{4,}$",relevance:10},{className:"header",begin:"^(={1,5}) .+?( \\1)?$",relevance:10},{className:"header",begin:"^[^\\[\\]\\n]+?\\n[=\\-~\\^\\+]{2,}$",relevance:10},{className:"attribute",begin:"^:.+?:",end:"\\s",excludeEnd:!0,relevance:10},{className:"attribute",begin:"^\\[.+?\\]$",relevance:0},{className:"blockquote",begin:"^_{4,}\\n",end:"\\n_{4,}$",relevance:10},{className:"code",begin:"^[\\-\\.]{4,}\\n",end:"\\n[\\-\\.]{4,}$",relevance:10},{begin:"^\\+{4,}\\n",end:"\\n\\+{4,}$",contains:[{begin:"<",end:">",subLanguage:"xml",relevance:0}],relevance:10},{className:"bullet",begin:"^(\\*+|\\-+|\\.+|[^\\n]+?::)\\s+"},{className:"label",begin:"^(NOTE|TIP|IMPORTANT|WARNING|CAUTION):\\s+",relevance:10},{className:"strong",begin:"\\B\\*(?![\\*\\s])",end:"(\\n{2}|\\*)",contains:[{begin:"\\\\*\\w",relevance:0}]},{className:"emphasis",begin:"\\B'(?!['\\s])",end:"(\\n{2}|')",contains:[{begin:"\\\\'\\w",relevance:0}],relevance:0},{className:"emphasis",begin:"_(?![_\\s])",end:"(\\n{2}|_)",relevance:0},{className:"smartquote",variants:[{begin:"``.+?''"},{begin:"`.+?'"}]},{className:"code",begin:"(`.+?`|\\+.+?\\+)",relevance:0},{className:"code",begin:"^[ \\t]",end:"$",relevance:0},{className:"horizontal_rule",begin:"^'{3,}[ \\t]*$",relevance:10},{begin:"(link:)?(http|https|ftp|file|irc|image:?):\\S+\\[.*?\\]",returnBegin:!0,contains:[{begin:"(link|image:?):",relevance:0},{className:"link_url",begin:"\\w",end:"[^\\[]+",relevance:0},{className:"link_label",begin:"\\[",end:"\\]",excludeBegin:!0,excludeEnd:!0,relevance:0}],relevance:10}]}}),a.registerLanguage("aspectj",function(a){var b="false synchronized int abstract float private char boolean static null if const for true while long throw strictfp finally protected import native final return void enum else extends implements break transient new catch instanceof byte super volatile case assert short package default double public try this switch continue throws privileged aspectOf adviceexecution proceed cflowbelow cflow initialization preinitialization staticinitialization withincode target within execution getWithinTypeName handler thisJoinPoint thisJoinPointStaticPart thisEnclosingJoinPointStaticPart declare parents warning error soft precedence thisAspectInstance",c="get set args call";return{keywords:b,illegal:/<\/|#/,contains:[a.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+"}]}),a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,{className:"aspect",beginKeywords:"aspect",end:/[{;=]/,excludeEnd:!0,illegal:/[:;"\[\]]/,contains:[{beginKeywords:"extends implements pertypewithin perthis pertarget percflowbelow percflow issingleton"},a.UNDERSCORE_TITLE_MODE,{begin:/\([^\)]*/,end:/[)]+/,keywords:b+" "+c,excludeEnd:!1}]},{className:"class",beginKeywords:"class interface",end:/[{;=]/,excludeEnd:!0,relevance:0,keywords:"class interface",illegal:/[:"\[\]]/,contains:[{beginKeywords:"extends implements"},a.UNDERSCORE_TITLE_MODE]},{beginKeywords:"pointcut after before around throwing returning",end:/[)]/,excludeEnd:!1,illegal:/["\[\]]/,contains:[{begin:a.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,contains:[a.UNDERSCORE_TITLE_MODE]}]},{begin:/[:]/,returnBegin:!0,end:/[{;]/,relevance:0,excludeEnd:!1,keywords:b,illegal:/["\[\]]/,contains:[{begin:a.UNDERSCORE_IDENT_RE+"\\s*\\(",keywords:b+" "+c},a.QUOTE_STRING_MODE]},{beginKeywords:"new throw",relevance:0},{className:"function",begin:/\w+ +\w+(\.)?\w+\s*\([^\)]*\)\s*((throws)[\w\s,]+)?[\{;]/,returnBegin:!0,end:/[{;=]/,keywords:b,excludeEnd:!0,contains:[{begin:a.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,relevance:0,contains:[a.UNDERSCORE_TITLE_MODE]},{className:"params",begin:/\(/,end:/\)/,relevance:0,keywords:b,contains:[a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,a.C_NUMBER_MODE,a.C_BLOCK_COMMENT_MODE]},a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE]},a.C_NUMBER_MODE,{className:"annotation",begin:"@[A-Za-z]+"}]}}),a.registerLanguage("autohotkey",function(a){var b={className:"escape",begin:"`[\\s\\S]"},c=a.COMMENT(";","$",{relevance:0}),d=[{className:"built_in",begin:"A_[a-zA-Z0-9]+"},{className:"built_in",beginKeywords:"ComSpec Clipboard ClipboardAll ErrorLevel"}];return{case_insensitive:!0,keywords:{keyword:"Break Continue Else Gosub If Loop Return While",literal:"A true false NOT AND OR"},contains:d.concat([b,a.inherit(a.QUOTE_STRING_MODE,{contains:[b]}),c,{className:"number",begin:a.NUMBER_RE,relevance:0},{className:"var_expand",begin:"%",end:"%",illegal:"\\n",contains:[b]},{className:"label",contains:[b],variants:[{begin:'^[^\\n";]+::(?!=)'},{begin:'^[^\\n";]+:(?!=)',relevance:0}]},{begin:",\\s*,",relevance:10}])}}),a.registerLanguage("autoit",function(a){var b="ByRef Case Const ContinueCase ContinueLoop Default Dim Do Else ElseIf EndFunc EndIf EndSelect EndSwitch EndWith Enum Exit ExitLoop For Func Global If In Local Next ReDim Return Select Static Step Switch Then To Until Volatile WEnd While With",c="True False And Null Not Or",d="Abs ACos AdlibRegister AdlibUnRegister Asc AscW ASin Assign ATan AutoItSetOption AutoItWinGetTitle AutoItWinSetTitle Beep Binary BinaryLen BinaryMid BinaryToString BitAND BitNOT BitOR BitRotate BitShift BitXOR BlockInput Break Call CDTray Ceiling Chr ChrW ClipGet ClipPut ConsoleRead ConsoleWrite ConsoleWriteError ControlClick ControlCommand ControlDisable ControlEnable ControlFocus ControlGetFocus ControlGetHandle ControlGetPos ControlGetText ControlHide ControlListView ControlMove ControlSend ControlSetText ControlShow ControlTreeView Cos Dec DirCopy DirCreate DirGetSize DirMove DirRemove DllCall DllCallAddress DllCallbackFree DllCallbackGetPtr DllCallbackRegister DllClose DllOpen DllStructCreate DllStructGetData DllStructGetPtr DllStructGetSize DllStructSetData DriveGetDrive DriveGetFileSystem DriveGetLabel DriveGetSerial DriveGetType DriveMapAdd DriveMapDel DriveMapGet DriveSetLabel DriveSpaceFree DriveSpaceTotal DriveStatus EnvGet EnvSet EnvUpdate Eval Execute Exp FileChangeDir FileClose FileCopy FileCreateNTFSLink FileCreateShortcut FileDelete FileExists FileFindFirstFile FileFindNextFile FileFlush FileGetAttrib FileGetEncoding FileGetLongName FileGetPos FileGetShortcut FileGetShortName FileGetSize FileGetTime FileGetVersion FileInstall FileMove FileOpen FileOpenDialog FileRead FileReadLine FileReadToArray FileRecycle FileRecycleEmpty FileSaveDialog FileSelectFolder FileSetAttrib FileSetEnd FileSetPos FileSetTime FileWrite FileWriteLine Floor FtpSetProxy FuncName GUICreate GUICtrlCreateAvi GUICtrlCreateButton GUICtrlCreateCheckbox GUICtrlCreateCombo GUICtrlCreateContextMenu GUICtrlCreateDate GUICtrlCreateDummy GUICtrlCreateEdit GUICtrlCreateGraphic GUICtrlCreateGroup GUICtrlCreateIcon GUICtrlCreateInput GUICtrlCreateLabel GUICtrlCreateList GUICtrlCreateListView GUICtrlCreateListViewItem GUICtrlCreateMenu GUICtrlCreateMenuItem GUICtrlCreateMonthCal GUICtrlCreateObj GUICtrlCreatePic GUICtrlCreateProgress GUICtrlCreateRadio GUICtrlCreateSlider GUICtrlCreateTab GUICtrlCreateTabItem GUICtrlCreateTreeView GUICtrlCreateTreeViewItem GUICtrlCreateUpdown GUICtrlDelete GUICtrlGetHandle GUICtrlGetState GUICtrlRead GUICtrlRecvMsg GUICtrlRegisterListViewSort GUICtrlSendMsg GUICtrlSendToDummy GUICtrlSetBkColor GUICtrlSetColor GUICtrlSetCursor GUICtrlSetData GUICtrlSetDefBkColor GUICtrlSetDefColor GUICtrlSetFont GUICtrlSetGraphic GUICtrlSetImage GUICtrlSetLimit GUICtrlSetOnEvent GUICtrlSetPos GUICtrlSetResizing GUICtrlSetState GUICtrlSetStyle GUICtrlSetTip GUIDelete GUIGetCursorInfo GUIGetMsg GUIGetStyle GUIRegisterMsg GUISetAccelerators GUISetBkColor GUISetCoord GUISetCursor GUISetFont GUISetHelp GUISetIcon GUISetOnEvent GUISetState GUISetStyle GUIStartGroup GUISwitch Hex HotKeySet HttpSetProxy HttpSetUserAgent HWnd InetClose InetGet InetGetInfo InetGetSize InetRead IniDelete IniRead IniReadSection IniReadSectionNames IniRenameSection IniWrite IniWriteSection InputBox Int IsAdmin IsArray IsBinary IsBool IsDeclared IsDllStruct IsFloat IsFunc IsHWnd IsInt IsKeyword IsNumber IsObj IsPtr IsString Log MemGetStats Mod MouseClick MouseClickDrag MouseDown MouseGetCursor MouseGetPos MouseMove MouseUp MouseWheel MsgBox Number ObjCreate ObjCreateInterface ObjEvent ObjGet ObjName OnAutoItExitRegister OnAutoItExitUnRegister Opt Ping PixelChecksum PixelGetColor PixelSearch ProcessClose ProcessExists ProcessGetStats ProcessList ProcessSetPriority ProcessWait ProcessWaitClose ProgressOff ProgressOn ProgressSet Ptr Random RegDelete RegEnumKey RegEnumVal RegRead RegWrite Round Run RunAs RunAsWait RunWait Send SendKeepActive SetError SetExtended ShellExecute ShellExecuteWait Shutdown Sin Sleep SoundPlay SoundSetWaveVolume SplashImageOn SplashOff SplashTextOn Sqrt SRandom StatusbarGetText StderrRead StdinWrite StdioClose StdoutRead String StringAddCR StringCompare StringFormat StringFromASCIIArray StringInStr StringIsAlNum StringIsAlpha StringIsASCII StringIsDigit StringIsFloat StringIsInt StringIsLower StringIsSpace StringIsUpper StringIsXDigit StringLeft StringLen StringLower StringMid StringRegExp StringRegExpReplace StringReplace StringReverse StringRight StringSplit StringStripCR StringStripWS StringToASCIIArray StringToBinary StringTrimLeft StringTrimRight StringUpper Tan TCPAccept TCPCloseSocket TCPConnect TCPListen TCPNameToIP TCPRecv TCPSend TCPShutdown TCPStartup TimerDiff TimerInit ToolTip TrayCreateItem TrayCreateMenu TrayGetMsg TrayItemDelete TrayItemGetHandle TrayItemGetState TrayItemGetText TrayItemSetOnEvent TrayItemSetState TrayItemSetText TraySetClick TraySetIcon TraySetOnEvent TraySetPauseIcon TraySetState TraySetToolTip TrayTip UBound UDPBind UDPCloseSocket UDPOpen UDPRecv UDPSend UDPShutdown UDPStartup VarGetType WinActivate WinActive WinClose WinExists WinFlash WinGetCaretPos WinGetClassList WinGetClientSize WinGetHandle WinGetPos WinGetProcess WinGetState WinGetText WinGetTitle WinKill WinList WinMenuSelectItem WinMinimizeAll WinMinimizeAllUndo WinMove WinSetOnTop WinSetState WinSetTitle WinSetTrans WinWait WinWaitActive WinWaitClose WinWaitNotActive Array1DToHistogram ArrayAdd ArrayBinarySearch ArrayColDelete ArrayColInsert ArrayCombinations ArrayConcatenate ArrayDelete ArrayDisplay ArrayExtract ArrayFindAll ArrayInsert ArrayMax ArrayMaxIndex ArrayMin ArrayMinIndex ArrayPermute ArrayPop ArrayPush ArrayReverse ArraySearch ArrayShuffle ArraySort ArraySwap ArrayToClip ArrayToString ArrayTranspose ArrayTrim ArrayUnique Assert ChooseColor ChooseFont ClipBoard_ChangeChain ClipBoard_Close ClipBoard_CountFormats ClipBoard_Empty ClipBoard_EnumFormats ClipBoard_FormatStr ClipBoard_GetData ClipBoard_GetDataEx ClipBoard_GetFormatName ClipBoard_GetOpenWindow ClipBoard_GetOwner ClipBoard_GetPriorityFormat ClipBoard_GetSequenceNumber ClipBoard_GetViewer ClipBoard_IsFormatAvailable ClipBoard_Open ClipBoard_RegisterFormat ClipBoard_SetData ClipBoard_SetDataEx ClipBoard_SetViewer ClipPutFile ColorConvertHSLtoRGB ColorConvertRGBtoHSL ColorGetBlue ColorGetCOLORREF ColorGetGreen ColorGetRed ColorGetRGB ColorSetCOLORREF ColorSetRGB Crypt_DecryptData Crypt_DecryptFile Crypt_DeriveKey Crypt_DestroyKey Crypt_EncryptData Crypt_EncryptFile Crypt_GenRandom Crypt_HashData Crypt_HashFile Crypt_Shutdown Crypt_Startup DateAdd DateDayOfWeek DateDaysInMonth DateDiff DateIsLeapYear DateIsValid DateTimeFormat DateTimeSplit DateToDayOfWeek DateToDayOfWeekISO DateToDayValue DateToMonth Date_Time_CompareFileTime Date_Time_DOSDateTimeToArray Date_Time_DOSDateTimeToFileTime Date_Time_DOSDateTimeToStr Date_Time_DOSDateToArray Date_Time_DOSDateToStr Date_Time_DOSTimeToArray Date_Time_DOSTimeToStr Date_Time_EncodeFileTime Date_Time_EncodeSystemTime Date_Time_FileTimeToArray Date_Time_FileTimeToDOSDateTime Date_Time_FileTimeToLocalFileTime Date_Time_FileTimeToStr Date_Time_FileTimeToSystemTime Date_Time_GetFileTime Date_Time_GetLocalTime Date_Time_GetSystemTime Date_Time_GetSystemTimeAdjustment Date_Time_GetSystemTimeAsFileTime Date_Time_GetSystemTimes Date_Time_GetTickCount Date_Time_GetTimeZoneInformation Date_Time_LocalFileTimeToFileTime Date_Time_SetFileTime Date_Time_SetLocalTime Date_Time_SetSystemTime Date_Time_SetSystemTimeAdjustment Date_Time_SetTimeZoneInformation Date_Time_SystemTimeToArray Date_Time_SystemTimeToDateStr Date_Time_SystemTimeToDateTimeStr Date_Time_SystemTimeToFileTime Date_Time_SystemTimeToTimeStr Date_Time_SystemTimeToTzSpecificLocalTime Date_Time_TzSpecificLocalTimeToSystemTime DayValueToDate DebugBugReportEnv DebugCOMError DebugOut DebugReport DebugReportEx DebugReportVar DebugSetup Degree EventLog__Backup EventLog__Clear EventLog__Close EventLog__Count EventLog__DeregisterSource EventLog__Full EventLog__Notify EventLog__Oldest EventLog__Open EventLog__OpenBackup EventLog__Read EventLog__RegisterSource EventLog__Report Excel_BookAttach Excel_BookClose Excel_BookList Excel_BookNew Excel_BookOpen Excel_BookOpenText Excel_BookSave Excel_BookSaveAs Excel_Close Excel_ColumnToLetter Excel_ColumnToNumber Excel_ConvertFormula Excel_Export Excel_FilterGet Excel_FilterSet Excel_Open Excel_PictureAdd Excel_Print Excel_RangeCopyPaste Excel_RangeDelete Excel_RangeFind Excel_RangeInsert Excel_RangeLinkAddRemove Excel_RangeRead Excel_RangeReplace Excel_RangeSort Excel_RangeValidate Excel_RangeWrite Excel_SheetAdd Excel_SheetCopyMove Excel_SheetDelete Excel_SheetList FileCountLines FileCreate FileListToArray FileListToArrayRec FilePrint FileReadToArray FileWriteFromArray FileWriteLog FileWriteToLine FTP_Close FTP_Command FTP_Connect FTP_DecodeInternetStatus FTP_DirCreate FTP_DirDelete FTP_DirGetCurrent FTP_DirPutContents FTP_DirSetCurrent FTP_FileClose FTP_FileDelete FTP_FileGet FTP_FileGetSize FTP_FileOpen FTP_FilePut FTP_FileRead FTP_FileRename FTP_FileTimeLoHiToStr FTP_FindFileClose FTP_FindFileFirst FTP_FindFileNext FTP_GetLastResponseInfo FTP_ListToArray FTP_ListToArray2D FTP_ListToArrayEx FTP_Open FTP_ProgressDownload FTP_ProgressUpload FTP_SetStatusCallback GDIPlus_ArrowCapCreate GDIPlus_ArrowCapDispose GDIPlus_ArrowCapGetFillState GDIPlus_ArrowCapGetHeight GDIPlus_ArrowCapGetMiddleInset GDIPlus_ArrowCapGetWidth GDIPlus_ArrowCapSetFillState GDIPlus_ArrowCapSetHeight GDIPlus_ArrowCapSetMiddleInset GDIPlus_ArrowCapSetWidth GDIPlus_BitmapApplyEffect GDIPlus_BitmapApplyEffectEx GDIPlus_BitmapCloneArea GDIPlus_BitmapConvertFormat GDIPlus_BitmapCreateApplyEffect GDIPlus_BitmapCreateApplyEffectEx GDIPlus_BitmapCreateDIBFromBitmap GDIPlus_BitmapCreateFromFile GDIPlus_BitmapCreateFromGraphics GDIPlus_BitmapCreateFromHBITMAP GDIPlus_BitmapCreateFromHICON GDIPlus_BitmapCreateFromHICON32 GDIPlus_BitmapCreateFromMemory GDIPlus_BitmapCreateFromResource GDIPlus_BitmapCreateFromScan0 GDIPlus_BitmapCreateFromStream GDIPlus_BitmapCreateHBITMAPFromBitmap GDIPlus_BitmapDispose GDIPlus_BitmapGetHistogram GDIPlus_BitmapGetHistogramEx GDIPlus_BitmapGetHistogramSize GDIPlus_BitmapGetPixel GDIPlus_BitmapLockBits GDIPlus_BitmapSetPixel GDIPlus_BitmapUnlockBits GDIPlus_BrushClone GDIPlus_BrushCreateSolid GDIPlus_BrushDispose GDIPlus_BrushGetSolidColor GDIPlus_BrushGetType GDIPlus_BrushSetSolidColor GDIPlus_ColorMatrixCreate GDIPlus_ColorMatrixCreateGrayScale GDIPlus_ColorMatrixCreateNegative GDIPlus_ColorMatrixCreateSaturation GDIPlus_ColorMatrixCreateScale GDIPlus_ColorMatrixCreateTranslate GDIPlus_CustomLineCapClone GDIPlus_CustomLineCapCreate GDIPlus_CustomLineCapDispose GDIPlus_CustomLineCapGetStrokeCaps GDIPlus_CustomLineCapSetStrokeCaps GDIPlus_Decoders GDIPlus_DecodersGetCount GDIPlus_DecodersGetSize GDIPlus_DrawImageFX GDIPlus_DrawImageFXEx GDIPlus_DrawImagePoints GDIPlus_EffectCreate GDIPlus_EffectCreateBlur GDIPlus_EffectCreateBrightnessContrast GDIPlus_EffectCreateColorBalance GDIPlus_EffectCreateColorCurve GDIPlus_EffectCreateColorLUT GDIPlus_EffectCreateColorMatrix GDIPlus_EffectCreateHueSaturationLightness GDIPlus_EffectCreateLevels GDIPlus_EffectCreateRedEyeCorrection GDIPlus_EffectCreateSharpen GDIPlus_EffectCreateTint GDIPlus_EffectDispose GDIPlus_EffectGetParameters GDIPlus_EffectSetParameters GDIPlus_Encoders GDIPlus_EncodersGetCLSID GDIPlus_EncodersGetCount GDIPlus_EncodersGetParamList GDIPlus_EncodersGetParamListSize GDIPlus_EncodersGetSize GDIPlus_FontCreate GDIPlus_FontDispose GDIPlus_FontFamilyCreate GDIPlus_FontFamilyCreateFromCollection GDIPlus_FontFamilyDispose GDIPlus_FontFamilyGetCellAscent GDIPlus_FontFamilyGetCellDescent GDIPlus_FontFamilyGetEmHeight GDIPlus_FontFamilyGetLineSpacing GDIPlus_FontGetHeight GDIPlus_FontPrivateAddFont GDIPlus_FontPrivateAddMemoryFont GDIPlus_FontPrivateCollectionDispose GDIPlus_FontPrivateCreateCollection GDIPlus_GraphicsClear GDIPlus_GraphicsCreateFromHDC GDIPlus_GraphicsCreateFromHWND GDIPlus_GraphicsDispose GDIPlus_GraphicsDrawArc GDIPlus_GraphicsDrawBezier GDIPlus_GraphicsDrawClosedCurve GDIPlus_GraphicsDrawClosedCurve2 GDIPlus_GraphicsDrawCurve GDIPlus_GraphicsDrawCurve2 GDIPlus_GraphicsDrawEllipse GDIPlus_GraphicsDrawImage GDIPlus_GraphicsDrawImagePointsRect GDIPlus_GraphicsDrawImageRect GDIPlus_GraphicsDrawImageRectRect GDIPlus_GraphicsDrawLine GDIPlus_GraphicsDrawPath GDIPlus_GraphicsDrawPie GDIPlus_GraphicsDrawPolygon GDIPlus_GraphicsDrawRect GDIPlus_GraphicsDrawString GDIPlus_GraphicsDrawStringEx GDIPlus_GraphicsFillClosedCurve GDIPlus_GraphicsFillClosedCurve2 GDIPlus_GraphicsFillEllipse GDIPlus_GraphicsFillPath GDIPlus_GraphicsFillPie GDIPlus_GraphicsFillPolygon GDIPlus_GraphicsFillRect GDIPlus_GraphicsFillRegion GDIPlus_GraphicsGetCompositingMode GDIPlus_GraphicsGetCompositingQuality GDIPlus_GraphicsGetDC GDIPlus_GraphicsGetInterpolationMode GDIPlus_GraphicsGetSmoothingMode GDIPlus_GraphicsGetTransform GDIPlus_GraphicsMeasureCharacterRanges GDIPlus_GraphicsMeasureString GDIPlus_GraphicsReleaseDC GDIPlus_GraphicsResetClip GDIPlus_GraphicsResetTransform GDIPlus_GraphicsRestore GDIPlus_GraphicsRotateTransform GDIPlus_GraphicsSave GDIPlus_GraphicsScaleTransform GDIPlus_GraphicsSetClipPath GDIPlus_GraphicsSetClipRect GDIPlus_GraphicsSetClipRegion GDIPlus_GraphicsSetCompositingMode GDIPlus_GraphicsSetCompositingQuality GDIPlus_GraphicsSetInterpolationMode GDIPlus_GraphicsSetPixelOffsetMode GDIPlus_GraphicsSetSmoothingMode GDIPlus_GraphicsSetTextRenderingHint GDIPlus_GraphicsSetTransform GDIPlus_GraphicsTransformPoints GDIPlus_GraphicsTranslateTransform GDIPlus_HatchBrushCreate GDIPlus_HICONCreateFromBitmap GDIPlus_ImageAttributesCreate GDIPlus_ImageAttributesDispose GDIPlus_ImageAttributesSetColorKeys GDIPlus_ImageAttributesSetColorMatrix GDIPlus_ImageDispose GDIPlus_ImageGetDimension GDIPlus_ImageGetFlags GDIPlus_ImageGetGraphicsContext GDIPlus_ImageGetHeight GDIPlus_ImageGetHorizontalResolution GDIPlus_ImageGetPixelFormat GDIPlus_ImageGetRawFormat GDIPlus_ImageGetThumbnail GDIPlus_ImageGetType GDIPlus_ImageGetVerticalResolution GDIPlus_ImageGetWidth GDIPlus_ImageLoadFromFile GDIPlus_ImageLoadFromStream GDIPlus_ImageResize GDIPlus_ImageRotateFlip GDIPlus_ImageSaveToFile GDIPlus_ImageSaveToFileEx GDIPlus_ImageSaveToStream GDIPlus_ImageScale GDIPlus_LineBrushCreate GDIPlus_LineBrushCreateFromRect GDIPlus_LineBrushCreateFromRectWithAngle GDIPlus_LineBrushGetColors GDIPlus_LineBrushGetRect GDIPlus_LineBrushMultiplyTransform GDIPlus_LineBrushResetTransform GDIPlus_LineBrushSetBlend GDIPlus_LineBrushSetColors GDIPlus_LineBrushSetGammaCorrection GDIPlus_LineBrushSetLinearBlend GDIPlus_LineBrushSetPresetBlend GDIPlus_LineBrushSetSigmaBlend GDIPlus_LineBrushSetTransform GDIPlus_MatrixClone GDIPlus_MatrixCreate GDIPlus_MatrixDispose GDIPlus_MatrixGetElements GDIPlus_MatrixInvert GDIPlus_MatrixMultiply GDIPlus_MatrixRotate GDIPlus_MatrixScale GDIPlus_MatrixSetElements GDIPlus_MatrixShear GDIPlus_MatrixTransformPoints GDIPlus_MatrixTranslate GDIPlus_PaletteInitialize GDIPlus_ParamAdd GDIPlus_ParamInit GDIPlus_ParamSize GDIPlus_PathAddArc GDIPlus_PathAddBezier GDIPlus_PathAddClosedCurve GDIPlus_PathAddClosedCurve2 GDIPlus_PathAddCurve GDIPlus_PathAddCurve2 GDIPlus_PathAddCurve3 GDIPlus_PathAddEllipse GDIPlus_PathAddLine GDIPlus_PathAddLine2 GDIPlus_PathAddPath GDIPlus_PathAddPie GDIPlus_PathAddPolygon GDIPlus_PathAddRectangle GDIPlus_PathAddString GDIPlus_PathBrushCreate GDIPlus_PathBrushCreateFromPath GDIPlus_PathBrushGetCenterPoint GDIPlus_PathBrushGetFocusScales GDIPlus_PathBrushGetPointCount GDIPlus_PathBrushGetRect GDIPlus_PathBrushGetWrapMode GDIPlus_PathBrushMultiplyTransform GDIPlus_PathBrushResetTransform GDIPlus_PathBrushSetBlend GDIPlus_PathBrushSetCenterColor GDIPlus_PathBrushSetCenterPoint GDIPlus_PathBrushSetFocusScales GDIPlus_PathBrushSetGammaCorrection GDIPlus_PathBrushSetLinearBlend GDIPlus_PathBrushSetPresetBlend GDIPlus_PathBrushSetSigmaBlend GDIPlus_PathBrushSetSurroundColor GDIPlus_PathBrushSetSurroundColorsWithCount GDIPlus_PathBrushSetTransform GDIPlus_PathBrushSetWrapMode GDIPlus_PathClone GDIPlus_PathCloseFigure GDIPlus_PathCreate GDIPlus_PathCreate2 GDIPlus_PathDispose GDIPlus_PathFlatten GDIPlus_PathGetData GDIPlus_PathGetFillMode GDIPlus_PathGetLastPoint GDIPlus_PathGetPointCount GDIPlus_PathGetPoints GDIPlus_PathGetWorldBounds GDIPlus_PathIsOutlineVisiblePoint GDIPlus_PathIsVisiblePoint GDIPlus_PathIterCreate GDIPlus_PathIterDispose GDIPlus_PathIterGetSubpathCount GDIPlus_PathIterNextMarkerPath GDIPlus_PathIterNextSubpathPath GDIPlus_PathIterRewind GDIPlus_PathReset GDIPlus_PathReverse GDIPlus_PathSetFillMode GDIPlus_PathSetMarker GDIPlus_PathStartFigure GDIPlus_PathTransform GDIPlus_PathWarp GDIPlus_PathWiden GDIPlus_PathWindingModeOutline GDIPlus_PenCreate GDIPlus_PenCreate2 GDIPlus_PenDispose GDIPlus_PenGetAlignment GDIPlus_PenGetColor GDIPlus_PenGetCustomEndCap GDIPlus_PenGetDashCap GDIPlus_PenGetDashStyle GDIPlus_PenGetEndCap GDIPlus_PenGetMiterLimit GDIPlus_PenGetWidth GDIPlus_PenSetAlignment GDIPlus_PenSetColor GDIPlus_PenSetCustomEndCap GDIPlus_PenSetDashCap GDIPlus_PenSetDashStyle GDIPlus_PenSetEndCap GDIPlus_PenSetLineCap GDIPlus_PenSetLineJoin GDIPlus_PenSetMiterLimit GDIPlus_PenSetStartCap GDIPlus_PenSetWidth GDIPlus_RectFCreate GDIPlus_RegionClone GDIPlus_RegionCombinePath GDIPlus_RegionCombineRect GDIPlus_RegionCombineRegion GDIPlus_RegionCreate GDIPlus_RegionCreateFromPath GDIPlus_RegionCreateFromRect GDIPlus_RegionDispose GDIPlus_RegionGetBounds GDIPlus_RegionGetHRgn GDIPlus_RegionTransform GDIPlus_RegionTranslate GDIPlus_Shutdown GDIPlus_Startup GDIPlus_StringFormatCreate GDIPlus_StringFormatDispose GDIPlus_StringFormatGetMeasurableCharacterRangeCount GDIPlus_StringFormatSetAlign GDIPlus_StringFormatSetLineAlign GDIPlus_StringFormatSetMeasurableCharacterRanges GDIPlus_TextureCreate GDIPlus_TextureCreate2 GDIPlus_TextureCreateIA GetIP GUICtrlAVI_Close GUICtrlAVI_Create GUICtrlAVI_Destroy GUICtrlAVI_IsPlaying GUICtrlAVI_Open GUICtrlAVI_OpenEx GUICtrlAVI_Play GUICtrlAVI_Seek GUICtrlAVI_Show GUICtrlAVI_Stop GUICtrlButton_Click GUICtrlButton_Create GUICtrlButton_Destroy GUICtrlButton_Enable GUICtrlButton_GetCheck GUICtrlButton_GetFocus GUICtrlButton_GetIdealSize GUICtrlButton_GetImage GUICtrlButton_GetImageList GUICtrlButton_GetNote GUICtrlButton_GetNoteLength GUICtrlButton_GetSplitInfo GUICtrlButton_GetState GUICtrlButton_GetText GUICtrlButton_GetTextMargin GUICtrlButton_SetCheck GUICtrlButton_SetDontClick GUICtrlButton_SetFocus GUICtrlButton_SetImage GUICtrlButton_SetImageList GUICtrlButton_SetNote GUICtrlButton_SetShield GUICtrlButton_SetSize GUICtrlButton_SetSplitInfo GUICtrlButton_SetState GUICtrlButton_SetStyle GUICtrlButton_SetText GUICtrlButton_SetTextMargin GUICtrlButton_Show GUICtrlComboBoxEx_AddDir GUICtrlComboBoxEx_AddString GUICtrlComboBoxEx_BeginUpdate GUICtrlComboBoxEx_Create GUICtrlComboBoxEx_CreateSolidBitMap GUICtrlComboBoxEx_DeleteString GUICtrlComboBoxEx_Destroy GUICtrlComboBoxEx_EndUpdate GUICtrlComboBoxEx_FindStringExact GUICtrlComboBoxEx_GetComboBoxInfo GUICtrlComboBoxEx_GetComboControl GUICtrlComboBoxEx_GetCount GUICtrlComboBoxEx_GetCurSel GUICtrlComboBoxEx_GetDroppedControlRect GUICtrlComboBoxEx_GetDroppedControlRectEx GUICtrlComboBoxEx_GetDroppedState GUICtrlComboBoxEx_GetDroppedWidth GUICtrlComboBoxEx_GetEditControl GUICtrlComboBoxEx_GetEditSel GUICtrlComboBoxEx_GetEditText GUICtrlComboBoxEx_GetExtendedStyle GUICtrlComboBoxEx_GetExtendedUI GUICtrlComboBoxEx_GetImageList GUICtrlComboBoxEx_GetItem GUICtrlComboBoxEx_GetItemEx GUICtrlComboBoxEx_GetItemHeight GUICtrlComboBoxEx_GetItemImage GUICtrlComboBoxEx_GetItemIndent GUICtrlComboBoxEx_GetItemOverlayImage GUICtrlComboBoxEx_GetItemParam GUICtrlComboBoxEx_GetItemSelectedImage GUICtrlComboBoxEx_GetItemText GUICtrlComboBoxEx_GetItemTextLen GUICtrlComboBoxEx_GetList GUICtrlComboBoxEx_GetListArray GUICtrlComboBoxEx_GetLocale GUICtrlComboBoxEx_GetLocaleCountry GUICtrlComboBoxEx_GetLocaleLang GUICtrlComboBoxEx_GetLocalePrimLang GUICtrlComboBoxEx_GetLocaleSubLang GUICtrlComboBoxEx_GetMinVisible GUICtrlComboBoxEx_GetTopIndex GUICtrlComboBoxEx_GetUnicode GUICtrlComboBoxEx_InitStorage GUICtrlComboBoxEx_InsertString GUICtrlComboBoxEx_LimitText GUICtrlComboBoxEx_ReplaceEditSel GUICtrlComboBoxEx_ResetContent GUICtrlComboBoxEx_SetCurSel GUICtrlComboBoxEx_SetDroppedWidth GUICtrlComboBoxEx_SetEditSel GUICtrlComboBoxEx_SetEditText GUICtrlComboBoxEx_SetExtendedStyle GUICtrlComboBoxEx_SetExtendedUI GUICtrlComboBoxEx_SetImageList GUICtrlComboBoxEx_SetItem GUICtrlComboBoxEx_SetItemEx GUICtrlComboBoxEx_SetItemHeight GUICtrlComboBoxEx_SetItemImage GUICtrlComboBoxEx_SetItemIndent GUICtrlComboBoxEx_SetItemOverlayImage GUICtrlComboBoxEx_SetItemParam GUICtrlComboBoxEx_SetItemSelectedImage GUICtrlComboBoxEx_SetMinVisible GUICtrlComboBoxEx_SetTopIndex GUICtrlComboBoxEx_SetUnicode GUICtrlComboBoxEx_ShowDropDown GUICtrlComboBox_AddDir GUICtrlComboBox_AddString GUICtrlComboBox_AutoComplete GUICtrlComboBox_BeginUpdate GUICtrlComboBox_Create GUICtrlComboBox_DeleteString GUICtrlComboBox_Destroy GUICtrlComboBox_EndUpdate GUICtrlComboBox_FindString GUICtrlComboBox_FindStringExact GUICtrlComboBox_GetComboBoxInfo GUICtrlComboBox_GetCount GUICtrlComboBox_GetCueBanner GUICtrlComboBox_GetCurSel GUICtrlComboBox_GetDroppedControlRect GUICtrlComboBox_GetDroppedControlRectEx GUICtrlComboBox_GetDroppedState GUICtrlComboBox_GetDroppedWidth GUICtrlComboBox_GetEditSel GUICtrlComboBox_GetEditText GUICtrlComboBox_GetExtendedUI GUICtrlComboBox_GetHorizontalExtent GUICtrlComboBox_GetItemHeight GUICtrlComboBox_GetLBText GUICtrlComboBox_GetLBTextLen GUICtrlComboBox_GetList GUICtrlComboBox_GetListArray GUICtrlComboBox_GetLocale GUICtrlComboBox_GetLocaleCountry GUICtrlComboBox_GetLocaleLang GUICtrlComboBox_GetLocalePrimLang GUICtrlComboBox_GetLocaleSubLang GUICtrlComboBox_GetMinVisible GUICtrlComboBox_GetTopIndex GUICtrlComboBox_InitStorage GUICtrlComboBox_InsertString GUICtrlComboBox_LimitText GUICtrlComboBox_ReplaceEditSel GUICtrlComboBox_ResetContent GUICtrlComboBox_SelectString GUICtrlComboBox_SetCueBanner GUICtrlComboBox_SetCurSel GUICtrlComboBox_SetDroppedWidth GUICtrlComboBox_SetEditSel GUICtrlComboBox_SetEditText GUICtrlComboBox_SetExtendedUI GUICtrlComboBox_SetHorizontalExtent GUICtrlComboBox_SetItemHeight GUICtrlComboBox_SetMinVisible GUICtrlComboBox_SetTopIndex GUICtrlComboBox_ShowDropDown GUICtrlDTP_Create GUICtrlDTP_Destroy GUICtrlDTP_GetMCColor GUICtrlDTP_GetMCFont GUICtrlDTP_GetMonthCal GUICtrlDTP_GetRange GUICtrlDTP_GetRangeEx GUICtrlDTP_GetSystemTime GUICtrlDTP_GetSystemTimeEx GUICtrlDTP_SetFormat GUICtrlDTP_SetMCColor GUICtrlDTP_SetMCFont GUICtrlDTP_SetRange GUICtrlDTP_SetRangeEx GUICtrlDTP_SetSystemTime GUICtrlDTP_SetSystemTimeEx GUICtrlEdit_AppendText GUICtrlEdit_BeginUpdate GUICtrlEdit_CanUndo GUICtrlEdit_CharFromPos GUICtrlEdit_Create GUICtrlEdit_Destroy GUICtrlEdit_EmptyUndoBuffer GUICtrlEdit_EndUpdate GUICtrlEdit_Find GUICtrlEdit_FmtLines GUICtrlEdit_GetCueBanner GUICtrlEdit_GetFirstVisibleLine GUICtrlEdit_GetLimitText GUICtrlEdit_GetLine GUICtrlEdit_GetLineCount GUICtrlEdit_GetMargins GUICtrlEdit_GetModify GUICtrlEdit_GetPasswordChar GUICtrlEdit_GetRECT GUICtrlEdit_GetRECTEx GUICtrlEdit_GetSel GUICtrlEdit_GetText GUICtrlEdit_GetTextLen GUICtrlEdit_HideBalloonTip GUICtrlEdit_InsertText GUICtrlEdit_LineFromChar GUICtrlEdit_LineIndex GUICtrlEdit_LineLength GUICtrlEdit_LineScroll GUICtrlEdit_PosFromChar GUICtrlEdit_ReplaceSel GUICtrlEdit_Scroll GUICtrlEdit_SetCueBanner GUICtrlEdit_SetLimitText GUICtrlEdit_SetMargins GUICtrlEdit_SetModify GUICtrlEdit_SetPasswordChar GUICtrlEdit_SetReadOnly GUICtrlEdit_SetRECT GUICtrlEdit_SetRECTEx GUICtrlEdit_SetRECTNP GUICtrlEdit_SetRectNPEx GUICtrlEdit_SetSel GUICtrlEdit_SetTabStops GUICtrlEdit_SetText GUICtrlEdit_ShowBalloonTip GUICtrlEdit_Undo GUICtrlHeader_AddItem GUICtrlHeader_ClearFilter GUICtrlHeader_ClearFilterAll GUICtrlHeader_Create GUICtrlHeader_CreateDragImage GUICtrlHeader_DeleteItem GUICtrlHeader_Destroy GUICtrlHeader_EditFilter GUICtrlHeader_GetBitmapMargin GUICtrlHeader_GetImageList GUICtrlHeader_GetItem GUICtrlHeader_GetItemAlign GUICtrlHeader_GetItemBitmap GUICtrlHeader_GetItemCount GUICtrlHeader_GetItemDisplay GUICtrlHeader_GetItemFlags GUICtrlHeader_GetItemFormat GUICtrlHeader_GetItemImage GUICtrlHeader_GetItemOrder GUICtrlHeader_GetItemParam GUICtrlHeader_GetItemRect GUICtrlHeader_GetItemRectEx GUICtrlHeader_GetItemText GUICtrlHeader_GetItemWidth GUICtrlHeader_GetOrderArray GUICtrlHeader_GetUnicodeFormat GUICtrlHeader_HitTest GUICtrlHeader_InsertItem GUICtrlHeader_Layout GUICtrlHeader_OrderToIndex GUICtrlHeader_SetBitmapMargin GUICtrlHeader_SetFilterChangeTimeout GUICtrlHeader_SetHotDivider GUICtrlHeader_SetImageList GUICtrlHeader_SetItem GUICtrlHeader_SetItemAlign GUICtrlHeader_SetItemBitmap GUICtrlHeader_SetItemDisplay GUICtrlHeader_SetItemFlags GUICtrlHeader_SetItemFormat GUICtrlHeader_SetItemImage GUICtrlHeader_SetItemOrder GUICtrlHeader_SetItemParam GUICtrlHeader_SetItemText GUICtrlHeader_SetItemWidth GUICtrlHeader_SetOrderArray GUICtrlHeader_SetUnicodeFormat GUICtrlIpAddress_ClearAddress GUICtrlIpAddress_Create GUICtrlIpAddress_Destroy GUICtrlIpAddress_Get GUICtrlIpAddress_GetArray GUICtrlIpAddress_GetEx GUICtrlIpAddress_IsBlank GUICtrlIpAddress_Set GUICtrlIpAddress_SetArray GUICtrlIpAddress_SetEx GUICtrlIpAddress_SetFocus GUICtrlIpAddress_SetFont GUICtrlIpAddress_SetRange GUICtrlIpAddress_ShowHide GUICtrlListBox_AddFile GUICtrlListBox_AddString GUICtrlListBox_BeginUpdate GUICtrlListBox_ClickItem GUICtrlListBox_Create GUICtrlListBox_DeleteString GUICtrlListBox_Destroy GUICtrlListBox_Dir GUICtrlListBox_EndUpdate GUICtrlListBox_FindInText GUICtrlListBox_FindString GUICtrlListBox_GetAnchorIndex GUICtrlListBox_GetCaretIndex GUICtrlListBox_GetCount GUICtrlListBox_GetCurSel GUICtrlListBox_GetHorizontalExtent GUICtrlListBox_GetItemData GUICtrlListBox_GetItemHeight GUICtrlListBox_GetItemRect GUICtrlListBox_GetItemRectEx GUICtrlListBox_GetListBoxInfo GUICtrlListBox_GetLocale GUICtrlListBox_GetLocaleCountry GUICtrlListBox_GetLocaleLang GUICtrlListBox_GetLocalePrimLang GUICtrlListBox_GetLocaleSubLang GUICtrlListBox_GetSel GUICtrlListBox_GetSelCount GUICtrlListBox_GetSelItems GUICtrlListBox_GetSelItemsText GUICtrlListBox_GetText GUICtrlListBox_GetTextLen GUICtrlListBox_GetTopIndex GUICtrlListBox_InitStorage GUICtrlListBox_InsertString GUICtrlListBox_ItemFromPoint GUICtrlListBox_ReplaceString GUICtrlListBox_ResetContent GUICtrlListBox_SelectString GUICtrlListBox_SelItemRange GUICtrlListBox_SelItemRangeEx GUICtrlListBox_SetAnchorIndex GUICtrlListBox_SetCaretIndex GUICtrlListBox_SetColumnWidth GUICtrlListBox_SetCurSel GUICtrlListBox_SetHorizontalExtent GUICtrlListBox_SetItemData GUICtrlListBox_SetItemHeight GUICtrlListBox_SetLocale GUICtrlListBox_SetSel GUICtrlListBox_SetTabStops GUICtrlListBox_SetTopIndex GUICtrlListBox_Sort GUICtrlListBox_SwapString GUICtrlListBox_UpdateHScroll GUICtrlListView_AddArray GUICtrlListView_AddColumn GUICtrlListView_AddItem GUICtrlListView_AddSubItem GUICtrlListView_ApproximateViewHeight GUICtrlListView_ApproximateViewRect GUICtrlListView_ApproximateViewWidth GUICtrlListView_Arrange GUICtrlListView_BeginUpdate GUICtrlListView_CancelEditLabel GUICtrlListView_ClickItem GUICtrlListView_CopyItems GUICtrlListView_Create GUICtrlListView_CreateDragImage GUICtrlListView_CreateSolidBitMap GUICtrlListView_DeleteAllItems GUICtrlListView_DeleteColumn GUICtrlListView_DeleteItem GUICtrlListView_DeleteItemsSelected GUICtrlListView_Destroy GUICtrlListView_DrawDragImage GUICtrlListView_EditLabel GUICtrlListView_EnableGroupView GUICtrlListView_EndUpdate GUICtrlListView_EnsureVisible GUICtrlListView_FindInText GUICtrlListView_FindItem GUICtrlListView_FindNearest GUICtrlListView_FindParam GUICtrlListView_FindText GUICtrlListView_GetBkColor GUICtrlListView_GetBkImage GUICtrlListView_GetCallbackMask GUICtrlListView_GetColumn GUICtrlListView_GetColumnCount GUICtrlListView_GetColumnOrder GUICtrlListView_GetColumnOrderArray GUICtrlListView_GetColumnWidth GUICtrlListView_GetCounterPage GUICtrlListView_GetEditControl GUICtrlListView_GetExtendedListViewStyle GUICtrlListView_GetFocusedGroup GUICtrlListView_GetGroupCount GUICtrlListView_GetGroupInfo GUICtrlListView_GetGroupInfoByIndex GUICtrlListView_GetGroupRect GUICtrlListView_GetGroupViewEnabled GUICtrlListView_GetHeader GUICtrlListView_GetHotCursor GUICtrlListView_GetHotItem GUICtrlListView_GetHoverTime GUICtrlListView_GetImageList GUICtrlListView_GetISearchString GUICtrlListView_GetItem GUICtrlListView_GetItemChecked GUICtrlListView_GetItemCount GUICtrlListView_GetItemCut GUICtrlListView_GetItemDropHilited GUICtrlListView_GetItemEx GUICtrlListView_GetItemFocused GUICtrlListView_GetItemGroupID GUICtrlListView_GetItemImage GUICtrlListView_GetItemIndent GUICtrlListView_GetItemParam GUICtrlListView_GetItemPosition GUICtrlListView_GetItemPositionX GUICtrlListView_GetItemPositionY GUICtrlListView_GetItemRect GUICtrlListView_GetItemRectEx GUICtrlListView_GetItemSelected GUICtrlListView_GetItemSpacing GUICtrlListView_GetItemSpacingX GUICtrlListView_GetItemSpacingY GUICtrlListView_GetItemState GUICtrlListView_GetItemStateImage GUICtrlListView_GetItemText GUICtrlListView_GetItemTextArray GUICtrlListView_GetItemTextString GUICtrlListView_GetNextItem GUICtrlListView_GetNumberOfWorkAreas GUICtrlListView_GetOrigin GUICtrlListView_GetOriginX GUICtrlListView_GetOriginY GUICtrlListView_GetOutlineColor GUICtrlListView_GetSelectedColumn GUICtrlListView_GetSelectedCount GUICtrlListView_GetSelectedIndices GUICtrlListView_GetSelectionMark GUICtrlListView_GetStringWidth GUICtrlListView_GetSubItemRect GUICtrlListView_GetTextBkColor GUICtrlListView_GetTextColor GUICtrlListView_GetToolTips GUICtrlListView_GetTopIndex GUICtrlListView_GetUnicodeFormat GUICtrlListView_GetView GUICtrlListView_GetViewDetails GUICtrlListView_GetViewLarge GUICtrlListView_GetViewList GUICtrlListView_GetViewRect GUICtrlListView_GetViewSmall GUICtrlListView_GetViewTile GUICtrlListView_HideColumn GUICtrlListView_HitTest GUICtrlListView_InsertColumn GUICtrlListView_InsertGroup GUICtrlListView_InsertItem GUICtrlListView_JustifyColumn GUICtrlListView_MapIDToIndex GUICtrlListView_MapIndexToID GUICtrlListView_RedrawItems GUICtrlListView_RegisterSortCallBack GUICtrlListView_RemoveAllGroups GUICtrlListView_RemoveGroup GUICtrlListView_Scroll GUICtrlListView_SetBkColor GUICtrlListView_SetBkImage GUICtrlListView_SetCallBackMask GUICtrlListView_SetColumn GUICtrlListView_SetColumnOrder GUICtrlListView_SetColumnOrderArray GUICtrlListView_SetColumnWidth GUICtrlListView_SetExtendedListViewStyle GUICtrlListView_SetGroupInfo GUICtrlListView_SetHotItem GUICtrlListView_SetHoverTime GUICtrlListView_SetIconSpacing GUICtrlListView_SetImageList GUICtrlListView_SetItem GUICtrlListView_SetItemChecked GUICtrlListView_SetItemCount GUICtrlListView_SetItemCut GUICtrlListView_SetItemDropHilited GUICtrlListView_SetItemEx GUICtrlListView_SetItemFocused GUICtrlListView_SetItemGroupID GUICtrlListView_SetItemImage GUICtrlListView_SetItemIndent GUICtrlListView_SetItemParam GUICtrlListView_SetItemPosition GUICtrlListView_SetItemPosition32 GUICtrlListView_SetItemSelected GUICtrlListView_SetItemState GUICtrlListView_SetItemStateImage GUICtrlListView_SetItemText GUICtrlListView_SetOutlineColor GUICtrlListView_SetSelectedColumn GUICtrlListView_SetSelectionMark GUICtrlListView_SetTextBkColor GUICtrlListView_SetTextColor GUICtrlListView_SetToolTips GUICtrlListView_SetUnicodeFormat GUICtrlListView_SetView GUICtrlListView_SetWorkAreas GUICtrlListView_SimpleSort GUICtrlListView_SortItems GUICtrlListView_SubItemHitTest GUICtrlListView_UnRegisterSortCallBack GUICtrlMenu_AddMenuItem GUICtrlMenu_AppendMenu GUICtrlMenu_CalculatePopupWindowPosition GUICtrlMenu_CheckMenuItem GUICtrlMenu_CheckRadioItem GUICtrlMenu_CreateMenu GUICtrlMenu_CreatePopup GUICtrlMenu_DeleteMenu GUICtrlMenu_DestroyMenu GUICtrlMenu_DrawMenuBar GUICtrlMenu_EnableMenuItem GUICtrlMenu_FindItem GUICtrlMenu_FindParent GUICtrlMenu_GetItemBmp GUICtrlMenu_GetItemBmpChecked GUICtrlMenu_GetItemBmpUnchecked GUICtrlMenu_GetItemChecked GUICtrlMenu_GetItemCount GUICtrlMenu_GetItemData GUICtrlMenu_GetItemDefault GUICtrlMenu_GetItemDisabled GUICtrlMenu_GetItemEnabled GUICtrlMenu_GetItemGrayed GUICtrlMenu_GetItemHighlighted GUICtrlMenu_GetItemID GUICtrlMenu_GetItemInfo GUICtrlMenu_GetItemRect GUICtrlMenu_GetItemRectEx GUICtrlMenu_GetItemState GUICtrlMenu_GetItemStateEx GUICtrlMenu_GetItemSubMenu GUICtrlMenu_GetItemText GUICtrlMenu_GetItemType GUICtrlMenu_GetMenu GUICtrlMenu_GetMenuBackground GUICtrlMenu_GetMenuBarInfo GUICtrlMenu_GetMenuContextHelpID GUICtrlMenu_GetMenuData GUICtrlMenu_GetMenuDefaultItem GUICtrlMenu_GetMenuHeight GUICtrlMenu_GetMenuInfo GUICtrlMenu_GetMenuStyle GUICtrlMenu_GetSystemMenu GUICtrlMenu_InsertMenuItem GUICtrlMenu_InsertMenuItemEx GUICtrlMenu_IsMenu GUICtrlMenu_LoadMenu GUICtrlMenu_MapAccelerator GUICtrlMenu_MenuItemFromPoint GUICtrlMenu_RemoveMenu GUICtrlMenu_SetItemBitmaps GUICtrlMenu_SetItemBmp GUICtrlMenu_SetItemBmpChecked GUICtrlMenu_SetItemBmpUnchecked GUICtrlMenu_SetItemChecked GUICtrlMenu_SetItemData GUICtrlMenu_SetItemDefault GUICtrlMenu_SetItemDisabled GUICtrlMenu_SetItemEnabled GUICtrlMenu_SetItemGrayed GUICtrlMenu_SetItemHighlighted GUICtrlMenu_SetItemID GUICtrlMenu_SetItemInfo GUICtrlMenu_SetItemState GUICtrlMenu_SetItemSubMenu GUICtrlMenu_SetItemText GUICtrlMenu_SetItemType GUICtrlMenu_SetMenu GUICtrlMenu_SetMenuBackground GUICtrlMenu_SetMenuContextHelpID GUICtrlMenu_SetMenuData GUICtrlMenu_SetMenuDefaultItem GUICtrlMenu_SetMenuHeight GUICtrlMenu_SetMenuInfo GUICtrlMenu_SetMenuStyle GUICtrlMenu_TrackPopupMenu GUICtrlMonthCal_Create GUICtrlMonthCal_Destroy GUICtrlMonthCal_GetCalendarBorder GUICtrlMonthCal_GetCalendarCount GUICtrlMonthCal_GetColor GUICtrlMonthCal_GetColorArray GUICtrlMonthCal_GetCurSel GUICtrlMonthCal_GetCurSelStr GUICtrlMonthCal_GetFirstDOW GUICtrlMonthCal_GetFirstDOWStr GUICtrlMonthCal_GetMaxSelCount GUICtrlMonthCal_GetMaxTodayWidth GUICtrlMonthCal_GetMinReqHeight GUICtrlMonthCal_GetMinReqRect GUICtrlMonthCal_GetMinReqRectArray GUICtrlMonthCal_GetMinReqWidth GUICtrlMonthCal_GetMonthDelta GUICtrlMonthCal_GetMonthRange GUICtrlMonthCal_GetMonthRangeMax GUICtrlMonthCal_GetMonthRangeMaxStr GUICtrlMonthCal_GetMonthRangeMin GUICtrlMonthCal_GetMonthRangeMinStr GUICtrlMonthCal_GetMonthRangeSpan GUICtrlMonthCal_GetRange GUICtrlMonthCal_GetRangeMax GUICtrlMonthCal_GetRangeMaxStr GUICtrlMonthCal_GetRangeMin GUICtrlMonthCal_GetRangeMinStr GUICtrlMonthCal_GetSelRange GUICtrlMonthCal_GetSelRangeMax GUICtrlMonthCal_GetSelRangeMaxStr GUICtrlMonthCal_GetSelRangeMin GUICtrlMonthCal_GetSelRangeMinStr GUICtrlMonthCal_GetToday GUICtrlMonthCal_GetTodayStr GUICtrlMonthCal_GetUnicodeFormat GUICtrlMonthCal_HitTest GUICtrlMonthCal_SetCalendarBorder GUICtrlMonthCal_SetColor GUICtrlMonthCal_SetCurSel GUICtrlMonthCal_SetDayState GUICtrlMonthCal_SetFirstDOW GUICtrlMonthCal_SetMaxSelCount GUICtrlMonthCal_SetMonthDelta GUICtrlMonthCal_SetRange GUICtrlMonthCal_SetSelRange GUICtrlMonthCal_SetToday GUICtrlMonthCal_SetUnicodeFormat GUICtrlRebar_AddBand GUICtrlRebar_AddToolBarBand GUICtrlRebar_BeginDrag GUICtrlRebar_Create GUICtrlRebar_DeleteBand GUICtrlRebar_Destroy GUICtrlRebar_DragMove GUICtrlRebar_EndDrag GUICtrlRebar_GetBandBackColor GUICtrlRebar_GetBandBorders GUICtrlRebar_GetBandBordersEx GUICtrlRebar_GetBandChildHandle GUICtrlRebar_GetBandChildSize GUICtrlRebar_GetBandCount GUICtrlRebar_GetBandForeColor GUICtrlRebar_GetBandHeaderSize GUICtrlRebar_GetBandID GUICtrlRebar_GetBandIdealSize GUICtrlRebar_GetBandLength GUICtrlRebar_GetBandLParam GUICtrlRebar_GetBandMargins GUICtrlRebar_GetBandMarginsEx GUICtrlRebar_GetBandRect GUICtrlRebar_GetBandRectEx GUICtrlRebar_GetBandStyle GUICtrlRebar_GetBandStyleBreak GUICtrlRebar_GetBandStyleChildEdge GUICtrlRebar_GetBandStyleFixedBMP GUICtrlRebar_GetBandStyleFixedSize GUICtrlRebar_GetBandStyleGripperAlways GUICtrlRebar_GetBandStyleHidden GUICtrlRebar_GetBandStyleHideTitle GUICtrlRebar_GetBandStyleNoGripper GUICtrlRebar_GetBandStyleTopAlign GUICtrlRebar_GetBandStyleUseChevron GUICtrlRebar_GetBandStyleVariableHeight GUICtrlRebar_GetBandText GUICtrlRebar_GetBarHeight GUICtrlRebar_GetBarInfo GUICtrlRebar_GetBKColor GUICtrlRebar_GetColorScheme GUICtrlRebar_GetRowCount GUICtrlRebar_GetRowHeight GUICtrlRebar_GetTextColor GUICtrlRebar_GetToolTips GUICtrlRebar_GetUnicodeFormat GUICtrlRebar_HitTest GUICtrlRebar_IDToIndex GUICtrlRebar_MaximizeBand GUICtrlRebar_MinimizeBand GUICtrlRebar_MoveBand GUICtrlRebar_SetBandBackColor GUICtrlRebar_SetBandForeColor GUICtrlRebar_SetBandHeaderSize GUICtrlRebar_SetBandID GUICtrlRebar_SetBandIdealSize GUICtrlRebar_SetBandLength GUICtrlRebar_SetBandLParam GUICtrlRebar_SetBandStyle GUICtrlRebar_SetBandStyleBreak GUICtrlRebar_SetBandStyleChildEdge GUICtrlRebar_SetBandStyleFixedBMP GUICtrlRebar_SetBandStyleFixedSize GUICtrlRebar_SetBandStyleGripperAlways GUICtrlRebar_SetBandStyleHidden GUICtrlRebar_SetBandStyleHideTitle GUICtrlRebar_SetBandStyleNoGripper GUICtrlRebar_SetBandStyleTopAlign GUICtrlRebar_SetBandStyleUseChevron GUICtrlRebar_SetBandStyleVariableHeight GUICtrlRebar_SetBandText GUICtrlRebar_SetBarInfo GUICtrlRebar_SetBKColor GUICtrlRebar_SetColorScheme GUICtrlRebar_SetTextColor GUICtrlRebar_SetToolTips GUICtrlRebar_SetUnicodeFormat GUICtrlRebar_ShowBand GUICtrlRichEdit_AppendText GUICtrlRichEdit_AutoDetectURL GUICtrlRichEdit_CanPaste GUICtrlRichEdit_CanPasteSpecial GUICtrlRichEdit_CanRedo GUICtrlRichEdit_CanUndo GUICtrlRichEdit_ChangeFontSize GUICtrlRichEdit_Copy GUICtrlRichEdit_Create GUICtrlRichEdit_Cut GUICtrlRichEdit_Deselect GUICtrlRichEdit_Destroy GUICtrlRichEdit_EmptyUndoBuffer GUICtrlRichEdit_FindText GUICtrlRichEdit_FindTextInRange GUICtrlRichEdit_GetBkColor GUICtrlRichEdit_GetCharAttributes GUICtrlRichEdit_GetCharBkColor GUICtrlRichEdit_GetCharColor GUICtrlRichEdit_GetCharPosFromXY GUICtrlRichEdit_GetCharPosOfNextWord GUICtrlRichEdit_GetCharPosOfPreviousWord GUICtrlRichEdit_GetCharWordBreakInfo GUICtrlRichEdit_GetFirstCharPosOnLine GUICtrlRichEdit_GetFont GUICtrlRichEdit_GetLineCount GUICtrlRichEdit_GetLineLength GUICtrlRichEdit_GetLineNumberFromCharPos GUICtrlRichEdit_GetNextRedo GUICtrlRichEdit_GetNextUndo GUICtrlRichEdit_GetNumberOfFirstVisibleLine GUICtrlRichEdit_GetParaAlignment GUICtrlRichEdit_GetParaAttributes GUICtrlRichEdit_GetParaBorder GUICtrlRichEdit_GetParaIndents GUICtrlRichEdit_GetParaNumbering GUICtrlRichEdit_GetParaShading GUICtrlRichEdit_GetParaSpacing GUICtrlRichEdit_GetParaTabStops GUICtrlRichEdit_GetPasswordChar GUICtrlRichEdit_GetRECT GUICtrlRichEdit_GetScrollPos GUICtrlRichEdit_GetSel GUICtrlRichEdit_GetSelAA GUICtrlRichEdit_GetSelText GUICtrlRichEdit_GetSpaceUnit GUICtrlRichEdit_GetText GUICtrlRichEdit_GetTextInLine GUICtrlRichEdit_GetTextInRange GUICtrlRichEdit_GetTextLength GUICtrlRichEdit_GetVersion GUICtrlRichEdit_GetXYFromCharPos GUICtrlRichEdit_GetZoom GUICtrlRichEdit_GotoCharPos GUICtrlRichEdit_HideSelection GUICtrlRichEdit_InsertText GUICtrlRichEdit_IsModified GUICtrlRichEdit_IsTextSelected GUICtrlRichEdit_Paste GUICtrlRichEdit_PasteSpecial GUICtrlRichEdit_PauseRedraw GUICtrlRichEdit_Redo GUICtrlRichEdit_ReplaceText GUICtrlRichEdit_ResumeRedraw GUICtrlRichEdit_ScrollLineOrPage GUICtrlRichEdit_ScrollLines GUICtrlRichEdit_ScrollToCaret GUICtrlRichEdit_SetBkColor GUICtrlRichEdit_SetCharAttributes GUICtrlRichEdit_SetCharBkColor GUICtrlRichEdit_SetCharColor GUICtrlRichEdit_SetEventMask GUICtrlRichEdit_SetFont GUICtrlRichEdit_SetLimitOnText GUICtrlRichEdit_SetModified GUICtrlRichEdit_SetParaAlignment GUICtrlRichEdit_SetParaAttributes GUICtrlRichEdit_SetParaBorder GUICtrlRichEdit_SetParaIndents GUICtrlRichEdit_SetParaNumbering GUICtrlRichEdit_SetParaShading GUICtrlRichEdit_SetParaSpacing GUICtrlRichEdit_SetParaTabStops GUICtrlRichEdit_SetPasswordChar GUICtrlRichEdit_SetReadOnly GUICtrlRichEdit_SetRECT GUICtrlRichEdit_SetScrollPos GUICtrlRichEdit_SetSel GUICtrlRichEdit_SetSpaceUnit GUICtrlRichEdit_SetTabStops GUICtrlRichEdit_SetText GUICtrlRichEdit_SetUndoLimit GUICtrlRichEdit_SetZoom GUICtrlRichEdit_StreamFromFile GUICtrlRichEdit_StreamFromVar GUICtrlRichEdit_StreamToFile GUICtrlRichEdit_StreamToVar GUICtrlRichEdit_Undo GUICtrlSlider_ClearSel GUICtrlSlider_ClearTics GUICtrlSlider_Create GUICtrlSlider_Destroy GUICtrlSlider_GetBuddy GUICtrlSlider_GetChannelRect GUICtrlSlider_GetChannelRectEx GUICtrlSlider_GetLineSize GUICtrlSlider_GetLogicalTics GUICtrlSlider_GetNumTics GUICtrlSlider_GetPageSize GUICtrlSlider_GetPos GUICtrlSlider_GetRange GUICtrlSlider_GetRangeMax GUICtrlSlider_GetRangeMin GUICtrlSlider_GetSel GUICtrlSlider_GetSelEnd GUICtrlSlider_GetSelStart GUICtrlSlider_GetThumbLength GUICtrlSlider_GetThumbRect GUICtrlSlider_GetThumbRectEx GUICtrlSlider_GetTic GUICtrlSlider_GetTicPos GUICtrlSlider_GetToolTips GUICtrlSlider_GetUnicodeFormat GUICtrlSlider_SetBuddy GUICtrlSlider_SetLineSize GUICtrlSlider_SetPageSize GUICtrlSlider_SetPos GUICtrlSlider_SetRange GUICtrlSlider_SetRangeMax GUICtrlSlider_SetRangeMin GUICtrlSlider_SetSel GUICtrlSlider_SetSelEnd GUICtrlSlider_SetSelStart GUICtrlSlider_SetThumbLength GUICtrlSlider_SetTic GUICtrlSlider_SetTicFreq GUICtrlSlider_SetTipSide GUICtrlSlider_SetToolTips GUICtrlSlider_SetUnicodeFormat GUICtrlStatusBar_Create GUICtrlStatusBar_Destroy GUICtrlStatusBar_EmbedControl GUICtrlStatusBar_GetBorders GUICtrlStatusBar_GetBordersHorz GUICtrlStatusBar_GetBordersRect GUICtrlStatusBar_GetBordersVert GUICtrlStatusBar_GetCount GUICtrlStatusBar_GetHeight GUICtrlStatusBar_GetIcon GUICtrlStatusBar_GetParts GUICtrlStatusBar_GetRect GUICtrlStatusBar_GetRectEx GUICtrlStatusBar_GetText GUICtrlStatusBar_GetTextFlags GUICtrlStatusBar_GetTextLength GUICtrlStatusBar_GetTextLengthEx GUICtrlStatusBar_GetTipText GUICtrlStatusBar_GetUnicodeFormat GUICtrlStatusBar_GetWidth GUICtrlStatusBar_IsSimple GUICtrlStatusBar_Resize GUICtrlStatusBar_SetBkColor GUICtrlStatusBar_SetIcon GUICtrlStatusBar_SetMinHeight GUICtrlStatusBar_SetParts GUICtrlStatusBar_SetSimple GUICtrlStatusBar_SetText GUICtrlStatusBar_SetTipText GUICtrlStatusBar_SetUnicodeFormat GUICtrlStatusBar_ShowHide GUICtrlTab_ActivateTab GUICtrlTab_ClickTab GUICtrlTab_Create GUICtrlTab_DeleteAllItems GUICtrlTab_DeleteItem GUICtrlTab_DeselectAll GUICtrlTab_Destroy GUICtrlTab_FindTab GUICtrlTab_GetCurFocus GUICtrlTab_GetCurSel GUICtrlTab_GetDisplayRect GUICtrlTab_GetDisplayRectEx GUICtrlTab_GetExtendedStyle GUICtrlTab_GetImageList GUICtrlTab_GetItem GUICtrlTab_GetItemCount GUICtrlTab_GetItemImage GUICtrlTab_GetItemParam GUICtrlTab_GetItemRect GUICtrlTab_GetItemRectEx GUICtrlTab_GetItemState GUICtrlTab_GetItemText GUICtrlTab_GetRowCount GUICtrlTab_GetToolTips GUICtrlTab_GetUnicodeFormat GUICtrlTab_HighlightItem GUICtrlTab_HitTest GUICtrlTab_InsertItem GUICtrlTab_RemoveImage GUICtrlTab_SetCurFocus GUICtrlTab_SetCurSel GUICtrlTab_SetExtendedStyle GUICtrlTab_SetImageList GUICtrlTab_SetItem GUICtrlTab_SetItemImage GUICtrlTab_SetItemParam GUICtrlTab_SetItemSize GUICtrlTab_SetItemState GUICtrlTab_SetItemText GUICtrlTab_SetMinTabWidth GUICtrlTab_SetPadding GUICtrlTab_SetToolTips GUICtrlTab_SetUnicodeFormat GUICtrlToolbar_AddBitmap GUICtrlToolbar_AddButton GUICtrlToolbar_AddButtonSep GUICtrlToolbar_AddString GUICtrlToolbar_ButtonCount GUICtrlToolbar_CheckButton GUICtrlToolbar_ClickAccel GUICtrlToolbar_ClickButton GUICtrlToolbar_ClickIndex GUICtrlToolbar_CommandToIndex GUICtrlToolbar_Create GUICtrlToolbar_Customize GUICtrlToolbar_DeleteButton GUICtrlToolbar_Destroy GUICtrlToolbar_EnableButton GUICtrlToolbar_FindToolbar GUICtrlToolbar_GetAnchorHighlight GUICtrlToolbar_GetBitmapFlags GUICtrlToolbar_GetButtonBitmap GUICtrlToolbar_GetButtonInfo GUICtrlToolbar_GetButtonInfoEx GUICtrlToolbar_GetButtonParam GUICtrlToolbar_GetButtonRect GUICtrlToolbar_GetButtonRectEx GUICtrlToolbar_GetButtonSize GUICtrlToolbar_GetButtonState GUICtrlToolbar_GetButtonStyle GUICtrlToolbar_GetButtonText GUICtrlToolbar_GetColorScheme GUICtrlToolbar_GetDisabledImageList GUICtrlToolbar_GetExtendedStyle GUICtrlToolbar_GetHotImageList GUICtrlToolbar_GetHotItem GUICtrlToolbar_GetImageList GUICtrlToolbar_GetInsertMark GUICtrlToolbar_GetInsertMarkColor GUICtrlToolbar_GetMaxSize GUICtrlToolbar_GetMetrics GUICtrlToolbar_GetPadding GUICtrlToolbar_GetRows GUICtrlToolbar_GetString GUICtrlToolbar_GetStyle GUICtrlToolbar_GetStyleAltDrag GUICtrlToolbar_GetStyleCustomErase GUICtrlToolbar_GetStyleFlat GUICtrlToolbar_GetStyleList GUICtrlToolbar_GetStyleRegisterDrop GUICtrlToolbar_GetStyleToolTips GUICtrlToolbar_GetStyleTransparent GUICtrlToolbar_GetStyleWrapable GUICtrlToolbar_GetTextRows GUICtrlToolbar_GetToolTips GUICtrlToolbar_GetUnicodeFormat GUICtrlToolbar_HideButton GUICtrlToolbar_HighlightButton GUICtrlToolbar_HitTest GUICtrlToolbar_IndexToCommand GUICtrlToolbar_InsertButton GUICtrlToolbar_InsertMarkHitTest GUICtrlToolbar_IsButtonChecked GUICtrlToolbar_IsButtonEnabled GUICtrlToolbar_IsButtonHidden GUICtrlToolbar_IsButtonHighlighted GUICtrlToolbar_IsButtonIndeterminate GUICtrlToolbar_IsButtonPressed GUICtrlToolbar_LoadBitmap GUICtrlToolbar_LoadImages GUICtrlToolbar_MapAccelerator GUICtrlToolbar_MoveButton GUICtrlToolbar_PressButton GUICtrlToolbar_SetAnchorHighlight GUICtrlToolbar_SetBitmapSize GUICtrlToolbar_SetButtonBitMap GUICtrlToolbar_SetButtonInfo GUICtrlToolbar_SetButtonInfoEx GUICtrlToolbar_SetButtonParam GUICtrlToolbar_SetButtonSize GUICtrlToolbar_SetButtonState GUICtrlToolbar_SetButtonStyle GUICtrlToolbar_SetButtonText GUICtrlToolbar_SetButtonWidth GUICtrlToolbar_SetCmdID GUICtrlToolbar_SetColorScheme GUICtrlToolbar_SetDisabledImageList GUICtrlToolbar_SetDrawTextFlags GUICtrlToolbar_SetExtendedStyle GUICtrlToolbar_SetHotImageList GUICtrlToolbar_SetHotItem GUICtrlToolbar_SetImageList GUICtrlToolbar_SetIndent GUICtrlToolbar_SetIndeterminate GUICtrlToolbar_SetInsertMark GUICtrlToolbar_SetInsertMarkColor GUICtrlToolbar_SetMaxTextRows GUICtrlToolbar_SetMetrics GUICtrlToolbar_SetPadding GUICtrlToolbar_SetParent GUICtrlToolbar_SetRows GUICtrlToolbar_SetStyle GUICtrlToolbar_SetStyleAltDrag GUICtrlToolbar_SetStyleCustomErase GUICtrlToolbar_SetStyleFlat GUICtrlToolbar_SetStyleList GUICtrlToolbar_SetStyleRegisterDrop GUICtrlToolbar_SetStyleToolTips GUICtrlToolbar_SetStyleTransparent GUICtrlToolbar_SetStyleWrapable GUICtrlToolbar_SetToolTips GUICtrlToolbar_SetUnicodeFormat GUICtrlToolbar_SetWindowTheme GUICtrlTreeView_Add GUICtrlTreeView_AddChild GUICtrlTreeView_AddChildFirst GUICtrlTreeView_AddFirst GUICtrlTreeView_BeginUpdate GUICtrlTreeView_ClickItem GUICtrlTreeView_Create GUICtrlTreeView_CreateDragImage GUICtrlTreeView_CreateSolidBitMap GUICtrlTreeView_Delete GUICtrlTreeView_DeleteAll GUICtrlTreeView_DeleteChildren GUICtrlTreeView_Destroy GUICtrlTreeView_DisplayRect GUICtrlTreeView_DisplayRectEx GUICtrlTreeView_EditText GUICtrlTreeView_EndEdit GUICtrlTreeView_EndUpdate GUICtrlTreeView_EnsureVisible GUICtrlTreeView_Expand GUICtrlTreeView_ExpandedOnce GUICtrlTreeView_FindItem GUICtrlTreeView_FindItemEx GUICtrlTreeView_GetBkColor GUICtrlTreeView_GetBold GUICtrlTreeView_GetChecked GUICtrlTreeView_GetChildCount GUICtrlTreeView_GetChildren GUICtrlTreeView_GetCount GUICtrlTreeView_GetCut GUICtrlTreeView_GetDropTarget GUICtrlTreeView_GetEditControl GUICtrlTreeView_GetExpanded GUICtrlTreeView_GetFirstChild GUICtrlTreeView_GetFirstItem GUICtrlTreeView_GetFirstVisible GUICtrlTreeView_GetFocused GUICtrlTreeView_GetHeight GUICtrlTreeView_GetImageIndex GUICtrlTreeView_GetImageListIconHandle GUICtrlTreeView_GetIndent GUICtrlTreeView_GetInsertMarkColor GUICtrlTreeView_GetISearchString GUICtrlTreeView_GetItemByIndex GUICtrlTreeView_GetItemHandle GUICtrlTreeView_GetItemParam GUICtrlTreeView_GetLastChild GUICtrlTreeView_GetLineColor GUICtrlTreeView_GetNext GUICtrlTreeView_GetNextChild GUICtrlTreeView_GetNextSibling GUICtrlTreeView_GetNextVisible GUICtrlTreeView_GetNormalImageList GUICtrlTreeView_GetParentHandle GUICtrlTreeView_GetParentParam GUICtrlTreeView_GetPrev GUICtrlTreeView_GetPrevChild GUICtrlTreeView_GetPrevSibling GUICtrlTreeView_GetPrevVisible GUICtrlTreeView_GetScrollTime GUICtrlTreeView_GetSelected GUICtrlTreeView_GetSelectedImageIndex GUICtrlTreeView_GetSelection GUICtrlTreeView_GetSiblingCount GUICtrlTreeView_GetState GUICtrlTreeView_GetStateImageIndex GUICtrlTreeView_GetStateImageList GUICtrlTreeView_GetText GUICtrlTreeView_GetTextColor GUICtrlTreeView_GetToolTips GUICtrlTreeView_GetTree GUICtrlTreeView_GetUnicodeFormat GUICtrlTreeView_GetVisible GUICtrlTreeView_GetVisibleCount GUICtrlTreeView_HitTest GUICtrlTreeView_HitTestEx GUICtrlTreeView_HitTestItem GUICtrlTreeView_Index GUICtrlTreeView_InsertItem GUICtrlTreeView_IsFirstItem GUICtrlTreeView_IsParent GUICtrlTreeView_Level GUICtrlTreeView_SelectItem GUICtrlTreeView_SelectItemByIndex GUICtrlTreeView_SetBkColor GUICtrlTreeView_SetBold GUICtrlTreeView_SetChecked GUICtrlTreeView_SetCheckedByIndex GUICtrlTreeView_SetChildren GUICtrlTreeView_SetCut GUICtrlTreeView_SetDropTarget GUICtrlTreeView_SetFocused GUICtrlTreeView_SetHeight GUICtrlTreeView_SetIcon GUICtrlTreeView_SetImageIndex GUICtrlTreeView_SetIndent GUICtrlTreeView_SetInsertMark GUICtrlTreeView_SetInsertMarkColor GUICtrlTreeView_SetItemHeight GUICtrlTreeView_SetItemParam GUICtrlTreeView_SetLineColor GUICtrlTreeView_SetNormalImageList GUICtrlTreeView_SetScrollTime GUICtrlTreeView_SetSelected GUICtrlTreeView_SetSelectedImageIndex GUICtrlTreeView_SetState GUICtrlTreeView_SetStateImageIndex GUICtrlTreeView_SetStateImageList GUICtrlTreeView_SetText GUICtrlTreeView_SetTextColor GUICtrlTreeView_SetToolTips GUICtrlTreeView_SetUnicodeFormat GUICtrlTreeView_Sort GUIImageList_Add GUIImageList_AddBitmap GUIImageList_AddIcon GUIImageList_AddMasked GUIImageList_BeginDrag GUIImageList_Copy GUIImageList_Create GUIImageList_Destroy GUIImageList_DestroyIcon GUIImageList_DragEnter GUIImageList_DragLeave GUIImageList_DragMove GUIImageList_Draw GUIImageList_DrawEx GUIImageList_Duplicate GUIImageList_EndDrag GUIImageList_GetBkColor GUIImageList_GetIcon GUIImageList_GetIconHeight GUIImageList_GetIconSize GUIImageList_GetIconSizeEx GUIImageList_GetIconWidth GUIImageList_GetImageCount GUIImageList_GetImageInfoEx GUIImageList_Remove GUIImageList_ReplaceIcon GUIImageList_SetBkColor GUIImageList_SetIconSize GUIImageList_SetImageCount GUIImageList_Swap GUIScrollBars_EnableScrollBar GUIScrollBars_GetScrollBarInfoEx GUIScrollBars_GetScrollBarRect GUIScrollBars_GetScrollBarRGState GUIScrollBars_GetScrollBarXYLineButton GUIScrollBars_GetScrollBarXYThumbBottom GUIScrollBars_GetScrollBarXYThumbTop GUIScrollBars_GetScrollInfo GUIScrollBars_GetScrollInfoEx GUIScrollBars_GetScrollInfoMax GUIScrollBars_GetScrollInfoMin GUIScrollBars_GetScrollInfoPage GUIScrollBars_GetScrollInfoPos GUIScrollBars_GetScrollInfoTrackPos GUIScrollBars_GetScrollPos GUIScrollBars_GetScrollRange GUIScrollBars_Init GUIScrollBars_ScrollWindow GUIScrollBars_SetScrollInfo GUIScrollBars_SetScrollInfoMax GUIScrollBars_SetScrollInfoMin GUIScrollBars_SetScrollInfoPage GUIScrollBars_SetScrollInfoPos GUIScrollBars_SetScrollRange GUIScrollBars_ShowScrollBar GUIToolTip_Activate GUIToolTip_AddTool GUIToolTip_AdjustRect GUIToolTip_BitsToTTF GUIToolTip_Create GUIToolTip_Deactivate GUIToolTip_DelTool GUIToolTip_Destroy GUIToolTip_EnumTools GUIToolTip_GetBubbleHeight GUIToolTip_GetBubbleSize GUIToolTip_GetBubbleWidth GUIToolTip_GetCurrentTool GUIToolTip_GetDelayTime GUIToolTip_GetMargin GUIToolTip_GetMarginEx GUIToolTip_GetMaxTipWidth GUIToolTip_GetText GUIToolTip_GetTipBkColor GUIToolTip_GetTipTextColor GUIToolTip_GetTitleBitMap GUIToolTip_GetTitleText GUIToolTip_GetToolCount GUIToolTip_GetToolInfo GUIToolTip_HitTest GUIToolTip_NewToolRect GUIToolTip_Pop GUIToolTip_PopUp GUIToolTip_SetDelayTime GUIToolTip_SetMargin GUIToolTip_SetMaxTipWidth GUIToolTip_SetTipBkColor GUIToolTip_SetTipTextColor GUIToolTip_SetTitle GUIToolTip_SetToolInfo GUIToolTip_SetWindowTheme GUIToolTip_ToolExists GUIToolTip_ToolToArray GUIToolTip_TrackActivate GUIToolTip_TrackPosition GUIToolTip_Update GUIToolTip_UpdateTipText HexToString IEAction IEAttach IEBodyReadHTML IEBodyReadText IEBodyWriteHTML IECreate IECreateEmbedded IEDocGetObj IEDocInsertHTML IEDocInsertText IEDocReadHTML IEDocWriteHTML IEErrorNotify IEFormElementCheckBoxSelect IEFormElementGetCollection IEFormElementGetObjByName IEFormElementGetValue IEFormElementOptionSelect IEFormElementRadioSelect IEFormElementSetValue IEFormGetCollection IEFormGetObjByName IEFormImageClick IEFormReset IEFormSubmit IEFrameGetCollection IEFrameGetObjByName IEGetObjById IEGetObjByName IEHeadInsertEventScript IEImgClick IEImgGetCollection IEIsFrameSet IELinkClickByIndex IELinkClickByText IELinkGetCollection IELoadWait IELoadWaitTimeout IENavigate IEPropertyGet IEPropertySet IEQuit IETableGetCollection IETableWriteToArray IETagNameAllGetCollection IETagNameGetCollection IE_Example IE_Introduction IE_VersionInfo INetExplorerCapable INetGetSource INetMail INetSmtpMail IsPressed MathCheckDiv Max MemGlobalAlloc MemGlobalFree MemGlobalLock MemGlobalSize MemGlobalUnlock MemMoveMemory MemVirtualAlloc MemVirtualAllocEx MemVirtualFree MemVirtualFreeEx Min MouseTrap NamedPipes_CallNamedPipe NamedPipes_ConnectNamedPipe NamedPipes_CreateNamedPipe NamedPipes_CreatePipe NamedPipes_DisconnectNamedPipe NamedPipes_GetNamedPipeHandleState NamedPipes_GetNamedPipeInfo NamedPipes_PeekNamedPipe NamedPipes_SetNamedPipeHandleState NamedPipes_TransactNamedPipe NamedPipes_WaitNamedPipe Net_Share_ConnectionEnum Net_Share_FileClose Net_Share_FileEnum Net_Share_FileGetInfo Net_Share_PermStr Net_Share_ResourceStr Net_Share_SessionDel Net_Share_SessionEnum Net_Share_SessionGetInfo Net_Share_ShareAdd Net_Share_ShareCheck Net_Share_ShareDel Net_Share_ShareEnum Net_Share_ShareGetInfo Net_Share_ShareSetInfo Net_Share_StatisticsGetSvr Net_Share_StatisticsGetWrk Now NowCalc NowCalcDate NowDate NowTime PathFull PathGetRelative PathMake PathSplit ProcessGetName ProcessGetPriority Radian ReplaceStringInFile RunDos ScreenCapture_Capture ScreenCapture_CaptureWnd ScreenCapture_SaveImage ScreenCapture_SetBMPFormat ScreenCapture_SetJPGQuality ScreenCapture_SetTIFColorDepth ScreenCapture_SetTIFCompression Security__AdjustTokenPrivileges Security__CreateProcessWithToken Security__DuplicateTokenEx Security__GetAccountSid Security__GetLengthSid Security__GetTokenInformation Security__ImpersonateSelf Security__IsValidSid Security__LookupAccountName Security__LookupAccountSid Security__LookupPrivilegeValue Security__OpenProcessToken Security__OpenThreadToken Security__OpenThreadTokenEx Security__SetPrivilege Security__SetTokenInformation Security__SidToStringSid Security__SidTypeStr Security__StringSidToSid SendMessage SendMessageA SetDate SetTime Singleton SoundClose SoundLength SoundOpen SoundPause SoundPlay SoundPos SoundResume SoundSeek SoundStatus SoundStop SQLite_Changes SQLite_Close SQLite_Display2DResult SQLite_Encode SQLite_ErrCode SQLite_ErrMsg SQLite_Escape SQLite_Exec SQLite_FastEncode SQLite_FastEscape SQLite_FetchData SQLite_FetchNames SQLite_GetTable SQLite_GetTable2d SQLite_LastInsertRowID SQLite_LibVersion SQLite_Open SQLite_Query SQLite_QueryFinalize SQLite_QueryReset SQLite_QuerySingleRow SQLite_SafeMode SQLite_SetTimeout SQLite_Shutdown SQLite_SQLiteExe SQLite_Startup SQLite_TotalChanges StringBetween StringExplode StringInsert StringProper StringRepeat StringTitleCase StringToHex TCPIpToName TempFile TicksToTime Timer_Diff Timer_GetIdleTime Timer_GetTimerID Timer_Init Timer_KillAllTimers Timer_KillTimer Timer_SetTimer TimeToTicks VersionCompare viClose viExecCommand viFindGpib viGpibBusReset viGTL viInteractiveControl viOpen viSetAttribute viSetTimeout WeekNumberISO WinAPI_AbortPath WinAPI_ActivateKeyboardLayout WinAPI_AddClipboardFormatListener WinAPI_AddFontMemResourceEx WinAPI_AddFontResourceEx WinAPI_AddIconOverlay WinAPI_AddIconTransparency WinAPI_AddMRUString WinAPI_AdjustBitmap WinAPI_AdjustTokenPrivileges WinAPI_AdjustWindowRectEx WinAPI_AlphaBlend WinAPI_AngleArc WinAPI_AnimateWindow WinAPI_Arc WinAPI_ArcTo WinAPI_ArrayToStruct WinAPI_AssignProcessToJobObject WinAPI_AssocGetPerceivedType WinAPI_AssocQueryString WinAPI_AttachConsole WinAPI_AttachThreadInput WinAPI_BackupRead WinAPI_BackupReadAbort WinAPI_BackupSeek WinAPI_BackupWrite WinAPI_BackupWriteAbort WinAPI_Beep WinAPI_BeginBufferedPaint WinAPI_BeginDeferWindowPos WinAPI_BeginPaint WinAPI_BeginPath WinAPI_BeginUpdateResource WinAPI_BitBlt WinAPI_BringWindowToTop WinAPI_BroadcastSystemMessage WinAPI_BrowseForFolderDlg WinAPI_BufferedPaintClear WinAPI_BufferedPaintInit WinAPI_BufferedPaintSetAlpha WinAPI_BufferedPaintUnInit WinAPI_CallNextHookEx WinAPI_CallWindowProc WinAPI_CallWindowProcW WinAPI_CascadeWindows WinAPI_ChangeWindowMessageFilterEx WinAPI_CharToOem WinAPI_ChildWindowFromPointEx WinAPI_ClientToScreen WinAPI_ClipCursor WinAPI_CloseDesktop WinAPI_CloseEnhMetaFile WinAPI_CloseFigure WinAPI_CloseHandle WinAPI_CloseThemeData WinAPI_CloseWindow WinAPI_CloseWindowStation WinAPI_CLSIDFromProgID WinAPI_CoInitialize WinAPI_ColorAdjustLuma WinAPI_ColorHLSToRGB WinAPI_ColorRGBToHLS WinAPI_CombineRgn WinAPI_CombineTransform WinAPI_CommandLineToArgv WinAPI_CommDlgExtendedError WinAPI_CommDlgExtendedErrorEx WinAPI_CompareString WinAPI_CompressBitmapBits WinAPI_CompressBuffer WinAPI_ComputeCrc32 WinAPI_ConfirmCredentials WinAPI_CopyBitmap WinAPI_CopyCursor WinAPI_CopyEnhMetaFile WinAPI_CopyFileEx WinAPI_CopyIcon WinAPI_CopyImage WinAPI_CopyRect WinAPI_CopyStruct WinAPI_CoTaskMemAlloc WinAPI_CoTaskMemFree WinAPI_CoTaskMemRealloc WinAPI_CoUninitialize WinAPI_Create32BitHBITMAP WinAPI_Create32BitHICON WinAPI_CreateANDBitmap WinAPI_CreateBitmap WinAPI_CreateBitmapIndirect WinAPI_CreateBrushIndirect WinAPI_CreateBuffer WinAPI_CreateBufferFromStruct WinAPI_CreateCaret WinAPI_CreateColorAdjustment WinAPI_CreateCompatibleBitmap WinAPI_CreateCompatibleBitmapEx WinAPI_CreateCompatibleDC WinAPI_CreateDesktop WinAPI_CreateDIB WinAPI_CreateDIBColorTable WinAPI_CreateDIBitmap WinAPI_CreateDIBSection WinAPI_CreateDirectory WinAPI_CreateDirectoryEx WinAPI_CreateEllipticRgn WinAPI_CreateEmptyIcon WinAPI_CreateEnhMetaFile WinAPI_CreateEvent WinAPI_CreateFile WinAPI_CreateFileEx WinAPI_CreateFileMapping WinAPI_CreateFont WinAPI_CreateFontEx WinAPI_CreateFontIndirect WinAPI_CreateGUID WinAPI_CreateHardLink WinAPI_CreateIcon WinAPI_CreateIconFromResourceEx WinAPI_CreateIconIndirect WinAPI_CreateJobObject WinAPI_CreateMargins WinAPI_CreateMRUList WinAPI_CreateMutex WinAPI_CreateNullRgn WinAPI_CreateNumberFormatInfo WinAPI_CreateObjectID WinAPI_CreatePen WinAPI_CreatePoint WinAPI_CreatePolygonRgn WinAPI_CreateProcess WinAPI_CreateProcessWithToken WinAPI_CreateRect WinAPI_CreateRectEx WinAPI_CreateRectRgn WinAPI_CreateRectRgnIndirect WinAPI_CreateRoundRectRgn WinAPI_CreateSemaphore WinAPI_CreateSize WinAPI_CreateSolidBitmap WinAPI_CreateSolidBrush WinAPI_CreateStreamOnHGlobal WinAPI_CreateString WinAPI_CreateSymbolicLink WinAPI_CreateTransform WinAPI_CreateWindowEx WinAPI_CreateWindowStation WinAPI_DecompressBuffer WinAPI_DecryptFile WinAPI_DeferWindowPos WinAPI_DefineDosDevice WinAPI_DefRawInputProc WinAPI_DefSubclassProc WinAPI_DefWindowProc WinAPI_DefWindowProcW WinAPI_DeleteDC WinAPI_DeleteEnhMetaFile WinAPI_DeleteFile WinAPI_DeleteObject WinAPI_DeleteObjectID WinAPI_DeleteVolumeMountPoint WinAPI_DeregisterShellHookWindow WinAPI_DestroyCaret WinAPI_DestroyCursor WinAPI_DestroyIcon WinAPI_DestroyWindow WinAPI_DeviceIoControl WinAPI_DisplayStruct WinAPI_DllGetVersion WinAPI_DllInstall WinAPI_DllUninstall WinAPI_DPtoLP WinAPI_DragAcceptFiles WinAPI_DragFinish WinAPI_DragQueryFileEx WinAPI_DragQueryPoint WinAPI_DrawAnimatedRects WinAPI_DrawBitmap WinAPI_DrawEdge WinAPI_DrawFocusRect WinAPI_DrawFrameControl WinAPI_DrawIcon WinAPI_DrawIconEx WinAPI_DrawLine WinAPI_DrawShadowText WinAPI_DrawText WinAPI_DrawThemeBackground WinAPI_DrawThemeEdge WinAPI_DrawThemeIcon WinAPI_DrawThemeParentBackground WinAPI_DrawThemeText WinAPI_DrawThemeTextEx WinAPI_DuplicateEncryptionInfoFile WinAPI_DuplicateHandle WinAPI_DuplicateTokenEx WinAPI_DwmDefWindowProc WinAPI_DwmEnableBlurBehindWindow WinAPI_DwmEnableComposition WinAPI_DwmExtendFrameIntoClientArea WinAPI_DwmGetColorizationColor WinAPI_DwmGetColorizationParameters WinAPI_DwmGetWindowAttribute WinAPI_DwmInvalidateIconicBitmaps WinAPI_DwmIsCompositionEnabled WinAPI_DwmQueryThumbnailSourceSize WinAPI_DwmRegisterThumbnail WinAPI_DwmSetColorizationParameters WinAPI_DwmSetIconicLivePreviewBitmap WinAPI_DwmSetIconicThumbnail WinAPI_DwmSetWindowAttribute WinAPI_DwmUnregisterThumbnail WinAPI_DwmUpdateThumbnailProperties WinAPI_DWordToFloat WinAPI_DWordToInt WinAPI_EjectMedia WinAPI_Ellipse WinAPI_EmptyWorkingSet WinAPI_EnableWindow WinAPI_EncryptFile WinAPI_EncryptionDisable WinAPI_EndBufferedPaint WinAPI_EndDeferWindowPos WinAPI_EndPaint WinAPI_EndPath WinAPI_EndUpdateResource WinAPI_EnumChildProcess WinAPI_EnumChildWindows WinAPI_EnumDesktops WinAPI_EnumDesktopWindows WinAPI_EnumDeviceDrivers WinAPI_EnumDisplayDevices WinAPI_EnumDisplayMonitors WinAPI_EnumDisplaySettings WinAPI_EnumDllProc WinAPI_EnumFiles WinAPI_EnumFileStreams WinAPI_EnumFontFamilies WinAPI_EnumHardLinks WinAPI_EnumMRUList WinAPI_EnumPageFiles WinAPI_EnumProcessHandles WinAPI_EnumProcessModules WinAPI_EnumProcessThreads WinAPI_EnumProcessWindows WinAPI_EnumRawInputDevices WinAPI_EnumResourceLanguages WinAPI_EnumResourceNames WinAPI_EnumResourceTypes WinAPI_EnumSystemGeoID WinAPI_EnumSystemLocales WinAPI_EnumUILanguages WinAPI_EnumWindows WinAPI_EnumWindowsPopup WinAPI_EnumWindowStations WinAPI_EnumWindowsTop WinAPI_EqualMemory WinAPI_EqualRect WinAPI_EqualRgn WinAPI_ExcludeClipRect WinAPI_ExpandEnvironmentStrings WinAPI_ExtCreatePen WinAPI_ExtCreateRegion WinAPI_ExtFloodFill WinAPI_ExtractIcon WinAPI_ExtractIconEx WinAPI_ExtSelectClipRgn WinAPI_FatalAppExit WinAPI_FatalExit WinAPI_FileEncryptionStatus WinAPI_FileExists WinAPI_FileIconInit WinAPI_FileInUse WinAPI_FillMemory WinAPI_FillPath WinAPI_FillRect WinAPI_FillRgn WinAPI_FindClose WinAPI_FindCloseChangeNotification WinAPI_FindExecutable WinAPI_FindFirstChangeNotification WinAPI_FindFirstFile WinAPI_FindFirstFileName WinAPI_FindFirstStream WinAPI_FindNextChangeNotification WinAPI_FindNextFile WinAPI_FindNextFileName WinAPI_FindNextStream WinAPI_FindResource WinAPI_FindResourceEx WinAPI_FindTextDlg WinAPI_FindWindow WinAPI_FlashWindow WinAPI_FlashWindowEx WinAPI_FlattenPath WinAPI_FloatToDWord WinAPI_FloatToInt WinAPI_FlushFileBuffers WinAPI_FlushFRBuffer WinAPI_FlushViewOfFile WinAPI_FormatDriveDlg WinAPI_FormatMessage WinAPI_FrameRect WinAPI_FrameRgn WinAPI_FreeLibrary WinAPI_FreeMemory WinAPI_FreeMRUList WinAPI_FreeResource WinAPI_GdiComment WinAPI_GetActiveWindow WinAPI_GetAllUsersProfileDirectory WinAPI_GetAncestor WinAPI_GetApplicationRestartSettings WinAPI_GetArcDirection WinAPI_GetAsyncKeyState WinAPI_GetBinaryType WinAPI_GetBitmapBits WinAPI_GetBitmapDimension WinAPI_GetBitmapDimensionEx WinAPI_GetBkColor WinAPI_GetBkMode WinAPI_GetBoundsRect WinAPI_GetBrushOrg WinAPI_GetBufferedPaintBits WinAPI_GetBufferedPaintDC WinAPI_GetBufferedPaintTargetDC WinAPI_GetBufferedPaintTargetRect WinAPI_GetBValue WinAPI_GetCaretBlinkTime WinAPI_GetCaretPos WinAPI_GetCDType WinAPI_GetClassInfoEx WinAPI_GetClassLongEx WinAPI_GetClassName WinAPI_GetClientHeight WinAPI_GetClientRect WinAPI_GetClientWidth WinAPI_GetClipboardSequenceNumber WinAPI_GetClipBox WinAPI_GetClipCursor WinAPI_GetClipRgn WinAPI_GetColorAdjustment WinAPI_GetCompressedFileSize WinAPI_GetCompression WinAPI_GetConnectedDlg WinAPI_GetCurrentDirectory WinAPI_GetCurrentHwProfile WinAPI_GetCurrentObject WinAPI_GetCurrentPosition WinAPI_GetCurrentProcess WinAPI_GetCurrentProcessExplicitAppUserModelID WinAPI_GetCurrentProcessID WinAPI_GetCurrentThemeName WinAPI_GetCurrentThread WinAPI_GetCurrentThreadId WinAPI_GetCursor WinAPI_GetCursorInfo WinAPI_GetDateFormat WinAPI_GetDC WinAPI_GetDCEx WinAPI_GetDefaultPrinter WinAPI_GetDefaultUserProfileDirectory WinAPI_GetDesktopWindow WinAPI_GetDeviceCaps WinAPI_GetDeviceDriverBaseName WinAPI_GetDeviceDriverFileName WinAPI_GetDeviceGammaRamp WinAPI_GetDIBColorTable WinAPI_GetDIBits WinAPI_GetDiskFreeSpaceEx WinAPI_GetDlgCtrlID WinAPI_GetDlgItem WinAPI_GetDllDirectory WinAPI_GetDriveBusType WinAPI_GetDriveGeometryEx WinAPI_GetDriveNumber WinAPI_GetDriveType WinAPI_GetDurationFormat WinAPI_GetEffectiveClientRect WinAPI_GetEnhMetaFile WinAPI_GetEnhMetaFileBits WinAPI_GetEnhMetaFileDescription WinAPI_GetEnhMetaFileDimension WinAPI_GetEnhMetaFileHeader WinAPI_GetErrorMessage WinAPI_GetErrorMode WinAPI_GetExitCodeProcess WinAPI_GetExtended WinAPI_GetFileAttributes WinAPI_GetFileID WinAPI_GetFileInformationByHandle WinAPI_GetFileInformationByHandleEx WinAPI_GetFilePointerEx WinAPI_GetFileSizeEx WinAPI_GetFileSizeOnDisk WinAPI_GetFileTitle WinAPI_GetFileType WinAPI_GetFileVersionInfo WinAPI_GetFinalPathNameByHandle WinAPI_GetFinalPathNameByHandleEx WinAPI_GetFocus WinAPI_GetFontMemoryResourceInfo WinAPI_GetFontName WinAPI_GetFontResourceInfo WinAPI_GetForegroundWindow WinAPI_GetFRBuffer WinAPI_GetFullPathName WinAPI_GetGeoInfo WinAPI_GetGlyphOutline WinAPI_GetGraphicsMode WinAPI_GetGuiResources WinAPI_GetGUIThreadInfo WinAPI_GetGValue WinAPI_GetHandleInformation WinAPI_GetHGlobalFromStream WinAPI_GetIconDimension WinAPI_GetIconInfo WinAPI_GetIconInfoEx WinAPI_GetIdleTime WinAPI_GetKeyboardLayout WinAPI_GetKeyboardLayoutList WinAPI_GetKeyboardState WinAPI_GetKeyboardType WinAPI_GetKeyNameText WinAPI_GetKeyState WinAPI_GetLastActivePopup WinAPI_GetLastError WinAPI_GetLastErrorMessage WinAPI_GetLayeredWindowAttributes WinAPI_GetLocaleInfo WinAPI_GetLogicalDrives WinAPI_GetMapMode WinAPI_GetMemorySize WinAPI_GetMessageExtraInfo WinAPI_GetModuleFileNameEx WinAPI_GetModuleHandle WinAPI_GetModuleHandleEx WinAPI_GetModuleInformation WinAPI_GetMonitorInfo WinAPI_GetMousePos WinAPI_GetMousePosX WinAPI_GetMousePosY WinAPI_GetMUILanguage WinAPI_GetNumberFormat WinAPI_GetObject WinAPI_GetObjectID WinAPI_GetObjectInfoByHandle WinAPI_GetObjectNameByHandle WinAPI_GetObjectType WinAPI_GetOpenFileName WinAPI_GetOutlineTextMetrics WinAPI_GetOverlappedResult WinAPI_GetParent WinAPI_GetParentProcess WinAPI_GetPerformanceInfo WinAPI_GetPEType WinAPI_GetPhysicallyInstalledSystemMemory WinAPI_GetPixel WinAPI_GetPolyFillMode WinAPI_GetPosFromRect WinAPI_GetPriorityClass WinAPI_GetProcAddress WinAPI_GetProcessAffinityMask WinAPI_GetProcessCommandLine WinAPI_GetProcessFileName WinAPI_GetProcessHandleCount WinAPI_GetProcessID WinAPI_GetProcessIoCounters WinAPI_GetProcessMemoryInfo WinAPI_GetProcessName WinAPI_GetProcessShutdownParameters WinAPI_GetProcessTimes WinAPI_GetProcessUser WinAPI_GetProcessWindowStation WinAPI_GetProcessWorkingDirectory WinAPI_GetProfilesDirectory WinAPI_GetPwrCapabilities WinAPI_GetRawInputBuffer WinAPI_GetRawInputBufferLength WinAPI_GetRawInputData WinAPI_GetRawInputDeviceInfo WinAPI_GetRegionData WinAPI_GetRegisteredRawInputDevices WinAPI_GetRegKeyNameByHandle WinAPI_GetRgnBox WinAPI_GetROP2 WinAPI_GetRValue WinAPI_GetSaveFileName WinAPI_GetShellWindow WinAPI_GetStartupInfo WinAPI_GetStdHandle WinAPI_GetStockObject WinAPI_GetStretchBltMode WinAPI_GetString WinAPI_GetSysColor WinAPI_GetSysColorBrush WinAPI_GetSystemDefaultLangID WinAPI_GetSystemDefaultLCID WinAPI_GetSystemDefaultUILanguage WinAPI_GetSystemDEPPolicy WinAPI_GetSystemInfo WinAPI_GetSystemMetrics WinAPI_GetSystemPowerStatus WinAPI_GetSystemTimes WinAPI_GetSystemWow64Directory WinAPI_GetTabbedTextExtent WinAPI_GetTempFileName WinAPI_GetTextAlign WinAPI_GetTextCharacterExtra WinAPI_GetTextColor WinAPI_GetTextExtentPoint32 WinAPI_GetTextFace WinAPI_GetTextMetrics WinAPI_GetThemeAppProperties WinAPI_GetThemeBackgroundContentRect WinAPI_GetThemeBackgroundExtent WinAPI_GetThemeBackgroundRegion WinAPI_GetThemeBitmap WinAPI_GetThemeBool WinAPI_GetThemeColor WinAPI_GetThemeDocumentationProperty WinAPI_GetThemeEnumValue WinAPI_GetThemeFilename WinAPI_GetThemeFont WinAPI_GetThemeInt WinAPI_GetThemeMargins WinAPI_GetThemeMetric WinAPI_GetThemePartSize WinAPI_GetThemePosition WinAPI_GetThemePropertyOrigin WinAPI_GetThemeRect WinAPI_GetThemeString WinAPI_GetThemeSysBool WinAPI_GetThemeSysColor WinAPI_GetThemeSysColorBrush WinAPI_GetThemeSysFont WinAPI_GetThemeSysInt WinAPI_GetThemeSysSize WinAPI_GetThemeSysString WinAPI_GetThemeTextExtent WinAPI_GetThemeTextMetrics WinAPI_GetThemeTransitionDuration WinAPI_GetThreadDesktop WinAPI_GetThreadErrorMode WinAPI_GetThreadLocale WinAPI_GetThreadUILanguage WinAPI_GetTickCount WinAPI_GetTickCount64 WinAPI_GetTimeFormat WinAPI_GetTopWindow WinAPI_GetUDFColorMode WinAPI_GetUpdateRect WinAPI_GetUpdateRgn WinAPI_GetUserDefaultLangID WinAPI_GetUserDefaultLCID WinAPI_GetUserDefaultUILanguage WinAPI_GetUserGeoID WinAPI_GetUserObjectInformation WinAPI_GetVersion WinAPI_GetVersionEx WinAPI_GetVolumeInformation WinAPI_GetVolumeInformationByHandle WinAPI_GetVolumeNameForVolumeMountPoint WinAPI_GetWindow WinAPI_GetWindowDC WinAPI_GetWindowDisplayAffinity WinAPI_GetWindowExt WinAPI_GetWindowFileName WinAPI_GetWindowHeight WinAPI_GetWindowInfo WinAPI_GetWindowLong WinAPI_GetWindowOrg WinAPI_GetWindowPlacement WinAPI_GetWindowRect WinAPI_GetWindowRgn WinAPI_GetWindowRgnBox WinAPI_GetWindowSubclass WinAPI_GetWindowText WinAPI_GetWindowTheme WinAPI_GetWindowThreadProcessId WinAPI_GetWindowWidth WinAPI_GetWorkArea WinAPI_GetWorldTransform WinAPI_GetXYFromPoint WinAPI_GlobalMemoryStatus WinAPI_GradientFill WinAPI_GUIDFromString WinAPI_GUIDFromStringEx WinAPI_HashData WinAPI_HashString WinAPI_HiByte WinAPI_HideCaret WinAPI_HiDWord WinAPI_HiWord WinAPI_InflateRect WinAPI_InitMUILanguage WinAPI_InProcess WinAPI_IntersectClipRect WinAPI_IntersectRect WinAPI_IntToDWord WinAPI_IntToFloat WinAPI_InvalidateRect WinAPI_InvalidateRgn WinAPI_InvertANDBitmap WinAPI_InvertColor WinAPI_InvertRect WinAPI_InvertRgn WinAPI_IOCTL WinAPI_IsAlphaBitmap WinAPI_IsBadCodePtr WinAPI_IsBadReadPtr WinAPI_IsBadStringPtr WinAPI_IsBadWritePtr WinAPI_IsChild WinAPI_IsClassName WinAPI_IsDoorOpen WinAPI_IsElevated WinAPI_IsHungAppWindow WinAPI_IsIconic WinAPI_IsInternetConnected WinAPI_IsLoadKBLayout WinAPI_IsMemory WinAPI_IsNameInExpression WinAPI_IsNetworkAlive WinAPI_IsPathShared WinAPI_IsProcessInJob WinAPI_IsProcessorFeaturePresent WinAPI_IsRectEmpty WinAPI_IsThemeActive WinAPI_IsThemeBackgroundPartiallyTransparent WinAPI_IsThemePartDefined WinAPI_IsValidLocale WinAPI_IsWindow WinAPI_IsWindowEnabled WinAPI_IsWindowUnicode WinAPI_IsWindowVisible WinAPI_IsWow64Process WinAPI_IsWritable WinAPI_IsZoomed WinAPI_Keybd_Event WinAPI_KillTimer WinAPI_LineDDA WinAPI_LineTo WinAPI_LoadBitmap WinAPI_LoadCursor WinAPI_LoadCursorFromFile WinAPI_LoadIcon WinAPI_LoadIconMetric WinAPI_LoadIconWithScaleDown WinAPI_LoadImage WinAPI_LoadIndirectString WinAPI_LoadKeyboardLayout WinAPI_LoadLibrary WinAPI_LoadLibraryEx WinAPI_LoadMedia WinAPI_LoadResource WinAPI_LoadShell32Icon WinAPI_LoadString WinAPI_LoadStringEx WinAPI_LoByte WinAPI_LocalFree WinAPI_LockDevice WinAPI_LockFile WinAPI_LockResource WinAPI_LockWindowUpdate WinAPI_LockWorkStation WinAPI_LoDWord WinAPI_LongMid WinAPI_LookupIconIdFromDirectoryEx WinAPI_LoWord WinAPI_LPtoDP WinAPI_MAKELANGID WinAPI_MAKELCID WinAPI_MakeLong WinAPI_MakeQWord WinAPI_MakeWord WinAPI_MapViewOfFile WinAPI_MapVirtualKey WinAPI_MaskBlt WinAPI_MessageBeep WinAPI_MessageBoxCheck WinAPI_MessageBoxIndirect WinAPI_MirrorIcon WinAPI_ModifyWorldTransform WinAPI_MonitorFromPoint WinAPI_MonitorFromRect WinAPI_MonitorFromWindow WinAPI_Mouse_Event WinAPI_MoveFileEx WinAPI_MoveMemory WinAPI_MoveTo WinAPI_MoveToEx WinAPI_MoveWindow WinAPI_MsgBox WinAPI_MulDiv WinAPI_MultiByteToWideChar WinAPI_MultiByteToWideCharEx WinAPI_NtStatusToDosError WinAPI_OemToChar WinAPI_OffsetClipRgn WinAPI_OffsetPoints WinAPI_OffsetRect WinAPI_OffsetRgn WinAPI_OffsetWindowOrg WinAPI_OpenDesktop WinAPI_OpenFileById WinAPI_OpenFileDlg WinAPI_OpenFileMapping WinAPI_OpenIcon WinAPI_OpenInputDesktop WinAPI_OpenJobObject WinAPI_OpenMutex WinAPI_OpenProcess WinAPI_OpenProcessToken WinAPI_OpenSemaphore WinAPI_OpenThemeData WinAPI_OpenWindowStation WinAPI_PageSetupDlg WinAPI_PaintDesktop WinAPI_PaintRgn WinAPI_ParseURL WinAPI_ParseUserName WinAPI_PatBlt WinAPI_PathAddBackslash WinAPI_PathAddExtension WinAPI_PathAppend WinAPI_PathBuildRoot WinAPI_PathCanonicalize WinAPI_PathCommonPrefix WinAPI_PathCompactPath WinAPI_PathCompactPathEx WinAPI_PathCreateFromUrl WinAPI_PathFindExtension WinAPI_PathFindFileName WinAPI_PathFindNextComponent WinAPI_PathFindOnPath WinAPI_PathGetArgs WinAPI_PathGetCharType WinAPI_PathGetDriveNumber WinAPI_PathIsContentType WinAPI_PathIsDirectory WinAPI_PathIsDirectoryEmpty WinAPI_PathIsExe WinAPI_PathIsFileSpec WinAPI_PathIsLFNFileSpec WinAPI_PathIsRelative WinAPI_PathIsRoot WinAPI_PathIsSameRoot WinAPI_PathIsSystemFolder WinAPI_PathIsUNC WinAPI_PathIsUNCServer WinAPI_PathIsUNCServerShare WinAPI_PathMakeSystemFolder WinAPI_PathMatchSpec WinAPI_PathParseIconLocation WinAPI_PathRelativePathTo WinAPI_PathRemoveArgs WinAPI_PathRemoveBackslash WinAPI_PathRemoveExtension WinAPI_PathRemoveFileSpec WinAPI_PathRenameExtension WinAPI_PathSearchAndQualify WinAPI_PathSkipRoot WinAPI_PathStripPath WinAPI_PathStripToRoot WinAPI_PathToRegion WinAPI_PathUndecorate WinAPI_PathUnExpandEnvStrings WinAPI_PathUnmakeSystemFolder WinAPI_PathUnquoteSpaces WinAPI_PathYetAnotherMakeUniqueName WinAPI_PickIconDlg WinAPI_PlayEnhMetaFile WinAPI_PlaySound WinAPI_PlgBlt WinAPI_PointFromRect WinAPI_PolyBezier WinAPI_PolyBezierTo WinAPI_PolyDraw WinAPI_Polygon WinAPI_PostMessage WinAPI_PrimaryLangId WinAPI_PrintDlg WinAPI_PrintDlgEx WinAPI_PrintWindow WinAPI_ProgIDFromCLSID WinAPI_PtInRect WinAPI_PtInRectEx WinAPI_PtInRegion WinAPI_PtVisible WinAPI_QueryDosDevice WinAPI_QueryInformationJobObject WinAPI_QueryPerformanceCounter WinAPI_QueryPerformanceFrequency WinAPI_RadialGradientFill WinAPI_ReadDirectoryChanges WinAPI_ReadFile WinAPI_ReadProcessMemory WinAPI_Rectangle WinAPI_RectInRegion WinAPI_RectIsEmpty WinAPI_RectVisible WinAPI_RedrawWindow WinAPI_RegCloseKey WinAPI_RegConnectRegistry WinAPI_RegCopyTree WinAPI_RegCopyTreeEx WinAPI_RegCreateKey WinAPI_RegDeleteEmptyKey WinAPI_RegDeleteKey WinAPI_RegDeleteKeyValue WinAPI_RegDeleteTree WinAPI_RegDeleteTreeEx WinAPI_RegDeleteValue WinAPI_RegDisableReflectionKey WinAPI_RegDuplicateHKey WinAPI_RegEnableReflectionKey WinAPI_RegEnumKey WinAPI_RegEnumValue WinAPI_RegFlushKey WinAPI_RegisterApplicationRestart WinAPI_RegisterClass WinAPI_RegisterClassEx WinAPI_RegisterHotKey WinAPI_RegisterPowerSettingNotification WinAPI_RegisterRawInputDevices WinAPI_RegisterShellHookWindow WinAPI_RegisterWindowMessage WinAPI_RegLoadMUIString WinAPI_RegNotifyChangeKeyValue WinAPI_RegOpenKey WinAPI_RegQueryInfoKey WinAPI_RegQueryLastWriteTime WinAPI_RegQueryMultipleValues WinAPI_RegQueryReflectionKey WinAPI_RegQueryValue WinAPI_RegRestoreKey WinAPI_RegSaveKey WinAPI_RegSetValue WinAPI_ReleaseCapture WinAPI_ReleaseDC WinAPI_ReleaseMutex WinAPI_ReleaseSemaphore WinAPI_ReleaseStream WinAPI_RemoveClipboardFormatListener WinAPI_RemoveDirectory WinAPI_RemoveFontMemResourceEx WinAPI_RemoveFontResourceEx WinAPI_RemoveWindowSubclass WinAPI_ReOpenFile WinAPI_ReplaceFile WinAPI_ReplaceTextDlg WinAPI_ResetEvent WinAPI_RestartDlg WinAPI_RestoreDC WinAPI_RGB WinAPI_RotatePoints WinAPI_RoundRect WinAPI_SaveDC WinAPI_SaveFileDlg WinAPI_SaveHBITMAPToFile WinAPI_SaveHICONToFile WinAPI_ScaleWindowExt WinAPI_ScreenToClient WinAPI_SearchPath WinAPI_SelectClipPath WinAPI_SelectClipRgn WinAPI_SelectObject WinAPI_SendMessageTimeout WinAPI_SetActiveWindow WinAPI_SetArcDirection WinAPI_SetBitmapBits WinAPI_SetBitmapDimensionEx WinAPI_SetBkColor WinAPI_SetBkMode WinAPI_SetBoundsRect WinAPI_SetBrushOrg WinAPI_SetCapture WinAPI_SetCaretBlinkTime WinAPI_SetCaretPos WinAPI_SetClassLongEx WinAPI_SetColorAdjustment WinAPI_SetCompression WinAPI_SetCurrentDirectory WinAPI_SetCurrentProcessExplicitAppUserModelID WinAPI_SetCursor WinAPI_SetDCBrushColor WinAPI_SetDCPenColor WinAPI_SetDefaultPrinter WinAPI_SetDeviceGammaRamp WinAPI_SetDIBColorTable WinAPI_SetDIBits WinAPI_SetDIBitsToDevice WinAPI_SetDllDirectory WinAPI_SetEndOfFile WinAPI_SetEnhMetaFileBits WinAPI_SetErrorMode WinAPI_SetEvent WinAPI_SetFileAttributes WinAPI_SetFileInformationByHandleEx WinAPI_SetFilePointer WinAPI_SetFilePointerEx WinAPI_SetFileShortName WinAPI_SetFileValidData WinAPI_SetFocus WinAPI_SetFont WinAPI_SetForegroundWindow WinAPI_SetFRBuffer WinAPI_SetGraphicsMode WinAPI_SetHandleInformation WinAPI_SetInformationJobObject WinAPI_SetKeyboardLayout WinAPI_SetKeyboardState WinAPI_SetLastError WinAPI_SetLayeredWindowAttributes WinAPI_SetLocaleInfo WinAPI_SetMapMode WinAPI_SetMessageExtraInfo WinAPI_SetParent WinAPI_SetPixel WinAPI_SetPolyFillMode WinAPI_SetPriorityClass WinAPI_SetProcessAffinityMask WinAPI_SetProcessShutdownParameters WinAPI_SetProcessWindowStation WinAPI_SetRectRgn WinAPI_SetROP2 WinAPI_SetSearchPathMode WinAPI_SetStretchBltMode WinAPI_SetSysColors WinAPI_SetSystemCursor WinAPI_SetTextAlign WinAPI_SetTextCharacterExtra WinAPI_SetTextColor WinAPI_SetTextJustification WinAPI_SetThemeAppProperties WinAPI_SetThreadDesktop WinAPI_SetThreadErrorMode WinAPI_SetThreadExecutionState WinAPI_SetThreadLocale WinAPI_SetThreadUILanguage WinAPI_SetTimer WinAPI_SetUDFColorMode WinAPI_SetUserGeoID WinAPI_SetUserObjectInformation WinAPI_SetVolumeMountPoint WinAPI_SetWindowDisplayAffinity WinAPI_SetWindowExt WinAPI_SetWindowLong WinAPI_SetWindowOrg WinAPI_SetWindowPlacement WinAPI_SetWindowPos WinAPI_SetWindowRgn WinAPI_SetWindowsHookEx WinAPI_SetWindowSubclass WinAPI_SetWindowText WinAPI_SetWindowTheme WinAPI_SetWinEventHook WinAPI_SetWorldTransform WinAPI_SfcIsFileProtected WinAPI_SfcIsKeyProtected WinAPI_ShellAboutDlg WinAPI_ShellAddToRecentDocs WinAPI_ShellChangeNotify WinAPI_ShellChangeNotifyDeregister WinAPI_ShellChangeNotifyRegister WinAPI_ShellCreateDirectory WinAPI_ShellEmptyRecycleBin WinAPI_ShellExecute WinAPI_ShellExecuteEx WinAPI_ShellExtractAssociatedIcon WinAPI_ShellExtractIcon WinAPI_ShellFileOperation WinAPI_ShellFlushSFCache WinAPI_ShellGetFileInfo WinAPI_ShellGetIconOverlayIndex WinAPI_ShellGetImageList WinAPI_ShellGetKnownFolderIDList WinAPI_ShellGetKnownFolderPath WinAPI_ShellGetLocalizedName WinAPI_ShellGetPathFromIDList WinAPI_ShellGetSetFolderCustomSettings WinAPI_ShellGetSettings WinAPI_ShellGetSpecialFolderLocation WinAPI_ShellGetSpecialFolderPath WinAPI_ShellGetStockIconInfo WinAPI_ShellILCreateFromPath WinAPI_ShellNotifyIcon WinAPI_ShellNotifyIconGetRect WinAPI_ShellObjectProperties WinAPI_ShellOpenFolderAndSelectItems WinAPI_ShellOpenWithDlg WinAPI_ShellQueryRecycleBin WinAPI_ShellQueryUserNotificationState WinAPI_ShellRemoveLocalizedName WinAPI_ShellRestricted WinAPI_ShellSetKnownFolderPath WinAPI_ShellSetLocalizedName WinAPI_ShellSetSettings WinAPI_ShellStartNetConnectionDlg WinAPI_ShellUpdateImage WinAPI_ShellUserAuthenticationDlg WinAPI_ShellUserAuthenticationDlgEx WinAPI_ShortToWord WinAPI_ShowCaret WinAPI_ShowCursor WinAPI_ShowError WinAPI_ShowLastError WinAPI_ShowMsg WinAPI_ShowOwnedPopups WinAPI_ShowWindow WinAPI_ShutdownBlockReasonCreate WinAPI_ShutdownBlockReasonDestroy WinAPI_ShutdownBlockReasonQuery WinAPI_SizeOfResource WinAPI_StretchBlt WinAPI_StretchDIBits WinAPI_StrFormatByteSize WinAPI_StrFormatByteSizeEx WinAPI_StrFormatKBSize WinAPI_StrFromTimeInterval WinAPI_StringFromGUID WinAPI_StringLenA WinAPI_StringLenW WinAPI_StrLen WinAPI_StrokeAndFillPath WinAPI_StrokePath WinAPI_StructToArray WinAPI_SubLangId WinAPI_SubtractRect WinAPI_SwapDWord WinAPI_SwapQWord WinAPI_SwapWord WinAPI_SwitchColor WinAPI_SwitchDesktop WinAPI_SwitchToThisWindow WinAPI_SystemParametersInfo WinAPI_TabbedTextOut WinAPI_TerminateJobObject WinAPI_TerminateProcess WinAPI_TextOut WinAPI_TileWindows WinAPI_TrackMouseEvent WinAPI_TransparentBlt WinAPI_TwipsPerPixelX WinAPI_TwipsPerPixelY WinAPI_UnhookWindowsHookEx WinAPI_UnhookWinEvent WinAPI_UnionRect WinAPI_UnionStruct WinAPI_UniqueHardwareID WinAPI_UnloadKeyboardLayout WinAPI_UnlockFile WinAPI_UnmapViewOfFile WinAPI_UnregisterApplicationRestart WinAPI_UnregisterClass WinAPI_UnregisterHotKey WinAPI_UnregisterPowerSettingNotification WinAPI_UpdateLayeredWindow WinAPI_UpdateLayeredWindowEx WinAPI_UpdateLayeredWindowIndirect WinAPI_UpdateResource WinAPI_UpdateWindow WinAPI_UrlApplyScheme WinAPI_UrlCanonicalize WinAPI_UrlCombine WinAPI_UrlCompare WinAPI_UrlCreateFromPath WinAPI_UrlFixup WinAPI_UrlGetPart WinAPI_UrlHash WinAPI_UrlIs WinAPI_UserHandleGrantAccess WinAPI_ValidateRect WinAPI_ValidateRgn WinAPI_VerQueryRoot WinAPI_VerQueryValue WinAPI_VerQueryValueEx WinAPI_WaitForInputIdle WinAPI_WaitForMultipleObjects WinAPI_WaitForSingleObject WinAPI_WideCharToMultiByte WinAPI_WidenPath WinAPI_WindowFromDC WinAPI_WindowFromPoint WinAPI_WordToShort WinAPI_Wow64EnableWow64FsRedirection WinAPI_WriteConsole WinAPI_WriteFile WinAPI_WriteProcessMemory WinAPI_ZeroMemory WinNet_AddConnection WinNet_AddConnection2 WinNet_AddConnection3 WinNet_CancelConnection WinNet_CancelConnection2 WinNet_CloseEnum WinNet_ConnectionDialog WinNet_ConnectionDialog1 WinNet_DisconnectDialog WinNet_DisconnectDialog1 WinNet_EnumResource WinNet_GetConnection WinNet_GetConnectionPerformance WinNet_GetLastError WinNet_GetNetworkInformation WinNet_GetProviderName WinNet_GetResourceInformation WinNet_GetResourceParent WinNet_GetUniversalName WinNet_GetUser WinNet_OpenEnum WinNet_RestoreConnection WinNet_UseConnection Word_Create Word_DocAdd Word_DocAttach Word_DocClose Word_DocExport Word_DocFind Word_DocFindReplace Word_DocGet Word_DocLinkAdd Word_DocLinkGet Word_DocOpen Word_DocPictureAdd Word_DocPrint Word_DocRangeSet Word_DocSave Word_DocSaveAs Word_DocTableRead Word_DocTableWrite Word_Quit",e={ variants:[a.COMMENT(";","$",{relevance:0}),a.COMMENT("#cs","#ce"),a.COMMENT("#comments-start","#comments-end")]},f={className:"variable",begin:"\\$[A-z0-9_]+"},g={className:"string",variants:[{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]},h={variants:[a.BINARY_NUMBER_MODE,a.C_NUMBER_MODE]},i={className:"preprocessor",begin:"#",end:"$",keywords:"include include-once NoTrayIcon OnAutoItStartRegister RequireAdmin pragma Au3Stripper_Ignore_Funcs Au3Stripper_Ignore_Variables Au3Stripper_Off Au3Stripper_On Au3Stripper_Parameters AutoIt3Wrapper_Add_Constants AutoIt3Wrapper_Au3Check_Parameters AutoIt3Wrapper_Au3Check_Stop_OnWarning AutoIt3Wrapper_Aut2Exe AutoIt3Wrapper_AutoIt3 AutoIt3Wrapper_AutoIt3Dir AutoIt3Wrapper_Change2CUI AutoIt3Wrapper_Compile_Both AutoIt3Wrapper_Compression AutoIt3Wrapper_EndIf AutoIt3Wrapper_Icon AutoIt3Wrapper_If_Compile AutoIt3Wrapper_If_Run AutoIt3Wrapper_Jump_To_First_Error AutoIt3Wrapper_OutFile AutoIt3Wrapper_OutFile_Type AutoIt3Wrapper_OutFile_X64 AutoIt3Wrapper_PlugIn_Funcs AutoIt3Wrapper_Res_Comment Autoit3Wrapper_Res_Compatibility AutoIt3Wrapper_Res_Description AutoIt3Wrapper_Res_Field AutoIt3Wrapper_Res_File_Add AutoIt3Wrapper_Res_FileVersion AutoIt3Wrapper_Res_FileVersion_AutoIncrement AutoIt3Wrapper_Res_Icon_Add AutoIt3Wrapper_Res_Language AutoIt3Wrapper_Res_LegalCopyright AutoIt3Wrapper_Res_ProductVersion AutoIt3Wrapper_Res_requestedExecutionLevel AutoIt3Wrapper_Res_SaveSource AutoIt3Wrapper_Run_After AutoIt3Wrapper_Run_Au3Check AutoIt3Wrapper_Run_Au3Stripper AutoIt3Wrapper_Run_Before AutoIt3Wrapper_Run_Debug_Mode AutoIt3Wrapper_Run_SciTE_Minimized AutoIt3Wrapper_Run_SciTE_OutputPane_Minimized AutoIt3Wrapper_Run_Tidy AutoIt3Wrapper_ShowProgress AutoIt3Wrapper_Testing AutoIt3Wrapper_Tidy_Stop_OnError AutoIt3Wrapper_UPX_Parameters AutoIt3Wrapper_UseUPX AutoIt3Wrapper_UseX64 AutoIt3Wrapper_Version AutoIt3Wrapper_Versioning AutoIt3Wrapper_Versioning_Parameters Tidy_Off Tidy_On Tidy_Parameters EndRegion Region",contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",end:"$",contains:[g,{className:"string",variants:[{begin:"<",end:">"},{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]}]},g,e]},j={className:"constant",begin:"@[A-z0-9_]+"},k={className:"function",beginKeywords:"Func",end:"$",excludeEnd:!0,illegal:"\\$|\\[|%",contains:[a.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)",contains:[f,g,h]}]};return{case_insensitive:!0,illegal:/\/\*/,keywords:{keyword:b,built_in:d,literal:c},contains:[e,f,g,h,i,j,k]}}),a.registerLanguage("avrasm",function(a){return{case_insensitive:!0,lexemes:"\\.?"+a.IDENT_RE,keywords:{keyword:"adc add adiw and andi asr bclr bld brbc brbs brcc brcs break breq brge brhc brhs brid brie brlo brlt brmi brne brpl brsh brtc brts brvc brvs bset bst call cbi cbr clc clh cli cln clr cls clt clv clz com cp cpc cpi cpse dec eicall eijmp elpm eor fmul fmuls fmulsu icall ijmp in inc jmp ld ldd ldi lds lpm lsl lsr mov movw mul muls mulsu neg nop or ori out pop push rcall ret reti rjmp rol ror sbc sbr sbrc sbrs sec seh sbi sbci sbic sbis sbiw sei sen ser ses set sev sez sleep spm st std sts sub subi swap tst wdr",built_in:"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 r16 r17 r18 r19 r20 r21 r22 r23 r24 r25 r26 r27 r28 r29 r30 r31 x|0 xh xl y|0 yh yl z|0 zh zl ucsr1c udr1 ucsr1a ucsr1b ubrr1l ubrr1h ucsr0c ubrr0h tccr3c tccr3a tccr3b tcnt3h tcnt3l ocr3ah ocr3al ocr3bh ocr3bl ocr3ch ocr3cl icr3h icr3l etimsk etifr tccr1c ocr1ch ocr1cl twcr twdr twar twsr twbr osccal xmcra xmcrb eicra spmcsr spmcr portg ddrg ping portf ddrf sreg sph spl xdiv rampz eicrb eimsk gimsk gicr eifr gifr timsk tifr mcucr mcucsr tccr0 tcnt0 ocr0 assr tccr1a tccr1b tcnt1h tcnt1l ocr1ah ocr1al ocr1bh ocr1bl icr1h icr1l tccr2 tcnt2 ocr2 ocdr wdtcr sfior eearh eearl eedr eecr porta ddra pina portb ddrb pinb portc ddrc pinc portd ddrd pind spdr spsr spcr udr0 ucsr0a ucsr0b ubrr0l acsr admux adcsr adch adcl porte ddre pine pinf",preprocessor:".byte .cseg .db .def .device .dseg .dw .endmacro .equ .eseg .exit .include .list .listmac .macro .nolist .org .set"},contains:[a.C_BLOCK_COMMENT_MODE,a.COMMENT(";","$",{relevance:0}),a.C_NUMBER_MODE,a.BINARY_NUMBER_MODE,{className:"number",begin:"\\b(\\$[a-zA-Z0-9]+|0o[0-7]+)"},a.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",illegal:"[^\\\\][^']"},{className:"label",begin:"^[A-Za-z0-9_.$]+:"},{className:"preprocessor",begin:"#",end:"$"},{className:"localvars",begin:"@[0-9]+"}]}}),a.registerLanguage("axapta",function(a){return{keywords:"false int abstract private char boolean static null if for true while long throw finally protected final return void enum else break new catch byte super case short default double public try this switch continue reverse firstfast firstonly forupdate nofetch sum avg minof maxof count order group by asc desc index hint like dispaly edit client server ttsbegin ttscommit str real date container anytype common div mod",contains:[a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,a.C_NUMBER_MODE,{className:"preprocessor",begin:"#",end:"$"},{className:"class",beginKeywords:"class interface",end:"{",excludeEnd:!0,illegal:":",contains:[{beginKeywords:"extends implements"},a.UNDERSCORE_TITLE_MODE]}]}}),a.registerLanguage("bash",function(a){var b={className:"variable",variants:[{begin:/\$[\w\d#@][\w\d_]*/},{begin:/\$\{(.*?)}/}]},c={className:"string",begin:/"/,end:/"/,contains:[a.BACKSLASH_ESCAPE,b,{className:"variable",begin:/\$\(/,end:/\)/,contains:[a.BACKSLASH_ESCAPE]}]},d={className:"string",begin:/'/,end:/'/};return{aliases:["sh","zsh"],lexemes:/-?[a-z\.]+/,keywords:{keyword:"if then else elif fi for while in do done case esac function",literal:"true false",built_in:"break cd continue eval exec exit export getopts hash pwd readonly return shift test times trap umask unset alias bind builtin caller command declare echo enable help let local logout mapfile printf read readarray source type typeset ulimit unalias set shopt autoload bg bindkey bye cap chdir clone comparguments compcall compctl compdescribe compfiles compgroups compquote comptags comptry compvalues dirs disable disown echotc echoti emulate fc fg float functions getcap getln history integer jobs kill limit log noglob popd print pushd pushln rehash sched setcap setopt stat suspend ttyctl unfunction unhash unlimit unsetopt vared wait whence where which zcompile zformat zftp zle zmodload zparseopts zprof zpty zregexparse zsocket zstyle ztcp",operator:"-ne -eq -lt -gt -f -d -e -s -l -a"},contains:[{className:"shebang",begin:/^#![^\n]+sh\s*$/,relevance:10},{className:"function",begin:/\w[\w\d_]*\s*\(\s*\)\s*\{/,returnBegin:!0,contains:[a.inherit(a.TITLE_MODE,{begin:/\w[\w\d_]*/})],relevance:0},a.HASH_COMMENT_MODE,a.NUMBER_MODE,c,d,b]}}),a.registerLanguage("brainfuck",function(a){var b={className:"literal",begin:"[\\+\\-]",relevance:0};return{aliases:["bf"],contains:[a.COMMENT("[^\\[\\]\\.,\\+\\-<> \r\n]","[\\[\\]\\.,\\+\\-<> \r\n]",{returnEnd:!0,relevance:0}),{className:"title",begin:"[\\[\\]]",relevance:0},{className:"string",begin:"[\\.,]",relevance:0},{begin:/\+\+|\-\-/,returnBegin:!0,contains:[b]},b]}}),a.registerLanguage("cal",function(a){var b="div mod in and or not xor asserterror begin case do downto else end exit for if of repeat then to until while with var",c="false true",d=[a.C_LINE_COMMENT_MODE,a.COMMENT(/\{/,/\}/,{relevance:0}),a.COMMENT(/\(\*/,/\*\)/,{relevance:10})],e={className:"string",begin:/'/,end:/'/,contains:[{begin:/''/}]},f={className:"string",begin:/(#\d+)+/},g={className:"date",begin:"\\b\\d+(\\.\\d+)?(DT|D|T)",relevance:0},h={className:"variable",begin:'"',end:'"'},i={className:"function",beginKeywords:"procedure",end:/[:;]/,keywords:"procedure|10",contains:[a.TITLE_MODE,{className:"params",begin:/\(/,end:/\)/,keywords:b,contains:[e,f]}].concat(d)},j={className:"class",begin:"OBJECT (Table|Form|Report|Dataport|Codeunit|XMLport|MenuSuite|Page|Query) (\\d+) ([^\\r\\n]+)",returnBegin:!0,contains:[a.TITLE_MODE,i]};return{case_insensitive:!0,keywords:{keyword:b,literal:c},illegal:/\/\*/,contains:[e,f,g,h,a.NUMBER_MODE,j,i]}}),a.registerLanguage("capnproto",function(a){return{aliases:["capnp"],keywords:{keyword:"struct enum interface union group import using const annotation extends in of on as with from fixed",built_in:"Void Bool Int8 Int16 Int32 Int64 UInt8 UInt16 UInt32 UInt64 Float32 Float64 Text Data AnyPointer AnyStruct Capability List",literal:"true false"},contains:[a.QUOTE_STRING_MODE,a.NUMBER_MODE,a.HASH_COMMENT_MODE,{className:"shebang",begin:/@0x[\w\d]{16};/,illegal:/\n/},{className:"number",begin:/@\d+\b/},{className:"class",beginKeywords:"struct enum",end:/\{/,illegal:/\n/,contains:[a.inherit(a.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]},{className:"class",beginKeywords:"interface",end:/\{/,illegal:/\n/,contains:[a.inherit(a.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]}]}}),a.registerLanguage("ceylon",function(a){var b="assembly module package import alias class interface object given value assign void function new of extends satisfies abstracts in out return break continue throw assert dynamic if else switch case for while try catch finally then let this outer super is exists nonempty",c="shared abstract formal default actual variable late native deprecatedfinal sealed annotation suppressWarnings small",d="doc by license see throws tagged",e=c+" "+d,f={className:"subst",excludeBegin:!0,excludeEnd:!0,begin:/``/,end:/``/,keywords:b,relevance:10},g=[{className:"string",begin:'"""',end:'"""',relevance:10},{className:"string",begin:'"',end:'"',contains:[f]},{className:"string",begin:"'",end:"'"},{className:"number",begin:"#[0-9a-fA-F_]+|\\$[01_]+|[0-9_]+(?:\\.[0-9_](?:[eE][+-]?\\d+)?)?[kMGTPmunpf]?",relevance:0}];return f.contains=g,{keywords:{keyword:b,annotation:e},illegal:"\\$[^01]|#[^0-9a-fA-F]",contains:[a.C_LINE_COMMENT_MODE,a.COMMENT("/\\*","\\*/",{contains:["self"]}),{className:"annotation",begin:'@[a-z]\\w*(?:\\:"[^"]*")?'}].concat(g)}}),a.registerLanguage("clojure",function(a){var b={built_in:"def defonce cond apply if-not if-let if not not= = < > <= >= == + / * - rem quot neg? pos? delay? symbol? keyword? true? false? integer? empty? coll? list? set? ifn? fn? associative? sequential? sorted? counted? reversible? number? decimal? class? distinct? isa? float? rational? reduced? ratio? odd? even? char? seq? vector? string? map? nil? contains? zero? instance? not-every? not-any? libspec? -> ->> .. . inc compare do dotimes mapcat take remove take-while drop letfn drop-last take-last drop-while while intern condp case reduced cycle split-at split-with repeat replicate iterate range merge zipmap declare line-seq sort comparator sort-by dorun doall nthnext nthrest partition eval doseq await await-for let agent atom send send-off release-pending-sends add-watch mapv filterv remove-watch agent-error restart-agent set-error-handler error-handler set-error-mode! error-mode shutdown-agents quote var fn loop recur throw try monitor-enter monitor-exit defmacro defn defn- macroexpand macroexpand-1 for dosync and or when when-not when-let comp juxt partial sequence memoize constantly complement identity assert peek pop doto proxy defstruct first rest cons defprotocol cast coll deftype defrecord last butlast sigs reify second ffirst fnext nfirst nnext defmulti defmethod meta with-meta ns in-ns create-ns import refer keys select-keys vals key val rseq name namespace promise into transient persistent! conj! assoc! dissoc! pop! disj! use class type num float double short byte boolean bigint biginteger bigdec print-method print-dup throw-if printf format load compile get-in update-in pr pr-on newline flush read slurp read-line subvec with-open memfn time re-find re-groups rand-int rand mod locking assert-valid-fdecl alias resolve ref deref refset swap! reset! set-validator! compare-and-set! alter-meta! reset-meta! commute get-validator alter ref-set ref-history-count ref-min-history ref-max-history ensure sync io! new next conj set! to-array future future-call into-array aset gen-class reduce map filter find empty hash-map hash-set sorted-map sorted-map-by sorted-set sorted-set-by vec vector seq flatten reverse assoc dissoc list disj get union difference intersection extend extend-type extend-protocol int nth delay count concat chunk chunk-buffer chunk-append chunk-first chunk-rest max min dec unchecked-inc-int unchecked-inc unchecked-dec-inc unchecked-dec unchecked-negate unchecked-add-int unchecked-add unchecked-subtract-int unchecked-subtract chunk-next chunk-cons chunked-seq? prn vary-meta lazy-seq spread list* str find-keyword keyword symbol gensym force rationalize"},c="a-zA-Z_\\-!.?+*=<>&#'",d="["+c+"]["+c+"0-9/;:]*",e="[-+]?\\d+(\\.\\d+)?",f={begin:d,relevance:0},g={className:"number",begin:e,relevance:0},h=a.inherit(a.QUOTE_STRING_MODE,{illegal:null}),i=a.COMMENT(";","$",{relevance:0}),j={className:"literal",begin:/\b(true|false|nil)\b/},k={className:"collection",begin:"[\\[\\{]",end:"[\\]\\}]"},l={className:"comment",begin:"\\^"+d},m=a.COMMENT("\\^\\{","\\}"),n={className:"attribute",begin:"[:]"+d},o={className:"list",begin:"\\(",end:"\\)"},p={endsWithParent:!0,relevance:0},q={keywords:b,lexemes:d,className:"keyword",begin:d,starts:p},r=[o,h,l,m,i,n,k,g,j,f];return o.contains=[a.COMMENT("comment",""),q,p],p.contains=r,k.contains=r,{aliases:["clj"],illegal:/\S/,contains:[o,h,l,m,i,n,k,g,j]}}),a.registerLanguage("clojure-repl",function(a){return{contains:[{className:"prompt",begin:/^([\w.-]+|\s*#_)=>/,starts:{end:/$/,subLanguage:"clojure"}}]}}),a.registerLanguage("cmake",function(a){return{aliases:["cmake.in"],case_insensitive:!0,keywords:{keyword:"add_custom_command add_custom_target add_definitions add_dependencies add_executable add_library add_subdirectory add_test aux_source_directory break build_command cmake_minimum_required cmake_policy configure_file create_test_sourcelist define_property else elseif enable_language enable_testing endforeach endfunction endif endmacro endwhile execute_process export find_file find_library find_package find_path find_program fltk_wrap_ui foreach function get_cmake_property get_directory_property get_filename_component get_property get_source_file_property get_target_property get_test_property if include include_directories include_external_msproject include_regular_expression install link_directories load_cache load_command macro mark_as_advanced message option output_required_files project qt_wrap_cpp qt_wrap_ui remove_definitions return separate_arguments set set_directory_properties set_property set_source_files_properties set_target_properties set_tests_properties site_name source_group string target_link_libraries try_compile try_run unset variable_watch while build_name exec_program export_library_dependencies install_files install_programs install_targets link_libraries make_directory remove subdir_depends subdirs use_mangled_mesa utility_source variable_requires write_file qt5_use_modules qt5_use_package qt5_wrap_cpp on off true false and or",operator:"equal less greater strless strgreater strequal matches"},contains:[{className:"envvar",begin:"\\${",end:"}"},a.HASH_COMMENT_MODE,a.QUOTE_STRING_MODE,a.NUMBER_MODE]}}),a.registerLanguage("coffeescript",function(a){var b={keyword:"in if for while finally new do return else break catch instanceof throw try this switch continue typeof delete debugger super then unless until loop of by when and or is isnt not",literal:"true false null undefined yes no on off",built_in:"npm require console print module global window document"},c="[A-Za-z$_][0-9A-Za-z$_]*",d={className:"subst",begin:/#\{/,end:/}/,keywords:b},e=[a.BINARY_NUMBER_MODE,a.inherit(a.C_NUMBER_MODE,{starts:{end:"(\\s*/)?",relevance:0}}),{className:"string",variants:[{begin:/'''/,end:/'''/,contains:[a.BACKSLASH_ESCAPE]},{begin:/'/,end:/'/,contains:[a.BACKSLASH_ESCAPE]},{begin:/"""/,end:/"""/,contains:[a.BACKSLASH_ESCAPE,d]},{begin:/"/,end:/"/,contains:[a.BACKSLASH_ESCAPE,d]}]},{className:"regexp",variants:[{begin:"///",end:"///",contains:[d,a.HASH_COMMENT_MODE]},{begin:"//[gim]*",relevance:0},{begin:/\/(?![ *])(\\\/|.)*?\/[gim]*(?=\W|$)/}]},{className:"property",begin:"@"+c},{begin:"`",end:"`",excludeBegin:!0,excludeEnd:!0,subLanguage:"javascript"}];d.contains=e;var f=a.inherit(a.TITLE_MODE,{begin:c}),g="(\\(.*\\))?\\s*\\B[-=]>",h={className:"params",begin:"\\([^\\(]",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:b,contains:["self"].concat(e)}]};return{aliases:["coffee","cson","iced"],keywords:b,illegal:/\/\*/,contains:e.concat([a.COMMENT("###","###"),a.HASH_COMMENT_MODE,{className:"function",begin:"^\\s*"+c+"\\s*=\\s*"+g,end:"[-=]>",returnBegin:!0,contains:[f,h]},{begin:/[:\(,=]\s*/,relevance:0,contains:[{className:"function",begin:g,end:"[-=]>",returnBegin:!0,contains:[h]}]},{className:"class",beginKeywords:"class",end:"$",illegal:/[:="\[\]]/,contains:[{beginKeywords:"extends",endsWithParent:!0,illegal:/[:="\[\]]/,contains:[f]},f]},{className:"attribute",begin:c+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}])}}),a.registerLanguage("cpp",function(a){var b={className:"keyword",begin:"\\b[a-z\\d_]*_t\\b"},c={className:"string",variants:[a.inherit(a.QUOTE_STRING_MODE,{begin:'((u8?|U)|L)?"'}),{begin:'(u8?|U)?R"',end:'"',contains:[a.BACKSLASH_ESCAPE]},{begin:"'\\\\?.",end:"'",illegal:"."}]},d={className:"number",variants:[{begin:"\\b(\\d+(\\.\\d*)?|\\.\\d+)(u|U|l|L|ul|UL|f|F)"},{begin:a.C_NUMBER_RE}]},e={className:"preprocessor",begin:"#",end:"$",keywords:"if else elif endif define undef warning error line pragma ifdef ifndef",contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",end:"$",contains:[c,{className:"string",begin:"<",end:">",illegal:"\\n"}]},c,d,a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE]},f=a.IDENT_RE+"\\s*\\(",g={keyword:"int float while private char catch export virtual operator sizeof dynamic_cast|10 typedef const_cast|10 const struct for static_cast|10 union namespace unsigned long volatile static protected bool template mutable if public friend do goto auto void enum else break extern using class asm case typeid short reinterpret_cast|10 default double register explicit signed typename try this switch continue inline delete alignof constexpr decltype noexcept static_assert thread_local restrict _Bool complex _Complex _Imaginary atomic_bool atomic_char atomic_schar atomic_uchar atomic_short atomic_ushort atomic_int atomic_uint atomic_long atomic_ulong atomic_llong atomic_ullong",built_in:"std string cin cout cerr clog stdin stdout stderr stringstream istringstream ostringstream auto_ptr deque list queue stack vector map set bitset multiset multimap unordered_set unordered_map unordered_multiset unordered_multimap array shared_ptr abort abs acos asin atan2 atan calloc ceil cosh cos exit exp fabs floor fmod fprintf fputs free frexp fscanf isalnum isalpha iscntrl isdigit isgraph islower isprint ispunct isspace isupper isxdigit tolower toupper labs ldexp log10 log malloc realloc memchr memcmp memcpy memset modf pow printf putchar puts scanf sinh sin snprintf sprintf sqrt sscanf strcat strchr strcmp strcpy strcspn strlen strncat strncmp strncpy strpbrk strrchr strspn strstr tanh tan vfprintf vprintf vsprintf",literal:"true false nullptr NULL"};return{aliases:["c","cc","h","c++","h++","hpp"],keywords:g,illegal:"",keywords:g,contains:["self",b]},{begin:a.IDENT_RE+"::",keywords:g},{beginKeywords:"new throw return else",relevance:0},{className:"function",begin:"("+a.IDENT_RE+"[\\*&\\s]+)+"+f,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:g,illegal:/[^\w\s\*&]/,contains:[{begin:f,returnBegin:!0,contains:[a.TITLE_MODE],relevance:0},{className:"params",begin:/\(/,end:/\)/,keywords:g,relevance:0,contains:[a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,c,d]},a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,e]}]}}),a.registerLanguage("crmsh",function(a){var b="primitive rsc_template",c="group clone ms master location colocation order fencing_topology rsc_ticket acl_target acl_group user role tag xml",d="property rsc_defaults op_defaults",e="params meta operations op rule attributes utilization",f="read write deny defined not_defined in_range date spec in ref reference attribute type xpath version and or lt gt tag lte gte eq ne \\",g="number string",h="Master Started Slave Stopped start promote demote stop monitor true false";return{aliases:["crm","pcmk"],case_insensitive:!0,keywords:{keyword:e,operator:f,type:g,literal:h},contains:[a.HASH_COMMENT_MODE,{beginKeywords:"node",starts:{className:"identifier",end:"\\s*([\\w_-]+:)?",starts:{className:"title",end:"\\s*[\\$\\w_][\\w_-]*"}}},{beginKeywords:b,starts:{className:"title",end:"\\s*[\\$\\w_][\\w_-]*",starts:{className:"pragma",end:"\\s*@?[\\w_][\\w_\\.:-]*"}}},{begin:"\\b("+c.split(" ").join("|")+")\\s+",keywords:c,starts:{className:"title",end:"[\\$\\w_][\\w_-]*"}},{beginKeywords:d,starts:{className:"title",end:"\\s*([\\w_-]+:)?"}},a.QUOTE_STRING_MODE,{className:"pragma",begin:"(ocf|systemd|service|lsb):[\\w_:-]+",relevance:0},{className:"number",begin:"\\b\\d+(\\.\\d+)?(ms|s|h|m)?",relevance:0},{className:"number",begin:"[-]?(infinity|inf)",relevance:0},{className:"variable",begin:/([A-Za-z\$_\#][\w_-]+)=/,relevance:0},{className:"tag",begin:"",relevance:0}]}}),a.registerLanguage("crystal",function(a){function b(a,b){var c=[{begin:a,end:b}];return c[0].contains=c,c}var c="(_[uif](8|16|32|64))?",d="[a-zA-Z_]\\w*[!?=]?",e="!=|!==|%|%=|&|&&|&=|\\*|\\*=|\\+|\\+=|,|-|-=|/=|/|:|;|<<|<<=|<=|<|===|==|=|>>>=|>>=|>=|>>>|>>|>|\\[|\\{|\\(|\\^|\\^=|\\||\\|=|\\|\\||~",f="[a-zA-Z_]\\w*[!?=]?|[-+~]\\@|<<|>>|=~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~`|]|\\[\\][=?]?",g={keyword:"abstract alias as asm begin break case class def do else elsif end ensure enum extend for fun if ifdef include instance_sizeof is_a? lib macro module next of out pointerof private protected rescue responds_to? return require self sizeof struct super then type typeof union unless until when while with yield __DIR__ __FILE__ __LINE__",literal:"false nil true"},h={className:"subst",begin:"#{",end:"}",keywords:g},i={className:"expansion",variants:[{begin:"\\{\\{",end:"\\}\\}"},{begin:"\\{%",end:"%\\}"}],keywords:g,relevance:10},j={className:"string",contains:[a.BACKSLASH_ESCAPE,h],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/`/,end:/`/},{begin:"%w?\\(",end:"\\)",contains:b("\\(","\\)")},{begin:"%w?\\[",end:"\\]",contains:b("\\[","\\]")},{begin:"%w?{",end:"}",contains:b("{","}")},{begin:"%w?<",end:">",contains:b("<",">")},{begin:"%w?/",end:"/"},{begin:"%w?%",end:"%"},{begin:"%w?-",end:"-"},{begin:"%w?\\|",end:"\\|"}],relevance:0},k={begin:"("+e+")\\s*",contains:[{className:"regexp",contains:[a.BACKSLASH_ESCAPE,h],variants:[{begin:"/",end:"/[a-z]*"},{begin:"%r\\(",end:"\\)",contains:b("\\(","\\)")},{begin:"%r\\[",end:"\\]",contains:b("\\[","\\]")},{begin:"%r{",end:"}",contains:b("{","}")},{begin:"%r<",end:">",contains:b("<",">")},{begin:"%r/",end:"/"},{begin:"%r%",end:"%"},{begin:"%r-",end:"-"},{begin:"%r\\|",end:"\\|"}]}],relevance:0},l={className:"regexp",contains:[a.BACKSLASH_ESCAPE,h],variants:[{begin:"%r\\(",end:"\\)",contains:b("\\(","\\)")},{begin:"%r\\[",end:"\\]",contains:b("\\[","\\]")},{begin:"%r{",end:"}",contains:b("{","}")},{begin:"%r<",end:">",contains:b("<",">")},{begin:"%r/",end:"/"},{begin:"%r%",end:"%"},{begin:"%r-",end:"-"},{begin:"%r\\|",end:"\\|"}],relevance:0},m={className:"annotation",begin:"@\\[",end:"\\]",relevance:5},n=[i,j,k,l,m,a.HASH_COMMENT_MODE,{className:"class",beginKeywords:"class module struct",end:"$|;",illegal:/=/,contains:[a.HASH_COMMENT_MODE,a.inherit(a.TITLE_MODE,{begin:"[A-Za-z_]\\w*(::\\w+)*(\\?|\\!)?"}),{className:"inheritance",begin:"<\\s*",contains:[{className:"parent",begin:"("+a.IDENT_RE+"::)?"+a.IDENT_RE}]}]},{className:"class",beginKeywords:"lib enum union",end:"$|;",illegal:/=/,contains:[a.HASH_COMMENT_MODE,a.inherit(a.TITLE_MODE,{begin:"[A-Za-z_]\\w*(::\\w+)*(\\?|\\!)?"})],relevance:10},{className:"function",beginKeywords:"def",end:/\B\b/,contains:[a.inherit(a.TITLE_MODE,{begin:f,endsParent:!0})]},{className:"function",beginKeywords:"fun macro",end:/\B\b/,contains:[a.inherit(a.TITLE_MODE,{begin:f,endsParent:!0})],relevance:5},{className:"constant",begin:"(::)?(\\b[A-Z]\\w*(::)?)+",relevance:0},{className:"symbol",begin:a.UNDERSCORE_IDENT_RE+"(\\!|\\?)?:",relevance:0},{className:"symbol",begin:":",contains:[j,{begin:f}],relevance:0},{className:"number",variants:[{begin:"\\b0b([01_]*[01])"+c},{begin:"\\b0o([0-7_]*[0-7])"+c},{begin:"\\b0x([A-Fa-f0-9_]*[A-Fa-f0-9])"+c},{begin:"\\b(([0-9][0-9_]*[0-9]|[0-9])(\\.[0-9_]*[0-9])?([eE][+-]?[0-9_]*[0-9])?)"+c}],relevance:0},{className:"variable",begin:"(\\$\\W)|((\\$|\\@\\@?|%)(\\w+))"}];return h.contains=n,m.contains=n,i.contains=n.slice(1),{aliases:["cr"],lexemes:d,keywords:g,contains:n}}),a.registerLanguage("cs",function(a){var b="abstract as base bool break byte case catch char checked const continue decimal dynamic default delegate do double else enum event explicit extern false finally fixed float for foreach goto if implicit in int interface internal is lock long null when object operator out override params private protected public readonly ref sbyte sealed short sizeof stackalloc static string struct switch this true try typeof uint ulong unchecked unsafe ushort using virtual volatile void while async protected public private internal ascending descending from get group into join let orderby partial select set value var where yield",c=a.IDENT_RE+"(<"+a.IDENT_RE+">)?";return{aliases:["csharp"],keywords:b,illegal:/::/,contains:[a.COMMENT("///","$",{returnBegin:!0,contains:[{className:"xmlDocTag",variants:[{begin:"///",relevance:0},{begin:""},{begin:""}]}]}),a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,{className:"preprocessor",begin:"#",end:"$",keywords:"if else elif endif define undef warning error line region endregion pragma checksum"},{className:"string",begin:'@"',end:'"',contains:[{begin:'""'}]},a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,a.C_NUMBER_MODE,{beginKeywords:"class interface",end:/[{;=]/,illegal:/[^\s:]/,contains:[a.TITLE_MODE,a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE]},{beginKeywords:"namespace",end:/[{;=]/,illegal:/[^\s:]/,contains:[{className:"title",begin:"[a-zA-Z](\\.?\\w)*",relevance:0},a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE]},{beginKeywords:"new return throw await",relevance:0},{className:"function",begin:"("+c+"\\s+)+"+a.IDENT_RE+"\\s*\\(",returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:b,contains:[{begin:a.IDENT_RE+"\\s*\\(",returnBegin:!0,contains:[a.TITLE_MODE],relevance:0},{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:b,relevance:0,contains:[a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,a.C_NUMBER_MODE,a.C_BLOCK_COMMENT_MODE]},a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE]}]}}),a.registerLanguage("css",function(a){var b="[a-zA-Z-][a-zA-Z0-9_-]*",c={className:"function",begin:b+"\\(",returnBegin:!0,excludeEnd:!0,end:"\\("},d={className:"rule",begin:/[A-Z\_\.\-]+\s*:/,returnBegin:!0,end:";",endsWithParent:!0,contains:[{className:"attribute",begin:/\S/,end:":",excludeEnd:!0,starts:{className:"value",endsWithParent:!0,excludeEnd:!0,contains:[c,a.CSS_NUMBER_MODE,a.QUOTE_STRING_MODE,a.APOS_STRING_MODE,a.C_BLOCK_COMMENT_MODE,{className:"hexcolor",begin:"#[0-9A-Fa-f]+"},{className:"important",begin:"!important"}]}}]};return{case_insensitive:!0,illegal:/[=\/|'\$]/,contains:[a.C_BLOCK_COMMENT_MODE,{className:"id",begin:/\#[A-Za-z0-9_-]+/},{className:"class",begin:/\.[A-Za-z0-9_-]+/},{className:"attr_selector",begin:/\[/,end:/\]/,illegal:"$"},{className:"pseudo",begin:/:(:)?[a-zA-Z0-9\_\-\+\(\)"']+/},{className:"at_rule",begin:"@(font-face|page)",lexemes:"[a-z-]+",keywords:"font-face page"},{className:"at_rule",begin:"@",end:"[{;]",contains:[{className:"keyword",begin:/\S+/},{begin:/\s/,endsWithParent:!0,excludeEnd:!0,relevance:0,contains:[c,a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,a.CSS_NUMBER_MODE]}]},{className:"tag",begin:b,relevance:0},{className:"rules",begin:"{",end:"}",illegal:/\S/,contains:[a.C_BLOCK_COMMENT_MODE,d]}]}}),a.registerLanguage("d",function(a){var b={keyword:"abstract alias align asm assert auto body break byte case cast catch class const continue debug default delete deprecated do else enum export extern final finally for foreach foreach_reverse|10 goto if immutable import in inout int interface invariant is lazy macro mixin module new nothrow out override package pragma private protected public pure ref return scope shared static struct super switch synchronized template this throw try typedef typeid typeof union unittest version void volatile while with __FILE__ __LINE__ __gshared|10 __thread __traits __DATE__ __EOF__ __TIME__ __TIMESTAMP__ __VENDOR__ __VERSION__",built_in:"bool cdouble cent cfloat char creal dchar delegate double dstring float function idouble ifloat ireal long real short string ubyte ucent uint ulong ushort wchar wstring",literal:"false null true"},c="(0|[1-9][\\d_]*)",d="(0|[1-9][\\d_]*|\\d[\\d_]*|[\\d_]+?\\d)",e="0[bB][01_]+",f="([\\da-fA-F][\\da-fA-F_]*|_[\\da-fA-F][\\da-fA-F_]*)",g="0[xX]"+f,h="([eE][+-]?"+d+")",i="("+d+"(\\.\\d*|"+h+")|\\d+\\."+d+d+"|\\."+c+h+"?)",j="(0[xX]("+f+"\\."+f+"|\\.?"+f+")[pP][+-]?"+d+")",k="("+c+"|"+e+"|"+g+")",l="("+j+"|"+i+")",m="\\\\(['\"\\?\\\\abfnrtv]|u[\\dA-Fa-f]{4}|[0-7]{1,3}|x[\\dA-Fa-f]{2}|U[\\dA-Fa-f]{8})|&[a-zA-Z\\d]{2,};",n={className:"number",begin:"\\b"+k+"(L|u|U|Lu|LU|uL|UL)?",relevance:0},o={className:"number",begin:"\\b("+l+"([fF]|L|i|[fF]i|Li)?|"+k+"(i|[fF]i|Li))",relevance:0},p={className:"string",begin:"'("+m+"|.)",end:"'",illegal:"."},q={begin:m,relevance:0},r={className:"string",begin:'"',contains:[q],end:'"[cwd]?'},s={className:"string",begin:'[rq]"',end:'"[cwd]?',relevance:5},t={className:"string",begin:"`",end:"`[cwd]?"},u={className:"string",begin:'x"[\\da-fA-F\\s\\n\\r]*"[cwd]?',relevance:10},v={className:"string",begin:'q"\\{',end:'\\}"'},w={className:"shebang",begin:"^#!",end:"$",relevance:5},x={className:"preprocessor",begin:"#(line)",end:"$",relevance:5},y={className:"keyword",begin:"@[a-zA-Z_][a-zA-Z_\\d]*"},z=a.COMMENT("\\/\\+","\\+\\/",{contains:["self"],relevance:10});return{lexemes:a.UNDERSCORE_IDENT_RE,keywords:b,contains:[a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,z,u,r,s,t,v,o,n,p,w,x,y]}}),a.registerLanguage("markdown",function(a){return{aliases:["md","mkdown","mkd"],contains:[{className:"header",variants:[{begin:"^#{1,6}",end:"$"},{begin:"^.+?\\n[=-]{2,}$"}]},{begin:"<",end:">",subLanguage:"xml",relevance:0},{className:"bullet",begin:"^([*+-]|(\\d+\\.))\\s+"},{className:"strong",begin:"[*_]{2}.+?[*_]{2}"},{className:"emphasis",variants:[{begin:"\\*.+?\\*"},{begin:"_.+?_",relevance:0}]},{className:"blockquote",begin:"^>\\s+",end:"$"},{className:"code",variants:[{begin:"`.+?`"},{begin:"^( {4}| )",end:"$",relevance:0}]},{className:"horizontal_rule",begin:"^[-\\*]{3,}",end:"$"},{begin:"\\[.+?\\][\\(\\[].*?[\\)\\]]",returnBegin:!0,contains:[{className:"link_label",begin:"\\[",end:"\\]",excludeBegin:!0,returnEnd:!0,relevance:0},{className:"link_url",begin:"\\]\\(",end:"\\)",excludeBegin:!0,excludeEnd:!0},{className:"link_reference",begin:"\\]\\[",end:"\\]",excludeBegin:!0,excludeEnd:!0}],relevance:10},{begin:"^\\[.+\\]:",returnBegin:!0,contains:[{className:"link_reference",begin:"\\[",end:"\\]:",excludeBegin:!0,excludeEnd:!0,starts:{className:"link_url",end:"$"}}]}]}}),a.registerLanguage("dart",function(a){var b={className:"subst",begin:"\\$\\{",end:"}",keywords:"true false null this is new super"},c={className:"string",variants:[{begin:"r'''",end:"'''"},{begin:'r"""',end:'"""'},{begin:"r'",end:"'",illegal:"\\n"},{ begin:'r"',end:'"',illegal:"\\n"},{begin:"'''",end:"'''",contains:[a.BACKSLASH_ESCAPE,b]},{begin:'"""',end:'"""',contains:[a.BACKSLASH_ESCAPE,b]},{begin:"'",end:"'",illegal:"\\n",contains:[a.BACKSLASH_ESCAPE,b]},{begin:'"',end:'"',illegal:"\\n",contains:[a.BACKSLASH_ESCAPE,b]}]};b.contains=[a.C_NUMBER_MODE,c];var d={keyword:"assert break case catch class const continue default do else enum extends false final finally for if in is new null rethrow return super switch this throw true try var void while with",literal:"abstract as dynamic export external factory get implements import library operator part set static typedef",built_in:"print Comparable DateTime Duration Function Iterable Iterator List Map Match Null Object Pattern RegExp Set Stopwatch String StringBuffer StringSink Symbol Type Uri bool double int num document window querySelector querySelectorAll Element ElementList"};return{keywords:d,contains:[c,a.COMMENT("/\\*\\*","\\*/",{subLanguage:"markdown"}),a.COMMENT("///","$",{subLanguage:"markdown"}),a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,{className:"class",beginKeywords:"class interface",end:"{",excludeEnd:!0,contains:[{beginKeywords:"extends implements"},a.UNDERSCORE_TITLE_MODE]},a.C_NUMBER_MODE,{className:"annotation",begin:"@[A-Za-z]+"},{begin:"=>"}]}}),a.registerLanguage("delphi",function(a){var b="exports register file shl array record property for mod while set ally label uses raise not stored class safecall var interface or private static exit index inherited to else stdcall override shr asm far resourcestring finalization packed virtual out and protected library do xorwrite goto near function end div overload object unit begin string on inline repeat until destructor write message program with read initialization except default nil if case cdecl in downto threadvar of try pascal const external constructor type public then implementation finally published procedure",c=[a.C_LINE_COMMENT_MODE,a.COMMENT(/\{/,/\}/,{relevance:0}),a.COMMENT(/\(\*/,/\*\)/,{relevance:10})],d={className:"string",begin:/'/,end:/'/,contains:[{begin:/''/}]},e={className:"string",begin:/(#\d+)+/},f={begin:a.IDENT_RE+"\\s*=\\s*class\\s*\\(",returnBegin:!0,contains:[a.TITLE_MODE]},g={className:"function",beginKeywords:"function constructor destructor procedure",end:/[:;]/,keywords:"function constructor|10 destructor|10 procedure|10",contains:[a.TITLE_MODE,{className:"params",begin:/\(/,end:/\)/,keywords:b,contains:[d,e]}].concat(c)};return{case_insensitive:!0,keywords:b,illegal:/"|\$[G-Zg-z]|\/\*|<\/|\|/,contains:[d,e,a.NUMBER_MODE,f,g].concat(c)}}),a.registerLanguage("diff",function(a){return{aliases:["patch"],contains:[{className:"chunk",relevance:10,variants:[{begin:/^@@ +\-\d+,\d+ +\+\d+,\d+ +@@$/},{begin:/^\*\*\* +\d+,\d+ +\*\*\*\*$/},{begin:/^\-\-\- +\d+,\d+ +\-\-\-\-$/}]},{className:"header",variants:[{begin:/Index: /,end:/$/},{begin:/=====/,end:/=====$/},{begin:/^\-\-\-/,end:/$/},{begin:/^\*{3} /,end:/$/},{begin:/^\+\+\+/,end:/$/},{begin:/\*{5}/,end:/\*{5}$/}]},{className:"addition",begin:"^\\+",end:"$"},{className:"deletion",begin:"^\\-",end:"$"},{className:"change",begin:"^\\!",end:"$"}]}}),a.registerLanguage("django",function(a){var b={className:"filter",begin:/\|[A-Za-z]+:?/,keywords:"truncatewords removetags linebreaksbr yesno get_digit timesince random striptags filesizeformat escape linebreaks length_is ljust rjust cut urlize fix_ampersands title floatformat capfirst pprint divisibleby add make_list unordered_list urlencode timeuntil urlizetrunc wordcount stringformat linenumbers slice date dictsort dictsortreversed default_if_none pluralize lower join center default truncatewords_html upper length phone2numeric wordwrap time addslashes slugify first escapejs force_escape iriencode last safe safeseq truncatechars localize unlocalize localtime utc timezone",contains:[{className:"argument",begin:/"/,end:/"/},{className:"argument",begin:/'/,end:/'/}]};return{aliases:["jinja"],case_insensitive:!0,subLanguage:"xml",contains:[a.COMMENT(/\{%\s*comment\s*%}/,/\{%\s*endcomment\s*%}/),a.COMMENT(/\{#/,/#}/),{className:"template_tag",begin:/\{%/,end:/%}/,keywords:"comment endcomment load templatetag ifchanged endifchanged if endif firstof for endfor in ifnotequal endifnotequal widthratio extends include spaceless endspaceless regroup by as ifequal endifequal ssi now with cycle url filter endfilter debug block endblock else autoescape endautoescape csrf_token empty elif endwith static trans blocktrans endblocktrans get_static_prefix get_media_prefix plural get_current_language language get_available_languages get_current_language_bidi get_language_info get_language_info_list localize endlocalize localtime endlocaltime timezone endtimezone get_current_timezone verbatim",contains:[b]},{className:"variable",begin:/\{\{/,end:/}}/,contains:[b]}]}}),a.registerLanguage("dns",function(a){return{aliases:["bind","zone"],keywords:{keyword:"IN A AAAA AFSDB APL CAA CDNSKEY CDS CERT CNAME DHCID DLV DNAME DNSKEY DS HIP IPSECKEY KEY KX LOC MX NAPTR NS NSEC NSEC3 NSEC3PARAM PTR RRSIG RP SIG SOA SRV SSHFP TA TKEY TLSA TSIG TXT"},contains:[a.COMMENT(";","$"),{className:"operator",beginKeywords:"$TTL $GENERATE $INCLUDE $ORIGIN"},{className:"number",begin:"((([0-9A-Fa-f]{1,4}:){7}([0-9A-Fa-f]{1,4}|:))|(([0-9A-Fa-f]{1,4}:){6}(:[0-9A-Fa-f]{1,4}|((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){5}(((:[0-9A-Fa-f]{1,4}){1,2})|:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){4}(((:[0-9A-Fa-f]{1,4}){1,3})|((:[0-9A-Fa-f]{1,4})?:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){3}(((:[0-9A-Fa-f]{1,4}){1,4})|((:[0-9A-Fa-f]{1,4}){0,2}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){2}(((:[0-9A-Fa-f]{1,4}){1,5})|((:[0-9A-Fa-f]{1,4}){0,3}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){1}(((:[0-9A-Fa-f]{1,4}){1,6})|((:[0-9A-Fa-f]{1,4}){0,4}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(:(((:[0-9A-Fa-f]{1,4}){1,7})|((:[0-9A-Fa-f]{1,4}){0,5}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:)))"},{className:"number",begin:"((25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9]).){3,3}(25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9])"}]}}),a.registerLanguage("dockerfile",function(a){return{aliases:["docker"],case_insensitive:!0,keywords:{built_ins:"from maintainer cmd expose add copy entrypoint volume user workdir onbuild run env label"},contains:[a.HASH_COMMENT_MODE,{keywords:{built_in:"run cmd entrypoint volume add copy workdir onbuild label"},begin:/^ *(onbuild +)?(run|cmd|entrypoint|volume|add|copy|workdir|label) +/,starts:{end:/[^\\]\n/,subLanguage:"bash"}},{keywords:{built_in:"from maintainer expose env user onbuild"},begin:/^ *(onbuild +)?(from|maintainer|expose|env|user|onbuild) +/,end:/[^\\]\n/,contains:[a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,a.NUMBER_MODE,a.HASH_COMMENT_MODE]}]}}),a.registerLanguage("dos",function(a){var b=a.COMMENT(/@?rem\b/,/$/,{relevance:10}),c={className:"label",begin:"^\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\s+label)",relevance:0};return{aliases:["bat","cmd"],case_insensitive:!0,illegal:/\/\*/,keywords:{flow:"if else goto for in do call exit not exist errorlevel defined",operator:"equ neq lss leq gtr geq",keyword:"shift cd dir echo setlocal endlocal set pause copy",stream:"prn nul lpt3 lpt2 lpt1 con com4 com3 com2 com1 aux",winutils:"ping net ipconfig taskkill xcopy ren del",built_in:"append assoc at attrib break cacls cd chcp chdir chkdsk chkntfs cls cmd color comp compact convert date dir diskcomp diskcopy doskey erase fs find findstr format ftype graftabl help keyb label md mkdir mode more move path pause print popd pushd promt rd recover rem rename replace restore rmdir shiftsort start subst time title tree type ver verify vol"},contains:[{className:"envvar",begin:/%%[^ ]|%[^ ]+?%|![^ ]+?!/},{className:"function",begin:c.begin,end:"goto:eof",contains:[a.inherit(a.TITLE_MODE,{begin:"([_a-zA-Z]\\w*\\.)*([_a-zA-Z]\\w*:)?[_a-zA-Z]\\w*"}),b]},{className:"number",begin:"\\b\\d+",relevance:0},b]}}),a.registerLanguage("dust",function(a){var b="if eq ne lt lte gt gte select default math sep";return{aliases:["dst"],case_insensitive:!0,subLanguage:"xml",contains:[{className:"expression",begin:"{",end:"}",relevance:0,contains:[{className:"begin-block",begin:"#[a-zA-Z- .]+",keywords:b},{className:"string",begin:'"',end:'"'},{className:"end-block",begin:"\\/[a-zA-Z- .]+",keywords:b},{className:"variable",begin:"[a-zA-Z-.]+",keywords:b,relevance:0}]}]}}),a.registerLanguage("elixir",function(a){var b="[a-zA-Z_][a-zA-Z0-9_]*(\\!|\\?)?",c="[a-zA-Z_]\\w*[!?=]?|[-+~]\\@|<<|>>|=~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~`|]|\\[\\]=?",d="and false then defined module in return redo retry end for true self when next until do begin unless nil break not case cond alias while ensure or include use alias fn quote",e={className:"subst",begin:"#\\{",end:"}",lexemes:b,keywords:d},f={className:"string",contains:[a.BACKSLASH_ESCAPE,e],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/}]},g={className:"function",beginKeywords:"def defp defmacro",end:/\B\b/,contains:[a.inherit(a.TITLE_MODE,{begin:b,endsParent:!0})]},h=a.inherit(g,{className:"class",beginKeywords:"defmodule defrecord",end:/\bdo\b|$|;/}),i=[f,a.HASH_COMMENT_MODE,h,g,{className:"constant",begin:"(\\b[A-Z_]\\w*(.)?)+",relevance:0},{className:"symbol",begin:":",contains:[f,{begin:c}],relevance:0},{className:"symbol",begin:b+":",relevance:0},{className:"number",begin:"(\\b0[0-7_]+)|(\\b0x[0-9a-fA-F_]+)|(\\b[1-9][0-9_]*(\\.[0-9_]+)?)|[0_]\\b",relevance:0},{className:"variable",begin:"(\\$\\W)|((\\$|\\@\\@?)(\\w+))"},{begin:"->"},{begin:"("+a.RE_STARTERS_RE+")\\s*",contains:[a.HASH_COMMENT_MODE,{className:"regexp",illegal:"\\n",contains:[a.BACKSLASH_ESCAPE,e],variants:[{begin:"/",end:"/[a-z]*"},{begin:"%r\\[",end:"\\][a-z]*"}]}],relevance:0}];return e.contains=i,{lexemes:b,keywords:d,contains:i}}),a.registerLanguage("elm",function(a){var b=[a.COMMENT("--","$"),a.COMMENT("{-","-}",{contains:["self"]})],c={className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},d={className:"container",begin:"\\(",end:"\\)",illegal:'"',contains:[{className:"type",begin:"\\b[A-Z][\\w]*(\\((\\.\\.|,|\\w+)\\))?"}].concat(b)},e={className:"container",begin:"{",end:"}",contains:d.contains};return{keywords:"let in if then else case of where module import exposing type alias as infix infixl infixr port",contains:[{className:"module",begin:"\\bmodule\\b",end:"where",keywords:"module where",contains:[d].concat(b),illegal:"\\W\\.|;"},{className:"import",begin:"\\bimport\\b",end:"$",keywords:"import|0 as exposing",contains:[d].concat(b),illegal:"\\W\\.|;"},{className:"typedef",begin:"\\btype\\b",end:"$",keywords:"type alias",contains:[c,d,e].concat(b)},{className:"infix",beginKeywords:"infix infixl infixr",end:"$",contains:[a.C_NUMBER_MODE].concat(b)},{className:"foreign",begin:"\\bport\\b",end:"$",keywords:"port",contains:b},a.QUOTE_STRING_MODE,a.C_NUMBER_MODE,c,a.inherit(a.TITLE_MODE,{begin:"^[_a-z][\\w']*"}),{begin:"->|<-"}].concat(b)}}),a.registerLanguage("ruby",function(a){var b="[a-zA-Z_]\\w*[!?=]?|[-+~]\\@|<<|>>|=~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~`|]|\\[\\]=?",c="and false then defined module in return redo if BEGIN retry end for true self when next until do begin unless END rescue nil else break undef not super class case require yield alias while ensure elsif or include attr_reader attr_writer attr_accessor",d={className:"doctag",begin:"@[A-Za-z]+"},e={className:"value",begin:"#<",end:">"},f=[a.COMMENT("#","$",{contains:[d]}),a.COMMENT("^\\=begin","^\\=end",{contains:[d],relevance:10}),a.COMMENT("^__END__","\\n$")],g={className:"subst",begin:"#\\{",end:"}",keywords:c},h={className:"string",contains:[a.BACKSLASH_ESCAPE,g],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/`/,end:/`/},{begin:"%[qQwWx]?\\(",end:"\\)"},{begin:"%[qQwWx]?\\[",end:"\\]"},{begin:"%[qQwWx]?{",end:"}"},{begin:"%[qQwWx]?<",end:">"},{begin:"%[qQwWx]?/",end:"/"},{begin:"%[qQwWx]?%",end:"%"},{begin:"%[qQwWx]?-",end:"-"},{begin:"%[qQwWx]?\\|",end:"\\|"},{begin:/\B\?(\\\d{1,3}|\\x[A-Fa-f0-9]{1,2}|\\u[A-Fa-f0-9]{4}|\\?\S)\b/}]},i={className:"params",begin:"\\(",end:"\\)",keywords:c},j=[h,e,{className:"class",beginKeywords:"class module",end:"$|;",illegal:/=/,contains:[a.inherit(a.TITLE_MODE,{begin:"[A-Za-z_]\\w*(::\\w+)*(\\?|\\!)?"}),{className:"inheritance",begin:"<\\s*",contains:[{className:"parent",begin:"("+a.IDENT_RE+"::)?"+a.IDENT_RE}]}].concat(f)},{className:"function",beginKeywords:"def",end:"$|;",contains:[a.inherit(a.TITLE_MODE,{begin:b}),i].concat(f)},{className:"constant",begin:"(::)?(\\b[A-Z]\\w*(::)?)+",relevance:0},{className:"symbol",begin:a.UNDERSCORE_IDENT_RE+"(\\!|\\?)?:",relevance:0},{className:"symbol",begin:":",contains:[h,{begin:b}],relevance:0},{className:"number",begin:"(\\b0[0-7_]+)|(\\b0x[0-9a-fA-F_]+)|(\\b[1-9][0-9_]*(\\.[0-9_]+)?)|[0_]\\b",relevance:0},{className:"variable",begin:"(\\$\\W)|((\\$|\\@\\@?)(\\w+))"},{begin:"("+a.RE_STARTERS_RE+")\\s*",contains:[e,{className:"regexp",contains:[a.BACKSLASH_ESCAPE,g],illegal:/\n/,variants:[{begin:"/",end:"/[a-z]*"},{begin:"%r{",end:"}[a-z]*"},{begin:"%r\\(",end:"\\)[a-z]*"},{begin:"%r!",end:"![a-z]*"},{begin:"%r\\[",end:"\\][a-z]*"}]}].concat(f),relevance:0}].concat(f);g.contains=j,i.contains=j;var k="[>?]>",l="[\\w#]+\\(\\w+\\):\\d+:\\d+>",m="(\\w+-)?\\d+\\.\\d+\\.\\d(p\\d+)?[^>]+>",n=[{begin:/^\s*=>/,className:"status",starts:{end:"$",contains:j}},{className:"prompt",begin:"^("+k+"|"+l+"|"+m+")",starts:{end:"$",contains:j}}];return{aliases:["rb","gemspec","podspec","thor","irb"],keywords:c,illegal:/\/\*/,contains:f.concat(n).concat(j)}}),a.registerLanguage("erb",function(a){return{subLanguage:"xml",contains:[a.COMMENT("<%#","%>"),{begin:"<%[%=-]?",end:"[%-]?%>",subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0}]}}),a.registerLanguage("erlang-repl",function(a){return{keywords:{special_functions:"spawn spawn_link self",reserved:"after and andalso|10 band begin bnot bor bsl bsr bxor case catch cond div end fun if let not of or orelse|10 query receive rem try when xor"},contains:[{className:"prompt",begin:"^[0-9]+> ",relevance:10},a.COMMENT("%","$"),{className:"number",begin:"\\b(\\d+#[a-fA-F0-9]+|\\d+(\\.\\d+)?([eE][-+]?\\d+)?)",relevance:0},a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,{className:"constant",begin:"\\?(::)?([A-Z]\\w*(::)?)+"},{className:"arrow",begin:"->"},{className:"ok",begin:"ok"},{className:"exclamation_mark",begin:"!"},{className:"function_or_atom",begin:"(\\b[a-z'][a-zA-Z0-9_']*:[a-z'][a-zA-Z0-9_']*)|(\\b[a-z'][a-zA-Z0-9_']*)",relevance:0},{className:"variable",begin:"[A-Z][a-zA-Z0-9_']*",relevance:0}]}}),a.registerLanguage("erlang",function(a){var b="[a-z'][a-zA-Z0-9_']*",c="("+b+":"+b+"|"+b+")",d={keyword:"after and andalso|10 band begin bnot bor bsl bzr bxor case catch cond div end fun if let not of orelse|10 query receive rem try when xor",literal:"false true"},e=a.COMMENT("%","$"),f={className:"number",begin:"\\b(\\d+#[a-fA-F0-9]+|\\d+(\\.\\d+)?([eE][-+]?\\d+)?)",relevance:0},g={begin:"fun\\s+"+b+"/\\d+"},h={begin:c+"\\(",end:"\\)",returnBegin:!0,relevance:0,contains:[{className:"function_name",begin:c,relevance:0},{begin:"\\(",end:"\\)",endsWithParent:!0,returnEnd:!0,relevance:0}]},i={className:"tuple",begin:"{",end:"}",relevance:0},j={className:"variable",begin:"\\b_([A-Z][A-Za-z0-9_]*)?",relevance:0},k={className:"variable",begin:"[A-Z][a-zA-Z0-9_]*",relevance:0},l={begin:"#"+a.UNDERSCORE_IDENT_RE,relevance:0,returnBegin:!0,contains:[{className:"record_name",begin:"#"+a.UNDERSCORE_IDENT_RE,relevance:0},{begin:"{",end:"}",relevance:0}]},m={beginKeywords:"fun receive if try case",end:"end",keywords:d};m.contains=[e,g,a.inherit(a.APOS_STRING_MODE,{className:""}),m,h,a.QUOTE_STRING_MODE,f,i,j,k,l];var n=[e,g,m,h,a.QUOTE_STRING_MODE,f,i,j,k,l];h.contains[1].contains=n,i.contains=n,l.contains[1].contains=n;var o={className:"params",begin:"\\(",end:"\\)",contains:n};return{aliases:["erl"],keywords:d,illegal:"(",returnBegin:!0,illegal:"\\(|#|//|/\\*|\\\\|:|;",contains:[o,a.inherit(a.TITLE_MODE,{begin:b})],starts:{end:";|\\.",keywords:d,contains:n}},e,{className:"pp",begin:"^-",end:"\\.",relevance:0,excludeEnd:!0,returnBegin:!0,lexemes:"-"+a.IDENT_RE,keywords:"-module -record -undef -export -ifdef -ifndef -author -copyright -doc -vsn -import -include -include_lib -compile -define -else -endif -file -behaviour -behavior -spec",contains:[o]},f,a.QUOTE_STRING_MODE,l,j,k,i,{begin:/\.$/}]}}),a.registerLanguage("fix",function(a){return{contains:[{begin:/[^\u2401\u0001]+/,end:/[\u2401\u0001]/,excludeEnd:!0,returnBegin:!0,returnEnd:!1,contains:[{begin:/([^\u2401\u0001=]+)/,end:/=([^\u2401\u0001=]+)/,returnEnd:!0,returnBegin:!1,className:"attribute"},{begin:/=/,end:/([\u2401\u0001])/,excludeEnd:!0,excludeBegin:!0,className:"string"}]}],case_insensitive:!0}}),a.registerLanguage("fortran",function(a){var b={className:"params",begin:"\\(",end:"\\)"},c={constant:".False. .True.",type:"integer real character complex logical dimension allocatable|10 parameter external implicit|10 none double precision assign intent optional pointer target in out common equivalence data",keyword:"kind do while private call intrinsic where elsewhere type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. goto save else use module select case access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit continue format pause cycle exit c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg synchronous nopass non_overridable pass protected volatile abstract extends import non_intrinsic value deferred generic final enumerator class associate bind enum c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure",built_in:"alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_ofacosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr num_images parity popcnt poppar shifta shiftl shiftr this_image"};return{case_insensitive:!0,aliases:["f90","f95"],keywords:c,illegal:/\/\*/,contains:[a.inherit(a.APOS_STRING_MODE,{className:"string",relevance:0}),a.inherit(a.QUOTE_STRING_MODE,{className:"string",relevance:0}),{className:"function",beginKeywords:"subroutine function program",illegal:"[${=\\n]",contains:[a.UNDERSCORE_TITLE_MODE,b]},a.COMMENT("!","$",{relevance:0}),{className:"number",begin:"(?=\\b|\\+|\\-|\\.)(?=\\.\\d|\\d)(?:\\d+)?(?:\\.?\\d*)(?:[de][+-]?\\d+)?\\b\\.?",relevance:0}]}}),a.registerLanguage("fsharp",function(a){var b={begin:"<",end:">",contains:[a.inherit(a.TITLE_MODE,{begin:/'[a-zA-Z0-9_]+/})]};return{aliases:["fs"],keywords:"abstract and as assert base begin class default delegate do done downcast downto elif else end exception extern false finally for fun function global if in inherit inline interface internal lazy let match member module mutable namespace new null of open or override private public rec return sig static struct then to true try type upcast use val void when while with yield",illegal:/\/\*/,contains:[{className:"keyword",begin:/\b(yield|return|let|do)!/},{className:"string",begin:'@"',end:'"',contains:[{begin:'""'}]},{className:"string",begin:'"""',end:'"""'},a.COMMENT("\\(\\*","\\*\\)"),{className:"class",beginKeywords:"type",end:"\\(|=|$",excludeEnd:!0,contains:[a.UNDERSCORE_TITLE_MODE,b]},{className:"annotation",begin:"\\[<",end:">\\]",relevance:10},{className:"attribute",begin:"\\B('[A-Za-z])\\b",contains:[a.BACKSLASH_ESCAPE]},a.C_LINE_COMMENT_MODE,a.inherit(a.QUOTE_STRING_MODE,{illegal:null}),a.C_NUMBER_MODE]}}),a.registerLanguage("gams",function(a){var b="abort acronym acronyms alias all and assign binary card diag display else1 eps eq equation equations file files for1 free ge gt if inf integer le loop lt maximizing minimizing model models na ne negative no not option options or ord parameter parameters positive prod putpage puttl repeat sameas scalar scalars semicont semiint set1 sets smax smin solve sos1 sos2 sum system table then until using variable variables while1 xor yes";return{aliases:["gms"],case_insensitive:!0,keywords:b,contains:[{className:"section",beginKeywords:"sets parameters variables equations",end:";",contains:[{begin:"/",end:"/",contains:[a.NUMBER_MODE]}]},{className:"string",begin:"\\*{3}",end:"\\*{3}"},a.NUMBER_MODE,{className:"number",begin:"\\$[a-zA-Z0-9]+"}]}}),a.registerLanguage("gcode",function(a){var b="[A-Z_][A-Z0-9_.]*",c="\\%",d={literal:"",built_in:"",keyword:"IF DO WHILE ENDWHILE CALL ENDIF SUB ENDSUB GOTO REPEAT ENDREPEAT EQ LT GT NE GE LE OR XOR"},e={className:"preprocessor",begin:"([O])([0-9]+)"},f=[a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,a.COMMENT(/\(/,/\)/),a.inherit(a.C_NUMBER_MODE,{begin:"([-+]?([0-9]*\\.?[0-9]+\\.?))|"+a.C_NUMBER_RE}),a.inherit(a.APOS_STRING_MODE,{illegal:null}),a.inherit(a.QUOTE_STRING_MODE,{illegal:null}),{className:"keyword",begin:"([G])([0-9]+\\.?[0-9]?)"},{className:"title",begin:"([M])([0-9]+\\.?[0-9]?)"},{className:"title",begin:"(VC|VS|#)",end:"(\\d+)"},{className:"title",begin:"(VZOFX|VZOFY|VZOFZ)"},{className:"built_in",begin:"(ATAN|ABS|ACOS|ASIN|SIN|COS|EXP|FIX|FUP|ROUND|LN|TAN)(\\[)",end:"([-+]?([0-9]*\\.?[0-9]+\\.?))(\\])"},{className:"label",variants:[{begin:"N",end:"\\d+",illegal:"\\W"}]}];return{aliases:["nc"],case_insensitive:!0,lexemes:b,keywords:d,contains:[{className:"preprocessor",begin:c},e].concat(f)}}),a.registerLanguage("gherkin",function(a){return{aliases:["feature"],keywords:"Feature Background Ability Business Need Scenario Scenarios Scenario Outline Scenario Template Examples Given And Then But When",contains:[{className:"keyword",begin:"\\*"},a.COMMENT("@[^@\r\n ]+","$"),{begin:"\\|",end:"\\|\\w*$",contains:[{className:"string",begin:"[^|]+"}]},{className:"variable",begin:"<",end:">"},a.HASH_COMMENT_MODE,{className:"string",begin:'"""',end:'"""'},a.QUOTE_STRING_MODE]}}),a.registerLanguage("glsl",function(a){return{keywords:{keyword:"atomic_uint attribute bool break bvec2 bvec3 bvec4 case centroid coherent const continue default discard dmat2 dmat2x2 dmat2x3 dmat2x4 dmat3 dmat3x2 dmat3x3 dmat3x4 dmat4 dmat4x2 dmat4x3 dmat4x4 do double dvec2 dvec3 dvec4 else flat float for highp if iimage1D iimage1DArray iimage2D iimage2DArray iimage2DMS iimage2DMSArray iimage2DRect iimage3D iimageBuffer iimageCube iimageCubeArray image1D image1DArray image2D image2DArray image2DMS image2DMSArray image2DRect image3D imageBuffer imageCube imageCubeArray in inout int invariant isampler1D isampler1DArray isampler2D isampler2DArray isampler2DMS isampler2DMSArray isampler2DRect isampler3D isamplerBuffer isamplerCube isamplerCubeArray ivec2 ivec3 ivec4 layout lowp mat2 mat2x2 mat2x3 mat2x4 mat3 mat3x2 mat3x3 mat3x4 mat4 mat4x2 mat4x3 mat4x4 mediump noperspective out patch precision readonly restrict return sample sampler1D sampler1DArray sampler1DArrayShadow sampler1DShadow sampler2D sampler2DArray sampler2DArrayShadow sampler2DMS sampler2DMSArray sampler2DRect sampler2DRectShadow sampler2DShadow sampler3D samplerBuffer samplerCube samplerCubeArray samplerCubeArrayShadow samplerCubeShadow smooth struct subroutine switch uimage1D uimage1DArray uimage2D uimage2DArray uimage2DMS uimage2DMSArray uimage2DRect uimage3D uimageBuffer uimageCube uimageCubeArray uint uniform usampler1D usampler1DArray usampler2D usampler2DArray usampler2DMS usampler2DMSArray usampler2DRect usampler3D usamplerBuffer usamplerCube usamplerCubeArray uvec2 uvec3 uvec4 varying vec2 vec3 vec4 void volatile while writeonly",built_in:"gl_BackColor gl_BackLightModelProduct gl_BackLightProduct gl_BackMaterial gl_BackSecondaryColor gl_ClipDistance gl_ClipPlane gl_ClipVertex gl_Color gl_DepthRange gl_EyePlaneQ gl_EyePlaneR gl_EyePlaneS gl_EyePlaneT gl_Fog gl_FogCoord gl_FogFragCoord gl_FragColor gl_FragCoord gl_FragData gl_FragDepth gl_FrontColor gl_FrontFacing gl_FrontLightModelProduct gl_FrontLightProduct gl_FrontMaterial gl_FrontSecondaryColor gl_InstanceID gl_InvocationID gl_Layer gl_LightModel gl_LightSource gl_MaxAtomicCounterBindings gl_MaxAtomicCounterBufferSize gl_MaxClipDistances gl_MaxClipPlanes gl_MaxCombinedAtomicCounterBuffers gl_MaxCombinedAtomicCounters gl_MaxCombinedImageUniforms gl_MaxCombinedImageUnitsAndFragmentOutputs gl_MaxCombinedTextureImageUnits gl_MaxDrawBuffers gl_MaxFragmentAtomicCounterBuffers gl_MaxFragmentAtomicCounters gl_MaxFragmentImageUniforms gl_MaxFragmentInputComponents gl_MaxFragmentUniformComponents gl_MaxFragmentUniformVectors gl_MaxGeometryAtomicCounterBuffers gl_MaxGeometryAtomicCounters gl_MaxGeometryImageUniforms gl_MaxGeometryInputComponents gl_MaxGeometryOutputComponents gl_MaxGeometryOutputVertices gl_MaxGeometryTextureImageUnits gl_MaxGeometryTotalOutputComponents gl_MaxGeometryUniformComponents gl_MaxGeometryVaryingComponents gl_MaxImageSamples gl_MaxImageUnits gl_MaxLights gl_MaxPatchVertices gl_MaxProgramTexelOffset gl_MaxTessControlAtomicCounterBuffers gl_MaxTessControlAtomicCounters gl_MaxTessControlImageUniforms gl_MaxTessControlInputComponents gl_MaxTessControlOutputComponents gl_MaxTessControlTextureImageUnits gl_MaxTessControlTotalOutputComponents gl_MaxTessControlUniformComponents gl_MaxTessEvaluationAtomicCounterBuffers gl_MaxTessEvaluationAtomicCounters gl_MaxTessEvaluationImageUniforms gl_MaxTessEvaluationInputComponents gl_MaxTessEvaluationOutputComponents gl_MaxTessEvaluationTextureImageUnits gl_MaxTessEvaluationUniformComponents gl_MaxTessGenLevel gl_MaxTessPatchComponents gl_MaxTextureCoords gl_MaxTextureImageUnits gl_MaxTextureUnits gl_MaxVaryingComponents gl_MaxVaryingFloats gl_MaxVaryingVectors gl_MaxVertexAtomicCounterBuffers gl_MaxVertexAtomicCounters gl_MaxVertexAttribs gl_MaxVertexImageUniforms gl_MaxVertexOutputComponents gl_MaxVertexTextureImageUnits gl_MaxVertexUniformComponents gl_MaxVertexUniformVectors gl_MaxViewports gl_MinProgramTexelOffsetgl_ModelViewMatrix gl_ModelViewMatrixInverse gl_ModelViewMatrixInverseTranspose gl_ModelViewMatrixTranspose gl_ModelViewProjectionMatrix gl_ModelViewProjectionMatrixInverse gl_ModelViewProjectionMatrixInverseTranspose gl_ModelViewProjectionMatrixTranspose gl_MultiTexCoord0 gl_MultiTexCoord1 gl_MultiTexCoord2 gl_MultiTexCoord3 gl_MultiTexCoord4 gl_MultiTexCoord5 gl_MultiTexCoord6 gl_MultiTexCoord7 gl_Normal gl_NormalMatrix gl_NormalScale gl_ObjectPlaneQ gl_ObjectPlaneR gl_ObjectPlaneS gl_ObjectPlaneT gl_PatchVerticesIn gl_PerVertex gl_Point gl_PointCoord gl_PointSize gl_Position gl_PrimitiveID gl_PrimitiveIDIn gl_ProjectionMatrix gl_ProjectionMatrixInverse gl_ProjectionMatrixInverseTranspose gl_ProjectionMatrixTranspose gl_SampleID gl_SampleMask gl_SampleMaskIn gl_SamplePosition gl_SecondaryColor gl_TessCoord gl_TessLevelInner gl_TessLevelOuter gl_TexCoord gl_TextureEnvColor gl_TextureMatrixInverseTranspose gl_TextureMatrixTranspose gl_Vertex gl_VertexID gl_ViewportIndex gl_in gl_out EmitStreamVertex EmitVertex EndPrimitive EndStreamPrimitive abs acos acosh all any asin asinh atan atanh atomicCounter atomicCounterDecrement atomicCounterIncrement barrier bitCount bitfieldExtract bitfieldInsert bitfieldReverse ceil clamp cos cosh cross dFdx dFdy degrees determinant distance dot equal exp exp2 faceforward findLSB findMSB floatBitsToInt floatBitsToUint floor fma fract frexp ftransform fwidth greaterThan greaterThanEqual imageAtomicAdd imageAtomicAnd imageAtomicCompSwap imageAtomicExchange imageAtomicMax imageAtomicMin imageAtomicOr imageAtomicXor imageLoad imageStore imulExtended intBitsToFloat interpolateAtCentroid interpolateAtOffset interpolateAtSample inverse inversesqrt isinf isnan ldexp length lessThan lessThanEqual log log2 matrixCompMult max memoryBarrier min mix mod modf noise1 noise2 noise3 noise4 normalize not notEqual outerProduct packDouble2x32 packHalf2x16 packSnorm2x16 packSnorm4x8 packUnorm2x16 packUnorm4x8 pow radians reflect refract round roundEven shadow1D shadow1DLod shadow1DProj shadow1DProjLod shadow2D shadow2DLod shadow2DProj shadow2DProjLod sign sin sinh smoothstep sqrt step tan tanh texelFetch texelFetchOffset texture texture1D texture1DLod texture1DProj texture1DProjLod texture2D texture2DLod texture2DProj texture2DProjLod texture3D texture3DLod texture3DProj texture3DProjLod textureCube textureCubeLod textureGather textureGatherOffset textureGatherOffsets textureGrad textureGradOffset textureLod textureLodOffset textureOffset textureProj textureProjGrad textureProjGradOffset textureProjLod textureProjLodOffset textureProjOffset textureQueryLod textureSize transpose trunc uaddCarry uintBitsToFloat umulExtended unpackDouble2x32 unpackHalf2x16 unpackSnorm2x16 unpackSnorm4x8 unpackUnorm2x16 unpackUnorm4x8 usubBorrow gl_TextureMatrix gl_TextureMatrixInverse",literal:"true false"},illegal:'"',contains:[a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,a.C_NUMBER_MODE,{className:"preprocessor",begin:"#",end:"$"}]}}),a.registerLanguage("go",function(a){var b={keyword:"break default func interface select case map struct chan else goto package switch const fallthrough if range type continue for import return var go defer", constant:"true false iota nil",typename:"bool byte complex64 complex128 float32 float64 int8 int16 int32 int64 string uint8 uint16 uint32 uint64 int uint uintptr rune",built_in:"append cap close complex copy imag len make new panic print println real recover delete"};return{aliases:["golang"],keywords:b,illegal:"",end:",\\s+",returnBegin:!0,endsWithParent:!0,contains:[{className:"symbol",begin:":\\w+"},a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,{begin:"\\w+",relevance:0}]}]},{begin:"\\(\\s*",end:"\\s*\\)",excludeEnd:!0,contains:[{begin:"\\w+\\s*=",end:"\\s+",returnBegin:!0,endsWithParent:!0,contains:[{className:"attribute",begin:"\\w+",relevance:0},a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,{begin:"\\w+",relevance:0}]}]}]},{className:"bullet",begin:"^\\s*[=~]\\s*",relevance:0},{begin:"#{",starts:{end:"}",subLanguage:"ruby"}}]}}),a.registerLanguage("handlebars",function(a){var b="each in with if else unless bindattr action collection debugger log outlet template unbound view yield";return{aliases:["hbs","html.hbs","html.handlebars"],case_insensitive:!0,subLanguage:"xml",contains:[{className:"expression",begin:"{{",end:"}}",contains:[{className:"begin-block",begin:"#[a-zA-Z- .]+",keywords:b},{className:"string",begin:'"',end:'"'},{className:"end-block",begin:"\\/[a-zA-Z- .]+",keywords:b},{className:"variable",begin:"[a-zA-Z-.]+",keywords:b}]}]}}),a.registerLanguage("haskell",function(a){var b=[a.COMMENT("--","$"),a.COMMENT("{-","-}",{contains:["self"]})],c={className:"pragma",begin:"{-#",end:"#-}"},d={className:"preprocessor",begin:"^#",end:"$"},e={className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},f={className:"container",begin:"\\(",end:"\\)",illegal:'"',contains:[c,d,{className:"type",begin:"\\b[A-Z][\\w]*(\\((\\.\\.|,|\\w+)\\))?"},a.inherit(a.TITLE_MODE,{begin:"[_a-z][\\w']*"})].concat(b)},g={className:"container",begin:"{",end:"}",contains:f.contains};return{aliases:["hs"],keywords:"let in if then else case of where do module import hiding qualified type data newtype deriving class instance as default infix infixl infixr foreign export ccall stdcall cplusplus jvm dotnet safe unsafe family forall mdo proc rec",contains:[{className:"module",begin:"\\bmodule\\b",end:"where",keywords:"module where",contains:[f].concat(b),illegal:"\\W\\.|;"},{className:"import",begin:"\\bimport\\b",end:"$",keywords:"import|0 qualified as hiding",contains:[f].concat(b),illegal:"\\W\\.|;"},{className:"class",begin:"^(\\s*)?(class|instance)\\b",end:"where",keywords:"class family instance where",contains:[e,f].concat(b)},{className:"typedef",begin:"\\b(data|(new)?type)\\b",end:"$",keywords:"data family type newtype deriving",contains:[c,e,f,g].concat(b)},{className:"default",beginKeywords:"default",end:"$",contains:[e,f].concat(b)},{className:"infix",beginKeywords:"infix infixl infixr",end:"$",contains:[a.C_NUMBER_MODE].concat(b)},{className:"foreign",begin:"\\bforeign\\b",end:"$",keywords:"foreign import export ccall stdcall cplusplus jvm dotnet safe unsafe",contains:[e,a.QUOTE_STRING_MODE].concat(b)},{className:"shebang",begin:"#!\\/usr\\/bin\\/env runhaskell",end:"$"},c,d,a.QUOTE_STRING_MODE,a.C_NUMBER_MODE,e,a.inherit(a.TITLE_MODE,{begin:"^[_a-z][\\w']*"}),{begin:"->|<-"}].concat(b)}}),a.registerLanguage("haxe",function(a){var b="([*]|[a-zA-Z_$][a-zA-Z0-9_$]*)";return{aliases:["hx"],keywords:{keyword:"break callback case cast catch class continue default do dynamic else enum extends extern for function here if implements import in inline interface never new override package private public return static super switch this throw trace try typedef untyped using var while",literal:"true false null"},contains:[a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,a.C_NUMBER_MODE,{className:"class",beginKeywords:"class interface",end:"{",excludeEnd:!0,contains:[{beginKeywords:"extends implements"},a.TITLE_MODE]},{className:"preprocessor",begin:"#",end:"$",keywords:"if else elseif end error"},{className:"function",beginKeywords:"function",end:"[{;]",excludeEnd:!0,illegal:"\\S",contains:[a.TITLE_MODE,{className:"params",begin:"\\(",end:"\\)",contains:[a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE]},{className:"type",begin:":",end:b,relevance:10}]}]}}),a.registerLanguage("http",function(a){return{aliases:["https"],illegal:"\\S",contains:[{className:"status",begin:"^HTTP/[0-9\\.]+",end:"$",contains:[{className:"number",begin:"\\b\\d{3}\\b"}]},{className:"request",begin:"^[A-Z]+ (.*?) HTTP/[0-9\\.]+$",returnBegin:!0,end:"$",contains:[{className:"string",begin:" ",end:" ",excludeBegin:!0,excludeEnd:!0}]},{className:"attribute",begin:"^\\w",end:": ",excludeEnd:!0,illegal:"\\n|\\s|=",starts:{className:"string",end:"$"}},{begin:"\\n\\n",starts:{subLanguage:[],endsWithParent:!0}}]}}),a.registerLanguage("inform7",function(a){var b="\\[",c="\\]";return{aliases:["i7"],case_insensitive:!0,keywords:{keyword:"thing room person man woman animal container supporter backdrop door scenery open closed locked inside gender is are say understand kind of rule"},contains:[{className:"string",begin:'"',end:'"',relevance:0,contains:[{className:"subst",begin:b,end:c}]},{className:"title",begin:/^(Volume|Book|Part|Chapter|Section|Table)\b/,end:"$"},{begin:/^(Check|Carry out|Report|Instead of|To|Rule|When|Before|After)\b/,end:":",contains:[{begin:"\\b\\(This",end:"\\)"}]},{className:"comment",begin:b,end:c,contains:["self"]}]}}),a.registerLanguage("ini",function(a){var b={className:"string",contains:[a.BACKSLASH_ESCAPE],variants:[{begin:"'''",end:"'''",relevance:10},{begin:'"""',end:'"""',relevance:10},{begin:'"',end:'"'},{begin:"'",end:"'"}]};return{aliases:["toml"],case_insensitive:!0,illegal:/\S/,contains:[a.COMMENT(";","$"),a.HASH_COMMENT_MODE,{className:"title",begin:/^\s*\[+/,end:/\]+/},{className:"setting",begin:/^[a-z0-9\[\]_-]+\s*=\s*/,end:"$",contains:[{className:"value",endsWithParent:!0,keywords:"on off true false yes no",contains:[{className:"variable",variants:[{begin:/\$[\w\d"][\w\d_]*/},{begin:/\$\{(.*?)}/}]},b,{className:"number",begin:/([\+\-]+)?[\d]+_[\d_]+/},a.NUMBER_MODE],relevance:0}]}]}}),a.registerLanguage("irpf90",function(a){var b={className:"params",begin:"\\(",end:"\\)"},c={constant:".False. .True.",type:"integer real character complex logical dimension allocatable|10 parameter external implicit|10 none double precision assign intent optional pointer target in out common equivalence data",keyword:"kind do while private call intrinsic where elsewhere type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. goto save else use module select case access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit continue format pause cycle exit c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg synchronous nopass non_overridable pass protected volatile abstract extends import non_intrinsic value deferred generic final enumerator class associate bind enum c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure begin_provider &begin_provider end_provider begin_shell end_shell begin_template end_template subst assert touch soft_touch provide no_dep free irp_if irp_else irp_endif irp_write irp_read",built_in:"alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_ofacosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr num_images parity popcnt poppar shifta shiftl shiftr this_image IRP_ALIGN irp_here"};return{case_insensitive:!0,keywords:c,illegal:/\/\*/,contains:[a.inherit(a.APOS_STRING_MODE,{className:"string",relevance:0}),a.inherit(a.QUOTE_STRING_MODE,{className:"string",relevance:0}),{className:"function",beginKeywords:"subroutine function program",illegal:"[${=\\n]",contains:[a.UNDERSCORE_TITLE_MODE,b]},a.COMMENT("!","$",{relevance:0}),a.COMMENT("begin_doc","end_doc",{relevance:10}),{className:"number",begin:"(?=\\b|\\+|\\-|\\.)(?=\\.\\d|\\d)(?:\\d+)?(?:\\.?\\d*)(?:[de][+-]?\\d+)?\\b\\.?",relevance:0}]}}),a.registerLanguage("java",function(a){var b=a.UNDERSCORE_IDENT_RE+"(<"+a.UNDERSCORE_IDENT_RE+">)?",c="false synchronized int abstract float private char boolean static null if const for true while long strictfp finally protected import native final void enum else break transient catch instanceof byte super volatile case assert short package default double public try this switch continue throws protected public private",d="\\b(0[bB]([01]+[01_]+[01]+|[01]+)|0[xX]([a-fA-F0-9]+[a-fA-F0-9_]+[a-fA-F0-9]+|[a-fA-F0-9]+)|(([\\d]+[\\d_]+[\\d]+|[\\d]+)(\\.([\\d]+[\\d_]+[\\d]+|[\\d]+))?|\\.([\\d]+[\\d_]+[\\d]+|[\\d]+))([eE][-+]?\\d+)?)[lLfF]?",e={className:"number",begin:d,relevance:0};return{aliases:["jsp"],keywords:c,illegal:/<\/|#/,contains:[a.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+"}]}),a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,{className:"class",beginKeywords:"class interface",end:/[{;=]/,excludeEnd:!0,keywords:"class interface",illegal:/[:"\[\]]/,contains:[{beginKeywords:"extends implements"},a.UNDERSCORE_TITLE_MODE]},{beginKeywords:"new throw return else",relevance:0},{className:"function",begin:"("+b+"\\s+)+"+a.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:c,contains:[{begin:a.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,relevance:0,contains:[a.UNDERSCORE_TITLE_MODE]},{className:"params",begin:/\(/,end:/\)/,keywords:c,relevance:0,contains:[a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,a.C_NUMBER_MODE,a.C_BLOCK_COMMENT_MODE]},a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE]},e,{className:"annotation",begin:"@[A-Za-z]+"}]}}),a.registerLanguage("javascript",function(a){return{aliases:["js"],keywords:{keyword:"in of if for while finally var new function do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const export super debugger as async await",literal:"true false null undefined NaN Infinity",built_in:"eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document Symbol Set Map WeakSet WeakMap Proxy Reflect Promise"},contains:[{className:"pi",relevance:10,begin:/^\s*['"]use (strict|asm)['"]/},a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,{className:"string",begin:"`",end:"`",contains:[a.BACKSLASH_ESCAPE,{className:"subst",begin:"\\$\\{",end:"\\}"}]},a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,{className:"number",variants:[{begin:"\\b(0[bB][01]+)"},{begin:"\\b(0[oO][0-7]+)"},{begin:a.C_NUMBER_RE}],relevance:0},{begin:"("+a.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",contains:[a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,a.REGEXP_MODE,{begin:/\s*[);\]]/,relevance:0,subLanguage:"xml"}],relevance:0},{className:"function",beginKeywords:"function",end:/\{/,excludeEnd:!0,contains:[a.inherit(a.TITLE_MODE,{begin:/[A-Za-z$_][0-9A-Za-z$_]*/}),{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:[a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE]}],illegal:/\[|%/},{begin:/\$[(.]/},{begin:"\\."+a.IDENT_RE,relevance:0},{beginKeywords:"import",end:"[;$]",keywords:"import from as",contains:[a.APOS_STRING_MODE,a.QUOTE_STRING_MODE]},{className:"class",beginKeywords:"class",end:/[{;=]/,excludeEnd:!0,illegal:/[:"\[\]]/,contains:[{beginKeywords:"extends"},a.UNDERSCORE_TITLE_MODE]}],illegal:/#/}}),a.registerLanguage("json",function(a){var b={literal:"true false null"},c=[a.QUOTE_STRING_MODE,a.C_NUMBER_MODE],d={className:"value",end:",",endsWithParent:!0,excludeEnd:!0,contains:c,keywords:b},e={begin:"{",end:"}",contains:[{className:"attribute",begin:'\\s*"',end:'"\\s*:\\s*',excludeBegin:!0,excludeEnd:!0,contains:[a.BACKSLASH_ESCAPE],illegal:"\\n",starts:d}],illegal:"\\S"},f={begin:"\\[",end:"\\]",contains:[a.inherit(d,{className:null})],illegal:"\\S"};return c.splice(c.length,0,e,f),{contains:c,keywords:b,illegal:"\\S"}}),a.registerLanguage("julia",function(a){var b={keyword:"in abstract baremodule begin bitstype break catch ccall const continue do else elseif end export finally for function global if immutable import importall let local macro module quote return try type typealias using while",literal:"true false ANY ARGS CPU_CORES C_NULL DL_LOAD_PATH DevNull ENDIAN_BOM ENV I|0 Inf Inf16 Inf32 InsertionSort JULIA_HOME LOAD_PATH MS_ASYNC MS_INVALIDATE MS_SYNC MergeSort NaN NaN16 NaN32 OS_NAME QuickSort RTLD_DEEPBIND RTLD_FIRST RTLD_GLOBAL RTLD_LAZY RTLD_LOCAL RTLD_NODELETE RTLD_NOLOAD RTLD_NOW RoundDown RoundFromZero RoundNearest RoundToZero RoundUp STDERR STDIN STDOUT VERSION WORD_SIZE catalan cglobal e|0 eu|0 eulergamma golden im nothing pi γ π φ",built_in:"ASCIIString AbstractArray AbstractRNG AbstractSparseArray Any ArgumentError Array Associative Base64Pipe Bidiagonal BigFloat BigInt BitArray BitMatrix BitVector Bool BoundsError Box CFILE Cchar Cdouble Cfloat Char CharString Cint Clong Clonglong ClusterManager Cmd Coff_t Colon Complex Complex128 Complex32 Complex64 Condition Cptrdiff_t Cshort Csize_t Cssize_t Cuchar Cuint Culong Culonglong Cushort Cwchar_t DArray DataType DenseArray Diagonal Dict DimensionMismatch DirectIndexString Display DivideError DomainError EOFError EachLine Enumerate ErrorException Exception Expr Factorization FileMonitor FileOffset Filter Float16 Float32 Float64 FloatRange FloatingPoint Function GetfieldNode GotoNode Hermitian IO IOBuffer IOStream IPv4 IPv6 InexactError Int Int128 Int16 Int32 Int64 Int8 IntSet Integer InterruptException IntrinsicFunction KeyError LabelNode LambdaStaticData LineNumberNode LoadError LocalProcess MIME MathConst MemoryError MersenneTwister Method MethodError MethodTable Module NTuple NewvarNode Nothing Number ObjectIdDict OrdinalRange OverflowError ParseError PollingFileWatcher ProcessExitedException ProcessGroup Ptr QuoteNode Range Range1 Ranges Rational RawFD Real Regex RegexMatch RemoteRef RepString RevString RopeString RoundingMode Set SharedArray Signed SparseMatrixCSC StackOverflowError Stat StatStruct StepRange String SubArray SubString SymTridiagonal Symbol SymbolNode Symmetric SystemError Task TextDisplay Timer TmStruct TopNode Triangular Tridiagonal Type TypeConstructor TypeError TypeName TypeVar UTF16String UTF32String UTF8String UdpSocket Uint Uint128 Uint16 Uint32 Uint64 Uint8 UndefRefError UndefVarError UniformScaling UnionType UnitRange Unsigned Vararg VersionNumber WString WeakKeyDict WeakRef Woodbury Zip"},c="[A-Za-z_\\u00A1-\\uFFFF][A-Za-z_0-9\\u00A1-\\uFFFF]*",d={lexemes:c,keywords:b},e={className:"type-annotation",begin:/::/},f={className:"subtype",begin:/<:/},g={className:"number",begin:/(\b0x[\d_]*(\.[\d_]*)?|0x\.\d[\d_]*)p[-+]?\d+|\b0[box][a-fA-F0-9][a-fA-F0-9_]*|(\b\d[\d_]*(\.[\d_]*)?|\.\d[\d_]*)([eEfF][-+]?\d+)?/,relevance:0},h={className:"char",begin:/'(.|\\[xXuU][a-zA-Z0-9]+)'/},i={className:"subst",begin:/\$\(/,end:/\)/,keywords:b},j={className:"variable",begin:"\\$"+c},k={className:"string",contains:[a.BACKSLASH_ESCAPE,i,j],variants:[{begin:/\w*"/,end:/"\w*/},{begin:/\w*"""/,end:/"""\w*/}]},l={className:"string",contains:[a.BACKSLASH_ESCAPE,i,j],begin:"`",end:"`"},m={className:"macrocall",begin:"@"+c},n={className:"comment",variants:[{begin:"#=",end:"=#",relevance:10},{begin:"#",end:"$"}]};return d.contains=[g,h,e,f,k,l,m,n,a.HASH_COMMENT_MODE],i.contains=d.contains,d}),a.registerLanguage("kotlin",function(a){var b="val var get set class trait object public open private protected final enum if else do while for when break continue throw try catch finally import package is as in return fun override default companion reified inline volatile transient native";return{keywords:{typename:"Byte Short Char Int Long Boolean Float Double Void Unit Nothing",literal:"true false null",keyword:b},contains:[a.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+"}]}),a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,{className:"type",begin://,returnBegin:!0,excludeEnd:!1,relevance:0},{className:"function",beginKeywords:"fun",end:"[(]|$",returnBegin:!0,excludeEnd:!0,keywords:b,illegal:/fun\s+(<.*>)?[^\s\(]+(\s+[^\s\(]+)\s*=/,relevance:5,contains:[{begin:a.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,relevance:0,contains:[a.UNDERSCORE_TITLE_MODE]},{className:"type",begin://,keywords:"reified",relevance:0},{className:"params",begin:/\(/,end:/\)/,keywords:b,relevance:0,illegal:/\([^\(,\s:]+,/,contains:[{className:"typename",begin:/:\s*/,end:/\s*[=\)]/,excludeBegin:!0,returnEnd:!0,relevance:0}]},a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE]},{className:"class",beginKeywords:"class trait",end:/[:\{(]|$/,excludeEnd:!0,illegal:"extends implements",contains:[a.UNDERSCORE_TITLE_MODE,{className:"type",begin://,excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"typename",begin:/[,:]\s*/,end:/[<\(,]|$/,excludeBegin:!0,returnEnd:!0}]},{className:"variable",beginKeywords:"var val",end:/\s*[=:$]/,excludeEnd:!0},a.QUOTE_STRING_MODE,{className:"shebang",begin:"^#!/usr/bin/env",end:"$",illegal:"\n"},a.C_NUMBER_MODE]}}),a.registerLanguage("lasso",function(a){var b="[a-zA-Z_][a-zA-Z0-9_.]*",c="<\\?(lasso(script)?|=)",d="\\]|\\?>",e={literal:"true false none minimal full all void bw nbw ew new cn ncn lt lte gt gte eq neq rx nrx ft",built_in:"array date decimal duration integer map pair string tag xml null boolean bytes keyword list locale queue set stack staticarray local var variable global data self inherited currentcapture givenblock",keyword:"error_code error_msg error_pop error_push error_reset cache database_names database_schemanames database_tablenames define_tag define_type email_batch encode_set html_comment handle handle_error header if inline iterate ljax_target link link_currentaction link_currentgroup link_currentrecord link_detail link_firstgroup link_firstrecord link_lastgroup link_lastrecord link_nextgroup link_nextrecord link_prevgroup link_prevrecord log loop namespace_using output_none portal private protect records referer referrer repeating resultset rows search_args search_arguments select sort_args sort_arguments thread_atomic value_list while abort case else if_empty if_false if_null if_true loop_abort loop_continue loop_count params params_up return return_value run_children soap_definetag soap_lastrequest soap_lastresponse tag_name ascending average by define descending do equals frozen group handle_failure import in into join let match max min on order parent protected provide public require returnhome skip split_thread sum take thread to trait type where with yield yieldhome"},f=a.COMMENT("",{relevance:0}),g={className:"preprocessor",begin:"\\[noprocess\\]",starts:{className:"markup",end:"\\[/noprocess\\]",returnEnd:!0,contains:[f]}},h={className:"preprocessor",begin:"\\[/noprocess|"+c},i={className:"variable",begin:"'"+b+"'"},j=[a.COMMENT("/\\*\\*!","\\*/"),a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,a.inherit(a.C_NUMBER_MODE,{begin:a.C_NUMBER_RE+"|(infinity|nan)\\b"}),a.inherit(a.APOS_STRING_MODE,{illegal:null}),a.inherit(a.QUOTE_STRING_MODE,{illegal:null}),{className:"string",begin:"`",end:"`"},{className:"variable",variants:[{begin:"[#$]"+b},{begin:"#",end:"\\d+",illegal:"\\W"}]},{className:"tag",begin:"::\\s*",end:b,illegal:"\\W"},{className:"attribute",variants:[{begin:"-(?!infinity)"+a.UNDERSCORE_IDENT_RE,relevance:0},{begin:"(\\.\\.\\.)"}]},{className:"subst",variants:[{begin:"->\\s*",contains:[i]},{begin:"->|\\\\|&&?|\\|\\||!(?!=|>)|(and|or|not)\\b",relevance:0}]},{className:"built_in",begin:"\\.\\.?\\s*",relevance:0,contains:[i]},{className:"class",beginKeywords:"define",returnEnd:!0,end:"\\(|=>",contains:[a.inherit(a.TITLE_MODE,{begin:a.UNDERSCORE_IDENT_RE+"(=(?!>))?"})]}];return{aliases:["ls","lassoscript"],case_insensitive:!0,lexemes:b+"|&[lg]t;",keywords:e,contains:[{className:"preprocessor",begin:d,relevance:0,starts:{className:"markup",end:"\\[|"+c,returnEnd:!0,relevance:0,contains:[f]}},g,h,{className:"preprocessor",begin:"\\[no_square_brackets",starts:{end:"\\[/no_square_brackets\\]",lexemes:b+"|&[lg]t;",keywords:e,contains:[{className:"preprocessor",begin:d,relevance:0,starts:{className:"markup",end:"\\[noprocess\\]|"+c,returnEnd:!0,contains:[f]}},g,h].concat(j)}},{className:"preprocessor",begin:"\\[",relevance:0},{className:"shebang",begin:"^#!.+lasso9\\b",relevance:10}].concat(j)}}),a.registerLanguage("less",function(a){var b="[\\w-]+",c="("+b+"|@{"+b+"})",d=[],e=[],f=function(a){return{className:"string",begin:"~?"+a+".*?"+a}},g=function(a,b,c){return{className:a,begin:b,relevance:c}},h=function(b,c,d){return a.inherit({className:b,begin:c+"\\(",end:"\\(",returnBegin:!0,excludeEnd:!0,relevance:0},d)},i={begin:"\\(",end:"\\)",contains:e,relevance:0};e.push(a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,f("'"),f('"'),a.CSS_NUMBER_MODE,g("hexcolor","#[0-9A-Fa-f]+\\b"),h("function","(url|data-uri)",{starts:{className:"string",end:"[\\)\\n]",excludeEnd:!0}}),h("function",b),i,g("variable","@@?"+b,10),g("variable","@{"+b+"}"),g("built_in","~?`[^`]*?`"),{className:"attribute",begin:b+"\\s*:",end:":",returnBegin:!0,excludeEnd:!0});var j=e.concat({begin:"{",end:"}",contains:d}),k={beginKeywords:"when",endsWithParent:!0,contains:[{beginKeywords:"and not"}].concat(e)},l={className:"attribute",begin:c,end:":",excludeEnd:!0,contains:[a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE],illegal:/\S/,starts:{end:"[;}]",returnEnd:!0,contains:e,illegal:"[<=$]"}},m={className:"at_rule",begin:"@(import|media|charset|font-face|(-[a-z]+-)?keyframes|supports|document|namespace|page|viewport|host)\\b",starts:{end:"[;{}]",returnEnd:!0,contains:e,relevance:0}},n={className:"variable",variants:[{begin:"@"+b+"\\s*:",relevance:15},{begin:"@"+b}],starts:{end:"[;}]",returnEnd:!0,contains:j}},o={variants:[{begin:"[\\.#:&\\[]",end:"[;{}]"},{begin:c+"[^;]*{",end:"{"}],returnBegin:!0,returnEnd:!0,illegal:"[<='$\"]",contains:[a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,k,g("keyword","all\\b"),g("variable","@{"+b+"}"),g("tag",c+"%?",0),g("id","#"+c),g("class","\\."+c,0),g("keyword","&",0),h("pseudo",":not"),h("keyword",":extend"),g("pseudo","::?"+c),{className:"attr_selector",begin:"\\[",end:"\\]"},{begin:"\\(",end:"\\)",contains:j},{begin:"!important"}]};return d.push(a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,m,n,o,l),{case_insensitive:!0,illegal:"[=>'/<($\"]",contains:d}}),a.registerLanguage("lisp",function(a){var b="[a-zA-Z_\\-\\+\\*\\/\\<\\=\\>\\&\\#][a-zA-Z0-9_\\-\\+\\*\\/\\<\\=\\>\\&\\#!]*",c="\\|[^]*?\\|",d="(\\-|\\+)?\\d+(\\.\\d+|\\/\\d+)?((d|e|f|l|s|D|E|F|L|S)(\\+|\\-)?\\d+)?",e={className:"shebang",begin:"^#!",end:"$"},f={className:"literal",begin:"\\b(t{1}|nil)\\b"},g={className:"number",variants:[{begin:d,relevance:0},{begin:"#(b|B)[0-1]+(/[0-1]+)?"},{begin:"#(o|O)[0-7]+(/[0-7]+)?"},{begin:"#(x|X)[0-9a-fA-F]+(/[0-9a-fA-F]+)?"},{begin:"#(c|C)\\("+d+" +"+d,end:"\\)"}]},h=a.inherit(a.QUOTE_STRING_MODE,{illegal:null}),i=a.COMMENT(";","$",{relevance:0}),j={className:"variable",begin:"\\*",end:"\\*"},k={className:"keyword",begin:"[:&]"+b},l={begin:b,relevance:0},m={begin:c},n={begin:"\\(",end:"\\)",contains:["self",f,h,g,l]},o={className:"quoted",contains:[g,h,j,k,n,l],variants:[{begin:"['`]\\(",end:"\\)"},{begin:"\\(quote ",end:"\\)",keywords:"quote"},{begin:"'"+c}]},p={className:"quoted",variants:[{begin:"'"+b},{begin:"#'"+b+"(::"+b+")*"}]},q={className:"list",begin:"\\(\\s*",end:"\\)"},r={endsWithParent:!0,relevance:0};return q.contains=[{className:"keyword",variants:[{begin:b},{begin:c}]},r],r.contains=[o,p,q,f,g,h,i,j,k,m,l],{illegal:/\S/,contains:[g,e,f,h,i,o,p,q,l]}}),a.registerLanguage("livecodeserver",function(a){var b={className:"variable",begin:"\\b[gtps][A-Z]+[A-Za-z0-9_\\-]*\\b|\\$_[A-Z]+",relevance:0},c=[a.C_BLOCK_COMMENT_MODE,a.HASH_COMMENT_MODE,a.COMMENT("--","$"),a.COMMENT("[^:]//","$")],d=a.inherit(a.TITLE_MODE,{variants:[{begin:"\\b_*rig[A-Z]+[A-Za-z0-9_\\-]*"},{begin:"\\b_[a-z0-9\\-]+"}]}),e=a.inherit(a.TITLE_MODE,{begin:"\\b([A-Za-z0-9_\\-]+)\\b"});return{case_insensitive:!1,keywords:{keyword:"$_COOKIE $_FILES $_GET $_GET_BINARY $_GET_RAW $_POST $_POST_BINARY $_POST_RAW $_SESSION $_SERVER codepoint codepoints segment segments codeunit codeunits sentence sentences trueWord trueWords paragraph after byte bytes english the until http forever descending using line real8 with seventh for stdout finally element word words fourth before black ninth sixth characters chars stderr uInt1 uInt1s uInt2 uInt2s stdin string lines relative rel any fifth items from middle mid at else of catch then third it file milliseconds seconds second secs sec int1 int1s int4 int4s internet int2 int2s normal text item last long detailed effective uInt4 uInt4s repeat end repeat URL in try into switch to words https token binfile each tenth as ticks tick system real4 by dateItems without char character ascending eighth whole dateTime numeric short first ftp integer abbreviated abbr abbrev private case while if", @@ -71,42 +65,41 @@ relevance:0},{begin:"#[a-zA-Z\\ \\.]+"}]}]}}),a.registerLanguage("rust",function return-1==c.indexOf(a)&&-1==f.indexOf(a)&&-1==d.indexOf(a)});g=g.concat(e);var h={className:"string",relevance:0,variants:[{begin:'"',end:'"',contains:[{begin:'""'}]},{begin:"'",end:"'",contains:[{begin:"''"}]}]},i={className:"number",begin:a.NUMBER_RE,relevance:0},j={className:"string",variants:[a.QUOTE_STRING_MODE,{begin:"'\\\\?.",end:"'",illegal:"."}]},k={className:"preprocessor",begin:"#",end:"$",keywords:"if else elif endif define undef warning error line pragma ifdef ifndef",contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",end:"$",contains:[j,{className:"string",begin:"<",end:">",illegal:"\\n"}]},j,i,a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE]};return{aliases:["sqf"],case_insensitive:!0,keywords:{keyword:c.join(" "),built_in:g.join(" "),literal:f.join(" ")},contains:[a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,i,h,k]}}),a.registerLanguage("sql",function(a){var b=a.COMMENT("--","$");return{case_insensitive:!0,illegal:/[<>{}*]/,contains:[{className:"operator",beginKeywords:"begin end start commit rollback savepoint lock alter create drop rename call delete do handler insert load replace select truncate update set show pragma grant merge describe use explain help declare prepare execute deallocate release unlock purge reset change stop analyze cache flush optimize repair kill install uninstall checksum restore check backup revoke",end:/;/,endsWithParent:!0,keywords:{keyword:"abort abs absolute acc acce accep accept access accessed accessible account acos action activate add addtime admin administer advanced advise aes_decrypt aes_encrypt after agent aggregate ali alia alias allocate allow alter always analyze ancillary and any anydata anydataset anyschema anytype apply archive archived archivelog are as asc ascii asin assembly assertion associate asynchronous at atan atn2 attr attri attrib attribu attribut attribute attributes audit authenticated authentication authid authors auto autoallocate autodblink autoextend automatic availability avg backup badfile basicfile before begin beginning benchmark between bfile bfile_base big bigfile bin binary_double binary_float binlog bit_and bit_count bit_length bit_or bit_xor bitmap blob_base block blocksize body both bound buffer_cache buffer_pool build bulk by byte byteordermark bytes c cache caching call calling cancel capacity cascade cascaded case cast catalog category ceil ceiling chain change changed char_base char_length character_length characters characterset charindex charset charsetform charsetid check checksum checksum_agg child choose chr chunk class cleanup clear client clob clob_base clone close cluster_id cluster_probability cluster_set clustering coalesce coercibility col collate collation collect colu colum column column_value columns columns_updated comment commit compact compatibility compiled complete composite_limit compound compress compute concat concat_ws concurrent confirm conn connec connect connect_by_iscycle connect_by_isleaf connect_by_root connect_time connection consider consistent constant constraint constraints constructor container content contents context contributors controlfile conv convert convert_tz corr corr_k corr_s corresponding corruption cos cost count count_big counted covar_pop covar_samp cpu_per_call cpu_per_session crc32 create creation critical cross cube cume_dist curdate current current_date current_time current_timestamp current_user cursor curtime customdatum cycle d data database databases datafile datafiles datalength date_add date_cache date_format date_sub dateadd datediff datefromparts datename datepart datetime2fromparts day day_to_second dayname dayofmonth dayofweek dayofyear days db_role_change dbtimezone ddl deallocate declare decode decompose decrement decrypt deduplicate def defa defau defaul default defaults deferred defi defin define degrees delayed delegate delete delete_all delimited demand dense_rank depth dequeue des_decrypt des_encrypt des_key_file desc descr descri describ describe descriptor deterministic diagnostics difference dimension direct_load directory disable disable_all disallow disassociate discardfile disconnect diskgroup distinct distinctrow distribute distributed div do document domain dotnet double downgrade drop dumpfile duplicate duration e each edition editionable editions element ellipsis else elsif elt empty enable enable_all enclosed encode encoding encrypt end end-exec endian enforced engine engines enqueue enterprise entityescaping eomonth error errors escaped evalname evaluate event eventdata events except exception exceptions exchange exclude excluding execu execut execute exempt exists exit exp expire explain export export_set extended extent external external_1 external_2 externally extract f failed failed_login_attempts failover failure far fast feature_set feature_value fetch field fields file file_name_convert filesystem_like_logging final finish first first_value fixed flash_cache flashback floor flush following follows for forall force form forma format found found_rows freelist freelists freepools fresh from from_base64 from_days ftp full function g general generated get get_format get_lock getdate getutcdate global global_name globally go goto grant grants greatest group group_concat group_id grouping grouping_id groups gtid_subtract guarantee guard handler hash hashkeys having hea head headi headin heading heap help hex hierarchy high high_priority hosts hour http i id ident_current ident_incr ident_seed identified identity idle_time if ifnull ignore iif ilike ilm immediate import in include including increment index indexes indexing indextype indicator indices inet6_aton inet6_ntoa inet_aton inet_ntoa infile initial initialized initially initrans inmemory inner innodb input insert install instance instantiable instr interface interleaved intersect into invalidate invisible is is_free_lock is_ipv4 is_ipv4_compat is_not is_not_null is_used_lock isdate isnull isolation iterate java join json json_exists k keep keep_duplicates key keys kill l language large last last_day last_insert_id last_value lax lcase lead leading least leaves left len lenght length less level levels library like like2 like4 likec limit lines link list listagg little ln load load_file lob lobs local localtime localtimestamp locate locator lock locked log log10 log2 logfile logfiles logging logical logical_reads_per_call logoff logon logs long loop low low_priority lower lpad lrtrim ltrim m main make_set makedate maketime managed management manual map mapping mask master master_pos_wait match matched materialized max maxextents maximize maxinstances maxlen maxlogfiles maxloghistory maxlogmembers maxsize maxtrans md5 measures median medium member memcompress memory merge microsecond mid migration min minextents minimum mining minus minute minvalue missing mod mode model modification modify module monitoring month months mount move movement multiset mutex n name name_const names nan national native natural nav nchar nclob nested never new newline next nextval no no_write_to_binlog noarchivelog noaudit nobadfile nocheck nocompress nocopy nocycle nodelay nodiscardfile noentityescaping noguarantee nokeep nologfile nomapping nomaxvalue nominimize nominvalue nomonitoring none noneditionable nonschema noorder nopr nopro noprom nopromp noprompt norely noresetlogs noreverse normal norowdependencies noschemacheck noswitch not nothing notice notrim novalidate now nowait nth_value nullif nulls num numb numbe nvarchar nvarchar2 object ocicoll ocidate ocidatetime ociduration ociinterval ociloblocator ocinumber ociref ocirefcursor ocirowid ocistring ocitype oct octet_length of off offline offset oid oidindex old on online only opaque open operations operator optimal optimize option optionally or oracle oracle_date oradata ord ordaudio orddicom orddoc order ordimage ordinality ordvideo organization orlany orlvary out outer outfile outline output over overflow overriding p package pad parallel parallel_enable parameters parent parse partial partition partitions pascal passing password password_grace_time password_lock_time password_reuse_max password_reuse_time password_verify_function patch path patindex pctincrease pctthreshold pctused pctversion percent percent_rank percentile_cont percentile_disc performance period period_add period_diff permanent physical pi pipe pipelined pivot pluggable plugin policy position post_transaction pow power pragma prebuilt precedes preceding precision prediction prediction_cost prediction_details prediction_probability prediction_set prepare present preserve prior priority private private_sga privileges procedural procedure procedure_analyze processlist profiles project prompt protection public publishingservername purge quarter query quick quiesce quota quotename radians raise rand range rank raw read reads readsize rebuild record records recover recovery recursive recycle redo reduced ref reference referenced references referencing refresh regexp_like register regr_avgx regr_avgy regr_count regr_intercept regr_r2 regr_slope regr_sxx regr_sxy reject rekey relational relative relaylog release release_lock relies_on relocate rely rem remainder rename repair repeat replace replicate replication required reset resetlogs resize resource respect restore restricted result result_cache resumable resume retention return returning returns reuse reverse revoke right rlike role roles rollback rolling rollup round row row_count rowdependencies rowid rownum rows rtrim rules safe salt sample save savepoint sb1 sb2 sb4 scan schema schemacheck scn scope scroll sdo_georaster sdo_topo_geometry search sec_to_time second section securefile security seed segment select self sequence sequential serializable server servererror session session_user sessions_per_user set sets settings sha sha1 sha2 share shared shared_pool short show shrink shutdown si_averagecolor si_colorhistogram si_featurelist si_positionalcolor si_stillimage si_texture siblings sid sign sin size size_t sizes skip slave sleep smalldatetimefromparts smallfile snapshot some soname sort soundex source space sparse spfile split sql sql_big_result sql_buffer_result sql_cache sql_calc_found_rows sql_small_result sql_variant_property sqlcode sqldata sqlerror sqlname sqlstate sqrt square standalone standby start starting startup statement static statistics stats_binomial_test stats_crosstab stats_ks_test stats_mode stats_mw_test stats_one_way_anova stats_t_test_ stats_t_test_indep stats_t_test_one stats_t_test_paired stats_wsr_test status std stddev stddev_pop stddev_samp stdev stop storage store stored str str_to_date straight_join strcmp strict string struct stuff style subdate subpartition subpartitions substitutable substr substring subtime subtring_index subtype success sum suspend switch switchoffset switchover sync synchronous synonym sys sys_xmlagg sysasm sysaux sysdate sysdatetimeoffset sysdba sysoper system system_user sysutcdatetime t table tables tablespace tan tdo template temporary terminated tertiary_weights test than then thread through tier ties time time_format time_zone timediff timefromparts timeout timestamp timestampadd timestampdiff timezone_abbr timezone_minute timezone_region to to_base64 to_date to_days to_seconds todatetimeoffset trace tracking transaction transactional translate translation treat trigger trigger_nestlevel triggers trim truncate try_cast try_convert try_parse type ub1 ub2 ub4 ucase unarchived unbounded uncompress under undo unhex unicode uniform uninstall union unique unix_timestamp unknown unlimited unlock unpivot unrecoverable unsafe unsigned until untrusted unusable unused update updated upgrade upped upper upsert url urowid usable usage use use_stored_outlines user user_data user_resources users using utc_date utc_timestamp uuid uuid_short validate validate_password_strength validation valist value values var var_samp varcharc vari varia variab variabl variable variables variance varp varraw varrawc varray verify version versions view virtual visible void wait wallet warning warnings week weekday weekofyear wellformed when whene whenev wheneve whenever where while whitespace with within without work wrapped xdb xml xmlagg xmlattributes xmlcast xmlcolattval xmlelement xmlexists xmlforest xmlindex xmlnamespaces xmlpi xmlquery xmlroot xmlschema xmlserialize xmltable xmltype xor year year_to_month years yearweek",literal:"true false null",built_in:"array bigint binary bit blob boolean char character date dec decimal float int int8 integer interval number numeric real record serial serial8 smallint text varchar varying void"},contains:[{className:"string",begin:"'",end:"'",contains:[a.BACKSLASH_ESCAPE,{begin:"''"}]},{className:"string",begin:'"',end:'"',contains:[a.BACKSLASH_ESCAPE,{begin:'""'}]},{className:"string",begin:"`",end:"`",contains:[a.BACKSLASH_ESCAPE]},a.C_NUMBER_MODE,a.C_BLOCK_COMMENT_MODE,b]},a.C_BLOCK_COMMENT_MODE,b]}}),a.registerLanguage("stata",function(a){return{aliases:["do","ado"],case_insensitive:!0,keywords:"if else in foreach for forv forva forval forvalu forvalue forvalues by bys bysort xi quietly qui capture about ac ac_7 acprplot acprplot_7 adjust ado adopath adoupdate alpha ameans an ano anov anova anova_estat anova_terms anovadef aorder ap app appe appen append arch arch_dr arch_estat arch_p archlm areg areg_p args arima arima_dr arima_estat arima_p as asmprobit asmprobit_estat asmprobit_lf asmprobit_mfx__dlg asmprobit_p ass asse asser assert avplot avplot_7 avplots avplots_7 bcskew0 bgodfrey binreg bip0_lf biplot bipp_lf bipr_lf bipr_p biprobit bitest bitesti bitowt blogit bmemsize boot bootsamp bootstrap bootstrap_8 boxco_l boxco_p boxcox boxcox_6 boxcox_p bprobit br break brier bro brow brows browse brr brrstat bs bs_7 bsampl_w bsample bsample_7 bsqreg bstat bstat_7 bstat_8 bstrap bstrap_7 ca ca_estat ca_p cabiplot camat canon canon_8 canon_8_p canon_estat canon_p cap caprojection capt captu captur capture cat cc cchart cchart_7 cci cd censobs_table centile cf char chdir checkdlgfiles checkestimationsample checkhlpfiles checksum chelp ci cii cl class classutil clear cli clis clist clo clog clog_lf clog_p clogi clogi_sw clogit clogit_lf clogit_p clogitp clogl_sw cloglog clonevar clslistarray cluster cluster_measures cluster_stop cluster_tree cluster_tree_8 clustermat cmdlog cnr cnre cnreg cnreg_p cnreg_sw cnsreg codebook collaps4 collapse colormult_nb colormult_nw compare compress conf confi confir confirm conren cons const constr constra constrai constrain constraint continue contract copy copyright copysource cor corc corr corr2data corr_anti corr_kmo corr_smc corre correl correla correlat correlate corrgram cou coun count cox cox_p cox_sw coxbase coxhaz coxvar cprplot cprplot_7 crc cret cretu cretur creturn cross cs cscript cscript_log csi ct ct_is ctset ctst_5 ctst_st cttost cumsp cumsp_7 cumul cusum cusum_7 cutil d datasig datasign datasigna datasignat datasignatu datasignatur datasignature datetof db dbeta de dec deco decod decode deff des desc descr descri describ describe destring dfbeta dfgls dfuller di di_g dir dirstats dis discard disp disp_res disp_s displ displa display distinct do doe doed doedi doedit dotplot dotplot_7 dprobit drawnorm drop ds ds_util dstdize duplicates durbina dwstat dydx e ed edi edit egen eivreg emdef en enc enco encod encode eq erase ereg ereg_lf ereg_p ereg_sw ereghet ereghet_glf ereghet_glf_sh ereghet_gp ereghet_ilf ereghet_ilf_sh ereghet_ip eret eretu eretur ereturn err erro error est est_cfexist est_cfname est_clickable est_expand est_hold est_table est_unhold est_unholdok estat estat_default estat_summ estat_vce_only esti estimates etodow etof etomdy ex exi exit expand expandcl fac fact facto factor factor_estat factor_p factor_pca_rotated factor_rotate factormat fcast fcast_compute fcast_graph fdades fdadesc fdadescr fdadescri fdadescrib fdadescribe fdasav fdasave fdause fh_st file open file read file close file filefilter fillin find_hlp_file findfile findit findit_7 fit fl fli flis flist for5_0 form forma format fpredict frac_154 frac_adj frac_chk frac_cox frac_ddp frac_dis frac_dv frac_in frac_mun frac_pp frac_pq frac_pv frac_wgt frac_xo fracgen fracplot fracplot_7 fracpoly fracpred fron_ex fron_hn fron_p fron_tn fron_tn2 frontier ftodate ftoe ftomdy ftowdate g gamhet_glf gamhet_gp gamhet_ilf gamhet_ip gamma gamma_d2 gamma_p gamma_sw gammahet gdi_hexagon gdi_spokes ge gen gene gener genera generat generate genrank genstd genvmean gettoken gl gladder gladder_7 glim_l01 glim_l02 glim_l03 glim_l04 glim_l05 glim_l06 glim_l07 glim_l08 glim_l09 glim_l10 glim_l11 glim_l12 glim_lf glim_mu glim_nw1 glim_nw2 glim_nw3 glim_p glim_v1 glim_v2 glim_v3 glim_v4 glim_v5 glim_v6 glim_v7 glm glm_6 glm_p glm_sw glmpred glo glob globa global glogit glogit_8 glogit_p gmeans gnbre_lf gnbreg gnbreg_5 gnbreg_p gomp_lf gompe_sw gomper_p gompertz gompertzhet gomphet_glf gomphet_glf_sh gomphet_gp gomphet_ilf gomphet_ilf_sh gomphet_ip gphdot gphpen gphprint gprefs gprobi_p gprobit gprobit_8 gr gr7 gr_copy gr_current gr_db gr_describe gr_dir gr_draw gr_draw_replay gr_drop gr_edit gr_editviewopts gr_example gr_example2 gr_export gr_print gr_qscheme gr_query gr_read gr_rename gr_replay gr_save gr_set gr_setscheme gr_table gr_undo gr_use graph graph7 grebar greigen greigen_7 greigen_8 grmeanby grmeanby_7 gs_fileinfo gs_filetype gs_graphinfo gs_stat gsort gwood h hadimvo hareg hausman haver he heck_d2 heckma_p heckman heckp_lf heckpr_p heckprob hel help hereg hetpr_lf hetpr_p hetprob hettest hexdump hilite hist hist_7 histogram hlogit hlu hmeans hotel hotelling hprobit hreg hsearch icd9 icd9_ff icd9p iis impute imtest inbase include inf infi infil infile infix inp inpu input ins insheet insp inspe inspec inspect integ inten intreg intreg_7 intreg_p intrg2_ll intrg_ll intrg_ll2 ipolate iqreg ir irf irf_create irfm iri is_svy is_svysum isid istdize ivprob_1_lf ivprob_lf ivprobit ivprobit_p ivreg ivreg_footnote ivtob_1_lf ivtob_lf ivtobit ivtobit_p jackknife jacknife jknife jknife_6 jknife_8 jkstat joinby kalarma1 kap kap_3 kapmeier kappa kapwgt kdensity kdensity_7 keep ksm ksmirnov ktau kwallis l la lab labe label labelbook ladder levels levelsof leverage lfit lfit_p li lincom line linktest lis list lloghet_glf lloghet_glf_sh lloghet_gp lloghet_ilf lloghet_ilf_sh lloghet_ip llogi_sw llogis_p llogist llogistic llogistichet lnorm_lf lnorm_sw lnorma_p lnormal lnormalhet lnormhet_glf lnormhet_glf_sh lnormhet_gp lnormhet_ilf lnormhet_ilf_sh lnormhet_ip lnskew0 loadingplot loc loca local log logi logis_lf logistic logistic_p logit logit_estat logit_p loglogs logrank loneway lookfor lookup lowess lowess_7 lpredict lrecomp lroc lroc_7 lrtest ls lsens lsens_7 lsens_x lstat ltable ltable_7 ltriang lv lvr2plot lvr2plot_7 m ma mac macr macro makecns man manova manova_estat manova_p manovatest mantel mark markin markout marksample mat mat_capp mat_order mat_put_rr mat_rapp mata mata_clear mata_describe mata_drop mata_matdescribe mata_matsave mata_matuse mata_memory mata_mlib mata_mosave mata_rename mata_which matalabel matcproc matlist matname matr matri matrix matrix_input__dlg matstrik mcc mcci md0_ md1_ md1debug_ md2_ md2debug_ mds mds_estat mds_p mdsconfig mdslong mdsmat mdsshepard mdytoe mdytof me_derd mean means median memory memsize meqparse mer merg merge mfp mfx mhelp mhodds minbound mixed_ll mixed_ll_reparm mkassert mkdir mkmat mkspline ml ml_5 ml_adjs ml_bhhhs ml_c_d ml_check ml_clear ml_cnt ml_debug ml_defd ml_e0 ml_e0_bfgs ml_e0_cycle ml_e0_dfp ml_e0i ml_e1 ml_e1_bfgs ml_e1_bhhh ml_e1_cycle ml_e1_dfp ml_e2 ml_e2_cycle ml_ebfg0 ml_ebfr0 ml_ebfr1 ml_ebh0q ml_ebhh0 ml_ebhr0 ml_ebr0i ml_ecr0i ml_edfp0 ml_edfr0 ml_edfr1 ml_edr0i ml_eds ml_eer0i ml_egr0i ml_elf ml_elf_bfgs ml_elf_bhhh ml_elf_cycle ml_elf_dfp ml_elfi ml_elfs ml_enr0i ml_enrr0 ml_erdu0 ml_erdu0_bfgs ml_erdu0_bhhh ml_erdu0_bhhhq ml_erdu0_cycle ml_erdu0_dfp ml_erdu0_nrbfgs ml_exde ml_footnote ml_geqnr ml_grad0 ml_graph ml_hbhhh ml_hd0 ml_hold ml_init ml_inv ml_log ml_max ml_mlout ml_mlout_8 ml_model ml_nb0 ml_opt ml_p ml_plot ml_query ml_rdgrd ml_repor ml_s_e ml_score ml_searc ml_technique ml_unhold mleval mlf_ mlmatbysum mlmatsum mlog mlogi mlogit mlogit_footnote mlogit_p mlopts mlsum mlvecsum mnl0_ mor more mov move mprobit mprobit_lf mprobit_p mrdu0_ mrdu1_ mvdecode mvencode mvreg mvreg_estat n nbreg nbreg_al nbreg_lf nbreg_p nbreg_sw nestreg net newey newey_7 newey_p news nl nl_7 nl_9 nl_9_p nl_p nl_p_7 nlcom nlcom_p nlexp2 nlexp2_7 nlexp2a nlexp2a_7 nlexp3 nlexp3_7 nlgom3 nlgom3_7 nlgom4 nlgom4_7 nlinit nllog3 nllog3_7 nllog4 nllog4_7 nlog_rd nlogit nlogit_p nlogitgen nlogittree nlpred no nobreak noi nois noisi noisil noisily note notes notes_dlg nptrend numlabel numlist odbc old_ver olo olog ologi ologi_sw ologit ologit_p ologitp on one onew onewa oneway op_colnm op_comp op_diff op_inv op_str opr opro oprob oprob_sw oprobi oprobi_p oprobit oprobitp opts_exclusive order orthog orthpoly ou out outf outfi outfil outfile outs outsh outshe outshee outsheet ovtest pac pac_7 palette parse parse_dissim pause pca pca_8 pca_display pca_estat pca_p pca_rotate pcamat pchart pchart_7 pchi pchi_7 pcorr pctile pentium pergram pergram_7 permute permute_8 personal peto_st pkcollapse pkcross pkequiv pkexamine pkexamine_7 pkshape pksumm pksumm_7 pl plo plot plugin pnorm pnorm_7 poisgof poiss_lf poiss_sw poisso_p poisson poisson_estat post postclose postfile postutil pperron pr prais prais_e prais_e2 prais_p predict predictnl preserve print pro prob probi probit probit_estat probit_p proc_time procoverlay procrustes procrustes_estat procrustes_p profiler prog progr progra program prop proportion prtest prtesti pwcorr pwd q\\s qby qbys qchi qchi_7 qladder qladder_7 qnorm qnorm_7 qqplot qqplot_7 qreg qreg_c qreg_p qreg_sw qu quadchk quantile quantile_7 que quer query range ranksum ratio rchart rchart_7 rcof recast reclink recode reg reg3 reg3_p regdw regr regre regre_p2 regres regres_p regress regress_estat regriv_p remap ren rena renam rename renpfix repeat replace report reshape restore ret retu retur return rm rmdir robvar roccomp roccomp_7 roccomp_8 rocf_lf rocfit rocfit_8 rocgold rocplot rocplot_7 roctab roctab_7 rolling rologit rologit_p rot rota rotat rotate rotatemat rreg rreg_p ru run runtest rvfplot rvfplot_7 rvpplot rvpplot_7 sa safesum sample sampsi sav save savedresults saveold sc sca scal scala scalar scatter scm_mine sco scob_lf scob_p scobi_sw scobit scor score scoreplot scoreplot_help scree screeplot screeplot_help sdtest sdtesti se search separate seperate serrbar serrbar_7 serset set set_defaults sfrancia sh she shel shell shewhart shewhart_7 signestimationsample signrank signtest simul simul_7 simulate simulate_8 sktest sleep slogit slogit_d2 slogit_p smooth snapspan so sor sort spearman spikeplot spikeplot_7 spikeplt spline_x split sqreg sqreg_p sret sretu sretur sreturn ssc st st_ct st_hc st_hcd st_hcd_sh st_is st_issys st_note st_promo st_set st_show st_smpl st_subid stack statsby statsby_8 stbase stci stci_7 stcox stcox_estat stcox_fr stcox_fr_ll stcox_p stcox_sw stcoxkm stcoxkm_7 stcstat stcurv stcurve stcurve_7 stdes stem stepwise stereg stfill stgen stir stjoin stmc stmh stphplot stphplot_7 stphtest stphtest_7 stptime strate strate_7 streg streg_sw streset sts sts_7 stset stsplit stsum sttocc sttoct stvary stweib su suest suest_8 sum summ summa summar summari summariz summarize sunflower sureg survcurv survsum svar svar_p svmat svy svy_disp svy_dreg svy_est svy_est_7 svy_estat svy_get svy_gnbreg_p svy_head svy_header svy_heckman_p svy_heckprob_p svy_intreg_p svy_ivreg_p svy_logistic_p svy_logit_p svy_mlogit_p svy_nbreg_p svy_ologit_p svy_oprobit_p svy_poisson_p svy_probit_p svy_regress_p svy_sub svy_sub_7 svy_x svy_x_7 svy_x_p svydes svydes_8 svygen svygnbreg svyheckman svyheckprob svyintreg svyintreg_7 svyintrg svyivreg svylc svylog_p svylogit svymarkout svymarkout_8 svymean svymlog svymlogit svynbreg svyolog svyologit svyoprob svyoprobit svyopts svypois svypois_7 svypoisson svyprobit svyprobt svyprop svyprop_7 svyratio svyreg svyreg_p svyregress svyset svyset_7 svyset_8 svytab svytab_7 svytest svytotal sw sw_8 swcnreg swcox swereg swilk swlogis swlogit swologit swoprbt swpois swprobit swqreg swtobit swweib symmetry symmi symplot symplot_7 syntax sysdescribe sysdir sysuse szroeter ta tab tab1 tab2 tab_or tabd tabdi tabdis tabdisp tabi table tabodds tabodds_7 tabstat tabu tabul tabula tabulat tabulate te tempfile tempname tempvar tes test testnl testparm teststd tetrachoric time_it timer tis tob tobi tobit tobit_p tobit_sw token tokeni tokeniz tokenize tostring total translate translator transmap treat_ll treatr_p treatreg trim trnb_cons trnb_mean trpoiss_d2 trunc_ll truncr_p truncreg tsappend tset tsfill tsline tsline_ex tsreport tsrevar tsrline tsset tssmooth tsunab ttest ttesti tut_chk tut_wait tutorial tw tware_st two twoway twoway__fpfit_serset twoway__function_gen twoway__histogram_gen twoway__ipoint_serset twoway__ipoints_serset twoway__kdensity_gen twoway__lfit_serset twoway__normgen_gen twoway__pci_serset twoway__qfit_serset twoway__scatteri_serset twoway__sunflower_gen twoway_ksm_serset ty typ type typeof u unab unabbrev unabcmd update us use uselabel var var_mkcompanion var_p varbasic varfcast vargranger varirf varirf_add varirf_cgraph varirf_create varirf_ctable varirf_describe varirf_dir varirf_drop varirf_erase varirf_graph varirf_ograph varirf_rename varirf_set varirf_table varlist varlmar varnorm varsoc varstable varstable_w varstable_w2 varwle vce vec vec_fevd vec_mkphi vec_p vec_p_w vecirf_create veclmar veclmar_w vecnorm vecnorm_w vecrank vecstable verinst vers versi versio version view viewsource vif vwls wdatetof webdescribe webseek webuse weib1_lf weib2_lf weib_lf weib_lf0 weibhet_glf weibhet_glf_sh weibhet_glfa weibhet_glfa_sh weibhet_gp weibhet_ilf weibhet_ilf_sh weibhet_ilfa weibhet_ilfa_sh weibhet_ip weibu_sw weibul_p weibull weibull_c weibull_s weibullhet wh whelp whi which whil while wilc_st wilcoxon win wind windo window winexec wntestb wntestb_7 wntestq xchart xchart_7 xcorr xcorr_7 xi xi_6 xmlsav xmlsave xmluse xpose xsh xshe xshel xshell xt_iis xt_tis xtab_p xtabond xtbin_p xtclog xtcloglog xtcloglog_8 xtcloglog_d2 xtcloglog_pa_p xtcloglog_re_p xtcnt_p xtcorr xtdata xtdes xtfront_p xtfrontier xtgee xtgee_elink xtgee_estat xtgee_makeivar xtgee_p xtgee_plink xtgls xtgls_p xthaus xthausman xtht_p xthtaylor xtile xtint_p xtintreg xtintreg_8 xtintreg_d2 xtintreg_p xtivp_1 xtivp_2 xtivreg xtline xtline_ex xtlogit xtlogit_8 xtlogit_d2 xtlogit_fe_p xtlogit_pa_p xtlogit_re_p xtmixed xtmixed_estat xtmixed_p xtnb_fe xtnb_lf xtnbreg xtnbreg_pa_p xtnbreg_refe_p xtpcse xtpcse_p xtpois xtpoisson xtpoisson_d2 xtpoisson_pa_p xtpoisson_refe_p xtpred xtprobit xtprobit_8 xtprobit_d2 xtprobit_re_p xtps_fe xtps_lf xtps_ren xtps_ren_8 xtrar_p xtrc xtrc_p xtrchh xtrefe_p xtreg xtreg_be xtreg_fe xtreg_ml xtreg_pa_p xtreg_re xtregar xtrere_p xtset xtsf_ll xtsf_llti xtsum xttab xttest0 xttobit xttobit_8 xttobit_p xttrans yx yxview__barlike_draw yxview_area_draw yxview_bar_draw yxview_dot_draw yxview_dropline_draw yxview_function_draw yxview_iarrow_draw yxview_ilabels_draw yxview_normal_draw yxview_pcarrow_draw yxview_pcbarrow_draw yxview_pccapsym_draw yxview_pcscatter_draw yxview_pcspike_draw yxview_rarea_draw yxview_rbar_draw yxview_rbarm_draw yxview_rcap_draw yxview_rcapsym_draw yxview_rconnected_draw yxview_rline_draw yxview_rscatter_draw yxview_rspike_draw yxview_spike_draw yxview_sunflower_draw zap_s zinb zinb_llf zinb_plf zip zip_llf zip_p zip_plf zt_ct_5 zt_hc_5 zt_hcd_5 zt_is_5 zt_iss_5 zt_sho_5 zt_smp_5 ztbase_5 ztcox_5 ztdes_5 ztereg_5 ztfill_5 ztgen_5 ztir_5 ztjoin_5 ztnb ztnb_p ztp ztp_p zts_5 ztset_5 ztspli_5 ztsum_5 zttoct_5 ztvary_5 ztweib_5",contains:[{className:"label",variants:[{begin:"\\$\\{?[a-zA-Z0-9_]+\\}?"},{begin:"`[a-zA-Z0-9_]+'"}]},{className:"string",variants:[{begin:'`"[^\r\n]*?"\''},{begin:'"[^\r\n"]*"'}]},{className:"literal",variants:[{begin:"\\b(abs|acos|asin|atan|atan2|atanh|ceil|cloglog|comb|cos|digamma|exp|floor|invcloglog|invlogit|ln|lnfact|lnfactorial|lngamma|log|log10|max|min|mod|reldif|round|sign|sin|sqrt|sum|tan|tanh|trigamma|trunc|betaden|Binomial|binorm|binormal|chi2|chi2tail|dgammapda|dgammapdada|dgammapdadx|dgammapdx|dgammapdxdx|F|Fden|Ftail|gammaden|gammap|ibeta|invbinomial|invchi2|invchi2tail|invF|invFtail|invgammap|invibeta|invnchi2|invnFtail|invnibeta|invnorm|invnormal|invttail|nbetaden|nchi2|nFden|nFtail|nibeta|norm|normal|normalden|normd|npnchi2|tden|ttail|uniform|abbrev|char|index|indexnot|length|lower|ltrim|match|plural|proper|real|regexm|regexr|regexs|reverse|rtrim|string|strlen|strlower|strltrim|strmatch|strofreal|strpos|strproper|strreverse|strrtrim|strtrim|strupper|subinstr|subinword|substr|trim|upper|word|wordcount|_caller|autocode|byteorder|chop|clip|cond|e|epsdouble|epsfloat|group|inlist|inrange|irecode|matrix|maxbyte|maxdouble|maxfloat|maxint|maxlong|mi|minbyte|mindouble|minfloat|minint|minlong|missing|r|recode|replay|return|s|scalar|d|date|day|dow|doy|halfyear|mdy|month|quarter|week|year|d|daily|dofd|dofh|dofm|dofq|dofw|dofy|h|halfyearly|hofd|m|mofd|monthly|q|qofd|quarterly|tin|twithin|w|weekly|wofd|y|yearly|yh|ym|yofd|yq|yw|cholesky|colnumb|colsof|corr|det|diag|diag0cnt|el|get|hadamard|I|inv|invsym|issym|issymmetric|J|matmissing|matuniform|mreldif|nullmat|rownumb|rowsof|sweep|syminv|trace|vec|vecdiag)(?=\\(|$)"}]},a.COMMENT("^[ ]*\\*.*$",!1),a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE]}}),a.registerLanguage("step21",function(a){var b="[A-Z_][A-Z0-9_.]*",c="END-ISO-10303-21;",d={literal:"",built_in:"",keyword:"HEADER ENDSEC DATA"},e={className:"preprocessor",begin:"ISO-10303-21;",relevance:10},f=[a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,a.COMMENT("/\\*\\*!","\\*/"),a.C_NUMBER_MODE,a.inherit(a.APOS_STRING_MODE,{illegal:null}),a.inherit(a.QUOTE_STRING_MODE,{illegal:null}),{className:"string",begin:"'",end:"'"},{className:"label",variants:[{begin:"#",end:"\\d+",illegal:"\\W"}]}];return{aliases:["p21","step","stp"],case_insensitive:!0,lexemes:b,keywords:d,contains:[{className:"preprocessor",begin:c,relevance:10},e].concat(f)}}),a.registerLanguage("stylus",function(a){var b={className:"variable",begin:"\\$"+a.IDENT_RE},c={className:"hexcolor",begin:"#([a-fA-F0-9]{6}|[a-fA-F0-9]{3})",relevance:10},d=["charset","css","debug","extend","font-face","for","import","include","media","mixin","page","warn","while"],e=["after","before","first-letter","first-line","active","first-child","focus","hover","lang","link","visited"],f=["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","mark","menu","nav","object","ol","p","q","quote","samp","section","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"],g="[\\.\\s\\n\\[\\:,]",h=["align-content","align-items","align-self","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","auto","backface-visibility","background","background-attachment","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","border","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-right-color","border-right-style","border-right-width","border-spacing","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","caption-side","clear","clip","clip-path","color","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","content","counter-increment","counter-reset","cursor","direction","display","empty-cells","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","font","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-stretch","font-style","font-variant","font-variant-ligatures","font-weight","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inherit","initial","justify-content","left","letter-spacing","line-height","list-style","list-style-image","list-style-position","list-style-type","margin","margin-bottom","margin-left","margin-right","margin-top","marks","mask","max-height","max-width","min-height","min-width","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-bottom","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","perspective","perspective-origin","pointer-events","position","quotes","resize","right","tab-size","table-layout","text-align","text-align-last","text-decoration","text-decoration-color","text-decoration-line","text-decoration-style","text-indent","text-overflow","text-rendering","text-shadow","text-transform","text-underline-position","top","transform","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","unicode-bidi","vertical-align","visibility","white-space","widows","width","word-break","word-spacing","word-wrap","z-index"],i=["\\{","\\}","\\?","(\\bReturn\\b)","(\\bEnd\\b)","(\\bend\\b)",";","#\\s","\\*\\s","===\\s","\\|","%"]; return{aliases:["styl"],case_insensitive:!1,illegal:"("+i.join("|")+")",keywords:"if else for in",contains:[a.QUOTE_STRING_MODE,a.APOS_STRING_MODE,a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,c,{begin:"\\.[a-zA-Z][a-zA-Z0-9_-]*"+g,returnBegin:!0,contains:[{className:"class",begin:"\\.[a-zA-Z][a-zA-Z0-9_-]*"}]},{begin:"\\#[a-zA-Z][a-zA-Z0-9_-]*"+g,returnBegin:!0,contains:[{className:"id",begin:"\\#[a-zA-Z][a-zA-Z0-9_-]*"}]},{begin:"\\b("+f.join("|")+")"+g,returnBegin:!0,contains:[{className:"tag",begin:"\\b[a-zA-Z][a-zA-Z0-9_-]*"}]},{className:"pseudo",begin:"&?:?:\\b("+e.join("|")+")"+g},{className:"at_rule",begin:"@("+d.join("|")+")\\b"},b,a.CSS_NUMBER_MODE,a.NUMBER_MODE,{className:"function",begin:"\\b[a-zA-Z][a-zA-Z0-9_-]*\\(.*\\)",illegal:"[\\n]",returnBegin:!0,contains:[{className:"title",begin:"\\b[a-zA-Z][a-zA-Z0-9_-]*"},{className:"params",begin:/\(/,end:/\)/,contains:[c,b,a.APOS_STRING_MODE,a.CSS_NUMBER_MODE,a.NUMBER_MODE,a.QUOTE_STRING_MODE]}]},{className:"attribute",begin:"\\b("+h.reverse().join("|")+")\\b"}]}}),a.registerLanguage("swift",function(a){var b={keyword:"__COLUMN__ __FILE__ __FUNCTION__ __LINE__ as as! as? associativity break case catch class continue convenience default defer deinit didSet do dynamic dynamicType else enum extension fallthrough false final for func get guard if import in indirect infix init inout internal is lazy left let mutating nil none nonmutating operator optional override postfix precedence prefix private protocol Protocol public repeat required rethrows return right self Self set static struct subscript super switch throw throws true try try! try? Type typealias unowned var weak where while willSet",literal:"true false nil",built_in:"abs advance alignof alignofValue anyGenerator assert assertionFailure bridgeFromObjectiveC bridgeFromObjectiveCUnconditional bridgeToObjectiveC bridgeToObjectiveCUnconditional c contains count countElements countLeadingZeros debugPrint debugPrintln distance dropFirst dropLast dump encodeBitsAsWords enumerate equal fatalError filter find getBridgedObjectiveCType getVaList indices insertionSort isBridgedToObjectiveC isBridgedVerbatimToObjectiveC isUniquelyReferenced isUniquelyReferencedNonObjC join lazy lexicographicalCompare map max maxElement min minElement numericCast overlaps partition posix precondition preconditionFailure print println quickSort readLine reduce reflect reinterpretCast reverse roundUpToAlignment sizeof sizeofValue sort split startsWith stride strideof strideofValue swap toString transcode underestimateCount unsafeAddressOf unsafeBitCast unsafeDowncast unsafeUnwrap unsafeReflect withExtendedLifetime withObjectAtPlusZero withUnsafePointer withUnsafePointerToObject withUnsafeMutablePointer withUnsafeMutablePointers withUnsafePointer withUnsafePointers withVaList zip"},c={className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},d=a.COMMENT("/\\*","\\*/",{contains:["self"]}),e={className:"subst",begin:/\\\(/,end:"\\)",keywords:b,contains:[]},f={className:"number",begin:"\\b([\\d_]+(\\.[\\deE_]+)?|0x[a-fA-F0-9_]+(\\.[a-fA-F0-9p_]+)?|0b[01_]+|0o[0-7_]+)\\b",relevance:0},g=a.inherit(a.QUOTE_STRING_MODE,{contains:[e,a.BACKSLASH_ESCAPE]});return e.contains=[f],{keywords:b,contains:[g,a.C_LINE_COMMENT_MODE,d,c,f,{className:"func",beginKeywords:"func",end:"{",excludeEnd:!0,contains:[a.inherit(a.TITLE_MODE,{begin:/[A-Za-z$_][0-9A-Za-z$_]*/,illegal:/\(/}),{className:"generics",begin://,illegal:/>/},{className:"params",begin:/\(/,end:/\)/,endsParent:!0,keywords:b,contains:["self",f,g,a.C_BLOCK_COMMENT_MODE,{begin:":"}],illegal:/["']/}],illegal:/\[|%/},{className:"class",beginKeywords:"struct protocol class extension enum",keywords:b,end:"\\{",excludeEnd:!0,contains:[a.inherit(a.TITLE_MODE,{begin:/[A-Za-z$_][0-9A-Za-z$_]*/})]},{className:"preprocessor",begin:"(@warn_unused_result|@exported|@lazy|@noescape|@NSCopying|@NSManaged|@objc|@convention|@required|@noreturn|@IBAction|@IBDesignable|@IBInspectable|@IBOutlet|@infix|@prefix|@postfix|@autoclosure|@testable|@available|@nonobjc|@NSApplicationMain|@UIApplicationMain)"},{beginKeywords:"import",end:/$/,contains:[a.C_LINE_COMMENT_MODE,d]}]}}),a.registerLanguage("tcl",function(a){return{aliases:["tk"],keywords:"after append apply array auto_execok auto_import auto_load auto_mkindex auto_mkindex_old auto_qualify auto_reset bgerror binary break catch cd chan clock close concat continue dde dict encoding eof error eval exec exit expr fblocked fconfigure fcopy file fileevent filename flush for foreach format gets glob global history http if incr info interp join lappend|10 lassign|10 lindex|10 linsert|10 list llength|10 load lrange|10 lrepeat|10 lreplace|10 lreverse|10 lsearch|10 lset|10 lsort|10 mathfunc mathop memory msgcat namespace open package parray pid pkg::create pkg_mkIndex platform platform::shell proc puts pwd read refchan regexp registry regsub|10 rename return safe scan seek set socket source split string subst switch tcl_endOfWord tcl_findLibrary tcl_startOfNextWord tcl_startOfPreviousWord tcl_wordBreakAfter tcl_wordBreakBefore tcltest tclvars tell time tm trace unknown unload unset update uplevel upvar variable vwait while",contains:[a.COMMENT(";[ \\t]*#","$"),a.COMMENT("^[ \\t]*#","$"),{beginKeywords:"proc",end:"[\\{]",excludeEnd:!0,contains:[{className:"symbol",begin:"[ \\t\\n\\r]+(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*",end:"[ \\t\\n\\r]",endsWithParent:!0,excludeEnd:!0}]},{className:"variable",excludeEnd:!0,variants:[{begin:"\\$(\\{)?(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*\\(([a-zA-Z0-9_])*\\)",end:"[^a-zA-Z0-9_\\}\\$]"},{begin:"\\$(\\{)?(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*",end:"(\\))?[^a-zA-Z0-9_\\}\\$]"}]},{className:"string",contains:[a.BACKSLASH_ESCAPE],variants:[a.inherit(a.APOS_STRING_MODE,{illegal:null}),a.inherit(a.QUOTE_STRING_MODE,{illegal:null})]},{className:"number",variants:[a.BINARY_NUMBER_MODE,a.C_NUMBER_MODE]}]}}),a.registerLanguage("tex",function(a){var b={className:"command",begin:"\\\\[a-zA-Zа-яА-я]+[\\*]?"},c={className:"command",begin:"\\\\[^a-zA-Zа-яА-я0-9]"},d={className:"special",begin:"[{}\\[\\]\\&#~]",relevance:0};return{contains:[{begin:"\\\\[a-zA-Zа-яА-я]+[\\*]? *= *-?\\d*\\.?\\d+(pt|pc|mm|cm|in|dd|cc|ex|em)?",returnBegin:!0,contains:[b,c,{className:"number",begin:" *=",end:"-?\\d*\\.?\\d+(pt|pc|mm|cm|in|dd|cc|ex|em)?",excludeBegin:!0}],relevance:10},b,c,d,{className:"formula",begin:"\\$\\$",end:"\\$\\$",contains:[b,c,d],relevance:0},{className:"formula",begin:"\\$",end:"\\$",contains:[b,c,d],relevance:0},a.COMMENT("%","$",{relevance:0})]}}),a.registerLanguage("thrift",function(a){var b="bool byte i16 i32 i64 double string binary";return{keywords:{keyword:"namespace const typedef struct enum service exception void oneway set list map required optional",built_in:b,literal:"true false"},contains:[a.QUOTE_STRING_MODE,a.NUMBER_MODE,a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,{className:"class",beginKeywords:"struct enum service exception",end:/\{/,illegal:/\n/,contains:[a.inherit(a.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]},{begin:"\\b(set|list|map)\\s*<",end:">",keywords:b,contains:["self"]}]}}),a.registerLanguage("tp",function(a){var b={className:"number",begin:"[1-9][0-9]*",relevance:0},c={className:"comment",begin:":[^\\]]+"},d={className:"built_in",begin:"(AR|P|PAYLOAD|PR|R|SR|RSR|LBL|VR|UALM|MESSAGE|UTOOL|UFRAME|TIMER| TIMER_OVERFLOW|JOINT_MAX_SPEED|RESUME_PROG|DIAG_REC)\\[",end:"\\]",contains:["self",b,c]},e={className:"built_in",begin:"(AI|AO|DI|DO|F|RI|RO|UI|UO|GI|GO|SI|SO)\\[",end:"\\]",contains:["self",b,a.QUOTE_STRING_MODE,c]};return{keywords:{keyword:"ABORT ACC ADJUST AND AP_LD BREAK CALL CNT COL CONDITION CONFIG DA DB DIV DETECT ELSE END ENDFOR ERR_NUM ERROR_PROG FINE FOR GP GUARD INC IF JMP LINEAR_MAX_SPEED LOCK MOD MONITOR OFFSET Offset OR OVERRIDE PAUSE PREG PTH RT_LD RUN SELECT SKIP Skip TA TB TO TOOL_OFFSET Tool_Offset UF UT UFRAME_NUM UTOOL_NUM UNLOCK WAIT X Y Z W P R STRLEN SUBSTR FINDSTR VOFFSET",constant:"ON OFF max_speed LPOS JPOS ENABLE DISABLE START STOP RESET"},contains:[d,e,{className:"keyword",begin:"/(PROG|ATTR|MN|POS|END)\\b"},{className:"keyword",begin:"(CALL|RUN|POINT_LOGIC|LBL)\\b"},{className:"keyword",begin:"\\b(ACC|CNT|Skip|Offset|PSPD|RT_LD|AP_LD|Tool_Offset)"},{className:"number",begin:"\\d+(sec|msec|mm/sec|cm/min|inch/min|deg/sec|mm|in|cm)?\\b",relevance:0},a.COMMENT("//","[;$]"),a.COMMENT("!","[;$]"),a.COMMENT("--eg:","$"),a.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"'"},a.C_NUMBER_MODE,{className:"variable",begin:"\\$[A-Za-z0-9_]+"}]}}),a.registerLanguage("twig",function(a){var b={className:"params",begin:"\\(",end:"\\)"},c="attribute block constant cycle date dump include max min parent random range source template_from_string",d={className:"function",beginKeywords:c,relevance:0,contains:[b]},e={className:"filter",begin:/\|[A-Za-z_]+:?/,keywords:"abs batch capitalize convert_encoding date date_modify default escape first format join json_encode keys last length lower merge nl2br number_format raw replace reverse round slice sort split striptags title trim upper url_encode",contains:[d]},f="autoescape block do embed extends filter flush for if import include macro sandbox set spaceless use verbatim";return f=f+" "+f.split(" ").map(function(a){return"end"+a}).join(" "),{aliases:["craftcms"],case_insensitive:!0,subLanguage:"xml",contains:[a.COMMENT(/\{#/,/#}/),{className:"template_tag",begin:/\{%/,end:/%}/,keywords:f,contains:[e,d]},{className:"variable",begin:/\{\{/,end:/}}/,contains:[e,d]}]}}),a.registerLanguage("typescript",function(a){var b={keyword:"in if for while finally var new function|0 do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const class public private protected get set super static implements enum export import declare type namespace abstract",literal:"true false null undefined NaN Infinity",built_in:"eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document any number boolean string void"};return{aliases:["ts"],keywords:b,contains:[{className:"pi",begin:/^\s*['"]use strict['"]/,relevance:0},a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,{className:"number",variants:[{begin:"\\b(0[bB][01]+)"},{begin:"\\b(0[oO][0-7]+)"},{begin:a.C_NUMBER_RE}],relevance:0},{begin:"("+a.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",contains:[a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,a.REGEXP_MODE],relevance:0},{className:"function",begin:"function",end:/[\{;]/,excludeEnd:!0,keywords:b,contains:["self",a.inherit(a.TITLE_MODE,{begin:/[A-Za-z$_][0-9A-Za-z$_]*/}),{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:b,contains:[a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE],illegal:/["'\(]/}],illegal:/\[|%/,relevance:0},{className:"constructor",beginKeywords:"constructor",end:/\{/,excludeEnd:!0,relevance:10},{className:"module",beginKeywords:"module",end:/\{/,excludeEnd:!0},{className:"interface",beginKeywords:"interface",end:/\{/,excludeEnd:!0,keywords:"interface extends"},{begin:/\$[(.]/},{begin:"\\."+a.IDENT_RE,relevance:0}]}}),a.registerLanguage("vala",function(a){return{keywords:{keyword:"char uchar unichar int uint long ulong short ushort int8 int16 int32 int64 uint8 uint16 uint32 uint64 float double bool struct enum string void weak unowned owned async signal static abstract interface override while do for foreach else switch case break default return try catch public private protected internal using new this get set const stdout stdin stderr var",built_in:"DBus GLib CCode Gee Object",literal:"false true null"},contains:[{className:"class",beginKeywords:"class interface delegate namespace",end:"{",excludeEnd:!0,illegal:"[^,:\\n\\s\\.]",contains:[a.UNDERSCORE_TITLE_MODE]},a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,{className:"string",begin:'"""',end:'"""',relevance:5},a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,a.C_NUMBER_MODE,{className:"preprocessor",begin:"^#",end:"$",relevance:2},{className:"constant",begin:" [A-Z_]+ ",relevance:0}]}}),a.registerLanguage("vbnet",function(a){return{aliases:["vb"],case_insensitive:!0,keywords:{keyword:"addhandler addressof alias and andalso aggregate ansi as assembly auto binary by byref byval call case catch class compare const continue custom declare default delegate dim distinct do each equals else elseif end enum erase error event exit explicit finally for friend from function get global goto group handles if implements imports in inherits interface into is isfalse isnot istrue join key let lib like loop me mid mod module mustinherit mustoverride mybase myclass namespace narrowing new next not notinheritable notoverridable of off on operator option optional or order orelse overloads overridable overrides paramarray partial preserve private property protected public raiseevent readonly redim rem removehandler resume return select set shadows shared skip static step stop structure strict sub synclock take text then throw to try unicode until using when where while widening with withevents writeonly xor",built_in:"boolean byte cbool cbyte cchar cdate cdec cdbl char cint clng cobj csbyte cshort csng cstr ctype date decimal directcast double gettype getxmlnamespace iif integer long object sbyte short single string trycast typeof uinteger ulong ushort",literal:"true false nothing"},illegal:"//|{|}|endif|gosub|variant|wend",contains:[a.inherit(a.QUOTE_STRING_MODE,{contains:[{begin:'""'}]}),a.COMMENT("'","$",{returnBegin:!0,contains:[{className:"xmlDocTag",begin:"'''|",contains:[a.PHRASAL_WORDS_MODE]},{className:"xmlDocTag",begin:"",contains:[a.PHRASAL_WORDS_MODE]}]}),a.C_NUMBER_MODE,{className:"preprocessor",begin:"#",end:"$",keywords:"if else elseif end region externalsource"}]}}),a.registerLanguage("vbscript",function(a){return{aliases:["vbs"],case_insensitive:!0,keywords:{keyword:"call class const dim do loop erase execute executeglobal exit for each next function if then else on error option explicit new private property let get public randomize redim rem select case set stop sub while wend with end to elseif is or xor and not class_initialize class_terminate default preserve in me byval byref step resume goto",built_in:"lcase month vartype instrrev ubound setlocale getobject rgb getref string weekdayname rnd dateadd monthname now day minute isarray cbool round formatcurrency conversions csng timevalue second year space abs clng timeserial fixs len asc isempty maths dateserial atn timer isobject filter weekday datevalue ccur isdate instr datediff formatdatetime replace isnull right sgn array snumeric log cdbl hex chr lbound msgbox ucase getlocale cos cdate cbyte rtrim join hour oct typename trim strcomp int createobject loadpicture tan formatnumber mid scriptenginebuildversion scriptengine split scriptengineminorversion cint sin datepart ltrim sqr scriptenginemajorversion time derived eval date formatpercent exp inputbox left ascw chrw regexp server response request cstr err",literal:"true false null nothing empty"},illegal:"//",contains:[a.inherit(a.QUOTE_STRING_MODE,{contains:[{begin:'""'}]}),a.COMMENT(/'/,/$/,{relevance:0}),a.C_NUMBER_MODE]}}),a.registerLanguage("vbscript-html",function(a){return{subLanguage:"xml",contains:[{begin:"<%",end:"%>",subLanguage:"vbscript"}]}}),a.registerLanguage("verilog",function(a){return{aliases:["v"],case_insensitive:!0,keywords:{keyword:"always and assign begin buf bufif0 bufif1 case casex casez cmos deassign default defparam disable edge else end endcase endfunction endmodule endprimitive endspecify endtable endtask event for force forever fork function if ifnone initial inout input join macromodule module nand negedge nmos nor not notif0 notif1 or output parameter pmos posedge primitive pulldown pullup rcmos release repeat rnmos rpmos rtran rtranif0 rtranif1 specify specparam table task timescale tran tranif0 tranif1 wait while xnor xor",typename:"highz0 highz1 integer large medium pull0 pull1 real realtime reg scalared signed small strong0 strong1 supply0 supply0 supply1 supply1 time tri tri0 tri1 triand trior trireg vectored wand weak0 weak1 wire wor"},contains:[a.C_BLOCK_COMMENT_MODE,a.C_LINE_COMMENT_MODE,a.QUOTE_STRING_MODE,{className:"number",begin:"\\b(\\d+'(b|h|o|d|B|H|O|D))?[0-9xzXZ]+",contains:[a.BACKSLASH_ESCAPE],relevance:0},{className:"typename",begin:"\\.\\w+",relevance:0},{className:"value",begin:"#\\((?!parameter).+\\)"},{className:"keyword",begin:"\\+|-|\\*|/|%|<|>|=|#|`|\\!|&|\\||@|:|\\^|~|\\{|\\}",relevance:0}]}}),a.registerLanguage("vhdl",function(a){var b="\\d(_|\\d)*",c="[eE][-+]?"+b,d=b+"(\\."+b+")?("+c+")?",e="\\w+",f=b+"#"+e+"(\\."+e+")?#("+c+")?",g="\\b("+f+"|"+d+")";return{case_insensitive:!0,keywords:{keyword:"abs access after alias all and architecture array assert attribute begin block body buffer bus case component configuration constant context cover disconnect downto default else elsif end entity exit fairness file for force function generate generic group guarded if impure in inertial inout is label library linkage literal loop map mod nand new next nor not null of on open or others out package port postponed procedure process property protected pure range record register reject release rem report restrict restrict_guarantee return rol ror select sequence severity shared signal sla sll sra srl strong subtype then to transport type unaffected units until use variable vmode vprop vunit wait when while with xnor xor",typename:"boolean bit character severity_level integer time delay_length natural positive string bit_vector file_open_kind file_open_status std_ulogic std_ulogic_vector std_logic std_logic_vector unsigned signed boolean_vector integer_vector real_vector time_vector"},illegal:"{",contains:[a.C_BLOCK_COMMENT_MODE,a.COMMENT("--","$"),a.QUOTE_STRING_MODE,{className:"number",begin:g,relevance:0},{className:"literal",begin:"'(U|X|0|1|Z|W|L|H|-)'",contains:[a.BACKSLASH_ESCAPE]},{className:"attribute",begin:"'[A-Za-z](_?[A-Za-z0-9])*",contains:[a.BACKSLASH_ESCAPE]}]}}),a.registerLanguage("vim",function(a){return{lexemes:/[!#@\w]+/,keywords:{keyword:"N|0 P|0 X|0 a|0 ab abc abo al am an|0 ar arga argd arge argdo argg argl argu as au aug aun b|0 bN ba bad bd be bel bf bl bm bn bo bp br brea breaka breakd breakl bro bufdo buffers bun bw c|0 cN cNf ca cabc caddb cad caddf cal cat cb cc ccl cd ce cex cf cfir cgetb cgete cg changes chd che checkt cl cla clo cm cmapc cme cn cnew cnf cno cnorea cnoreme co col colo com comc comp con conf cope cp cpf cq cr cs cst cu cuna cunme cw d|0 delm deb debugg delc delf dif diffg diffo diffp diffpu diffs diffthis dig di dl dell dj dli do doautoa dp dr ds dsp e|0 ea ec echoe echoh echom echon el elsei em en endfo endf endt endw ene ex exe exi exu f|0 files filet fin fina fini fir fix fo foldc foldd folddoc foldo for fu g|0 go gr grepa gu gv ha h|0 helpf helpg helpt hi hid his i|0 ia iabc if ij il im imapc ime ino inorea inoreme int is isp iu iuna iunme j|0 ju k|0 keepa kee keepj lN lNf l|0 lad laddb laddf la lan lat lb lc lch lcl lcs le lefta let lex lf lfir lgetb lgete lg lgr lgrepa lh ll lla lli lmak lm lmapc lne lnew lnf ln loadk lo loc lockv lol lope lp lpf lr ls lt lu lua luad luaf lv lvimgrepa lw m|0 ma mak map mapc marks mat me menut mes mk mks mksp mkv mkvie mod mz mzf nbc nb nbs n|0 new nm nmapc nme nn nnoreme noa no noh norea noreme norm nu nun nunme ol o|0 om omapc ome on ono onoreme opt ou ounme ow p|0 profd prof pro promptr pc ped pe perld po popu pp pre prev ps pt ptN ptf ptj ptl ptn ptp ptr pts pu pw py3 python3 py3d py3f py pyd pyf q|0 quita qa r|0 rec red redi redr redraws reg res ret retu rew ri rightb rub rubyd rubyf rund ru rv s|0 sN san sa sal sav sb sbN sba sbf sbl sbm sbn sbp sbr scrip scripte scs se setf setg setl sf sfir sh sim sig sil sl sla sm smap smapc sme sn sni sno snor snoreme sor so spelld spe spelli spellr spellu spellw sp spr sre st sta startg startr star stopi stj sts sun sunm sunme sus sv sw sy synti sync t|0 tN tabN tabc tabdo tabe tabf tabfir tabl tabm tabnew tabn tabo tabp tabr tabs tab ta tags tc tcld tclf te tf th tj tl tm tn to tp tr try ts tu u|0 undoj undol una unh unl unlo unm unme uns up v|0 ve verb vert vim vimgrepa vi viu vie vm vmapc vme vne vn vnoreme vs vu vunme windo w|0 wN wa wh wi winc winp wn wp wq wqa ws wu wv x|0 xa xmapc xm xme xn xnoreme xu xunme y|0 z|0 ~ Next Print append abbreviate abclear aboveleft all amenu anoremenu args argadd argdelete argedit argglobal arglocal argument ascii autocmd augroup aunmenu buffer bNext ball badd bdelete behave belowright bfirst blast bmodified bnext botright bprevious brewind break breakadd breakdel breaklist browse bunload bwipeout change cNext cNfile cabbrev cabclear caddbuffer caddexpr caddfile call catch cbuffer cclose center cexpr cfile cfirst cgetbuffer cgetexpr cgetfile chdir checkpath checktime clist clast close cmap cmapclear cmenu cnext cnewer cnfile cnoremap cnoreabbrev cnoremenu copy colder colorscheme command comclear compiler continue confirm copen cprevious cpfile cquit crewind cscope cstag cunmap cunabbrev cunmenu cwindow delete delmarks debug debuggreedy delcommand delfunction diffupdate diffget diffoff diffpatch diffput diffsplit digraphs display deletel djump dlist doautocmd doautoall deletep drop dsearch dsplit edit earlier echo echoerr echohl echomsg else elseif emenu endif endfor endfunction endtry endwhile enew execute exit exusage file filetype find finally finish first fixdel fold foldclose folddoopen folddoclosed foldopen function global goto grep grepadd gui gvim hardcopy help helpfind helpgrep helptags highlight hide history insert iabbrev iabclear ijump ilist imap imapclear imenu inoremap inoreabbrev inoremenu intro isearch isplit iunmap iunabbrev iunmenu join jumps keepalt keepmarks keepjumps lNext lNfile list laddexpr laddbuffer laddfile last language later lbuffer lcd lchdir lclose lcscope left leftabove lexpr lfile lfirst lgetbuffer lgetexpr lgetfile lgrep lgrepadd lhelpgrep llast llist lmake lmap lmapclear lnext lnewer lnfile lnoremap loadkeymap loadview lockmarks lockvar lolder lopen lprevious lpfile lrewind ltag lunmap luado luafile lvimgrep lvimgrepadd lwindow move mark make mapclear match menu menutranslate messages mkexrc mksession mkspell mkvimrc mkview mode mzscheme mzfile nbclose nbkey nbsart next nmap nmapclear nmenu nnoremap nnoremenu noautocmd noremap nohlsearch noreabbrev noremenu normal number nunmap nunmenu oldfiles open omap omapclear omenu only onoremap onoremenu options ounmap ounmenu ownsyntax print profdel profile promptfind promptrepl pclose pedit perl perldo pop popup ppop preserve previous psearch ptag ptNext ptfirst ptjump ptlast ptnext ptprevious ptrewind ptselect put pwd py3do py3file python pydo pyfile quit quitall qall read recover redo redir redraw redrawstatus registers resize retab return rewind right rightbelow ruby rubydo rubyfile rundo runtime rviminfo substitute sNext sandbox sargument sall saveas sbuffer sbNext sball sbfirst sblast sbmodified sbnext sbprevious sbrewind scriptnames scriptencoding scscope set setfiletype setglobal setlocal sfind sfirst shell simalt sign silent sleep slast smagic smapclear smenu snext sniff snomagic snoremap snoremenu sort source spelldump spellgood spellinfo spellrepall spellundo spellwrong split sprevious srewind stop stag startgreplace startreplace startinsert stopinsert stjump stselect sunhide sunmap sunmenu suspend sview swapname syntax syntime syncbind tNext tabNext tabclose tabedit tabfind tabfirst tablast tabmove tabnext tabonly tabprevious tabrewind tag tcl tcldo tclfile tearoff tfirst throw tjump tlast tmenu tnext topleft tprevious trewind tselect tunmenu undo undojoin undolist unabbreviate unhide unlet unlockvar unmap unmenu unsilent update vglobal version verbose vertical vimgrep vimgrepadd visual viusage view vmap vmapclear vmenu vnew vnoremap vnoremenu vsplit vunmap vunmenu write wNext wall while winsize wincmd winpos wnext wprevious wqall wsverb wundo wviminfo xit xall xmapclear xmap xmenu xnoremap xnoremenu xunmap xunmenu yank",built_in:"abs acos add and append argc argidx argv asin atan atan2 browse browsedir bufexists buflisted bufloaded bufname bufnr bufwinnr byte2line byteidx call ceil changenr char2nr cindent clearmatches col complete complete_add complete_check confirm copy cos cosh count cscope_connection cursor deepcopy delete did_filetype diff_filler diff_hlID empty escape eval eventhandler executable exists exp expand extend feedkeys filereadable filewritable filter finddir findfile float2nr floor fmod fnameescape fnamemodify foldclosed foldclosedend foldlevel foldtext foldtextresult foreground function garbagecollect get getbufline getbufvar getchar getcharmod getcmdline getcmdpos getcmdtype getcwd getfontname getfperm getfsize getftime getftype getline getloclist getmatches getpid getpos getqflist getreg getregtype gettabvar gettabwinvar getwinposx getwinposy getwinvar glob globpath has has_key haslocaldir hasmapto histadd histdel histget histnr hlexists hlID hostname iconv indent index input inputdialog inputlist inputrestore inputsave inputsecret insert invert isdirectory islocked items join keys len libcall libcallnr line line2byte lispindent localtime log log10 luaeval map maparg mapcheck match matchadd matcharg matchdelete matchend matchlist matchstr max min mkdir mode mzeval nextnonblank nr2char or pathshorten pow prevnonblank printf pumvisible py3eval pyeval range readfile reltime reltimestr remote_expr remote_foreground remote_peek remote_read remote_send remove rename repeat resolve reverse round screenattr screenchar screencol screenrow search searchdecl searchpair searchpairpos searchpos server2client serverlist setbufvar setcmdpos setline setloclist setmatches setpos setqflist setreg settabvar settabwinvar setwinvar sha256 shellescape shiftwidth simplify sin sinh sort soundfold spellbadword spellsuggest split sqrt str2float str2nr strchars strdisplaywidth strftime stridx string strlen strpart strridx strtrans strwidth submatch substitute synconcealed synID synIDattr synIDtrans synstack system tabpagebuflist tabpagenr tabpagewinnr tagfiles taglist tan tanh tempname tolower toupper tr trunc type undofile undotree values virtcol visualmode wildmenumode winbufnr wincol winheight winline winnr winrestcmd winrestview winsaveview winwidth writefile xor"},illegal:/[{:]/,contains:[a.NUMBER_MODE,a.APOS_STRING_MODE,{className:"string",begin:/"((\\")|[^"\n])*("|\n)/},{className:"variable",begin:/[bwtglsav]:[\w\d_]*/},{className:"function",beginKeywords:"function function!",end:"$",relevance:0,contains:[a.TITLE_MODE,{className:"params",begin:"\\(",end:"\\)"}]}]}}),a.registerLanguage("x86asm",function(a){return{case_insensitive:!0,lexemes:"\\.?"+a.IDENT_RE,keywords:{keyword:"lock rep repe repz repne repnz xaquire xrelease bnd nobnd aaa aad aam aas adc add and arpl bb0_reset bb1_reset bound bsf bsr bswap bt btc btr bts call cbw cdq cdqe clc cld cli clts cmc cmp cmpsb cmpsd cmpsq cmpsw cmpxchg cmpxchg486 cmpxchg8b cmpxchg16b cpuid cpu_read cpu_write cqo cwd cwde daa das dec div dmint emms enter equ f2xm1 fabs fadd faddp fbld fbstp fchs fclex fcmovb fcmovbe fcmove fcmovnb fcmovnbe fcmovne fcmovnu fcmovu fcom fcomi fcomip fcomp fcompp fcos fdecstp fdisi fdiv fdivp fdivr fdivrp femms feni ffree ffreep fiadd ficom ficomp fidiv fidivr fild fimul fincstp finit fist fistp fisttp fisub fisubr fld fld1 fldcw fldenv fldl2e fldl2t fldlg2 fldln2 fldpi fldz fmul fmulp fnclex fndisi fneni fninit fnop fnsave fnstcw fnstenv fnstsw fpatan fprem fprem1 fptan frndint frstor fsave fscale fsetpm fsin fsincos fsqrt fst fstcw fstenv fstp fstsw fsub fsubp fsubr fsubrp ftst fucom fucomi fucomip fucomp fucompp fxam fxch fxtract fyl2x fyl2xp1 hlt ibts icebp idiv imul in inc incbin insb insd insw int int01 int1 int03 int3 into invd invpcid invlpg invlpga iret iretd iretq iretw jcxz jecxz jrcxz jmp jmpe lahf lar lds lea leave les lfence lfs lgdt lgs lidt lldt lmsw loadall loadall286 lodsb lodsd lodsq lodsw loop loope loopne loopnz loopz lsl lss ltr mfence monitor mov movd movq movsb movsd movsq movsw movsx movsxd movzx mul mwait neg nop not or out outsb outsd outsw packssdw packsswb packuswb paddb paddd paddsb paddsiw paddsw paddusb paddusw paddw pand pandn pause paveb pavgusb pcmpeqb pcmpeqd pcmpeqw pcmpgtb pcmpgtd pcmpgtw pdistib pf2id pfacc pfadd pfcmpeq pfcmpge pfcmpgt pfmax pfmin pfmul pfrcp pfrcpit1 pfrcpit2 pfrsqit1 pfrsqrt pfsub pfsubr pi2fd pmachriw pmaddwd pmagw pmulhriw pmulhrwa pmulhrwc pmulhw pmullw pmvgezb pmvlzb pmvnzb pmvzb pop popa popad popaw popf popfd popfq popfw por prefetch prefetchw pslld psllq psllw psrad psraw psrld psrlq psrlw psubb psubd psubsb psubsiw psubsw psubusb psubusw psubw punpckhbw punpckhdq punpckhwd punpcklbw punpckldq punpcklwd push pusha pushad pushaw pushf pushfd pushfq pushfw pxor rcl rcr rdshr rdmsr rdpmc rdtsc rdtscp ret retf retn rol ror rdm rsdc rsldt rsm rsts sahf sal salc sar sbb scasb scasd scasq scasw sfence sgdt shl shld shr shrd sidt sldt skinit smi smint smintold smsw stc std sti stosb stosd stosq stosw str sub svdc svldt svts swapgs syscall sysenter sysexit sysret test ud0 ud1 ud2b ud2 ud2a umov verr verw fwait wbinvd wrshr wrmsr xadd xbts xchg xlatb xlat xor cmove cmovz cmovne cmovnz cmova cmovnbe cmovae cmovnb cmovb cmovnae cmovbe cmovna cmovg cmovnle cmovge cmovnl cmovl cmovnge cmovle cmovng cmovc cmovnc cmovo cmovno cmovs cmovns cmovp cmovpe cmovnp cmovpo je jz jne jnz ja jnbe jae jnb jb jnae jbe jna jg jnle jge jnl jl jnge jle jng jc jnc jo jno js jns jpo jnp jpe jp sete setz setne setnz seta setnbe setae setnb setnc setb setnae setcset setbe setna setg setnle setge setnl setl setnge setle setng sets setns seto setno setpe setp setpo setnp addps addss andnps andps cmpeqps cmpeqss cmpleps cmpless cmpltps cmpltss cmpneqps cmpneqss cmpnleps cmpnless cmpnltps cmpnltss cmpordps cmpordss cmpunordps cmpunordss cmpps cmpss comiss cvtpi2ps cvtps2pi cvtsi2ss cvtss2si cvttps2pi cvttss2si divps divss ldmxcsr maxps maxss minps minss movaps movhps movlhps movlps movhlps movmskps movntps movss movups mulps mulss orps rcpps rcpss rsqrtps rsqrtss shufps sqrtps sqrtss stmxcsr subps subss ucomiss unpckhps unpcklps xorps fxrstor fxrstor64 fxsave fxsave64 xgetbv xsetbv xsave xsave64 xsaveopt xsaveopt64 xrstor xrstor64 prefetchnta prefetcht0 prefetcht1 prefetcht2 maskmovq movntq pavgb pavgw pextrw pinsrw pmaxsw pmaxub pminsw pminub pmovmskb pmulhuw psadbw pshufw pf2iw pfnacc pfpnacc pi2fw pswapd maskmovdqu clflush movntdq movnti movntpd movdqa movdqu movdq2q movq2dq paddq pmuludq pshufd pshufhw pshuflw pslldq psrldq psubq punpckhqdq punpcklqdq addpd addsd andnpd andpd cmpeqpd cmpeqsd cmplepd cmplesd cmpltpd cmpltsd cmpneqpd cmpneqsd cmpnlepd cmpnlesd cmpnltpd cmpnltsd cmpordpd cmpordsd cmpunordpd cmpunordsd cmppd comisd cvtdq2pd cvtdq2ps cvtpd2dq cvtpd2pi cvtpd2ps cvtpi2pd cvtps2dq cvtps2pd cvtsd2si cvtsd2ss cvtsi2sd cvtss2sd cvttpd2pi cvttpd2dq cvttps2dq cvttsd2si divpd divsd maxpd maxsd minpd minsd movapd movhpd movlpd movmskpd movupd mulpd mulsd orpd shufpd sqrtpd sqrtsd subpd subsd ucomisd unpckhpd unpcklpd xorpd addsubpd addsubps haddpd haddps hsubpd hsubps lddqu movddup movshdup movsldup clgi stgi vmcall vmclear vmfunc vmlaunch vmload vmmcall vmptrld vmptrst vmread vmresume vmrun vmsave vmwrite vmxoff vmxon invept invvpid pabsb pabsw pabsd palignr phaddw phaddd phaddsw phsubw phsubd phsubsw pmaddubsw pmulhrsw pshufb psignb psignw psignd extrq insertq movntsd movntss lzcnt blendpd blendps blendvpd blendvps dppd dpps extractps insertps movntdqa mpsadbw packusdw pblendvb pblendw pcmpeqq pextrb pextrd pextrq phminposuw pinsrb pinsrd pinsrq pmaxsb pmaxsd pmaxud pmaxuw pminsb pminsd pminud pminuw pmovsxbw pmovsxbd pmovsxbq pmovsxwd pmovsxwq pmovsxdq pmovzxbw pmovzxbd pmovzxbq pmovzxwd pmovzxwq pmovzxdq pmuldq pmulld ptest roundpd roundps roundsd roundss crc32 pcmpestri pcmpestrm pcmpistri pcmpistrm pcmpgtq popcnt getsec pfrcpv pfrsqrtv movbe aesenc aesenclast aesdec aesdeclast aesimc aeskeygenassist vaesenc vaesenclast vaesdec vaesdeclast vaesimc vaeskeygenassist vaddpd vaddps vaddsd vaddss vaddsubpd vaddsubps vandpd vandps vandnpd vandnps vblendpd vblendps vblendvpd vblendvps vbroadcastss vbroadcastsd vbroadcastf128 vcmpeq_ospd vcmpeqpd vcmplt_ospd vcmpltpd vcmple_ospd vcmplepd vcmpunord_qpd vcmpunordpd vcmpneq_uqpd vcmpneqpd vcmpnlt_uspd vcmpnltpd vcmpnle_uspd vcmpnlepd vcmpord_qpd vcmpordpd vcmpeq_uqpd vcmpnge_uspd vcmpngepd vcmpngt_uspd vcmpngtpd vcmpfalse_oqpd vcmpfalsepd vcmpneq_oqpd vcmpge_ospd vcmpgepd vcmpgt_ospd vcmpgtpd vcmptrue_uqpd vcmptruepd vcmplt_oqpd vcmple_oqpd vcmpunord_spd vcmpneq_uspd vcmpnlt_uqpd vcmpnle_uqpd vcmpord_spd vcmpeq_uspd vcmpnge_uqpd vcmpngt_uqpd vcmpfalse_ospd vcmpneq_ospd vcmpge_oqpd vcmpgt_oqpd vcmptrue_uspd vcmppd vcmpeq_osps vcmpeqps vcmplt_osps vcmpltps vcmple_osps vcmpleps vcmpunord_qps vcmpunordps vcmpneq_uqps vcmpneqps vcmpnlt_usps vcmpnltps vcmpnle_usps vcmpnleps vcmpord_qps vcmpordps vcmpeq_uqps vcmpnge_usps vcmpngeps vcmpngt_usps vcmpngtps vcmpfalse_oqps vcmpfalseps vcmpneq_oqps vcmpge_osps vcmpgeps vcmpgt_osps vcmpgtps vcmptrue_uqps vcmptrueps vcmplt_oqps vcmple_oqps vcmpunord_sps vcmpneq_usps vcmpnlt_uqps vcmpnle_uqps vcmpord_sps vcmpeq_usps vcmpnge_uqps vcmpngt_uqps vcmpfalse_osps vcmpneq_osps vcmpge_oqps vcmpgt_oqps vcmptrue_usps vcmpps vcmpeq_ossd vcmpeqsd vcmplt_ossd vcmpltsd vcmple_ossd vcmplesd vcmpunord_qsd vcmpunordsd vcmpneq_uqsd vcmpneqsd vcmpnlt_ussd vcmpnltsd vcmpnle_ussd vcmpnlesd vcmpord_qsd vcmpordsd vcmpeq_uqsd vcmpnge_ussd vcmpngesd vcmpngt_ussd vcmpngtsd vcmpfalse_oqsd vcmpfalsesd vcmpneq_oqsd vcmpge_ossd vcmpgesd vcmpgt_ossd vcmpgtsd vcmptrue_uqsd vcmptruesd vcmplt_oqsd vcmple_oqsd vcmpunord_ssd vcmpneq_ussd vcmpnlt_uqsd vcmpnle_uqsd vcmpord_ssd vcmpeq_ussd vcmpnge_uqsd vcmpngt_uqsd vcmpfalse_ossd vcmpneq_ossd vcmpge_oqsd vcmpgt_oqsd vcmptrue_ussd vcmpsd vcmpeq_osss vcmpeqss vcmplt_osss vcmpltss vcmple_osss vcmpless vcmpunord_qss vcmpunordss vcmpneq_uqss vcmpneqss vcmpnlt_usss vcmpnltss vcmpnle_usss vcmpnless vcmpord_qss vcmpordss vcmpeq_uqss vcmpnge_usss vcmpngess vcmpngt_usss vcmpngtss vcmpfalse_oqss vcmpfalsess vcmpneq_oqss vcmpge_osss vcmpgess vcmpgt_osss vcmpgtss vcmptrue_uqss vcmptruess vcmplt_oqss vcmple_oqss vcmpunord_sss vcmpneq_usss vcmpnlt_uqss vcmpnle_uqss vcmpord_sss vcmpeq_usss vcmpnge_uqss vcmpngt_uqss vcmpfalse_osss vcmpneq_osss vcmpge_oqss vcmpgt_oqss vcmptrue_usss vcmpss vcomisd vcomiss vcvtdq2pd vcvtdq2ps vcvtpd2dq vcvtpd2ps vcvtps2dq vcvtps2pd vcvtsd2si vcvtsd2ss vcvtsi2sd vcvtsi2ss vcvtss2sd vcvtss2si vcvttpd2dq vcvttps2dq vcvttsd2si vcvttss2si vdivpd vdivps vdivsd vdivss vdppd vdpps vextractf128 vextractps vhaddpd vhaddps vhsubpd vhsubps vinsertf128 vinsertps vlddqu vldqqu vldmxcsr vmaskmovdqu vmaskmovps vmaskmovpd vmaxpd vmaxps vmaxsd vmaxss vminpd vminps vminsd vminss vmovapd vmovaps vmovd vmovq vmovddup vmovdqa vmovqqa vmovdqu vmovqqu vmovhlps vmovhpd vmovhps vmovlhps vmovlpd vmovlps vmovmskpd vmovmskps vmovntdq vmovntqq vmovntdqa vmovntpd vmovntps vmovsd vmovshdup vmovsldup vmovss vmovupd vmovups vmpsadbw vmulpd vmulps vmulsd vmulss vorpd vorps vpabsb vpabsw vpabsd vpacksswb vpackssdw vpackuswb vpackusdw vpaddb vpaddw vpaddd vpaddq vpaddsb vpaddsw vpaddusb vpaddusw vpalignr vpand vpandn vpavgb vpavgw vpblendvb vpblendw vpcmpestri vpcmpestrm vpcmpistri vpcmpistrm vpcmpeqb vpcmpeqw vpcmpeqd vpcmpeqq vpcmpgtb vpcmpgtw vpcmpgtd vpcmpgtq vpermilpd vpermilps vperm2f128 vpextrb vpextrw vpextrd vpextrq vphaddw vphaddd vphaddsw vphminposuw vphsubw vphsubd vphsubsw vpinsrb vpinsrw vpinsrd vpinsrq vpmaddwd vpmaddubsw vpmaxsb vpmaxsw vpmaxsd vpmaxub vpmaxuw vpmaxud vpminsb vpminsw vpminsd vpminub vpminuw vpminud vpmovmskb vpmovsxbw vpmovsxbd vpmovsxbq vpmovsxwd vpmovsxwq vpmovsxdq vpmovzxbw vpmovzxbd vpmovzxbq vpmovzxwd vpmovzxwq vpmovzxdq vpmulhuw vpmulhrsw vpmulhw vpmullw vpmulld vpmuludq vpmuldq vpor vpsadbw vpshufb vpshufd vpshufhw vpshuflw vpsignb vpsignw vpsignd vpslldq vpsrldq vpsllw vpslld vpsllq vpsraw vpsrad vpsrlw vpsrld vpsrlq vptest vpsubb vpsubw vpsubd vpsubq vpsubsb vpsubsw vpsubusb vpsubusw vpunpckhbw vpunpckhwd vpunpckhdq vpunpckhqdq vpunpcklbw vpunpcklwd vpunpckldq vpunpcklqdq vpxor vrcpps vrcpss vrsqrtps vrsqrtss vroundpd vroundps vroundsd vroundss vshufpd vshufps vsqrtpd vsqrtps vsqrtsd vsqrtss vstmxcsr vsubpd vsubps vsubsd vsubss vtestps vtestpd vucomisd vucomiss vunpckhpd vunpckhps vunpcklpd vunpcklps vxorpd vxorps vzeroall vzeroupper pclmullqlqdq pclmulhqlqdq pclmullqhqdq pclmulhqhqdq pclmulqdq vpclmullqlqdq vpclmulhqlqdq vpclmullqhqdq vpclmulhqhqdq vpclmulqdq vfmadd132ps vfmadd132pd vfmadd312ps vfmadd312pd vfmadd213ps vfmadd213pd vfmadd123ps vfmadd123pd vfmadd231ps vfmadd231pd vfmadd321ps vfmadd321pd vfmaddsub132ps vfmaddsub132pd vfmaddsub312ps vfmaddsub312pd vfmaddsub213ps vfmaddsub213pd vfmaddsub123ps vfmaddsub123pd vfmaddsub231ps vfmaddsub231pd vfmaddsub321ps vfmaddsub321pd vfmsub132ps vfmsub132pd vfmsub312ps vfmsub312pd vfmsub213ps vfmsub213pd vfmsub123ps vfmsub123pd vfmsub231ps vfmsub231pd vfmsub321ps vfmsub321pd vfmsubadd132ps vfmsubadd132pd vfmsubadd312ps vfmsubadd312pd vfmsubadd213ps vfmsubadd213pd vfmsubadd123ps vfmsubadd123pd vfmsubadd231ps vfmsubadd231pd vfmsubadd321ps vfmsubadd321pd vfnmadd132ps vfnmadd132pd vfnmadd312ps vfnmadd312pd vfnmadd213ps vfnmadd213pd vfnmadd123ps vfnmadd123pd vfnmadd231ps vfnmadd231pd vfnmadd321ps vfnmadd321pd vfnmsub132ps vfnmsub132pd vfnmsub312ps vfnmsub312pd vfnmsub213ps vfnmsub213pd vfnmsub123ps vfnmsub123pd vfnmsub231ps vfnmsub231pd vfnmsub321ps vfnmsub321pd vfmadd132ss vfmadd132sd vfmadd312ss vfmadd312sd vfmadd213ss vfmadd213sd vfmadd123ss vfmadd123sd vfmadd231ss vfmadd231sd vfmadd321ss vfmadd321sd vfmsub132ss vfmsub132sd vfmsub312ss vfmsub312sd vfmsub213ss vfmsub213sd vfmsub123ss vfmsub123sd vfmsub231ss vfmsub231sd vfmsub321ss vfmsub321sd vfnmadd132ss vfnmadd132sd vfnmadd312ss vfnmadd312sd vfnmadd213ss vfnmadd213sd vfnmadd123ss vfnmadd123sd vfnmadd231ss vfnmadd231sd vfnmadd321ss vfnmadd321sd vfnmsub132ss vfnmsub132sd vfnmsub312ss vfnmsub312sd vfnmsub213ss vfnmsub213sd vfnmsub123ss vfnmsub123sd vfnmsub231ss vfnmsub231sd vfnmsub321ss vfnmsub321sd rdfsbase rdgsbase rdrand wrfsbase wrgsbase vcvtph2ps vcvtps2ph adcx adox rdseed clac stac xstore xcryptecb xcryptcbc xcryptctr xcryptcfb xcryptofb montmul xsha1 xsha256 llwpcb slwpcb lwpval lwpins vfmaddpd vfmaddps vfmaddsd vfmaddss vfmaddsubpd vfmaddsubps vfmsubaddpd vfmsubaddps vfmsubpd vfmsubps vfmsubsd vfmsubss vfnmaddpd vfnmaddps vfnmaddsd vfnmaddss vfnmsubpd vfnmsubps vfnmsubsd vfnmsubss vfrczpd vfrczps vfrczsd vfrczss vpcmov vpcomb vpcomd vpcomq vpcomub vpcomud vpcomuq vpcomuw vpcomw vphaddbd vphaddbq vphaddbw vphadddq vphaddubd vphaddubq vphaddubw vphaddudq vphadduwd vphadduwq vphaddwd vphaddwq vphsubbw vphsubdq vphsubwd vpmacsdd vpmacsdqh vpmacsdql vpmacssdd vpmacssdqh vpmacssdql vpmacsswd vpmacssww vpmacswd vpmacsww vpmadcsswd vpmadcswd vpperm vprotb vprotd vprotq vprotw vpshab vpshad vpshaq vpshaw vpshlb vpshld vpshlq vpshlw vbroadcasti128 vpblendd vpbroadcastb vpbroadcastw vpbroadcastd vpbroadcastq vpermd vpermpd vpermps vpermq vperm2i128 vextracti128 vinserti128 vpmaskmovd vpmaskmovq vpsllvd vpsllvq vpsravd vpsrlvd vpsrlvq vgatherdpd vgatherqpd vgatherdps vgatherqps vpgatherdd vpgatherqd vpgatherdq vpgatherqq xabort xbegin xend xtest andn bextr blci blcic blsi blsic blcfill blsfill blcmsk blsmsk blsr blcs bzhi mulx pdep pext rorx sarx shlx shrx tzcnt tzmsk t1mskc valignd valignq vblendmpd vblendmps vbroadcastf32x4 vbroadcastf64x4 vbroadcasti32x4 vbroadcasti64x4 vcompresspd vcompressps vcvtpd2udq vcvtps2udq vcvtsd2usi vcvtss2usi vcvttpd2udq vcvttps2udq vcvttsd2usi vcvttss2usi vcvtudq2pd vcvtudq2ps vcvtusi2sd vcvtusi2ss vexpandpd vexpandps vextractf32x4 vextractf64x4 vextracti32x4 vextracti64x4 vfixupimmpd vfixupimmps vfixupimmsd vfixupimmss vgetexppd vgetexpps vgetexpsd vgetexpss vgetmantpd vgetmantps vgetmantsd vgetmantss vinsertf32x4 vinsertf64x4 vinserti32x4 vinserti64x4 vmovdqa32 vmovdqa64 vmovdqu32 vmovdqu64 vpabsq vpandd vpandnd vpandnq vpandq vpblendmd vpblendmq vpcmpltd vpcmpled vpcmpneqd vpcmpnltd vpcmpnled vpcmpd vpcmpltq vpcmpleq vpcmpneqq vpcmpnltq vpcmpnleq vpcmpq vpcmpequd vpcmpltud vpcmpleud vpcmpnequd vpcmpnltud vpcmpnleud vpcmpud vpcmpequq vpcmpltuq vpcmpleuq vpcmpnequq vpcmpnltuq vpcmpnleuq vpcmpuq vpcompressd vpcompressq vpermi2d vpermi2pd vpermi2ps vpermi2q vpermt2d vpermt2pd vpermt2ps vpermt2q vpexpandd vpexpandq vpmaxsq vpmaxuq vpminsq vpminuq vpmovdb vpmovdw vpmovqb vpmovqd vpmovqw vpmovsdb vpmovsdw vpmovsqb vpmovsqd vpmovsqw vpmovusdb vpmovusdw vpmovusqb vpmovusqd vpmovusqw vpord vporq vprold vprolq vprolvd vprolvq vprord vprorq vprorvd vprorvq vpscatterdd vpscatterdq vpscatterqd vpscatterqq vpsraq vpsravq vpternlogd vpternlogq vptestmd vptestmq vptestnmd vptestnmq vpxord vpxorq vrcp14pd vrcp14ps vrcp14sd vrcp14ss vrndscalepd vrndscaleps vrndscalesd vrndscaless vrsqrt14pd vrsqrt14ps vrsqrt14sd vrsqrt14ss vscalefpd vscalefps vscalefsd vscalefss vscatterdpd vscatterdps vscatterqpd vscatterqps vshuff32x4 vshuff64x2 vshufi32x4 vshufi64x2 kandnw kandw kmovw knotw kortestw korw kshiftlw kshiftrw kunpckbw kxnorw kxorw vpbroadcastmb2q vpbroadcastmw2d vpconflictd vpconflictq vplzcntd vplzcntq vexp2pd vexp2ps vrcp28pd vrcp28ps vrcp28sd vrcp28ss vrsqrt28pd vrsqrt28ps vrsqrt28sd vrsqrt28ss vgatherpf0dpd vgatherpf0dps vgatherpf0qpd vgatherpf0qps vgatherpf1dpd vgatherpf1dps vgatherpf1qpd vgatherpf1qps vscatterpf0dpd vscatterpf0dps vscatterpf0qpd vscatterpf0qps vscatterpf1dpd vscatterpf1dps vscatterpf1qpd vscatterpf1qps prefetchwt1 bndmk bndcl bndcu bndcn bndmov bndldx bndstx sha1rnds4 sha1nexte sha1msg1 sha1msg2 sha256rnds2 sha256msg1 sha256msg2 hint_nop0 hint_nop1 hint_nop2 hint_nop3 hint_nop4 hint_nop5 hint_nop6 hint_nop7 hint_nop8 hint_nop9 hint_nop10 hint_nop11 hint_nop12 hint_nop13 hint_nop14 hint_nop15 hint_nop16 hint_nop17 hint_nop18 hint_nop19 hint_nop20 hint_nop21 hint_nop22 hint_nop23 hint_nop24 hint_nop25 hint_nop26 hint_nop27 hint_nop28 hint_nop29 hint_nop30 hint_nop31 hint_nop32 hint_nop33 hint_nop34 hint_nop35 hint_nop36 hint_nop37 hint_nop38 hint_nop39 hint_nop40 hint_nop41 hint_nop42 hint_nop43 hint_nop44 hint_nop45 hint_nop46 hint_nop47 hint_nop48 hint_nop49 hint_nop50 hint_nop51 hint_nop52 hint_nop53 hint_nop54 hint_nop55 hint_nop56 hint_nop57 hint_nop58 hint_nop59 hint_nop60 hint_nop61 hint_nop62 hint_nop63", literal:"ip eip rip al ah bl bh cl ch dl dh sil dil bpl spl r8b r9b r10b r11b r12b r13b r14b r15b ax bx cx dx si di bp sp r8w r9w r10w r11w r12w r13w r14w r15w eax ebx ecx edx esi edi ebp esp eip r8d r9d r10d r11d r12d r13d r14d r15d rax rbx rcx rdx rsi rdi rbp rsp r8 r9 r10 r11 r12 r13 r14 r15 cs ds es fs gs ss st st0 st1 st2 st3 st4 st5 st6 st7 mm0 mm1 mm2 mm3 mm4 mm5 mm6 mm7 xmm0 xmm1 xmm2 xmm3 xmm4 xmm5 xmm6 xmm7 xmm8 xmm9 xmm10 xmm11 xmm12 xmm13 xmm14 xmm15 xmm16 xmm17 xmm18 xmm19 xmm20 xmm21 xmm22 xmm23 xmm24 xmm25 xmm26 xmm27 xmm28 xmm29 xmm30 xmm31 ymm0 ymm1 ymm2 ymm3 ymm4 ymm5 ymm6 ymm7 ymm8 ymm9 ymm10 ymm11 ymm12 ymm13 ymm14 ymm15 ymm16 ymm17 ymm18 ymm19 ymm20 ymm21 ymm22 ymm23 ymm24 ymm25 ymm26 ymm27 ymm28 ymm29 ymm30 ymm31 zmm0 zmm1 zmm2 zmm3 zmm4 zmm5 zmm6 zmm7 zmm8 zmm9 zmm10 zmm11 zmm12 zmm13 zmm14 zmm15 zmm16 zmm17 zmm18 zmm19 zmm20 zmm21 zmm22 zmm23 zmm24 zmm25 zmm26 zmm27 zmm28 zmm29 zmm30 zmm31 k0 k1 k2 k3 k4 k5 k6 k7 bnd0 bnd1 bnd2 bnd3 cr0 cr1 cr2 cr3 cr4 cr8 dr0 dr1 dr2 dr3 dr8 tr3 tr4 tr5 tr6 tr7 r0 r1 r2 r3 r4 r5 r6 r7 r0b r1b r2b r3b r4b r5b r6b r7b r0w r1w r2w r3w r4w r5w r6w r7w r0d r1d r2d r3d r4d r5d r6d r7d r0h r1h r2h r3h r0l r1l r2l r3l r4l r5l r6l r7l r8l r9l r10l r11l r12l r13l r14l r15l",pseudo:"db dw dd dq dt ddq do dy dz resb resw resd resq rest resdq reso resy resz incbin equ times",preprocessor:"%define %xdefine %+ %undef %defstr %deftok %assign %strcat %strlen %substr %rotate %elif %else %endif %ifmacro %ifctx %ifidn %ifidni %ifid %ifnum %ifstr %iftoken %ifempty %ifenv %error %warning %fatal %rep %endrep %include %push %pop %repl %pathsearch %depend %use %arg %stacksize %local %line %comment %endcomment .nolist byte word dword qword nosplit rel abs seg wrt strict near far a32 ptr __FILE__ __LINE__ __SECT__ __BITS__ __OUTPUT_FORMAT__ __DATE__ __TIME__ __DATE_NUM__ __TIME_NUM__ __UTC_DATE__ __UTC_TIME__ __UTC_DATE_NUM__ __UTC_TIME_NUM__ __PASS__ struc endstruc istruc at iend align alignb sectalign daz nodaz up down zero default option assume public ",built_in:"bits use16 use32 use64 default section segment absolute extern global common cpu float __utf16__ __utf16le__ __utf16be__ __utf32__ __utf32le__ __utf32be__ __float8__ __float16__ __float32__ __float64__ __float80m__ __float80e__ __float128l__ __float128h__ __Infinity__ __QNaN__ __SNaN__ Inf NaN QNaN SNaN float8 float16 float32 float64 float80m float80e float128l float128h __FLOAT_DAZ__ __FLOAT_ROUND__ __FLOAT__"},contains:[a.COMMENT(";","$",{relevance:0}),{className:"number",variants:[{begin:"\\b(?:([0-9][0-9_]*)?\\.[0-9_]*(?:[eE][+-]?[0-9_]+)?|(0[Xx])?[0-9][0-9_]*\\.?[0-9_]*(?:[pP](?:[+-]?[0-9_]+)?)?)\\b",relevance:0},{begin:"\\$[0-9][0-9A-Fa-f]*",relevance:0},{begin:"\\b(?:[0-9A-Fa-f][0-9A-Fa-f_]*[Hh]|[0-9][0-9_]*[DdTt]?|[0-7][0-7_]*[QqOo]|[0-1][0-1_]*[BbYy])\\b"},{begin:"\\b(?:0[Xx][0-9A-Fa-f_]+|0[DdTt][0-9_]+|0[QqOo][0-7_]+|0[BbYy][0-1_]+)\\b"}]},a.QUOTE_STRING_MODE,{className:"string",variants:[{begin:"'",end:"[^\\\\]'"},{begin:"`",end:"[^\\\\]`"},{begin:"\\.[A-Za-z0-9]+"}],relevance:0},{className:"label",variants:[{begin:"^\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\s+label)"},{begin:"^\\s*%%[A-Za-z0-9_$#@~.?]*:"}],relevance:0},{className:"argument",begin:"%[0-9]+",relevance:0},{className:"built_in",begin:"%!S+",relevance:0}]}}),a.registerLanguage("xl",function(a){var b="ObjectLoader Animate MovieCredits Slides Filters Shading Materials LensFlare Mapping VLCAudioVideo StereoDecoder PointCloud NetworkAccess RemoteControl RegExp ChromaKey Snowfall NodeJS Speech Charts",c={keyword:"if then else do while until for loop import with is as where when by data constant",literal:"true false nil",type:"integer real text name boolean symbol infix prefix postfix block tree",built_in:"in mod rem and or xor not abs sign floor ceil sqrt sin cos tan asin acos atan exp expm1 log log2 log10 log1p pi at",module:b,id:"text_length text_range text_find text_replace contains page slide basic_slide title_slide title subtitle fade_in fade_out fade_at clear_color color line_color line_width texture_wrap texture_transform texture scale_?x scale_?y scale_?z? translate_?x translate_?y translate_?z? rotate_?x rotate_?y rotate_?z? rectangle circle ellipse sphere path line_to move_to quad_to curve_to theme background contents locally time mouse_?x mouse_?y mouse_buttons"},d={className:"constant",begin:"[A-Z][A-Z_0-9]+",relevance:0},e={className:"variable",begin:"([A-Z][a-z_0-9]+)+",relevance:0},f={className:"id",begin:"[a-z][a-z_0-9]+",relevance:0},g={className:"string",begin:'"',end:'"',illegal:"\\n"},h={className:"string",begin:"'",end:"'",illegal:"\\n"},i={className:"string",begin:"<<",end:">>"},j={className:"number",begin:"[0-9]+#[0-9A-Z_]+(\\.[0-9-A-Z_]+)?#?([Ee][+-]?[0-9]+)?",relevance:10},k={className:"import",beginKeywords:"import",end:"$",keywords:{keyword:"import",module:b},relevance:0,contains:[g]},l={className:"function",begin:"[a-z].*->"};return{aliases:["tao"],lexemes:/[a-zA-Z][a-zA-Z0-9_?]*/,keywords:c,contains:[a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,g,h,i,l,k,d,e,f,j,a.NUMBER_MODE]}}),a.registerLanguage("xquery",function(a){var b="for let if while then else return where group by xquery encoding versionmodule namespace boundary-space preserve strip default collation base-uri orderingcopy-namespaces order declare import schema namespace function option in allowing emptyat tumbling window sliding window start when only end when previous next stable ascendingdescending empty greatest least some every satisfies switch case typeswitch try catch andor to union intersect instance of treat as castable cast map array delete insert intoreplace value rename copy modify update",c="false true xs:string xs:integer element item xs:date xs:datetime xs:float xs:double xs:decimal QName xs:anyURI xs:long xs:int xs:short xs:byte attribute",d={className:"variable",begin:/\$[a-zA-Z0-9\-]+/,relevance:5},e={className:"number",begin:"(\\b0[0-7_]+)|(\\b0x[0-9a-fA-F_]+)|(\\b[1-9][0-9_]*(\\.[0-9_]+)?)|[0_]\\b",relevance:0},f={className:"string",variants:[{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]},g={className:"decorator",begin:"%\\w+"},h={className:"comment",begin:"\\(:",end:":\\)",relevance:10,contains:[{className:"doc",begin:"@\\w+"}]},i={begin:"{",end:"}"},j=[d,f,e,h,g,i];return i.contains=j,{aliases:["xpath","xq"],case_insensitive:!1,lexemes:/[a-zA-Z\$][a-zA-Z0-9_:\-]*/,illegal:/(proc)|(abstract)|(extends)|(until)|(#)/,keywords:{keyword:b,literal:c},contains:j}}),a.registerLanguage("zephir",function(a){var b={className:"string",contains:[a.BACKSLASH_ESCAPE],variants:[{begin:'b"',end:'"'},{begin:"b'",end:"'"},a.inherit(a.APOS_STRING_MODE,{illegal:null}),a.inherit(a.QUOTE_STRING_MODE,{illegal:null})]},c={variants:[a.BINARY_NUMBER_MODE,a.C_NUMBER_MODE]};return{aliases:["zep"],case_insensitive:!0,keywords:"and include_once list abstract global private echo interface as static endswitch array null if endwhile or const for endforeach self var let while isset public protected exit foreach throw elseif include __FILE__ empty require_once do xor return parent clone use __CLASS__ __LINE__ else break print eval new catch __METHOD__ case exception default die require __FUNCTION__ enddeclare final try switch continue endfor endif declare unset true false trait goto instanceof insteadof __DIR__ __NAMESPACE__ yield finally int uint long ulong char uchar double float bool boolean stringlikely unlikely",contains:[a.C_LINE_COMMENT_MODE,a.HASH_COMMENT_MODE,a.COMMENT("/\\*","\\*/",{contains:[{className:"doctag",begin:"@[A-Za-z]+"}]}),a.COMMENT("__halt_compiler.+?;",!1,{endsWithParent:!0,keywords:"__halt_compiler",lexemes:a.UNDERSCORE_IDENT_RE}),{className:"string",begin:"<<<['\"]?\\w+['\"]?$",end:"^\\w+;",contains:[a.BACKSLASH_ESCAPE]},{begin:/(::|->)+[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*/},{className:"function",beginKeywords:"function",end:/[;{]/,excludeEnd:!0,illegal:"\\$|\\[|%",contains:[a.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)",contains:["self",a.C_BLOCK_COMMENT_MODE,b,c]}]},{className:"class",beginKeywords:"class interface",end:"{",excludeEnd:!0,illegal:/[:\(\$"]/,contains:[{beginKeywords:"extends implements"},a.UNDERSCORE_TITLE_MODE]},{beginKeywords:"namespace",end:";",illegal:/[\.']/,contains:[a.UNDERSCORE_TITLE_MODE]},{beginKeywords:"use",end:";",contains:[a.UNDERSCORE_TITLE_MODE]},{begin:"=>"},b,c]}}),a}),function(a){"use strict";function b(b,c){if(c=c||{},c.worker&&y.WORKERS_SUPPORTED){var d=k();return d.userStep=c.step,d.userChunk=c.chunk,d.userComplete=c.complete,d.userError=c.error,c.step=r(c.step),c.chunk=r(c.chunk),c.complete=r(c.complete),c.error=r(c.error),delete c.worker,void d.postMessage({input:b,config:c,workerId:d.id})}var h=null;return"string"==typeof b?h=c.download?new e(c):new g(c):(a.File&&b instanceof File||b instanceof Object)&&(h=new f(c)),h.stream(b)}function c(a,b){function c(){"object"==typeof b&&("string"==typeof b.delimiter&&1==b.delimiter.length&&-1==y.BAD_DELIMITERS.indexOf(b.delimiter)&&(i=b.delimiter),("boolean"==typeof b.quotes||b.quotes instanceof Array)&&(h=b.quotes),"string"==typeof b.newline&&(j=b.newline))}function d(a){if("object"!=typeof a)return[];var b=[];for(var c in a)b.push(c);return b}function e(a,b){var c="";"string"==typeof a&&(a=JSON.parse(a)),"string"==typeof b&&(b=JSON.parse(b));var d=a instanceof Array&&a.length>0,e=!(b[0]instanceof Array);if(d){for(var g=0;g0&&(c+=i),c+=f(a[g],g);b.length>0&&(c+=j)}for(var h=0;hl;l++){l>0&&(c+=i);var m=d&&e?a[l]:l;c+=f(b[h][m],l)}h-1||" "==a.charAt(0)||" "==a.charAt(a.length-1);return c?'"'+a+'"':a}function g(a,b){for(var c=0;c-1)return!0;return!1}var h=!1,i=",",j="\r\n";if(c(),"string"==typeof a&&(a=JSON.parse(a)),a instanceof Array){if(!a.length||a[0]instanceof Array)return e(null,a);if("object"==typeof a[0])return e(d(a[0]),a)}else if("object"==typeof a)return"string"==typeof a.data&&(a.data=JSON.parse(a.data)),a.data instanceof Array&&(a.fields||(a.fields=a.data[0]instanceof Array?a.fields:d(a.data[0])),a.data[0]instanceof Array||"object"==typeof a.data[0]||(a.data=[a.data])),e(a.fields||[],a.data||[]);throw"exception: Unable to serialize unrecognized input"}function d(b){function c(a){var b=p(a);b.chunkSize=parseInt(b.chunkSize),a.step||a.chunk||(b.chunkSize=null),this._handle=new h(b),this._handle.streamer=this,this._config=b}this._handle=null,this._paused=!1,this._finished=!1,this._input=null,this._baseIndex=0,this._partialLine="",this._rowCount=0,this._start=0,this._nextChunk=null,this.isFirstChunk=!0,this._completeResults={data:[],errors:[],meta:{}},c.call(this,b),this.parseChunk=function(b){if(this.isFirstChunk&&r(this._config.beforeFirstChunk)){var c=this._config.beforeFirstChunk(b);void 0!==c&&(b=c)}this.isFirstChunk=!1;var d=this._partialLine+b;this._partialLine="";var e=this._handle.parse(d,this._baseIndex,!this._finished);if(!this._handle.paused()&&!this._handle.aborted()){var f=e.meta.cursor;this._finished||(this._partialLine=d.substring(f-this._baseIndex),this._baseIndex=f),e&&e.data&&(this._rowCount+=e.data.length);var g=this._finished||this._config.preview&&this._rowCount>=this._config.preview;if(u)a.postMessage({results:e,workerId:y.WORKER_ID,finished:g});else if(r(this._config.chunk)){if(this._config.chunk(e,this._handle),this._paused)return;e=void 0,this._completeResults=void 0}return this._config.step||this._config.chunk||(this._completeResults.data=this._completeResults.data.concat(e.data),this._completeResults.errors=this._completeResults.errors.concat(e.errors),this._completeResults.meta=e.meta),!g||!r(this._config.complete)||e&&e.meta.aborted||this._config.complete(this._completeResults),g||e&&e.meta.paused||this._nextChunk(),e}},this._sendError=function(b){r(this._config.error)?this._config.error(b):u&&this._config.error&&a.postMessage({workerId:y.WORKER_ID,error:b,finished:!1})}}function e(a){function b(a){var b=a.getResponseHeader("Content-Range");return parseInt(b.substr(b.lastIndexOf("/")+1))}a=a||{},a.chunkSize||(a.chunkSize=y.RemoteChunkSize),d.call(this,a);var c;t?this._nextChunk=function(){this._readChunk(),this._chunkLoaded()}:this._nextChunk=function(){this._readChunk()},this.stream=function(a){this._input=a,this._nextChunk()},this._readChunk=function(){if(this._finished)return void this._chunkLoaded();if(c=new XMLHttpRequest,t||(c.onload=q(this._chunkLoaded,this),c.onerror=q(this._chunkError,this)),c.open("GET",this._input,!t),this._config.chunkSize){var a=this._start+this._config.chunkSize-1;c.setRequestHeader("Range","bytes="+this._start+"-"+a),c.setRequestHeader("If-None-Match","webkit-no-cache")}try{c.send()}catch(b){this._chunkError(b.message)}t&&0==c.status?this._chunkError():this._start+=this._config.chunkSize},this._chunkLoaded=function(){if(4==c.readyState){if(c.status<200||c.status>=400)return void this._chunkError();this._finished=!this._config.chunkSize||this._start>b(c),this.parseChunk(c.responseText)}},this._chunkError=function(a){var b=c.statusText||a;this._sendError(b)}}function f(a){a=a||{},a.chunkSize||(a.chunkSize=y.LocalChunkSize),d.call(this,a);var b,c,e="undefined"!=typeof FileReader;this.stream=function(a){this._input=a,c=a.slice||a.webkitSlice||a.mozSlice,e?(b=new FileReader,b.onload=q(this._chunkLoaded,this),b.onerror=q(this._chunkError,this)):b=new FileReaderSync,this._nextChunk()},this._nextChunk=function(){this._finished||this._config.preview&&!(this._rowCount=this._input.size,this.parseChunk(a.target.result)},this._chunkError=function(){this._sendError(b.error)}}function g(a){a=a||{},d.call(this,a);var b,c;this.stream=function(a){return b=a,c=a,this._nextChunk()},this._nextChunk=function(){if(!this._finished){var a=this._config.chunkSize,b=a?c.substr(0,a):c;return c=a?c.substr(a):"",this._finished=!c,this.parseChunk(b)}}}function h(a){function b(){if(v&&m&&(j("Delimiter","UndetectableDelimiter","Unable to auto-detect delimiting character; defaulted to '"+y.DefaultDelimiter+"'"),m=!1),a.skipEmptyLines)for(var b=0;b=u.length?(c.__parsed_extra||(c.__parsed_extra=[]),c.__parsed_extra.push(v.data[b][d])):c[u[d]]=v.data[b][d])}a.header&&(v.data[b]=c,d>u.length?j("FieldMismatch","TooManyFields","Too many fields: expected "+u.length+" fields but parsed "+d,b):d1&&(j+=Math.abs(n-e),e=n):e=n}l.data.length>0&&(k/=l.data.length),("undefined"==typeof d||d>j)&&k>1.99&&(d=j,c=h)}return a.delimiter=c,{successful:!!c,bestDelimiter:c}}function g(a){a=a.substr(0,1048576);var b=a.split("\r");if(1==b.length)return"\n";for(var c=0,d=0;d=b.length/2?"\r\n":"\r"}function h(a){var b=n.test(a);return b?parseFloat(a):a}function j(a,b,c,d){v.errors.push({type:a,code:b,message:c,row:d})}var k,l,m,n=/^\s*-?(\d*\.?\d+|\d+\.?\d*)(e[-+]?\d+)?\s*$/i,o=this,q=0,s=!1,t=!1,u=[],v={data:[],errors:[],meta:{}};if(r(a.step)){var w=a.step;a.step=function(d){if(v=d,c())b();else{if(b(),0==v.data.length)return;q+=d.data.length,a.preview&&q>a.preview?l.abort():w(v,o)}}}this.parse=function(c,d,e){if(a.newline||(a.newline=g(c)),m=!1,!a.delimiter){var h=f(c);h.successful?a.delimiter=h.bestDelimiter:(m=!0,a.delimiter=y.DefaultDelimiter),v.meta.delimiter=a.delimiter}var j=p(a);return a.preview&&a.header&&j.preview++,k=c,l=new i(j),v=l.parse(k,d,e),b(),s?{meta:{paused:!0}}:v||{meta:{paused:!1}}},this.paused=function(){return s},this.pause=function(){s=!0,l.abort(),k=k.substr(l.getCharIndex())},this.resume=function(){s=!1,o.streamer.parseChunk(k)},this.aborted=function(){return t},this.abort=function(){t=!0,l.abort(),v.meta.aborted=!0,r(a.complete)&&a.complete(v),k=""}}function i(a){a=a||{};var b=a.delimiter,c=a.newline,d=a.comments,e=a.step,f=a.preview,g=a.fastMode;if(("string"!=typeof b||y.BAD_DELIMITERS.indexOf(b)>-1)&&(b=","),d===b)throw"Comment character same as delimiter";d===!0?d="#":("string"!=typeof d||y.BAD_DELIMITERS.indexOf(d)>-1)&&(d=!1),"\n"!=c&&"\r"!=c&&"\r\n"!=c&&(c="\n");var h=0,i=!1;this.parse=function(a,j,k){function l(a){v.push(a),y=h}function m(b){return k?o():("undefined"==typeof b&&(b=a.substr(h)),x.push(b),h=q,l(x),u&&p(),o())}function n(b){h=b,l(x),x=[],C=a.indexOf(c,h)}function o(a){return{data:v,errors:w,meta:{delimiter:b,linebreak:c,aborted:i,truncated:!!a,cursor:y+(j||0)}}}function p(){e(o()),v=[],w=[]}if("string"!=typeof a)throw"Input must be a string";var q=a.length,r=b.length,s=c.length,t=d.length,u="function"==typeof e;h=0;var v=[],w=[],x=[],y=0;if(!a)return o();if(g||g!==!1&&-1===a.indexOf('"')){for(var z=a.split(c),A=0;A=f)return v=v.slice(0,f),o(!0)}}return o()}for(var B=a.indexOf(b,h),C=a.indexOf(c,h);;)if('"'!=a[h])if(d&&0===x.length&&a.substr(h,t)===d){if(-1==C)return o();h=C+s,C=a.indexOf(c,h),B=a.indexOf(b,h)}else if(-1!==B&&(C>B||-1===C))x.push(a.substring(h,B)),h=B+r,B=a.indexOf(b,h);else{if(-1===C)break;if(x.push(a.substring(h,C)),n(C+s),u&&(p(),i))return o();if(f&&v.length>=f)return o(!0)}else{var D=h;for(h++;;){var D=a.indexOf('"',D+1);if(-1===D)return k||w.push({type:"Quotes",code:"MissingQuotes",message:"Quoted field unterminated",row:v.length,index:h}),m();if(D===q-1){var E=a.substring(h,D).replace(/""/g,'"');return m(E)}if('"'!=a[D+1]){if(a[D+1]==b){x.push(a.substring(h,D).replace(/""/g,'"')),h=D+1+r,B=a.indexOf(b,h),C=a.indexOf(c,h);break}if(a.substr(D+1,s)===c){if(x.push(a.substring(h,D).replace(/""/g,'"')),n(D+1+s),B=a.indexOf(b,h),u&&(p(),i))return o();if(f&&v.length>=f)return o(!0);break}}else D++}}return m()},this.abort=function(){i=!0},this.getCharIndex=function(){return h}}function j(){var a=document.getElementsByTagName("script");return a.length?a[a.length-1].src:""}function k(){if(!y.WORKERS_SUPPORTED)return!1;if(!v&&null===y.SCRIPT_PATH)throw new Error("Script path cannot be determined automatically when Papa Parse is loaded asynchronously. You need to set Papa.SCRIPT_PATH manually.");var b=y.SCRIPT_PATH||s;b+=(-1!==b.indexOf("?")?"&":"?")+"papaworker";var c=new a.Worker(b);return c.onmessage=l,c.id=x++,w[c.id]=c,c}function l(a){var b=a.data,c=w[b.workerId],d=!1;if(b.error)c.userError(b.error,b.file);else if(b.results&&b.results.data){var e=function(){d=!0,m(b.workerId,{data:[],errors:[],meta:{aborted:!0}})},f={abort:e,pause:n,resume:n};if(r(c.userStep)){for(var g=0;gi;i++)e+=String.fromCharCode(f[i]);c.push(e)}else if("Blob"===b(a)||"File"===b(a)){if(!g)throw new h("NOT_READABLE_ERR");var k=new g;c.push(k.readAsBinaryString(a))}else a instanceof d?"base64"===a.encoding&&p?c.push(p(a.data)):"URI"===a.encoding?c.push(decodeURIComponent(a.data)):"raw"===a.encoding&&c.push(a.data):("string"!=typeof a&&(a+=""),c.push(unescape(encodeURIComponent(a))))},e.getBlob=function(a){return arguments.length||(a=null),new d(this.data.join(""),a,"raw")},e.toString=function(){return"[object BlobBuilder]"},f.slice=function(a,b,c){var e=arguments.length;return 3>e&&(c=null),new d(this.data.slice(a,e>1?b:this.data.length),c,this.encoding)},f.toString=function(){return"[object Blob]"},f.close=function(){this.size=0,delete this.data},c}(a);a.Blob=function(a,b){var d=b?b.type||"":"",e=new c;if(a)for(var f=0,g=a.length;g>f;f++)Uint8Array&&a[f]instanceof Uint8Array?e.append(a[f].buffer):e.append(a[f]);var h=e.getBlob(d);return!h.slice&&h.webkitSlice&&(h.slice=h.webkitSlice),h};var d=Object.getPrototypeOf||function(a){return a.__proto__};a.Blob.prototype=d(new a.Blob)}("undefined"!=typeof self&&self||"undefined"!=typeof window&&window||this.content||this);var saveAs=saveAs||function(a){"use strict";if("undefined"==typeof navigator||!/MSIE [1-9]\./.test(navigator.userAgent)){var b=a.document,c=function(){return a.URL||a.webkitURL||a},d=b.createElementNS("http://www.w3.org/1999/xhtml","a"),e="download"in d,f=function(c){var d=b.createEvent("MouseEvents");d.initMouseEvent("click",!0,!1,a,0,0,0,0,0,!1,!1,!1,!1,0,null),c.dispatchEvent(d)},g=a.webkitRequestFileSystem,h=a.requestFileSystem||g||a.mozRequestFileSystem,i=function(b){(a.setImmediate||a.setTimeout)(function(){throw b},0)},j="application/octet-stream",k=0,l=500,m=function(b){var d=function(){"string"==typeof b?c().revokeObjectURL(b):b.remove()};a.chrome?d():setTimeout(d,l)},n=function(a,b,c){b=[].concat(b);for(var d=b.length;d--;){var e=a["on"+b[d]];if("function"==typeof e)try{e.call(a,c||a)}catch(f){i(f)}}},o=function(a){return/^\s*(?:text\/\S*|application\/xml|\S*\/\S*\+xml)\s*;.*charset\s*=\s*utf-8/i.test(a.type)?new Blob(["\ufeff",a],{type:a.type}):a},p=function(b,i){b=o(b);var l,p,q,r=this,s=b.type,t=!1,u=function(){n(r,"writestart progress write writeend".split(" "))},v=function(){if(!t&&l||(l=c().createObjectURL(b)),p)p.location.href=l;else{var d=a.open(l,"_blank");void 0==d&&"undefined"!=typeof safari&&(a.location.href=l)}r.readyState=r.DONE,u(),m(l)},w=function(a){return function(){return r.readyState!==r.DONE?a.apply(this,arguments):void 0}},x={create:!0,exclusive:!1};return r.readyState=r.INIT,i||(i="download"),e?(l=c().createObjectURL(b),d.href=l,d.download=i,f(d),r.readyState=r.DONE,u(),void m(l)):(a.chrome&&s&&s!==j&&(q=b.slice||b.webkitSlice,b=q.call(b,0,b.size,j),t=!0),g&&"download"!==i&&(i+=".download"),(s===j||g)&&(p=a),h?(k+=b.size,void h(a.TEMPORARY,k,w(function(a){a.root.getDirectory("saved",x,w(function(a){var c=function(){a.getFile(i,x,w(function(a){a.createWriter(w(function(c){c.onwriteend=function(b){p.location.href=a.toURL(),r.readyState=r.DONE,n(r,"writeend",b),m(a)},c.onerror=function(){var a=c.error;a.code!==a.ABORT_ERR&&v()},"writestart progress write abort".split(" ").forEach(function(a){c["on"+a]=r["on"+a]}),c.write(b),r.abort=function(){c.abort(),r.readyState=r.DONE},r.readyState=r.WRITING}),v)}),v)};a.getFile(i,{create:!1},w(function(a){a.remove(),c()}),w(function(a){a.code===a.NOT_FOUND_ERR?c():v()}))}),v)}),v)):void v())},q=p.prototype,r=function(a,b){return new p(a,b)};return"undefined"!=typeof navigator&&navigator.msSaveOrOpenBlob?function(a,b){return navigator.msSaveOrOpenBlob(o(a),b)}:(q.abort=function(){var a=this;a.readyState=a.DONE,n(a,"abort")},q.readyState=q.INIT=0,q.WRITING=1,q.DONE=2,q.error=q.onwritestart=q.onprogress=q.onwrite=q.onabort=q.onerror=q.onwriteend=null,r)}}("undefined"!=typeof self&&self||"undefined"!=typeof window&&window||this.content);"undefined"!=typeof module&&module.exports?module.exports.saveAs=saveAs:"undefined"!=typeof define&&null!==define&&null!=define.amd&&define([],function(){return saveAs}),function a(b,c,d){function e(g,h){if(!c[g]){if(!b[g]){var i="function"==typeof require&&require;if(!h&&i)return i(g,!0);if(f)return f(g,!0);var j=new Error("Cannot find module '"+g+"'");throw j.code="MODULE_NOT_FOUND",j}var k=c[g]={exports:{}};b[g][0].call(k.exports,function(a){var c=b[g][1][a];return e(c?c:a)},k,k.exports,a,b,c,d)}return c[g].exports}for(var f="function"==typeof require&&require,g=0;gi;i++)e+=String.fromCharCode(f[i]);c.push(e)}else if("Blob"===b(a)||"File"===b(a)){if(!g)throw new h("NOT_READABLE_ERR");var k=new g;c.push(k.readAsBinaryString(a))}else a instanceof d?"base64"===a.encoding&&p?c.push(p(a.data)):"URI"===a.encoding?c.push(decodeURIComponent(a.data)):"raw"===a.encoding&&c.push(a.data):("string"!=typeof a&&(a+=""),c.push(unescape(encodeURIComponent(a))))},e.getBlob=function(a){return arguments.length||(a=null),new d(this.data.join(""),a,"raw")},e.toString=function(){return"[object BlobBuilder]"},f.slice=function(a,b,c){var e=arguments.length;return 3>e&&(c=null),new d(this.data.slice(a,e>1?b:this.data.length),c,this.encoding)},f.toString=function(){return"[object Blob]"},f.close=function(){this.size=0,delete this.data},c}(a);a.Blob=function(a,b){var d=b?b.type||"":"",e=new c;if(a)for(var f=0,g=a.length;g>f;f++)Uint8Array&&a[f]instanceof Uint8Array?e.append(a[f].buffer):e.append(a[f]);var h=e.getBlob(d);return!h.slice&&h.webkitSlice&&(h.slice=h.webkitSlice),h};var d=Object.getPrototypeOf||function(a){return a.__proto__};a.Blob.prototype=d(new a.Blob)}("undefined"!=typeof self&&self||"undefined"!=typeof window&&window||this.content||this); -},{}],2:[function(a,b,c){var d=d||function(a){"use strict";if("undefined"==typeof navigator||!/MSIE [1-9]\./.test(navigator.userAgent)){var b=a.document,c=function(){return a.URL||a.webkitURL||a},d=b.createElementNS("http://www.w3.org/1999/xhtml","a"),e="download"in d,f=function(a){var b=new MouseEvent("click");a.dispatchEvent(b)},g=/Version\/[\d\.]+.*Safari/.test(navigator.userAgent),h=a.webkitRequestFileSystem,i=a.requestFileSystem||h||a.mozRequestFileSystem,j=function(b){(a.setImmediate||a.setTimeout)(function(){throw b},0)},k="application/octet-stream",l=0,m=500,n=function(b){var d=function(){"string"==typeof b?c().revokeObjectURL(b):b.remove()};a.chrome?d():setTimeout(d,m)},o=function(a,b,c){b=[].concat(b);for(var d=b.length;d--;){var e=a["on"+b[d]];if("function"==typeof e)try{e.call(a,c||a)}catch(f){j(f)}}},p=function(a){return/^\s*(?:text\/\S*|application\/xml|\S*\/\S*\+xml)\s*;.*charset\s*=\s*utf-8/i.test(a.type)?new Blob(["\ufeff",a],{type:a.type}):a},q=function(b,j,m){m||(b=p(b));var q,r,s,t=this,u=b.type,v=!1,w=function(){o(t,"writestart progress write writeend".split(" "))},x=function(){if(r&&g&&"undefined"!=typeof FileReader){var d=new FileReader;return d.onloadend=function(){var a=d.result;r.location.href="data:attachment/file"+a.slice(a.search(/[,;]/)),t.readyState=t.DONE,w()},d.readAsDataURL(b),void(t.readyState=t.INIT)}if(!v&&q||(q=c().createObjectURL(b)),r)r.location.href=q;else{var e=a.open(q,"_blank");void 0==e&&g&&(a.location.href=q)}t.readyState=t.DONE,w(),n(q)},y=function(a){return function(){return t.readyState!==t.DONE?a.apply(this,arguments):void 0}},z={create:!0,exclusive:!1};return t.readyState=t.INIT,j||(j="download"),e?(q=c().createObjectURL(b),void setTimeout(function(){d.href=q,d.download=j,f(d),w(),n(q),t.readyState=t.DONE})):(a.chrome&&u&&u!==k&&(s=b.slice||b.webkitSlice,b=s.call(b,0,b.size,k),v=!0),h&&"download"!==j&&(j+=".download"),(u===k||h)&&(r=a),i?(l+=b.size,void i(a.TEMPORARY,l,y(function(a){a.root.getDirectory("saved",z,y(function(a){var c=function(){a.getFile(j,z,y(function(a){a.createWriter(y(function(c){c.onwriteend=function(b){r.location.href=a.toURL(),t.readyState=t.DONE,o(t,"writeend",b),n(a)},c.onerror=function(){var a=c.error;a.code!==a.ABORT_ERR&&x()},"writestart progress write abort".split(" ").forEach(function(a){c["on"+a]=t["on"+a]}),c.write(b),t.abort=function(){c.abort(),t.readyState=t.DONE},t.readyState=t.WRITING}),x)}),x)};a.getFile(j,{create:!1},y(function(a){a.remove(),c()}),y(function(a){a.code===a.NOT_FOUND_ERR?c():x()}))}),x)}),x)):void x())},r=q.prototype,s=function(a,b,c){return new q(a,b,c)};return"undefined"!=typeof navigator&&navigator.msSaveOrOpenBlob?function(a,b,c){return c||(a=p(a)),navigator.msSaveOrOpenBlob(a,b||"download")}:(r.abort=function(){var a=this;a.readyState=a.DONE,o(a,"abort")},r.readyState=r.INIT=0,r.WRITING=1,r.DONE=2,r.error=r.onwritestart=r.onprogress=r.onwrite=r.onabort=r.onerror=r.onwriteend=null,s)}}("undefined"!=typeof self&&self||"undefined"!=typeof window&&window||this.content);"undefined"!=typeof b&&b.exports?b.exports.saveAs=d:"undefined"!=typeof define&&null!==define&&null!=define.amd&&define([],function(){return d})},{}],3:[function(a,b,c){"use strict";b.exports="ngFileSaver",angular.module("ngFileSaver",[]).factory("FileSaver",["Blob","SaveAs","FileSaverUtils",a("./angular-file-saver.service")]).factory("FileSaverUtils",[a("./utils/utils.service.js")]).factory("Blob",["$window",a("./dependencies/blob-bundle.service.js")]).factory("SaveAs",[a("./dependencies/file-saver-bundle.service.js")])},{"./angular-file-saver.service":4,"./dependencies/blob-bundle.service.js":5,"./dependencies/file-saver-bundle.service.js":6,"./utils/utils.service.js":7}],4:[function(a,b,c){"use strict";b.exports=function(a,b,c){function d(a,d,e){try{b(a,d,e)}catch(f){c.handleErrors(f.message)}}return{saveAs:function(a,b,e){return c.isBlobInstance(a)||c.handleErrors("Data argument should be a blob instance"),c.isString(b)||c.handleErrors("Filename argument should be a string"),d(a,b,e)}}}},{}],5:[function(a,b,c){"use strict";a("Blob.js"),b.exports=function(a){return a.Blob}},{"Blob.js":1}],6:[function(a,b,c){"use strict";b.exports=function(){return a("FileSaver.js").saveAs||function(){}}},{"FileSaver.js":2}],7:[function(a,b,c){"use strict";b.exports=function(){return{handleErrors:function(a){throw new Error(a)},isString:function(a){return"string"==typeof a||a instanceof String},isUndefined:function(a){return"undefined"==typeof a},isBlobInstance:function(a){return a instanceof Blob}}}},{}]},{},[3]),function(a){function b(a,b,c){switch(arguments.length){case 2:return null!=a?a:b;case 3:return null!=a?a:null!=b?b:c;default:throw new Error("Implement me")}}function c(a,b){return Ba.call(a,b)}function d(){return{empty:!1,unusedTokens:[],unusedInput:[],overflow:-2,charsLeftOver:0,nullInput:!1,invalidMonth:null,invalidFormat:!1,userInvalidated:!1,iso:!1}}function e(a){va.suppressDeprecationWarnings===!1&&"undefined"!=typeof console&&console.warn&&console.warn("Deprecation warning: "+a)}function f(a,b){var c=!0;return o(function(){return c&&(e(a),c=!1),b.apply(this,arguments)},b)}function g(a,b){sb[a]||(e(b),sb[a]=!0)}function h(a,b){return function(c){return r(a.call(this,c),b)}}function i(a,b){return function(c){return this.localeData().ordinal(a.call(this,c),b)}}function j(a,b){var c,d,e=12*(b.year()-a.year())+(b.month()-a.month()),f=a.clone().add(e,"months");return 0>b-f?(c=a.clone().add(e-1,"months"),d=(b-f)/(f-c)):(c=a.clone().add(e+1,"months"),d=(b-f)/(c-f)),-(e+d)}function k(a,b,c){var d;return null==c?b:null!=a.meridiemHour?a.meridiemHour(b,c):null!=a.isPM?(d=a.isPM(c),d&&12>b&&(b+=12),d||12!==b||(b=0),b):b}function l(){}function m(a,b){b!==!1&&H(a),p(this,a),this._d=new Date(+a._d),ub===!1&&(ub=!0,va.updateOffset(this),ub=!1)}function n(a){var b=A(a),c=b.year||0,d=b.quarter||0,e=b.month||0,f=b.week||0,g=b.day||0,h=b.hour||0,i=b.minute||0,j=b.second||0,k=b.millisecond||0;this._milliseconds=+k+1e3*j+6e4*i+36e5*h,this._days=+g+7*f,this._months=+e+3*d+12*c,this._data={},this._locale=va.localeData(),this._bubble()}function o(a,b){for(var d in b)c(b,d)&&(a[d]=b[d]);return c(b,"toString")&&(a.toString=b.toString),c(b,"valueOf")&&(a.valueOf=b.valueOf),a}function p(a,b){var c,d,e;if("undefined"!=typeof b._isAMomentObject&&(a._isAMomentObject=b._isAMomentObject),"undefined"!=typeof b._i&&(a._i=b._i),"undefined"!=typeof b._f&&(a._f=b._f),"undefined"!=typeof b._l&&(a._l=b._l),"undefined"!=typeof b._strict&&(a._strict=b._strict),"undefined"!=typeof b._tzm&&(a._tzm=b._tzm),"undefined"!=typeof b._isUTC&&(a._isUTC=b._isUTC),"undefined"!=typeof b._offset&&(a._offset=b._offset),"undefined"!=typeof b._pf&&(a._pf=b._pf),"undefined"!=typeof b._locale&&(a._locale=b._locale),Ka.length>0)for(c in Ka)d=Ka[c],e=b[d],"undefined"!=typeof e&&(a[d]=e);return a}function q(a){return 0>a?Math.ceil(a):Math.floor(a)}function r(a,b,c){for(var d=""+Math.abs(a),e=a>=0;d.lengthd;d++)(c&&a[d]!==b[d]||!c&&C(a[d])!==C(b[d]))&&g++;return g+f}function z(a){if(a){var b=a.toLowerCase().replace(/(.)s$/,"$1");a=lb[a]||mb[b]||b}return a}function A(a){var b,d,e={};for(d in a)c(a,d)&&(b=z(d),b&&(e[b]=a[d]));return e}function B(b){var c,d;if(0===b.indexOf("week"))c=7,d="day";else{if(0!==b.indexOf("month"))return;c=12,d="month"}va[b]=function(e,f){var g,h,i=va._locale[b],j=[];if("number"==typeof e&&(f=e,e=a),h=function(a){var b=va().utc().set(d,a);return i.call(va._locale,b,e||"")},null!=f)return h(f);for(g=0;c>g;g++)j.push(h(g));return j}}function C(a){var b=+a,c=0;return 0!==b&&isFinite(b)&&(c=b>=0?Math.floor(b):Math.ceil(b)),c}function D(a,b){return new Date(Date.UTC(a,b+1,0)).getUTCDate()}function E(a,b,c){return ja(va([a,11,31+b-c]),b,c).week}function F(a){return G(a)?366:365}function G(a){return a%4===0&&a%100!==0||a%400===0}function H(a){var b;a._a&&-2===a._pf.overflow&&(b=a._a[Da]<0||a._a[Da]>11?Da:a._a[Ea]<1||a._a[Ea]>D(a._a[Ca],a._a[Da])?Ea:a._a[Fa]<0||a._a[Fa]>24||24===a._a[Fa]&&(0!==a._a[Ga]||0!==a._a[Ha]||0!==a._a[Ia])?Fa:a._a[Ga]<0||a._a[Ga]>59?Ga:a._a[Ha]<0||a._a[Ha]>59?Ha:a._a[Ia]<0||a._a[Ia]>999?Ia:-1,a._pf._overflowDayOfYear&&(Ca>b||b>Ea)&&(b=Ea),a._pf.overflow=b)}function I(b){return null==b._isValid&&(b._isValid=!isNaN(b._d.getTime())&&b._pf.overflow<0&&!b._pf.empty&&!b._pf.invalidMonth&&!b._pf.nullInput&&!b._pf.invalidFormat&&!b._pf.userInvalidated,b._strict&&(b._isValid=b._isValid&&0===b._pf.charsLeftOver&&0===b._pf.unusedTokens.length&&b._pf.bigHour===a)),b._isValid}function J(a){return a?a.toLowerCase().replace("_","-"):a}function K(a){for(var b,c,d,e,f=0;f0;){if(d=L(e.slice(0,b).join("-")))return d;if(c&&c.length>=b&&y(e,c,!0)>=b-1)break;b--}f++}return null}function L(a){var b=null;if(!Ja[a]&&La)try{b=va.locale(),require("./locale/"+a),va.locale(b)}catch(c){}return Ja[a]}function M(a,b){var c,d;return b._isUTC?(c=b.clone(),d=(va.isMoment(a)||x(a)?+a:+va(a))-+c,c._d.setTime(+c._d+d),va.updateOffset(c,!1),c):va(a).local()}function N(a){return a.match(/\[[\s\S]/)?a.replace(/^\[|\]$/g,""):a.replace(/\\/g,"")}function O(a){var b,c,d=a.match(Pa);for(b=0,c=d.length;c>b;b++)rb[d[b]]?d[b]=rb[d[b]]:d[b]=N(d[b]);return function(e){var f="";for(b=0;c>b;b++)f+=d[b]instanceof Function?d[b].call(e,a):d[b];return f}}function P(a,b){return a.isValid()?(b=Q(b,a.localeData()),nb[b]||(nb[b]=O(b)),nb[b](a)):a.localeData().invalidDate()}function Q(a,b){function c(a){return b.longDateFormat(a)||a}var d=5;for(Qa.lastIndex=0;d>=0&&Qa.test(a);)a=a.replace(Qa,c),Qa.lastIndex=0,d-=1;return a}function R(a,b){var c,d=b._strict;switch(a){case"Q":return _a;case"DDDD":return bb;case"YYYY":case"GGGG":case"gggg":return d?cb:Ta;case"Y":case"G":case"g":return eb;case"YYYYYY":case"YYYYY":case"GGGGG":case"ggggg":return d?db:Ua;case"S":if(d)return _a;case"SS":if(d)return ab;case"SSS":if(d)return bb;case"DDD":return Sa;case"MMM":case"MMMM":case"dd":case"ddd":case"dddd":return Wa;case"a":case"A":return b._locale._meridiemParse;case"x":return Za;case"X":return $a;case"Z":case"ZZ":return Xa;case"T":return Ya;case"SSSS":return Va;case"MM":case"DD":case"YY":case"GG":case"gg":case"HH":case"hh":case"mm":case"ss":case"ww":case"WW":return d?ab:Ra;case"M":case"D":case"d":case"H":case"h":case"m":case"s":case"w":case"W":case"e":case"E":return Ra;case"Do":return d?b._locale._ordinalParse:b._locale._ordinalParseLenient;default:return c=new RegExp($(Z(a.replace("\\","")),"i"))}}function S(a){a=a||"";var b=a.match(Xa)||[],c=b[b.length-1]||[],d=(c+"").match(jb)||["-",0,0],e=+(60*d[1])+C(d[2]);return"+"===d[0]?e:-e}function T(a,b,c){var d,e=c._a;switch(a){case"Q":null!=b&&(e[Da]=3*(C(b)-1));break;case"M":case"MM":null!=b&&(e[Da]=C(b)-1);break;case"MMM":case"MMMM":d=c._locale.monthsParse(b,a,c._strict),null!=d?e[Da]=d:c._pf.invalidMonth=b;break;case"D":case"DD":null!=b&&(e[Ea]=C(b));break;case"Do":null!=b&&(e[Ea]=C(parseInt(b.match(/\d{1,2}/)[0],10)));break;case"DDD":case"DDDD":null!=b&&(c._dayOfYear=C(b));break;case"YY":e[Ca]=va.parseTwoDigitYear(b);break;case"YYYY":case"YYYYY":case"YYYYYY":e[Ca]=C(b);break;case"a":case"A":c._meridiem=b;break;case"h":case"hh":c._pf.bigHour=!0;case"H":case"HH":e[Fa]=C(b);break;case"m":case"mm":e[Ga]=C(b);break;case"s":case"ss":e[Ha]=C(b);break;case"S":case"SS":case"SSS":case"SSSS":e[Ia]=C(1e3*("0."+b));break;case"x":c._d=new Date(C(b));break;case"X":c._d=new Date(1e3*parseFloat(b));break;case"Z":case"ZZ":c._useUTC=!0,c._tzm=S(b);break;case"dd":case"ddd":case"dddd":d=c._locale.weekdaysParse(b),null!=d?(c._w=c._w||{},c._w.d=d):c._pf.invalidWeekday=b;break;case"w":case"ww":case"W":case"WW":case"d":case"e":case"E":a=a.substr(0,1);case"gggg":case"GGGG":case"GGGGG":a=a.substr(0,2),b&&(c._w=c._w||{},c._w[a]=C(b));break;case"gg":case"GG":c._w=c._w||{},c._w[a]=va.parseTwoDigitYear(b)}}function U(a){var c,d,e,f,g,h,i;c=a._w,null!=c.GG||null!=c.W||null!=c.E?(g=1,h=4,d=b(c.GG,a._a[Ca],ja(va(),1,4).year),e=b(c.W,1),f=b(c.E,1)):(g=a._locale._week.dow,h=a._locale._week.doy,d=b(c.gg,a._a[Ca],ja(va(),g,h).year),e=b(c.w,1),null!=c.d?(f=c.d,g>f&&++e):f=null!=c.e?c.e+g:g),i=ka(d,e,f,h,g),a._a[Ca]=i.year,a._dayOfYear=i.dayOfYear}function V(a){var c,d,e,f,g=[];if(!a._d){for(e=X(a),a._w&&null==a._a[Ea]&&null==a._a[Da]&&U(a),a._dayOfYear&&(f=b(a._a[Ca],e[Ca]),a._dayOfYear>F(f)&&(a._pf._overflowDayOfYear=!0),d=fa(f,0,a._dayOfYear),a._a[Da]=d.getUTCMonth(),a._a[Ea]=d.getUTCDate()),c=0;3>c&&null==a._a[c];++c)a._a[c]=g[c]=e[c];for(;7>c;c++)a._a[c]=g[c]=null==a._a[c]?2===c?1:0:a._a[c];24===a._a[Fa]&&0===a._a[Ga]&&0===a._a[Ha]&&0===a._a[Ia]&&(a._nextDay=!0,a._a[Fa]=0),a._d=(a._useUTC?fa:ea).apply(null,g),null!=a._tzm&&a._d.setUTCMinutes(a._d.getUTCMinutes()-a._tzm),a._nextDay&&(a._a[Fa]=24)}}function W(a){var b;a._d||(b=A(a._i),a._a=[b.year,b.month,b.day||b.date,b.hour,b.minute,b.second,b.millisecond],V(a))}function X(a){var b=new Date;return a._useUTC?[b.getUTCFullYear(),b.getUTCMonth(),b.getUTCDate()]:[b.getFullYear(),b.getMonth(),b.getDate()]}function Y(b){if(b._f===va.ISO_8601)return void aa(b);b._a=[],b._pf.empty=!0;var c,d,e,f,g,h=""+b._i,i=h.length,j=0;for(e=Q(b._f,b._locale).match(Pa)||[],c=0;c0&&b._pf.unusedInput.push(g),h=h.slice(h.indexOf(d)+d.length),j+=d.length),rb[f]?(d?b._pf.empty=!1:b._pf.unusedTokens.push(f),T(f,d,b)):b._strict&&!d&&b._pf.unusedTokens.push(f);b._pf.charsLeftOver=i-j,h.length>0&&b._pf.unusedInput.push(h),b._pf.bigHour===!0&&b._a[Fa]<=12&&(b._pf.bigHour=a),b._a[Fa]=k(b._locale,b._a[Fa],b._meridiem),V(b),H(b)}function Z(a){return a.replace(/\\(\[)|\\(\])|\[([^\]\[]*)\]|\\(.)/g,function(a,b,c,d,e){return b||c||d||e})}function $(a){return a.replace(/[-\/\\^$*+?.()|[\]{}]/g,"\\$&")}function _(a){var b,c,e,f,g;if(0===a._f.length)return a._pf.invalidFormat=!0,void(a._d=new Date(NaN));for(f=0;fg)&&(e=g,c=b));o(a,c||b)}function aa(a){var b,c,d=a._i,e=fb.exec(d);if(e){for(a._pf.iso=!0,b=0,c=hb.length;c>b;b++)if(hb[b][1].exec(d)){a._f=hb[b][0]+(e[6]||" ");break}for(b=0,c=ib.length;c>b;b++)if(ib[b][1].exec(d)){a._f+=ib[b][0];break}d.match(Xa)&&(a._f+="Z"),Y(a)}else a._isValid=!1}function ba(a){aa(a),a._isValid===!1&&(delete a._isValid,va.createFromInputFallback(a))}function ca(a,b){var c,d=[];for(c=0;ca&&h.setFullYear(a),h}function fa(a){var b=new Date(Date.UTC.apply(null,arguments));return 1970>a&&b.setUTCFullYear(a),b}function ga(a,b){if("string"==typeof a)if(isNaN(a)){if(a=b.weekdaysParse(a),"number"!=typeof a)return null}else a=parseInt(a,10);return a}function ha(a,b,c,d,e){return e.relativeTime(b||1,!!c,a,d)}function ia(a,b,c){var d=va.duration(a).abs(),e=Aa(d.as("s")),f=Aa(d.as("m")),g=Aa(d.as("h")),h=Aa(d.as("d")),i=Aa(d.as("M")),j=Aa(d.as("y")),k=e0,k[4]=c,ha.apply({},k)}function ja(a,b,c){var d,e=c-b,f=c-a.day();return f>e&&(f-=7),e-7>f&&(f+=7),d=va(a).add(f,"d"),{week:Math.ceil(d.dayOfYear()/7),year:d.year()}}function ka(a,b,c,d,e){var f,g,h=fa(a,0,1).getUTCDay();return h=0===h?7:h,c=null!=c?c:e,f=e-h+(h>d?7:0)-(e>h?7:0),g=7*(b-1)+(c-e)+f+1,{year:g>0?a:a-1,dayOfYear:g>0?g:F(a-1)+g}}function la(b){var c,d=b._i,e=b._f;return b._locale=b._locale||va.localeData(b._l),null===d||e===a&&""===d?va.invalid({nullInput:!0}):("string"==typeof d&&(b._i=d=b._locale.preparse(d)),va.isMoment(d)?new m(d,!0):(e?w(e)?_(b):Y(b):da(b),c=new m(b),c._nextDay&&(c.add(1,"d"),c._nextDay=a),c))}function ma(a,b){var c,d;if(1===b.length&&w(b[0])&&(b=b[0]),!b.length)return va();for(c=b[0],d=1;d=0?"+":"-";return b+r(Math.abs(a),6)},gg:function(){return r(this.weekYear()%100,2)},gggg:function(){return r(this.weekYear(),4)},ggggg:function(){return r(this.weekYear(),5)},GG:function(){return r(this.isoWeekYear()%100,2)},GGGG:function(){return r(this.isoWeekYear(),4)},GGGGG:function(){return r(this.isoWeekYear(),5)},e:function(){return this.weekday()},E:function(){return this.isoWeekday()},a:function(){return this.localeData().meridiem(this.hours(),this.minutes(),!0)},A:function(){return this.localeData().meridiem(this.hours(),this.minutes(),!1)},H:function(){return this.hours()},h:function(){return this.hours()%12||12},m:function(){return this.minutes()},s:function(){return this.seconds()},S:function(){return C(this.milliseconds()/100)},SS:function(){return r(C(this.milliseconds()/10),2)},SSS:function(){return r(this.milliseconds(),3)},SSSS:function(){return r(this.milliseconds(),3)},Z:function(){var a=this.utcOffset(),b="+";return 0>a&&(a=-a,b="-"),b+r(C(a/60),2)+":"+r(C(a)%60,2)},ZZ:function(){var a=this.utcOffset(),b="+";return 0>a&&(a=-a,b="-"),b+r(C(a/60),2)+r(C(a)%60,2)},z:function(){return this.zoneAbbr()},zz:function(){return this.zoneName()},x:function(){return this.valueOf()},X:function(){return this.unix()},Q:function(){return this.quarter()}},sb={},tb=["months","monthsShort","weekdays","weekdaysShort","weekdaysMin"],ub=!1;pb.length;)xa=pb.pop(),rb[xa+"o"]=i(rb[xa],xa);for(;qb.length;)xa=qb.pop(),rb[xa+xa]=h(rb[xa],2);rb.DDDD=h(rb.DDD,3),o(l.prototype,{set:function(a){var b,c;for(c in a)b=a[c],"function"==typeof b?this[c]=b:this["_"+c]=b;this._ordinalParseLenient=new RegExp(this._ordinalParse.source+"|"+/\d{1,2}/.source)},_months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),months:function(a){return this._months[a.month()]},_monthsShort:"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_"),monthsShort:function(a){return this._monthsShort[a.month()]},monthsParse:function(a,b,c){var d,e,f;for(this._monthsParse||(this._monthsParse=[],this._longMonthsParse=[],this._shortMonthsParse=[]),d=0;12>d;d++){if(e=va.utc([2e3,d]),c&&!this._longMonthsParse[d]&&(this._longMonthsParse[d]=new RegExp("^"+this.months(e,"").replace(".","")+"$","i"),this._shortMonthsParse[d]=new RegExp("^"+this.monthsShort(e,"").replace(".","")+"$","i")),c||this._monthsParse[d]||(f="^"+this.months(e,"")+"|^"+this.monthsShort(e,""),this._monthsParse[d]=new RegExp(f.replace(".",""),"i")),c&&"MMMM"===b&&this._longMonthsParse[d].test(a))return d;if(c&&"MMM"===b&&this._shortMonthsParse[d].test(a))return d;if(!c&&this._monthsParse[d].test(a))return d}},_weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),weekdays:function(a){return this._weekdays[a.day()]},_weekdaysShort:"Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),weekdaysShort:function(a){return this._weekdaysShort[a.day()]},_weekdaysMin:"Su_Mo_Tu_We_Th_Fr_Sa".split("_"),weekdaysMin:function(a){return this._weekdaysMin[a.day()]},weekdaysParse:function(a){var b,c,d;for(this._weekdaysParse||(this._weekdaysParse=[]),b=0;7>b;b++)if(this._weekdaysParse[b]||(c=va([2e3,1]).day(b),d="^"+this.weekdays(c,"")+"|^"+this.weekdaysShort(c,"")+"|^"+this.weekdaysMin(c,""),this._weekdaysParse[b]=new RegExp(d.replace(".",""),"i")),this._weekdaysParse[b].test(a))return b},_longDateFormat:{LTS:"h:mm:ss A",LT:"h:mm A",L:"MM/DD/YYYY",LL:"MMMM D, YYYY",LLL:"MMMM D, YYYY LT",LLLL:"dddd, MMMM D, YYYY LT"},longDateFormat:function(a){var b=this._longDateFormat[a];return!b&&this._longDateFormat[a.toUpperCase()]&&(b=this._longDateFormat[a.toUpperCase()].replace(/MMMM|MM|DD|dddd/g,function(a){return a.slice(1)}),this._longDateFormat[a]=b),b},isPM:function(a){return"p"===(a+"").toLowerCase().charAt(0)},_meridiemParse:/[ap]\.?m?\.?/i,meridiem:function(a,b,c){return a>11?c?"pm":"PM":c?"am":"AM"},_calendar:{sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},calendar:function(a,b,c){var d=this._calendar[a];return"function"==typeof d?d.apply(b,[c]):d},_relativeTime:{future:"in %s",past:"%s ago",s:"a few seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},relativeTime:function(a,b,c,d){var e=this._relativeTime[c];return"function"==typeof e?e(a,b,c,d):e.replace(/%d/i,a)},pastFuture:function(a,b){var c=this._relativeTime[a>0?"future":"past"];return"function"==typeof c?c(b):c.replace(/%s/i,b)},ordinal:function(a){return this._ordinal.replace("%d",a)},_ordinal:"%d",_ordinalParse:/\d{1,2}/,preparse:function(a){return a},postformat:function(a){return a},week:function(a){return ja(a,this._week.dow,this._week.doy).week},_week:{dow:0,doy:6},firstDayOfWeek:function(){return this._week.dow},firstDayOfYear:function(){return this._week.doy},_invalidDate:"Invalid date",invalidDate:function(){return this._invalidDate}}),va=function(b,c,e,f){var g;return"boolean"==typeof e&&(f=e,e=a),g={},g._isAMomentObject=!0,g._i=b,g._f=c,g._l=e,g._strict=f,g._isUTC=!1,g._pf=d(),la(g)},va.suppressDeprecationWarnings=!1,va.createFromInputFallback=f("moment construction falls back to js Date. This is discouraged and will be removed in upcoming major release. Please refer to https://github.com/moment/moment/issues/1407 for more info.",function(a){a._d=new Date(a._i+(a._useUTC?" UTC":""))}),va.min=function(){var a=[].slice.call(arguments,0);return ma("isBefore",a)},va.max=function(){var a=[].slice.call(arguments,0);return ma("isAfter",a)},va.utc=function(b,c,e,f){var g;return"boolean"==typeof e&&(f=e,e=a),g={},g._isAMomentObject=!0,g._useUTC=!0,g._isUTC=!0,g._l=e,g._i=b,g._f=c,g._strict=f,g._pf=d(),la(g).utc()},va.unix=function(a){return va(1e3*a)},va.duration=function(a,b){var d,e,f,g,h=a,i=null;return va.isDuration(a)?h={ms:a._milliseconds,d:a._days,M:a._months}:"number"==typeof a?(h={},b?h[b]=a:h.milliseconds=a):(i=Na.exec(a))?(d="-"===i[1]?-1:1,h={y:0,d:C(i[Ea])*d,h:C(i[Fa])*d,m:C(i[Ga])*d,s:C(i[Ha])*d,ms:C(i[Ia])*d}):(i=Oa.exec(a))?(d="-"===i[1]?-1:1,f=function(a){var b=a&&parseFloat(a.replace(",","."));return(isNaN(b)?0:b)*d},h={y:f(i[2]),M:f(i[3]),d:f(i[4]),h:f(i[5]),m:f(i[6]),s:f(i[7]),w:f(i[8])}):null==h?h={}:"object"==typeof h&&("from"in h||"to"in h)&&(g=t(va(h.from),va(h.to)),h={},h.ms=g.milliseconds,h.M=g.months),e=new n(h),va.isDuration(a)&&c(a,"_locale")&&(e._locale=a._locale),e},va.version=ya,va.defaultFormat=gb,va.ISO_8601=function(){},va.momentProperties=Ka,va.updateOffset=function(){},va.relativeTimeThreshold=function(b,c){return ob[b]===a?!1:c===a?ob[b]:(ob[b]=c,!0)},va.lang=f("moment.lang is deprecated. Use moment.locale instead.",function(a,b){return va.locale(a,b)}),va.locale=function(a,b){var c;return a&&(c="undefined"!=typeof b?va.defineLocale(a,b):va.localeData(a),c&&(va.duration._locale=va._locale=c)),va._locale._abbr},va.defineLocale=function(a,b){return null!==b?(b.abbr=a,Ja[a]||(Ja[a]=new l),Ja[a].set(b),va.locale(a),Ja[a]):(delete Ja[a],null)},va.langData=f("moment.langData is deprecated. Use moment.localeData instead.",function(a){return va.localeData(a)}),va.localeData=function(a){var b;if(a&&a._locale&&a._locale._abbr&&(a=a._locale._abbr),!a)return va._locale;if(!w(a)){if(b=L(a))return b;a=[a]}return K(a)},va.isMoment=function(a){return a instanceof m||null!=a&&c(a,"_isAMomentObject")},va.isDuration=function(a){return a instanceof n};for(xa=tb.length-1;xa>=0;--xa)B(tb[xa]);va.normalizeUnits=function(a){return z(a)},va.invalid=function(a){var b=va.utc(NaN);return null!=a?o(b._pf,a):b._pf.userInvalidated=!0,b},va.parseZone=function(){return va.apply(null,arguments).parseZone()},va.parseTwoDigitYear=function(a){return C(a)+(C(a)>68?1900:2e3)},va.isDate=x,o(va.fn=m.prototype,{clone:function(){return va(this)},valueOf:function(){return+this._d-6e4*(this._offset||0)},unix:function(){return Math.floor(+this/1e3)},toString:function(){return this.clone().locale("en").format("ddd MMM DD YYYY HH:mm:ss [GMT]ZZ")},toDate:function(){return this._offset?new Date(+this):this._d},toISOString:function(){var a=va(this).utc();return 00:!1},parsingFlags:function(){return o({},this._pf)},invalidAt:function(){return this._pf.overflow},utc:function(a){return this.utcOffset(0,a)},local:function(a){return this._isUTC&&(this.utcOffset(0,a),this._isUTC=!1,a&&this.subtract(this._dateUtcOffset(),"m")),this},format:function(a){var b=P(this,a||va.defaultFormat);return this.localeData().postformat(b)},add:u(1,"add"),subtract:u(-1,"subtract"),diff:function(a,b,c){var d,e,f=M(a,this),g=6e4*(f.utcOffset()-this.utcOffset());return b=z(b),"year"===b||"month"===b||"quarter"===b?(e=j(this,f),"quarter"===b?e/=3:"year"===b&&(e/=12)):(d=this-f,e="second"===b?d/1e3:"minute"===b?d/6e4:"hour"===b?d/36e5:"day"===b?(d-g)/864e5:"week"===b?(d-g)/6048e5:d),c?e:q(e)},from:function(a,b){return va.duration({to:this,from:a}).locale(this.locale()).humanize(!b)},fromNow:function(a){return this.from(va(),a)},calendar:function(a){var b=a||va(),c=M(b,this).startOf("day"),d=this.diff(c,"days",!0),e=-6>d?"sameElse":-1>d?"lastWeek":0>d?"lastDay":1>d?"sameDay":2>d?"nextDay":7>d?"nextWeek":"sameElse";return this.format(this.localeData().calendar(e,this,va(b)))},isLeapYear:function(){return G(this.year())},isDST:function(){return this.utcOffset()>this.clone().month(0).utcOffset()||this.utcOffset()>this.clone().month(5).utcOffset()},day:function(a){var b=this._isUTC?this._d.getUTCDay():this._d.getDay();return null!=a?(a=ga(a,this.localeData()),this.add(a-b,"d")):b},month:qa("Month",!0),startOf:function(a){switch(a=z(a)){case"year":this.month(0);case"quarter":case"month":this.date(1);case"week":case"isoWeek":case"day":this.hours(0);case"hour":this.minutes(0);case"minute":this.seconds(0);case"second":this.milliseconds(0)}return"week"===a?this.weekday(0):"isoWeek"===a&&this.isoWeekday(1),"quarter"===a&&this.month(3*Math.floor(this.month()/3)),this},endOf:function(b){return b=z(b),b===a||"millisecond"===b?this:this.startOf(b).add(1,"isoWeek"===b?"week":b).subtract(1,"ms")},isAfter:function(a,b){var c;return b=z("undefined"!=typeof b?b:"millisecond"),"millisecond"===b?(a=va.isMoment(a)?a:va(a),+this>+a):(c=va.isMoment(a)?+a:+va(a),c<+this.clone().startOf(b))},isBefore:function(a,b){var c;return b=z("undefined"!=typeof b?b:"millisecond"),"millisecond"===b?(a=va.isMoment(a)?a:va(a),+a>+this):(c=va.isMoment(a)?+a:+va(a),+this.clone().endOf(b)b-f?(c=a.clone().add(e-1,"months"),d=(b-f)/(f-c)):(c=a.clone().add(e+1,"months"),d=(b-f)/(c-f)),-(e+d)}function k(a,b,c){var d;return null==c?b:null!=a.meridiemHour?a.meridiemHour(b,c):null!=a.isPM?(d=a.isPM(c),d&&12>b&&(b+=12),d||12!==b||(b=0),b):b}function l(){}function m(a,b){b!==!1&&H(a),p(this,a),this._d=new Date(+a._d),ub===!1&&(ub=!0,va.updateOffset(this),ub=!1)}function n(a){var b=A(a),c=b.year||0,d=b.quarter||0,e=b.month||0,f=b.week||0,g=b.day||0,h=b.hour||0,i=b.minute||0,j=b.second||0,k=b.millisecond||0;this._milliseconds=+k+1e3*j+6e4*i+36e5*h,this._days=+g+7*f,this._months=+e+3*d+12*c,this._data={},this._locale=va.localeData(),this._bubble()}function o(a,b){for(var d in b)c(b,d)&&(a[d]=b[d]);return c(b,"toString")&&(a.toString=b.toString),c(b,"valueOf")&&(a.valueOf=b.valueOf),a}function p(a,b){var c,d,e;if("undefined"!=typeof b._isAMomentObject&&(a._isAMomentObject=b._isAMomentObject),"undefined"!=typeof b._i&&(a._i=b._i),"undefined"!=typeof b._f&&(a._f=b._f),"undefined"!=typeof b._l&&(a._l=b._l),"undefined"!=typeof b._strict&&(a._strict=b._strict),"undefined"!=typeof b._tzm&&(a._tzm=b._tzm),"undefined"!=typeof b._isUTC&&(a._isUTC=b._isUTC),"undefined"!=typeof b._offset&&(a._offset=b._offset),"undefined"!=typeof b._pf&&(a._pf=b._pf),"undefined"!=typeof b._locale&&(a._locale=b._locale),Ka.length>0)for(c in Ka)d=Ka[c],e=b[d],"undefined"!=typeof e&&(a[d]=e);return a}function q(a){return 0>a?Math.ceil(a):Math.floor(a)}function r(a,b,c){for(var d=""+Math.abs(a),e=a>=0;d.lengthd;d++)(c&&a[d]!==b[d]||!c&&C(a[d])!==C(b[d]))&&g++;return g+f}function z(a){if(a){var b=a.toLowerCase().replace(/(.)s$/,"$1");a=lb[a]||mb[b]||b}return a}function A(a){var b,d,e={};for(d in a)c(a,d)&&(b=z(d),b&&(e[b]=a[d]));return e}function B(b){var c,d;if(0===b.indexOf("week"))c=7,d="day";else{if(0!==b.indexOf("month"))return;c=12,d="month"}va[b]=function(e,f){var g,h,i=va._locale[b],j=[];if("number"==typeof e&&(f=e,e=a),h=function(a){var b=va().utc().set(d,a);return i.call(va._locale,b,e||"")},null!=f)return h(f);for(g=0;c>g;g++)j.push(h(g));return j}}function C(a){var b=+a,c=0;return 0!==b&&isFinite(b)&&(c=b>=0?Math.floor(b):Math.ceil(b)),c}function D(a,b){return new Date(Date.UTC(a,b+1,0)).getUTCDate()}function E(a,b,c){return ja(va([a,11,31+b-c]),b,c).week}function F(a){return G(a)?366:365}function G(a){return a%4===0&&a%100!==0||a%400===0}function H(a){var b;a._a&&-2===a._pf.overflow&&(b=a._a[Da]<0||a._a[Da]>11?Da:a._a[Ea]<1||a._a[Ea]>D(a._a[Ca],a._a[Da])?Ea:a._a[Fa]<0||a._a[Fa]>24||24===a._a[Fa]&&(0!==a._a[Ga]||0!==a._a[Ha]||0!==a._a[Ia])?Fa:a._a[Ga]<0||a._a[Ga]>59?Ga:a._a[Ha]<0||a._a[Ha]>59?Ha:a._a[Ia]<0||a._a[Ia]>999?Ia:-1,a._pf._overflowDayOfYear&&(Ca>b||b>Ea)&&(b=Ea),a._pf.overflow=b)}function I(b){return null==b._isValid&&(b._isValid=!isNaN(b._d.getTime())&&b._pf.overflow<0&&!b._pf.empty&&!b._pf.invalidMonth&&!b._pf.nullInput&&!b._pf.invalidFormat&&!b._pf.userInvalidated,b._strict&&(b._isValid=b._isValid&&0===b._pf.charsLeftOver&&0===b._pf.unusedTokens.length&&b._pf.bigHour===a)),b._isValid}function J(a){return a?a.toLowerCase().replace("_","-"):a}function K(a){for(var b,c,d,e,f=0;f0;){if(d=L(e.slice(0,b).join("-")))return d;if(c&&c.length>=b&&y(e,c,!0)>=b-1)break;b--}f++}return null}function L(a){var b=null;if(!Ja[a]&&La)try{b=va.locale(),require("./locale/"+a),va.locale(b)}catch(c){}return Ja[a]}function M(a,b){var c,d;return b._isUTC?(c=b.clone(),d=(va.isMoment(a)||x(a)?+a:+va(a))-+c,c._d.setTime(+c._d+d),va.updateOffset(c,!1),c):va(a).local()}function N(a){return a.match(/\[[\s\S]/)?a.replace(/^\[|\]$/g,""):a.replace(/\\/g,"")}function O(a){var b,c,d=a.match(Pa);for(b=0,c=d.length;c>b;b++)rb[d[b]]?d[b]=rb[d[b]]:d[b]=N(d[b]);return function(e){var f="";for(b=0;c>b;b++)f+=d[b]instanceof Function?d[b].call(e,a):d[b];return f}}function P(a,b){return a.isValid()?(b=Q(b,a.localeData()),nb[b]||(nb[b]=O(b)),nb[b](a)):a.localeData().invalidDate()}function Q(a,b){function c(a){return b.longDateFormat(a)||a}var d=5;for(Qa.lastIndex=0;d>=0&&Qa.test(a);)a=a.replace(Qa,c),Qa.lastIndex=0,d-=1;return a}function R(a,b){var c,d=b._strict;switch(a){case"Q":return _a;case"DDDD":return bb;case"YYYY":case"GGGG":case"gggg":return d?cb:Ta;case"Y":case"G":case"g":return eb;case"YYYYYY":case"YYYYY":case"GGGGG":case"ggggg":return d?db:Ua;case"S":if(d)return _a;case"SS":if(d)return ab;case"SSS":if(d)return bb;case"DDD":return Sa;case"MMM":case"MMMM":case"dd":case"ddd":case"dddd":return Wa;case"a":case"A":return b._locale._meridiemParse;case"x":return Za;case"X":return $a;case"Z":case"ZZ":return Xa;case"T":return Ya;case"SSSS":return Va;case"MM":case"DD":case"YY":case"GG":case"gg":case"HH":case"hh":case"mm":case"ss":case"ww":case"WW":return d?ab:Ra;case"M":case"D":case"d":case"H":case"h":case"m":case"s":case"w":case"W":case"e":case"E":return Ra;case"Do":return d?b._locale._ordinalParse:b._locale._ordinalParseLenient;default:return c=new RegExp($(Z(a.replace("\\","")),"i"))}}function S(a){a=a||"";var b=a.match(Xa)||[],c=b[b.length-1]||[],d=(c+"").match(jb)||["-",0,0],e=+(60*d[1])+C(d[2]);return"+"===d[0]?e:-e}function T(a,b,c){var d,e=c._a;switch(a){case"Q":null!=b&&(e[Da]=3*(C(b)-1));break;case"M":case"MM":null!=b&&(e[Da]=C(b)-1);break;case"MMM":case"MMMM":d=c._locale.monthsParse(b,a,c._strict),null!=d?e[Da]=d:c._pf.invalidMonth=b;break;case"D":case"DD":null!=b&&(e[Ea]=C(b));break;case"Do":null!=b&&(e[Ea]=C(parseInt(b.match(/\d{1,2}/)[0],10)));break;case"DDD":case"DDDD":null!=b&&(c._dayOfYear=C(b));break;case"YY":e[Ca]=va.parseTwoDigitYear(b);break;case"YYYY":case"YYYYY":case"YYYYYY":e[Ca]=C(b);break;case"a":case"A":c._meridiem=b;break;case"h":case"hh":c._pf.bigHour=!0;case"H":case"HH":e[Fa]=C(b);break;case"m":case"mm":e[Ga]=C(b);break;case"s":case"ss":e[Ha]=C(b);break;case"S":case"SS":case"SSS":case"SSSS":e[Ia]=C(1e3*("0."+b));break;case"x":c._d=new Date(C(b));break;case"X":c._d=new Date(1e3*parseFloat(b));break;case"Z":case"ZZ":c._useUTC=!0,c._tzm=S(b);break;case"dd":case"ddd":case"dddd":d=c._locale.weekdaysParse(b),null!=d?(c._w=c._w||{},c._w.d=d):c._pf.invalidWeekday=b;break;case"w":case"ww":case"W":case"WW":case"d":case"e":case"E":a=a.substr(0,1);case"gggg":case"GGGG":case"GGGGG":a=a.substr(0,2),b&&(c._w=c._w||{},c._w[a]=C(b));break;case"gg":case"GG":c._w=c._w||{},c._w[a]=va.parseTwoDigitYear(b)}}function U(a){var c,d,e,f,g,h,i;c=a._w,null!=c.GG||null!=c.W||null!=c.E?(g=1,h=4,d=b(c.GG,a._a[Ca],ja(va(),1,4).year),e=b(c.W,1),f=b(c.E,1)):(g=a._locale._week.dow,h=a._locale._week.doy,d=b(c.gg,a._a[Ca],ja(va(),g,h).year),e=b(c.w,1),null!=c.d?(f=c.d,g>f&&++e):f=null!=c.e?c.e+g:g),i=ka(d,e,f,h,g),a._a[Ca]=i.year,a._dayOfYear=i.dayOfYear}function V(a){var c,d,e,f,g=[];if(!a._d){for(e=X(a),a._w&&null==a._a[Ea]&&null==a._a[Da]&&U(a),a._dayOfYear&&(f=b(a._a[Ca],e[Ca]),a._dayOfYear>F(f)&&(a._pf._overflowDayOfYear=!0),d=fa(f,0,a._dayOfYear),a._a[Da]=d.getUTCMonth(),a._a[Ea]=d.getUTCDate()),c=0;3>c&&null==a._a[c];++c)a._a[c]=g[c]=e[c];for(;7>c;c++)a._a[c]=g[c]=null==a._a[c]?2===c?1:0:a._a[c];24===a._a[Fa]&&0===a._a[Ga]&&0===a._a[Ha]&&0===a._a[Ia]&&(a._nextDay=!0,a._a[Fa]=0),a._d=(a._useUTC?fa:ea).apply(null,g),null!=a._tzm&&a._d.setUTCMinutes(a._d.getUTCMinutes()-a._tzm),a._nextDay&&(a._a[Fa]=24)}}function W(a){var b;a._d||(b=A(a._i),a._a=[b.year,b.month,b.day||b.date,b.hour,b.minute,b.second,b.millisecond],V(a))}function X(a){var b=new Date;return a._useUTC?[b.getUTCFullYear(),b.getUTCMonth(),b.getUTCDate()]:[b.getFullYear(),b.getMonth(),b.getDate()]}function Y(b){if(b._f===va.ISO_8601)return void aa(b);b._a=[],b._pf.empty=!0;var c,d,e,f,g,h=""+b._i,i=h.length,j=0;for(e=Q(b._f,b._locale).match(Pa)||[],c=0;c0&&b._pf.unusedInput.push(g),h=h.slice(h.indexOf(d)+d.length),j+=d.length),rb[f]?(d?b._pf.empty=!1:b._pf.unusedTokens.push(f),T(f,d,b)):b._strict&&!d&&b._pf.unusedTokens.push(f);b._pf.charsLeftOver=i-j,h.length>0&&b._pf.unusedInput.push(h),b._pf.bigHour===!0&&b._a[Fa]<=12&&(b._pf.bigHour=a),b._a[Fa]=k(b._locale,b._a[Fa],b._meridiem),V(b),H(b)}function Z(a){return a.replace(/\\(\[)|\\(\])|\[([^\]\[]*)\]|\\(.)/g,function(a,b,c,d,e){return b||c||d||e})}function $(a){return a.replace(/[-\/\\^$*+?.()|[\]{}]/g,"\\$&")}function _(a){var b,c,e,f,g;if(0===a._f.length)return a._pf.invalidFormat=!0,void(a._d=new Date(NaN));for(f=0;fg)&&(e=g,c=b));o(a,c||b)}function aa(a){var b,c,d=a._i,e=fb.exec(d);if(e){for(a._pf.iso=!0,b=0,c=hb.length;c>b;b++)if(hb[b][1].exec(d)){a._f=hb[b][0]+(e[6]||" ");break}for(b=0,c=ib.length;c>b;b++)if(ib[b][1].exec(d)){a._f+=ib[b][0];break}d.match(Xa)&&(a._f+="Z"),Y(a)}else a._isValid=!1}function ba(a){aa(a),a._isValid===!1&&(delete a._isValid,va.createFromInputFallback(a))}function ca(a,b){var c,d=[];for(c=0;ca&&h.setFullYear(a),h}function fa(a){var b=new Date(Date.UTC.apply(null,arguments));return 1970>a&&b.setUTCFullYear(a),b}function ga(a,b){if("string"==typeof a)if(isNaN(a)){if(a=b.weekdaysParse(a),"number"!=typeof a)return null}else a=parseInt(a,10);return a}function ha(a,b,c,d,e){return e.relativeTime(b||1,!!c,a,d)}function ia(a,b,c){var d=va.duration(a).abs(),e=Aa(d.as("s")),f=Aa(d.as("m")),g=Aa(d.as("h")),h=Aa(d.as("d")),i=Aa(d.as("M")),j=Aa(d.as("y")),k=e0,k[4]=c,ha.apply({},k)}function ja(a,b,c){var d,e=c-b,f=c-a.day();return f>e&&(f-=7),e-7>f&&(f+=7),d=va(a).add(f,"d"),{week:Math.ceil(d.dayOfYear()/7),year:d.year()}}function ka(a,b,c,d,e){var f,g,h=fa(a,0,1).getUTCDay();return h=0===h?7:h,c=null!=c?c:e,f=e-h+(h>d?7:0)-(e>h?7:0),g=7*(b-1)+(c-e)+f+1,{year:g>0?a:a-1,dayOfYear:g>0?g:F(a-1)+g}}function la(b){var c,d=b._i,e=b._f;return b._locale=b._locale||va.localeData(b._l),null===d||e===a&&""===d?va.invalid({nullInput:!0}):("string"==typeof d&&(b._i=d=b._locale.preparse(d)),va.isMoment(d)?new m(d,!0):(e?w(e)?_(b):Y(b):da(b),c=new m(b),c._nextDay&&(c.add(1,"d"),c._nextDay=a),c))}function ma(a,b){var c,d;if(1===b.length&&w(b[0])&&(b=b[0]),!b.length)return va();for(c=b[0],d=1;d=0?"+":"-";return b+r(Math.abs(a),6)},gg:function(){return r(this.weekYear()%100,2)},gggg:function(){return r(this.weekYear(),4)},ggggg:function(){return r(this.weekYear(),5)},GG:function(){return r(this.isoWeekYear()%100,2)},GGGG:function(){return r(this.isoWeekYear(),4)},GGGGG:function(){return r(this.isoWeekYear(),5)},e:function(){return this.weekday()},E:function(){return this.isoWeekday()},a:function(){return this.localeData().meridiem(this.hours(),this.minutes(),!0)},A:function(){return this.localeData().meridiem(this.hours(),this.minutes(),!1)},H:function(){return this.hours()},h:function(){return this.hours()%12||12},m:function(){return this.minutes()},s:function(){return this.seconds()},S:function(){return C(this.milliseconds()/100)},SS:function(){return r(C(this.milliseconds()/10),2)},SSS:function(){return r(this.milliseconds(),3)},SSSS:function(){return r(this.milliseconds(),3)},Z:function(){var a=this.utcOffset(),b="+";return 0>a&&(a=-a,b="-"),b+r(C(a/60),2)+":"+r(C(a)%60,2)},ZZ:function(){var a=this.utcOffset(),b="+";return 0>a&&(a=-a,b="-"),b+r(C(a/60),2)+r(C(a)%60,2)},z:function(){return this.zoneAbbr()},zz:function(){return this.zoneName()},x:function(){return this.valueOf()},X:function(){return this.unix()},Q:function(){return this.quarter()}},sb={},tb=["months","monthsShort","weekdays","weekdaysShort","weekdaysMin"],ub=!1;pb.length;)xa=pb.pop(),rb[xa+"o"]=i(rb[xa],xa);for(;qb.length;)xa=qb.pop(),rb[xa+xa]=h(rb[xa],2);rb.DDDD=h(rb.DDD,3),o(l.prototype,{set:function(a){var b,c;for(c in a)b=a[c],"function"==typeof b?this[c]=b:this["_"+c]=b;this._ordinalParseLenient=new RegExp(this._ordinalParse.source+"|"+/\d{1,2}/.source)},_months:"January_February_March_April_May_June_July_August_September_October_November_December".split("_"),months:function(a){return this._months[a.month()]},_monthsShort:"Jan_Feb_Mar_Apr_May_Jun_Jul_Aug_Sep_Oct_Nov_Dec".split("_"),monthsShort:function(a){return this._monthsShort[a.month()]},monthsParse:function(a,b,c){var d,e,f;for(this._monthsParse||(this._monthsParse=[],this._longMonthsParse=[],this._shortMonthsParse=[]),d=0;12>d;d++){if(e=va.utc([2e3,d]),c&&!this._longMonthsParse[d]&&(this._longMonthsParse[d]=new RegExp("^"+this.months(e,"").replace(".","")+"$","i"),this._shortMonthsParse[d]=new RegExp("^"+this.monthsShort(e,"").replace(".","")+"$","i")),c||this._monthsParse[d]||(f="^"+this.months(e,"")+"|^"+this.monthsShort(e,""),this._monthsParse[d]=new RegExp(f.replace(".",""),"i")),c&&"MMMM"===b&&this._longMonthsParse[d].test(a))return d;if(c&&"MMM"===b&&this._shortMonthsParse[d].test(a))return d;if(!c&&this._monthsParse[d].test(a))return d}},_weekdays:"Sunday_Monday_Tuesday_Wednesday_Thursday_Friday_Saturday".split("_"),weekdays:function(a){return this._weekdays[a.day()]},_weekdaysShort:"Sun_Mon_Tue_Wed_Thu_Fri_Sat".split("_"),weekdaysShort:function(a){return this._weekdaysShort[a.day()]},_weekdaysMin:"Su_Mo_Tu_We_Th_Fr_Sa".split("_"),weekdaysMin:function(a){return this._weekdaysMin[a.day()]},weekdaysParse:function(a){var b,c,d;for(this._weekdaysParse||(this._weekdaysParse=[]),b=0;7>b;b++)if(this._weekdaysParse[b]||(c=va([2e3,1]).day(b),d="^"+this.weekdays(c,"")+"|^"+this.weekdaysShort(c,"")+"|^"+this.weekdaysMin(c,""),this._weekdaysParse[b]=new RegExp(d.replace(".",""),"i")),this._weekdaysParse[b].test(a))return b},_longDateFormat:{LTS:"h:mm:ss A",LT:"h:mm A",L:"MM/DD/YYYY",LL:"MMMM D, YYYY",LLL:"MMMM D, YYYY LT",LLLL:"dddd, MMMM D, YYYY LT"},longDateFormat:function(a){var b=this._longDateFormat[a];return!b&&this._longDateFormat[a.toUpperCase()]&&(b=this._longDateFormat[a.toUpperCase()].replace(/MMMM|MM|DD|dddd/g,function(a){return a.slice(1)}),this._longDateFormat[a]=b),b},isPM:function(a){return"p"===(a+"").toLowerCase().charAt(0)},_meridiemParse:/[ap]\.?m?\.?/i,meridiem:function(a,b,c){return a>11?c?"pm":"PM":c?"am":"AM"},_calendar:{sameDay:"[Today at] LT",nextDay:"[Tomorrow at] LT",nextWeek:"dddd [at] LT",lastDay:"[Yesterday at] LT",lastWeek:"[Last] dddd [at] LT",sameElse:"L"},calendar:function(a,b,c){var d=this._calendar[a];return"function"==typeof d?d.apply(b,[c]):d},_relativeTime:{future:"in %s",past:"%s ago",s:"a few seconds",m:"a minute",mm:"%d minutes",h:"an hour",hh:"%d hours",d:"a day",dd:"%d days",M:"a month",MM:"%d months",y:"a year",yy:"%d years"},relativeTime:function(a,b,c,d){var e=this._relativeTime[c];return"function"==typeof e?e(a,b,c,d):e.replace(/%d/i,a)},pastFuture:function(a,b){var c=this._relativeTime[a>0?"future":"past"];return"function"==typeof c?c(b):c.replace(/%s/i,b)},ordinal:function(a){return this._ordinal.replace("%d",a)},_ordinal:"%d",_ordinalParse:/\d{1,2}/,preparse:function(a){return a},postformat:function(a){return a},week:function(a){return ja(a,this._week.dow,this._week.doy).week},_week:{dow:0,doy:6},firstDayOfWeek:function(){return this._week.dow},firstDayOfYear:function(){return this._week.doy},_invalidDate:"Invalid date",invalidDate:function(){return this._invalidDate}}),va=function(b,c,e,f){var g;return"boolean"==typeof e&&(f=e,e=a),g={},g._isAMomentObject=!0,g._i=b,g._f=c,g._l=e,g._strict=f,g._isUTC=!1,g._pf=d(),la(g)},va.suppressDeprecationWarnings=!1,va.createFromInputFallback=f("moment construction falls back to js Date. This is discouraged and will be removed in upcoming major release. Please refer to https://github.com/moment/moment/issues/1407 for more info.",function(a){a._d=new Date(a._i+(a._useUTC?" UTC":""))}),va.min=function(){var a=[].slice.call(arguments,0);return ma("isBefore",a)},va.max=function(){var a=[].slice.call(arguments,0);return ma("isAfter",a)},va.utc=function(b,c,e,f){var g;return"boolean"==typeof e&&(f=e,e=a),g={},g._isAMomentObject=!0,g._useUTC=!0,g._isUTC=!0,g._l=e,g._i=b,g._f=c,g._strict=f,g._pf=d(),la(g).utc()},va.unix=function(a){return va(1e3*a)},va.duration=function(a,b){var d,e,f,g,h=a,i=null;return va.isDuration(a)?h={ms:a._milliseconds,d:a._days,M:a._months}:"number"==typeof a?(h={},b?h[b]=a:h.milliseconds=a):(i=Na.exec(a))?(d="-"===i[1]?-1:1,h={y:0,d:C(i[Ea])*d,h:C(i[Fa])*d,m:C(i[Ga])*d,s:C(i[Ha])*d,ms:C(i[Ia])*d}):(i=Oa.exec(a))?(d="-"===i[1]?-1:1,f=function(a){var b=a&&parseFloat(a.replace(",","."));return(isNaN(b)?0:b)*d},h={y:f(i[2]),M:f(i[3]),d:f(i[4]),h:f(i[5]),m:f(i[6]),s:f(i[7]),w:f(i[8])}):null==h?h={}:"object"==typeof h&&("from"in h||"to"in h)&&(g=t(va(h.from),va(h.to)),h={},h.ms=g.milliseconds,h.M=g.months),e=new n(h),va.isDuration(a)&&c(a,"_locale")&&(e._locale=a._locale),e},va.version=ya,va.defaultFormat=gb,va.ISO_8601=function(){},va.momentProperties=Ka,va.updateOffset=function(){},va.relativeTimeThreshold=function(b,c){return ob[b]===a?!1:c===a?ob[b]:(ob[b]=c,!0)},va.lang=f("moment.lang is deprecated. Use moment.locale instead.",function(a,b){return va.locale(a,b)}),va.locale=function(a,b){var c;return a&&(c="undefined"!=typeof b?va.defineLocale(a,b):va.localeData(a),c&&(va.duration._locale=va._locale=c)),va._locale._abbr},va.defineLocale=function(a,b){return null!==b?(b.abbr=a,Ja[a]||(Ja[a]=new l),Ja[a].set(b),va.locale(a),Ja[a]):(delete Ja[a],null)},va.langData=f("moment.langData is deprecated. Use moment.localeData instead.",function(a){return va.localeData(a)}),va.localeData=function(a){var b;if(a&&a._locale&&a._locale._abbr&&(a=a._locale._abbr),!a)return va._locale;if(!w(a)){if(b=L(a))return b;a=[a]}return K(a)},va.isMoment=function(a){return a instanceof m||null!=a&&c(a,"_isAMomentObject")},va.isDuration=function(a){return a instanceof n};for(xa=tb.length-1;xa>=0;--xa)B(tb[xa]);va.normalizeUnits=function(a){return z(a)},va.invalid=function(a){var b=va.utc(NaN);return null!=a?o(b._pf,a):b._pf.userInvalidated=!0,b},va.parseZone=function(){return va.apply(null,arguments).parseZone()},va.parseTwoDigitYear=function(a){return C(a)+(C(a)>68?1900:2e3)},va.isDate=x,o(va.fn=m.prototype,{clone:function(){return va(this)},valueOf:function(){return+this._d-6e4*(this._offset||0)},unix:function(){return Math.floor(+this/1e3)},toString:function(){return this.clone().locale("en").format("ddd MMM DD YYYY HH:mm:ss [GMT]ZZ")},toDate:function(){return this._offset?new Date(+this):this._d},toISOString:function(){var a=va(this).utc();return 00:!1},parsingFlags:function(){return o({},this._pf)},invalidAt:function(){return this._pf.overflow},utc:function(a){return this.utcOffset(0,a)},local:function(a){return this._isUTC&&(this.utcOffset(0,a),this._isUTC=!1,a&&this.subtract(this._dateUtcOffset(),"m")),this},format:function(a){var b=P(this,a||va.defaultFormat);return this.localeData().postformat(b)},add:u(1,"add"),subtract:u(-1,"subtract"),diff:function(a,b,c){var d,e,f=M(a,this),g=6e4*(f.utcOffset()-this.utcOffset());return b=z(b),"year"===b||"month"===b||"quarter"===b?(e=j(this,f),"quarter"===b?e/=3:"year"===b&&(e/=12)):(d=this-f,e="second"===b?d/1e3:"minute"===b?d/6e4:"hour"===b?d/36e5:"day"===b?(d-g)/864e5:"week"===b?(d-g)/6048e5:d),c?e:q(e)},from:function(a,b){return va.duration({to:this,from:a}).locale(this.locale()).humanize(!b)},fromNow:function(a){return this.from(va(),a)},calendar:function(a){var b=a||va(),c=M(b,this).startOf("day"),d=this.diff(c,"days",!0),e=-6>d?"sameElse":-1>d?"lastWeek":0>d?"lastDay":1>d?"sameDay":2>d?"nextDay":7>d?"nextWeek":"sameElse";return this.format(this.localeData().calendar(e,this,va(b)))},isLeapYear:function(){return G(this.year())},isDST:function(){return this.utcOffset()>this.clone().month(0).utcOffset()||this.utcOffset()>this.clone().month(5).utcOffset()},day:function(a){var b=this._isUTC?this._d.getUTCDay():this._d.getDay();return null!=a?(a=ga(a,this.localeData()),this.add(a-b,"d")):b},month:qa("Month",!0),startOf:function(a){switch(a=z(a)){case"year":this.month(0);case"quarter":case"month":this.date(1);case"week":case"isoWeek":case"day":this.hours(0);case"hour":this.minutes(0);case"minute":this.seconds(0);case"second":this.milliseconds(0)}return"week"===a?this.weekday(0):"isoWeek"===a&&this.isoWeekday(1),"quarter"===a&&this.month(3*Math.floor(this.month()/3)),this},endOf:function(b){return b=z(b),b===a||"millisecond"===b?this:this.startOf(b).add(1,"isoWeek"===b?"week":b).subtract(1,"ms")},isAfter:function(a,b){var c;return b=z("undefined"!=typeof b?b:"millisecond"),"millisecond"===b?(a=va.isMoment(a)?a:va(a),+this>+a):(c=va.isMoment(a)?+a:+va(a),c<+this.clone().startOf(b))},isBefore:function(a,b){var c;return b=z("undefined"!=typeof b?b:"millisecond"),"millisecond"===b?(a=va.isMoment(a)?a:va(a),+a>+this):(c=va.isMoment(a)?+a:+va(a),+this.clone().endOf(b)a?this:a}),max:f("moment().max is deprecated, use moment.max instead. https://github.com/moment/moment/issues/1548",function(a){return a=va.apply(null,arguments),a>this?this:a}),zone:f("moment().zone is deprecated, use moment().utcOffset instead. https://github.com/moment/moment/issues/1779",function(a,b){return null!=a?("string"!=typeof a&&(a=-a),this.utcOffset(a,b),this):-this.utcOffset()}),utcOffset:function(a,b){var c,d=this._offset||0;return null!=a?("string"==typeof a&&(a=S(a)),Math.abs(a)<16&&(a=60*a),!this._isUTC&&b&&(c=this._dateUtcOffset()),this._offset=a,this._isUTC=!0,null!=c&&this.add(c,"m"),d!==a&&(!b||this._changeInProgress?v(this,va.duration(a-d,"m"),1,!1):this._changeInProgress||(this._changeInProgress=!0,va.updateOffset(this,!0),this._changeInProgress=null)),this):this._isUTC?d:this._dateUtcOffset()},isLocal:function(){return!this._isUTC},isUtcOffset:function(){return this._isUTC},isUtc:function(){return this._isUTC&&0===this._offset},zoneAbbr:function(){return this._isUTC?"UTC":""},zoneName:function(){return this._isUTC?"Coordinated Universal Time":""},parseZone:function(){return this._tzm?this.utcOffset(this._tzm):"string"==typeof this._i&&this.utcOffset(S(this._i)),this},hasAlignedHourOffset:function(a){return a=a?va(a).utcOffset():0,(this.utcOffset()-a)%60===0},daysInMonth:function(){return D(this.year(),this.month())},dayOfYear:function(a){var b=Aa((va(this).startOf("day")-va(this).startOf("year"))/864e5)+1;return null==a?b:this.add(a-b,"d")},quarter:function(a){return null==a?Math.ceil((this.month()+1)/3):this.month(3*(a-1)+this.month()%3)},weekYear:function(a){var b=ja(this,this.localeData()._week.dow,this.localeData()._week.doy).year;return null==a?b:this.add(a-b,"y")},isoWeekYear:function(a){var b=ja(this,1,4).year;return null==a?b:this.add(a-b,"y")},week:function(a){var b=this.localeData().week(this);return null==a?b:this.add(7*(a-b),"d")},isoWeek:function(a){var b=ja(this,1,4).week;return null==a?b:this.add(7*(a-b),"d")},weekday:function(a){var b=(this.day()+7-this.localeData()._week.dow)%7;return null==a?b:this.add(a-b,"d")},isoWeekday:function(a){return null==a?this.day()||7:this.day(this.day()%7?a:a-7)},isoWeeksInYear:function(){return E(this.year(),1,4)},weeksInYear:function(){var a=this.localeData()._week;return E(this.year(),a.dow,a.doy)},get:function(a){return a=z(a),this[a]()},set:function(a,b){var c;if("object"==typeof a)for(c in a)this.set(c,a[c]);else a=z(a),"function"==typeof this[a]&&this[a](b);return this},locale:function(b){var c;return b===a?this._locale._abbr:(c=va.localeData(b),null!=c&&(this._locale=c),this)},lang:f("moment().lang() is deprecated. Instead, use moment().localeData() to get the language configuration. Use moment().locale() to change languages.",function(b){return b===a?this.localeData():this.locale(b)}),localeData:function(){return this._locale},_dateUtcOffset:function(){return 15*-Math.round(this._d.getTimezoneOffset()/15)}}),va.fn.millisecond=va.fn.milliseconds=qa("Milliseconds",!1),va.fn.second=va.fn.seconds=qa("Seconds",!1),va.fn.minute=va.fn.minutes=qa("Minutes",!1),va.fn.hour=va.fn.hours=qa("Hours",!0),va.fn.date=qa("Date",!0),va.fn.dates=f("dates accessor is deprecated. Use date instead.",qa("Date",!0)),va.fn.year=qa("FullYear",!0),va.fn.years=f("years accessor is deprecated. Use year instead.",qa("FullYear",!0)),va.fn.days=va.fn.day,va.fn.months=va.fn.month,va.fn.weeks=va.fn.week,va.fn.isoWeeks=va.fn.isoWeek,va.fn.quarters=va.fn.quarter,va.fn.toJSON=va.fn.toISOString,va.fn.isUTC=va.fn.isUtc,o(va.duration.fn=n.prototype,{_bubble:function(){var a,b,c,d=this._milliseconds,e=this._days,f=this._months,g=this._data,h=0;g.milliseconds=d%1e3,a=q(d/1e3),g.seconds=a%60,b=q(a/60),g.minutes=b%60,c=q(b/60),g.hours=c%24,e+=q(c/24),h=q(ra(e)),e-=q(sa(h)),f+=q(e/30),e%=30,h+=q(f/12),f%=12,g.days=e,g.months=f,g.years=h},abs:function(){return this._milliseconds=Math.abs(this._milliseconds),this._days=Math.abs(this._days),this._months=Math.abs(this._months),this._data.milliseconds=Math.abs(this._data.milliseconds),this._data.seconds=Math.abs(this._data.seconds),this._data.minutes=Math.abs(this._data.minutes),this._data.hours=Math.abs(this._data.hours),this._data.months=Math.abs(this._data.months),this._data.years=Math.abs(this._data.years),this},weeks:function(){return q(this.days()/7)},valueOf:function(){return this._milliseconds+864e5*this._days+this._months%12*2592e6+31536e6*C(this._months/12)},humanize:function(a){var b=ia(this,!a,this.localeData());return a&&(b=this.localeData().pastFuture(+this,b)),this.localeData().postformat(b)},add:function(a,b){var c=va.duration(a,b);return this._milliseconds+=c._milliseconds,this._days+=c._days,this._months+=c._months,this._bubble(),this},subtract:function(a,b){var c=va.duration(a,b);return this._milliseconds-=c._milliseconds,this._days-=c._days,this._months-=c._months,this._bubble(),this},get:function(a){return a=z(a),this[a.toLowerCase()+"s"]()},as:function(a){var b,c;if(a=z(a),"month"===a||"year"===a)return b=this._days+this._milliseconds/864e5,c=this._months+12*ra(b),"month"===a?c:c/12;switch(b=this._days+Math.round(sa(this._months/12)),a){case"week":return b/7+this._milliseconds/6048e5;case"day":return b+this._milliseconds/864e5;case"hour":return 24*b+this._milliseconds/36e5;case"minute":return 24*b*60+this._milliseconds/6e4;case"second":return 24*b*60*60+this._milliseconds/1e3;case"millisecond":return Math.floor(24*b*60*60*1e3)+this._milliseconds;default:throw new Error("Unknown unit "+a)}},lang:va.fn.lang,locale:va.fn.locale,toIsoString:f("toIsoString() is deprecated. Please use toISOString() instead (notice the capitals)",function(){return this.toISOString()}),toISOString:function(){var a=Math.abs(this.years()),b=Math.abs(this.months()),c=Math.abs(this.days()),d=Math.abs(this.hours()),e=Math.abs(this.minutes()),f=Math.abs(this.seconds()+this.milliseconds()/1e3);return this.asSeconds()?(this.asSeconds()<0?"-":"")+"P"+(a?a+"Y":"")+(b?b+"M":"")+(c?c+"D":"")+(d||e||f?"T":"")+(d?d+"H":"")+(e?e+"M":"")+(f?f+"S":""):"P0D"},localeData:function(){return this._locale},toJSON:function(){return this.toISOString()}}),va.duration.fn.toString=va.duration.fn.toISOString;for(xa in kb)c(kb,xa)&&ta(xa.toLowerCase());va.duration.fn.asMilliseconds=function(){return this.as("ms")},va.duration.fn.asSeconds=function(){return this.as("s")},va.duration.fn.asMinutes=function(){return this.as("m")},va.duration.fn.asHours=function(){return this.as("h")},va.duration.fn.asDays=function(){return this.as("d")},va.duration.fn.asWeeks=function(){return this.as("weeks")},va.duration.fn.asMonths=function(){return this.as("M")},va.duration.fn.asYears=function(){return this.as("y")},va.locale("en",{ordinalParse:/\d{1,2}(th|st|nd|rd)/,ordinal:function(a){var b=a%10,c=1===C(a%100/10)?"th":1===b?"st":2===b?"nd":3===b?"rd":"th";return a+c}}),La?module.exports=va:"function"==typeof define&&define.amd?(define(function(a,b,c){return c.config&&c.config()&&c.config().noGlobal===!0&&(za.moment=wa),va}),ua(!0)):ua()}.call(this),function(a,b){if("function"==typeof define&&define.amd)define(["moment","jquery","exports"],function(c,d,e){a.daterangepicker=b(a,e,c,d)});else if("undefined"!=typeof exports){var c,d=require("moment");try{c=require("jquery")}catch(e){if(c=window.jQuery,!c)throw new Error("jQuery dependency not found")}b(a,exports,d,c)}else a.daterangepicker=b(a,{},a.moment,a.jQuery||a.Zepto||a.ender||a.$)}(this,function(a,b,c,d){var e=function(a,b,c){this.parentEl="body",this.element=d(a),this.isShowing=!1;var e='';"object"==typeof b&&null!==b||(b={}),this.parentEl=d("object"==typeof b&&b.parentEl&&d(b.parentEl).length?b.parentEl:this.parentEl),this.container=d(e).appendTo(this.parentEl),this.setOptions(b,c);var f=this.container;d.each(this.buttonClasses,function(a,b){f.find("button").addClass(b)}),this.container.find(".daterangepicker_start_input label").html(this.locale.fromLabel),this.container.find(".daterangepicker_end_input label").html(this.locale.toLabel),this.applyClass.length&&this.container.find(".applyBtn").addClass(this.applyClass),this.cancelClass.length&&this.container.find(".cancelBtn").addClass(this.cancelClass),this.container.find(".applyBtn").html(this.locale.applyLabel),this.container.find(".cancelBtn").html(this.locale.cancelLabel),this.container.find(".calendar").on("click.daterangepicker",".prev",d.proxy(this.clickPrev,this)).on("click.daterangepicker",".next",d.proxy(this.clickNext,this)).on("click.daterangepicker","td.available",d.proxy(this.clickDate,this)).on("mouseenter.daterangepicker","td.available",d.proxy(this.hoverDate,this)).on("mouseleave.daterangepicker","td.available",d.proxy(this.updateFormInputs,this)).on("change.daterangepicker","select.yearselect",d.proxy(this.updateMonthYear,this)).on("change.daterangepicker","select.monthselect",d.proxy(this.updateMonthYear,this)).on("change.daterangepicker","select.hourselect,select.minuteselect,select.secondselect,select.ampmselect",d.proxy(this.updateTime,this)),this.container.find(".ranges").on("click.daterangepicker","button.applyBtn",d.proxy(this.clickApply,this)).on("click.daterangepicker","button.cancelBtn",d.proxy(this.clickCancel,this)).on("click.daterangepicker",".daterangepicker_start_input,.daterangepicker_end_input",d.proxy(this.showCalendars,this)).on("change.daterangepicker",".daterangepicker_start_input,.daterangepicker_end_input",d.proxy(this.inputsChanged,this)).on("keydown.daterangepicker",".daterangepicker_start_input,.daterangepicker_end_input",d.proxy(this.inputsKeydown,this)).on("click.daterangepicker","li",d.proxy(this.clickRange,this)).on("mouseenter.daterangepicker","li",d.proxy(this.enterRange,this)).on("mouseleave.daterangepicker","li",d.proxy(this.updateFormInputs,this)),this.element.is("input")?this.element.on({"click.daterangepicker":d.proxy(this.show,this),"focus.daterangepicker":d.proxy(this.show,this),"keyup.daterangepicker":d.proxy(this.updateFromControl,this)}):this.element.on("click.daterangepicker",d.proxy(this.toggle,this))};e.prototype={constructor:e,setOptions:function(a,b){if(this.startDate=c().startOf("day"),this.endDate=c().endOf("day"),this.timeZone=c().zone(),this.minDate=!1,this.maxDate=!1,this.dateLimit=!1,this.showDropdowns=!1,this.showWeekNumbers=!1,this.timePicker=!1,this.timePickerSeconds=!1,this.timePickerIncrement=30,this.timePicker12Hour=!0,this.singleDatePicker=!1,this.ranges={},this.opens="right",this.element.hasClass("pull-right")&&(this.opens="left"),this.buttonClasses=["btn","btn-small btn-sm"],this.applyClass="btn-success",this.cancelClass="btn-default",this.format="MM/DD/YYYY",this.separator=" - ",this.locale={applyLabel:"Apply",cancelLabel:"Cancel",fromLabel:"From",toLabel:"To",weekLabel:"W",customRangeLabel:"Custom Range",daysOfWeek:c.weekdaysMin(),monthNames:c.monthsShort(),firstDay:c.localeData()._week.dow},this.cb=function(){},"string"==typeof a.format&&(this.format=a.format),"string"==typeof a.separator&&(this.separator=a.separator),"string"==typeof a.startDate&&(this.startDate=c(a.startDate,this.format)),"string"==typeof a.endDate&&(this.endDate=c(a.endDate,this.format)),"string"==typeof a.minDate&&(this.minDate=c(a.minDate,this.format)),"string"==typeof a.maxDate&&(this.maxDate=c(a.maxDate,this.format)),"object"==typeof a.startDate&&(this.startDate=c(a.startDate)),"object"==typeof a.endDate&&(this.endDate=c(a.endDate)),"object"==typeof a.minDate&&(this.minDate=c(a.minDate)),"object"==typeof a.maxDate&&(this.maxDate=c(a.maxDate)),"string"==typeof a.applyClass&&(this.applyClass=a.applyClass),"string"==typeof a.cancelClass&&(this.cancelClass=a.cancelClass),"object"==typeof a.dateLimit&&(this.dateLimit=a.dateLimit),"object"==typeof a.locale&&("object"==typeof a.locale.daysOfWeek&&(this.locale.daysOfWeek=a.locale.daysOfWeek.slice()),"object"==typeof a.locale.monthNames&&(this.locale.monthNames=a.locale.monthNames.slice()),"number"==typeof a.locale.firstDay&&(this.locale.firstDay=a.locale.firstDay),"string"==typeof a.locale.applyLabel&&(this.locale.applyLabel=a.locale.applyLabel),"string"==typeof a.locale.cancelLabel&&(this.locale.cancelLabel=a.locale.cancelLabel),"string"==typeof a.locale.fromLabel&&(this.locale.fromLabel=a.locale.fromLabel),"string"==typeof a.locale.toLabel&&(this.locale.toLabel=a.locale.toLabel),"string"==typeof a.locale.weekLabel&&(this.locale.weekLabel=a.locale.weekLabel),"string"==typeof a.locale.customRangeLabel&&(this.locale.customRangeLabel=a.locale.customRangeLabel)),"string"==typeof a.opens&&(this.opens=a.opens),"boolean"==typeof a.showWeekNumbers&&(this.showWeekNumbers=a.showWeekNumbers),"string"==typeof a.buttonClasses&&(this.buttonClasses=[a.buttonClasses]),"object"==typeof a.buttonClasses&&(this.buttonClasses=a.buttonClasses),"boolean"==typeof a.showDropdowns&&(this.showDropdowns=a.showDropdowns),"boolean"==typeof a.singleDatePicker&&(this.singleDatePicker=a.singleDatePicker,this.singleDatePicker&&(this.endDate=this.startDate.clone())),"boolean"==typeof a.timePicker&&(this.timePicker=a.timePicker),"boolean"==typeof a.timePickerSeconds&&(this.timePickerSeconds=a.timePickerSeconds),"number"==typeof a.timePickerIncrement&&(this.timePickerIncrement=a.timePickerIncrement),"boolean"==typeof a.timePicker12Hour&&(this.timePicker12Hour=a.timePicker12Hour),0!=this.locale.firstDay)for(var e=this.locale.firstDay;e>0;)this.locale.daysOfWeek.push(this.locale.daysOfWeek.shift()),e--;var f,g,h;if("undefined"==typeof a.startDate&&"undefined"==typeof a.endDate&&d(this.element).is("input[type=text]")){var i=d(this.element).val(),j=i.split(this.separator);f=g=null,2==j.length?(f=c(j[0],this.format),g=c(j[1],this.format)):this.singleDatePicker&&""!==i&&(f=c(i,this.format),g=c(i,this.format)),null!==f&&null!==g&&(this.startDate=f,this.endDate=g)}if("string"==typeof a.timeZone||"number"==typeof a.timeZone?(this.timeZone=a.timeZone,this.startDate.zone(this.timeZone),this.endDate.zone(this.timeZone)):this.timeZone=c(this.startDate).zone(),"object"==typeof a.ranges){for(h in a.ranges)f="string"==typeof a.ranges[h][0]?c(a.ranges[h][0],this.format):c(a.ranges[h][0]),g="string"==typeof a.ranges[h][1]?c(a.ranges[h][1],this.format):c(a.ranges[h][1]),this.minDate&&f.isBefore(this.minDate)&&(f=c(this.minDate)),this.maxDate&&g.isAfter(this.maxDate)&&(g=c(this.maxDate)),this.minDate&&g.isBefore(this.minDate)||this.maxDate&&f.isAfter(this.maxDate)||(this.ranges[h]=[f,g]);var k="
                ";for(h in this.ranges)k+="
              • "+h+"
              • ";k+="
              • "+this.locale.customRangeLabel+"
              • ",k+="
              ",this.container.find(".ranges ul").remove(),this.container.find(".ranges").prepend(k)}if("function"==typeof b&&(this.cb=b),this.timePicker||(this.startDate=this.startDate.startOf("day"),this.endDate=this.endDate.endOf("day")),this.singleDatePicker?(this.opens="right",this.container.addClass("single"),this.container.find(".calendar.right").show(),this.container.find(".calendar.left").hide(),this.timePicker?this.container.find(".ranges .daterangepicker_start_input, .ranges .daterangepicker_end_input").hide():this.container.find(".ranges").hide(),this.container.find(".calendar.right").hasClass("single")||this.container.find(".calendar.right").addClass("single")):(this.container.removeClass("single"),this.container.find(".calendar.right").removeClass("single"),this.container.find(".ranges").show()),this.oldStartDate=this.startDate.clone(),this.oldEndDate=this.endDate.clone(),this.oldChosenLabel=this.chosenLabel,this.leftCalendar={month:c([this.startDate.year(),this.startDate.month(),1,this.startDate.hour(),this.startDate.minute(),this.startDate.second()]),calendar:[]},this.rightCalendar={month:c([this.endDate.year(),this.endDate.month(),1,this.endDate.hour(),this.endDate.minute(),this.endDate.second()]),calendar:[]},"right"==this.opens||"center"==this.opens){var l=this.container.find(".calendar.first"),m=this.container.find(".calendar.second");m.hasClass("single")&&(m.removeClass("single"),l.addClass("single")),l.removeClass("left").addClass("right"),m.removeClass("right").addClass("left"),this.singleDatePicker&&(l.show(),m.hide())}"undefined"!=typeof a.ranges||this.singleDatePicker||this.container.addClass("show-calendar"),this.container.addClass("opens"+this.opens),this.updateView(),this.updateCalendars()},setStartDate:function(a){"string"==typeof a&&(this.startDate=c(a,this.format).zone(this.timeZone)),"object"==typeof a&&(this.startDate=c(a)),this.timePicker||(this.startDate=this.startDate.startOf("day")),this.oldStartDate=this.startDate.clone(),this.updateView(),this.updateCalendars(),this.updateInputText()},setEndDate:function(a){"string"==typeof a&&(this.endDate=c(a,this.format).zone(this.timeZone)),"object"==typeof a&&(this.endDate=c(a)),this.timePicker||(this.endDate=this.endDate.endOf("day")),this.oldEndDate=this.endDate.clone(),this.updateView(),this.updateCalendars(),this.updateInputText()},updateView:function(){this.leftCalendar.month.month(this.startDate.month()).year(this.startDate.year()).hour(this.startDate.hour()).minute(this.startDate.minute()),this.rightCalendar.month.month(this.endDate.month()).year(this.endDate.year()).hour(this.endDate.hour()).minute(this.endDate.minute()),this.updateFormInputs()},updateFormInputs:function(){this.container.find("input[name=daterangepicker_start]").val(this.startDate.format(this.format)),this.container.find("input[name=daterangepicker_end]").val(this.endDate.format(this.format)),this.startDate.isSame(this.endDate)||this.startDate.isBefore(this.endDate)?this.container.find("button.applyBtn").removeAttr("disabled"):this.container.find("button.applyBtn").attr("disabled","disabled")},updateFromControl:function(){if(this.element.is("input")&&this.element.val().length){var a=this.element.val().split(this.separator),b=null,d=null;2===a.length&&(b=c(a[0],this.format).zone(this.timeZone),d=c(a[1],this.format).zone(this.timeZone)),(this.singleDatePicker||null===b||null===d)&&(b=c(this.element.val(),this.format).zone(this.timeZone),d=b),d.isBefore(b)||(this.oldStartDate=this.startDate.clone(),this.oldEndDate=this.endDate.clone(),this.startDate=b,this.endDate=d,this.startDate.isSame(this.oldStartDate)&&this.endDate.isSame(this.oldEndDate)||this.notify(),this.updateCalendars())}},notify:function(){this.updateView(),this.cb(this.startDate,this.endDate,this.chosenLabel)},move:function(){var a={top:0,left:0},b=d(window).width();this.parentEl.is("body")||(a={top:this.parentEl.offset().top-this.parentEl.scrollTop(),left:this.parentEl.offset().left-this.parentEl.scrollLeft()},b=this.parentEl[0].clientWidth+this.parentEl.offset().left),"left"==this.opens?(this.container.css({top:this.element.offset().top+this.element.outerHeight()-a.top,right:b-this.element.offset().left-this.element.outerWidth(),left:"auto"}),this.container.offset().left<0&&this.container.css({right:"auto",left:9})):"center"==this.opens?(this.container.css({top:this.element.offset().top+this.element.outerHeight()-a.top,left:this.element.offset().left-a.left+this.element.outerWidth()/2-this.container.outerWidth()/2,right:"auto"}),this.container.offset().left<0&&this.container.css({right:"auto",left:9})):(this.container.css({top:this.element.offset().top+this.element.outerHeight()-a.top,left:this.element.offset().left-a.left,right:"auto"}),this.container.offset().left+this.container.outerWidth()>d(window).width()&&this.container.css({left:"auto",right:0}))},toggle:function(a){this.element.hasClass("active")?this.hide():this.show()},show:function(a){this.isShowing||(this.element.addClass("active"),this.container.show(),this.move(),this._outsideClickProxy=d.proxy(function(a){this.outsideClick(a)},this),d(document).on("mousedown.daterangepicker",this._outsideClickProxy).on("touchend.daterangepicker",this._outsideClickProxy).on("click.daterangepicker","[data-toggle=dropdown]",this._outsideClickProxy).on("focusin.daterangepicker",this._outsideClickProxy),this.isShowing=!0,this.element.trigger("show.daterangepicker",this))},outsideClick:function(a){var b=d(a.target);"focusin"==a.type||b.closest(this.element).length||b.closest(this.container).length||b.closest(".calendar-date").length||this.hide()},hide:function(a){this.isShowing&&(d(document).off(".daterangepicker"),this.element.removeClass("active"),this.container.hide(),this.startDate.isSame(this.oldStartDate)&&this.endDate.isSame(this.oldEndDate)||this.notify(),this.oldStartDate=this.startDate.clone(),this.oldEndDate=this.endDate.clone(),this.isShowing=!1,this.element.trigger("hide.daterangepicker",this))},enterRange:function(a){var b=a.target.innerHTML;if(b==this.locale.customRangeLabel)this.updateView();else{var c=this.ranges[b];this.container.find("input[name=daterangepicker_start]").val(c[0].format(this.format)),this.container.find("input[name=daterangepicker_end]").val(c[1].format(this.format))}},showCalendars:function(){this.container.addClass("show-calendar"),this.move(),this.element.trigger("showCalendar.daterangepicker",this)},hideCalendars:function(){this.container.removeClass("show-calendar"),this.element.trigger("hideCalendar.daterangepicker",this)},inputsChanged:function(a){var b=d(a.target),e=c(b.val(),this.format);if(e.isValid()){var f,g;"daterangepicker_start"===b.attr("name")?(f=e,g=this.endDate):(f=this.startDate,g=e),this.setCustomDates(f,g)}},inputsKeydown:function(a){13===a.keyCode&&(this.inputsChanged(a),this.notify())},updateInputText:function(){this.element.is("input")&&!this.singleDatePicker?this.element.val(this.startDate.format(this.format)+this.separator+this.endDate.format(this.format)):this.element.is("input")&&this.element.val(this.endDate.format(this.format))},clickRange:function(a){var b=a.target.innerHTML;if(this.chosenLabel=b,b==this.locale.customRangeLabel)this.showCalendars();else{var c=this.ranges[b];this.startDate=c[0],this.endDate=c[1],this.timePicker||(this.startDate.startOf("day"),this.endDate.endOf("day")),this.leftCalendar.month.month(this.startDate.month()).year(this.startDate.year()).hour(this.startDate.hour()).minute(this.startDate.minute()),this.rightCalendar.month.month(this.endDate.month()).year(this.endDate.year()).hour(this.endDate.hour()).minute(this.endDate.minute()),this.updateCalendars(),this.updateInputText(),this.hideCalendars(),this.hide(),this.element.trigger("apply.daterangepicker",this)}},clickPrev:function(a){var b=d(a.target).parents(".calendar");b.hasClass("left")?this.leftCalendar.month.subtract(1,"month"):this.rightCalendar.month.subtract(1,"month"),this.updateCalendars()},clickNext:function(a){var b=d(a.target).parents(".calendar");b.hasClass("left")?this.leftCalendar.month.add(1,"month"):this.rightCalendar.month.add(1,"month"),this.updateCalendars()},hoverDate:function(a){var b=d(a.target).attr("data-title"),c=b.substr(1,1),e=b.substr(3,1),f=d(a.target).parents(".calendar");f.hasClass("left")?this.container.find("input[name=daterangepicker_start]").val(this.leftCalendar.calendar[c][e].format(this.format)):this.container.find("input[name=daterangepicker_end]").val(this.rightCalendar.calendar[c][e].format(this.format))},setCustomDates:function(a,b){if(this.chosenLabel=this.locale.customRangeLabel,a.isAfter(b)){var d=this.endDate.diff(this.startDate);b=c(a).add(d,"ms")}this.startDate=a,this.endDate=b,this.updateView(),this.updateCalendars()},clickDate:function(a){var b,e,f=d(a.target).attr("data-title"),g=f.substr(1,1),h=f.substr(3,1),i=d(a.target).parents(".calendar");if(i.hasClass("left")){if(b=this.leftCalendar.calendar[g][h],e=this.endDate,"object"==typeof this.dateLimit){var j=c(b).add(this.dateLimit).startOf("day");e.isAfter(j)&&(e=j)}}else if(b=this.startDate,e=this.rightCalendar.calendar[g][h],"object"==typeof this.dateLimit){var k=c(e).subtract(this.dateLimit).startOf("day");b.isBefore(k)&&(b=k)}this.singleDatePicker&&i.hasClass("left")?e=b.clone():this.singleDatePicker&&i.hasClass("right")&&(b=e.clone()),i.find("td").removeClass("active"),d(a.target).addClass("active"),this.setCustomDates(b,e),this.timePicker||e.endOf("day"),this.singleDatePicker&&!this.timePicker&&this.clickApply()},clickApply:function(a){this.updateInputText(),this.hide(),this.element.trigger("apply.daterangepicker",this)},clickCancel:function(a){this.startDate=this.oldStartDate,this.endDate=this.oldEndDate,this.chosenLabel=this.oldChosenLabel,this.updateView(),this.updateCalendars(),this.hide(),this.element.trigger("cancel.daterangepicker",this)},updateMonthYear:function(a){var b=d(a.target).closest(".calendar").hasClass("left"),c=b?"left":"right",e=this.container.find(".calendar."+c),f=parseInt(e.find(".monthselect").val(),10),g=e.find(".yearselect").val();this[c+"Calendar"].month.month(f).year(g),this.updateCalendars()},updateTime:function(a){var b=d(a.target).closest(".calendar"),c=b.hasClass("left"),e=parseInt(b.find(".hourselect").val(),10),f=parseInt(b.find(".minuteselect").val(),10),g=0;if(this.timePickerSeconds&&(g=parseInt(b.find(".secondselect").val(),10)),this.timePicker12Hour){var h=b.find(".ampmselect").val();"PM"===h&&12>e&&(e+=12),"AM"===h&&12===e&&(e=0)}if(c){var i=this.startDate.clone();i.hour(e),i.minute(f),i.second(g),this.startDate=i,this.leftCalendar.month.hour(e).minute(f).second(g),this.singleDatePicker&&(this.endDate=i.clone())}else{var j=this.endDate.clone();j.hour(e),j.minute(f),j.second(g),this.endDate=j,this.singleDatePicker&&(this.startDate=j.clone()),this.rightCalendar.month.hour(e).minute(f).second(g)}this.updateView(),this.updateCalendars()},updateCalendars:function(){this.leftCalendar.calendar=this.buildCalendar(this.leftCalendar.month.month(),this.leftCalendar.month.year(),this.leftCalendar.month.hour(),this.leftCalendar.month.minute(),this.leftCalendar.month.second(),"left"),this.rightCalendar.calendar=this.buildCalendar(this.rightCalendar.month.month(),this.rightCalendar.month.year(),this.rightCalendar.month.hour(),this.rightCalendar.month.minute(),this.rightCalendar.month.second(),"right"),this.container.find(".calendar.left").empty().html(this.renderCalendar(this.leftCalendar.calendar,this.startDate,this.minDate,this.maxDate,"left")),this.container.find(".calendar.right").empty().html(this.renderCalendar(this.rightCalendar.calendar,this.endDate,this.singleDatePicker?this.minDate:this.startDate,this.maxDate,"right")),this.container.find(".ranges li").removeClass("active");var a=!0,b=0;for(var c in this.ranges)this.timePicker?this.startDate.isSame(this.ranges[c][0])&&this.endDate.isSame(this.ranges[c][1])&&(a=!1,this.chosenLabel=this.container.find(".ranges li:eq("+b+")").addClass("active").html()):this.startDate.format("YYYY-MM-DD")==this.ranges[c][0].format("YYYY-MM-DD")&&this.endDate.format("YYYY-MM-DD")==this.ranges[c][1].format("YYYY-MM-DD")&&(a=!1,this.chosenLabel=this.container.find(".ranges li:eq("+b+")").addClass("active").html()),b++;a&&(this.chosenLabel=this.container.find(".ranges li:last").addClass("active").html(),this.showCalendars())},buildCalendar:function(a,b,d,e,f,g){var h,i=c([b,a]).daysInMonth(),j=c([b,a,1]),k=c([b,a,i]),l=c(j).subtract(1,"month").month(),m=c(j).subtract(1,"month").year(),n=c([m,l]).daysInMonth(),o=j.day(),p=[];for(p.firstDay=j,p.lastDay=k,h=0;6>h;h++)p[h]=[];var q=n-o+this.locale.firstDay+1;q>n&&(q-=7),o==this.locale.firstDay&&(q=n-6);var r,s,t=c([m,l,q,12,e,f]).zone(this.timeZone);for(h=0,r=0,s=0;42>h;h++,r++,t=c(t).add(24,"hour"))h>0&&r%7===0&&(r=0,s++),p[s][r]=t.clone().hour(d),t.hour(12),this.minDate&&p[s][r].format("YYYY-MM-DD")==this.minDate.format("YYYY-MM-DD")&&p[s][r].isBefore(this.minDate)&&"left"==g&&(p[s][r]=this.minDate.clone()),this.maxDate&&p[s][r].format("YYYY-MM-DD")==this.maxDate.format("YYYY-MM-DD")&&p[s][r].isAfter(this.maxDate)&&"right"==g&&(p[s][r]=this.maxDate.clone());return p},renderDropdowns:function(a,b,c){for(var d=a.month(),e=a.year(),f=c&&c.year()||e+5,g=b&&b.year()||e-50,h='";for(var l='",h+l},renderCalendar:function(a,b,c,e,f){var g='
              ';g+='',g+="",g+="",this.showWeekNumbers&&(g+=""),g+=!c||c.isBefore(a.firstDay)?'':"";var h=this.locale.monthNames[a[1][1].month()]+a[1][1].format(" YYYY");this.showDropdowns&&(h=this.renderDropdowns(a[1][1],c,e)),g+='",g+=!e||e.isAfter(a.lastDay)?'':"",g+="",g+="",this.showWeekNumbers&&(g+='"),d.each(this.locale.daysOfWeek,function(a,b){g+=""}),g+="",g+="",g+="";for(var i=0;6>i;i++){g+="",this.showWeekNumbers&&(g+='");for(var j=0;7>j;j++){var k="available ";k+=a[i][j].month()==a[1][1].month()?"":"off",c&&a[i][j].isBefore(c,"day")||e&&a[i][j].isAfter(e,"day")?k=" off disabled ":a[i][j].format("YYYY-MM-DD")==b.format("YYYY-MM-DD")?(k+=" active ",a[i][j].format("YYYY-MM-DD")==this.startDate.format("YYYY-MM-DD")&&(k+=" start-date "),a[i][j].format("YYYY-MM-DD")==this.endDate.format("YYYY-MM-DD")&&(k+=" end-date ")):a[i][j]>=this.startDate&&a[i][j]<=this.endDate&&(k+=" in-range ",a[i][j].isSame(this.startDate)&&(k+=" start-date "),a[i][j].isSame(this.endDate)&&(k+=" end-date "));var l="r"+i+"c"+j;g+='"}g+=""}g+="",g+="
              '+h+"
              '+this.locale.weekLabel+""+b+"
              '+a[i][0].week()+"'+a[i][j].date()+"
              ",g+="
              ";var m;if(this.timePicker){g+='
              ',g+=' : ",g+=' ",this.timePickerSeconds){for(g+=': "}if(this.timePicker12Hour){g+='"}g+="
              "}return g},remove:function(){this.container.remove(),this.element.off(".daterangepicker"),this.element.removeData("daterangepicker")}},d.fn.daterangepicker=function(a,b){return this.each(function(){var c=d(this);c.data("daterangepicker")&&c.data("daterangepicker").remove(),c.data("daterangepicker",new e(c,a,b))}),this}}),function(a){"use strict";a.module("ngBootstrap",[]).directive("input",["$compile","$parse","$filter",function(b,c,d){return{restrict:"E",require:"?ngModel",link:function(b,e,f,g){function h(a){return moment.isMoment(a)?a.toDate():a}function i(a){return moment.isMoment(a)?a:moment(a)}function j(a){return d("date")(h(a),l.format.replace(/Y/g,"y").replace(/D/g,"d"))}function k(a){return[j(a.startDate),j(a.endDate)].join(l.separator)}if("daterange"===f.type&&null!==g){var l={};l.format=f.format||"YYYY-MM-DD",l.separator=f.separator||" - ",l.minDate=f.minDate&&moment(f.minDate),l.maxDate=f.maxDate&&moment(f.maxDate),l.dateLimit=f.limit&&moment.duration.apply(this,f.limit.split(" ").map(function(a,b){return 0===b&&parseInt(a,10)||a})),l.ranges=f.ranges&&c(f.ranges)(b),l.locale=f.locale&&c(f.locale)(b),l.opens=f.opens||c(f.opens)(b),f.enabletimepicker&&(l.timePicker=!0,a.extend(l,c(f.enabletimepicker)(b))),g.$render=function(){g.$viewValue&&g.$viewValue.startDate&&e.val(k(g.$viewValue))},b.$watch(function(){return f.ngModel},function(a,c){return b[a]&&b[a].startDate?void(c===a&&(e.data("daterangepicker").startDate=i(b[a].startDate),e.data("daterangepicker").endDate=i(b[a].endDate),e.data("daterangepicker").updateView(),e.data("daterangepicker").updateCalendars(),e.data("daterangepicker").updateInputText())):void g.$setViewValue({startDate:moment().startOf("day"),endDate:moment().startOf("day")})}),e.daterangepicker(l,function(c,d,e){var f=g.$viewValue;a.equals(c,f.startDate)&&a.equals(d,f.endDate)||b.$apply(function(){g.$setViewValue({startDate:moment.isMoment(f.startDate)?c:c.toDate(),endDate:moment.isMoment(f.endDate)?d:d.toDate()}),g.$render()})})}}}}])}(angular),angular.module("ui.bootstrap",["ui.bootstrap.tpls","ui.bootstrap.collapse","ui.bootstrap.accordion","ui.bootstrap.alert","ui.bootstrap.buttons","ui.bootstrap.carousel","ui.bootstrap.dateparser","ui.bootstrap.isClass","ui.bootstrap.position","ui.bootstrap.datepicker","ui.bootstrap.debounce","ui.bootstrap.dropdown","ui.bootstrap.stackedMap","ui.bootstrap.modal","ui.bootstrap.paging","ui.bootstrap.pager","ui.bootstrap.pagination","ui.bootstrap.tooltip","ui.bootstrap.popover","ui.bootstrap.progressbar","ui.bootstrap.rating","ui.bootstrap.tabs","ui.bootstrap.timepicker","ui.bootstrap.typeahead"]),angular.module("ui.bootstrap.tpls",["uib/template/accordion/accordion-group.html","uib/template/accordion/accordion.html","uib/template/alert/alert.html","uib/template/carousel/carousel.html","uib/template/carousel/slide.html","uib/template/datepicker/datepicker.html","uib/template/datepicker/day.html","uib/template/datepicker/month.html","uib/template/datepicker/popup.html","uib/template/datepicker/year.html","uib/template/modal/backdrop.html","uib/template/modal/window.html","uib/template/pager/pager.html","uib/template/pagination/pagination.html","uib/template/tooltip/tooltip-html-popup.html","uib/template/tooltip/tooltip-popup.html","uib/template/tooltip/tooltip-template-popup.html","uib/template/popover/popover-html.html","uib/template/popover/popover-template.html","uib/template/popover/popover.html","uib/template/progressbar/bar.html","uib/template/progressbar/progress.html","uib/template/progressbar/progressbar.html","uib/template/rating/rating.html","uib/template/tabs/tab.html","uib/template/tabs/tabset.html","uib/template/timepicker/timepicker.html","uib/template/typeahead/typeahead-match.html","uib/template/typeahead/typeahead-popup.html"]),angular.module("ui.bootstrap.collapse",[]).directive("uibCollapse",["$animate","$injector",function(a,b){var c=b.has("$animateCss")?b.get("$animateCss"):null;return{link:function(b,d,e){function f(){d.removeClass("collapse").addClass("collapsing").attr("aria-expanded",!0).attr("aria-hidden",!1),c?c(d,{addClass:"in",easing:"ease",to:{height:d[0].scrollHeight+"px"}}).start()["finally"](g):a.addClass(d,"in",{to:{height:d[0].scrollHeight+"px"}}).then(g)}function g(){d.removeClass("collapsing").addClass("collapse").css({height:"auto"})}function h(){return d.hasClass("collapse")||d.hasClass("in")?(d.css({height:d[0].scrollHeight+"px"}).removeClass("collapse").addClass("collapsing").attr("aria-expanded",!1).attr("aria-hidden",!0),void(c?c(d,{removeClass:"in",to:{height:"0"}}).start()["finally"](i):a.removeClass(d,"in",{to:{height:"0"}}).then(i))):i()}function i(){d.css({height:"0"}),d.removeClass("collapsing").addClass("collapse")}b.$eval(e.uibCollapse)||d.addClass("in").addClass("collapse").css({height:"auto"}),b.$watch(e.uibCollapse,function(a){a?h():f()})}}}]),angular.module("ui.bootstrap.accordion",["ui.bootstrap.collapse"]).constant("uibAccordionConfig",{closeOthers:!0}).controller("UibAccordionController",["$scope","$attrs","uibAccordionConfig",function(a,b,c){this.groups=[],this.closeOthers=function(d){var e=angular.isDefined(b.closeOthers)?a.$eval(b.closeOthers):c.closeOthers;e&&angular.forEach(this.groups,function(a){a!==d&&(a.isOpen=!1)})},this.addGroup=function(a){var b=this;this.groups.push(a),a.$on("$destroy",function(c){b.removeGroup(a)})},this.removeGroup=function(a){var b=this.groups.indexOf(a);-1!==b&&this.groups.splice(b,1)}}]).directive("uibAccordion",function(){return{controller:"UibAccordionController",controllerAs:"accordion",transclude:!0,templateUrl:function(a,b){return b.templateUrl||"uib/template/accordion/accordion.html"}}}).directive("uibAccordionGroup",function(){return{require:"^uibAccordion",transclude:!0,replace:!0,templateUrl:function(a,b){return b.templateUrl||"uib/template/accordion/accordion-group.html"},scope:{heading:"@",isOpen:"=?",isDisabled:"=?"},controller:function(){this.setHeading=function(a){this.heading=a}},link:function(a,b,c,d){d.addGroup(a),a.openClass=c.openClass||"panel-open",a.panelClass=c.panelClass||"panel-default",a.$watch("isOpen",function(c){b.toggleClass(a.openClass,!!c),c&&d.closeOthers(a)}),a.toggleOpen=function(b){a.isDisabled||b&&32!==b.which||(a.isOpen=!a.isOpen)}}}}).directive("uibAccordionHeading",function(){return{transclude:!0,template:"",replace:!0,require:"^uibAccordionGroup",link:function(a,b,c,d,e){d.setHeading(e(a,angular.noop))}}}).directive("uibAccordionTransclude",function(){return{require:"^uibAccordionGroup",link:function(a,b,c,d){a.$watch(function(){return d[c.uibAccordionTransclude]},function(a){a&&(b.find("span").html(""),b.find("span").append(a))})}}}),angular.module("ui.bootstrap.alert",[]).controller("UibAlertController",["$scope","$attrs","$interpolate","$timeout",function(a,b,c,d){a.closeable=!!b.close;var e=angular.isDefined(b.dismissOnTimeout)?c(b.dismissOnTimeout)(a.$parent):null;e&&d(function(){a.close()},parseInt(e,10))}]).directive("uibAlert",function(){return{controller:"UibAlertController",controllerAs:"alert",templateUrl:function(a,b){return b.templateUrl||"uib/template/alert/alert.html"},transclude:!0,replace:!0,scope:{type:"@",close:"&"}}}),angular.module("ui.bootstrap.buttons",[]).constant("uibButtonConfig",{activeClass:"active",toggleEvent:"click"}).controller("UibButtonsController",["uibButtonConfig",function(a){this.activeClass=a.activeClass||"active",this.toggleEvent=a.toggleEvent||"click"}]).directive("uibBtnRadio",["$parse",function(a){return{require:["uibBtnRadio","ngModel"],controller:"UibButtonsController",controllerAs:"buttons",link:function(b,c,d,e){var f=e[0],g=e[1],h=a(d.uibUncheckable);c.find("input").css({display:"none"}),g.$render=function(){c.toggleClass(f.activeClass,angular.equals(g.$modelValue,b.$eval(d.uibBtnRadio)))},c.on(f.toggleEvent,function(){if(!d.disabled){var a=c.hasClass(f.activeClass);a&&!angular.isDefined(d.uncheckable)||b.$apply(function(){g.$setViewValue(a?null:b.$eval(d.uibBtnRadio)),g.$render()})}}),d.uibUncheckable&&b.$watch(h,function(a){d.$set("uncheckable",a?"":null)})}}}]).directive("uibBtnCheckbox",function(){return{require:["uibBtnCheckbox","ngModel"],controller:"UibButtonsController",controllerAs:"button",link:function(a,b,c,d){function e(){return g(c.btnCheckboxTrue,!0)}function f(){return g(c.btnCheckboxFalse,!1)}function g(b,c){return angular.isDefined(b)?a.$eval(b):c}var h=d[0],i=d[1];b.find("input").css({display:"none"}),i.$render=function(){b.toggleClass(h.activeClass,angular.equals(i.$modelValue,e()))},b.on(h.toggleEvent,function(){c.disabled||a.$apply(function(){i.$setViewValue(b.hasClass(h.activeClass)?f():e()),i.$render()})})}}}),angular.module("ui.bootstrap.carousel",[]).controller("UibCarouselController",["$scope","$element","$interval","$timeout","$animate",function(a,b,c,d,e){function f(){for(;s.length;)s.shift()}function g(a){if(angular.isUndefined(p[a].index))return p[a];for(var b=0,c=p.length;c>b;++b)if(p[b].index===a)return p[b]}function h(c,d,g){t||(angular.extend(c,{direction:g,active:!0}),angular.extend(o.currentSlide||{},{direction:g,active:!1}),e.enabled(b)&&!a.$currentTransition&&c.$element&&o.slides.length>1&&(c.$element.data(q,c.direction),o.currentSlide&&o.currentSlide.$element&&o.currentSlide.$element.data(q,c.direction),a.$currentTransition=!0,e.on("addClass",c.$element,function(b,c){if("close"===c&&(a.$currentTransition=null,e.off("addClass",b),s.length)){var d=s.pop(),g=a.indexOfSlide(d),i=g>o.getCurrentIndex()?"next":"prev";f(),h(d,g,i)}})),o.currentSlide=c,r=d,k())}function i(){m&&(c.cancel(m),m=null)}function j(b){b.length||(a.$currentTransition=null,f())}function k(){i();var b=+a.interval;!isNaN(b)&&b>0&&(m=c(l,b))}function l(){var b=+a.interval;n&&!isNaN(b)&&b>0&&p.length?a.next():a.pause()}var m,n,o=this,p=o.slides=a.slides=[],q="uib-slideDirection",r=-1,s=[];o.currentSlide=null;var t=!1;o.addSlide=function(b,c){b.$element=c,p.push(b),1===p.length||b.active?(a.$currentTransition&&(a.$currentTransition=null),o.select(p[p.length-1]),1===p.length&&a.play()):b.active=!1},o.getCurrentIndex=function(){return o.currentSlide&&angular.isDefined(o.currentSlide.index)?+o.currentSlide.index:r},o.next=a.next=function(){var b=(o.getCurrentIndex()+1)%p.length;return 0===b&&a.noWrap()?void a.pause():o.select(g(b),"next")},o.prev=a.prev=function(){var b=o.getCurrentIndex()-1<0?p.length-1:o.getCurrentIndex()-1;return a.noWrap()&&b===p.length-1?void a.pause():o.select(g(b),"prev")},o.removeSlide=function(a){angular.isDefined(a.index)&&p.sort(function(a,b){return+a.index>+b.index});var b=s.indexOf(a);-1!==b&&s.splice(b,1);var c=p.indexOf(a);p.splice(c,1),d(function(){p.length>0&&a.active?c>=p.length?o.select(p[c-1]):o.select(p[c]):r>c&&r--}),0===p.length&&(o.currentSlide=null,f())},o.select=a.select=function(b,c){var d=a.indexOfSlide(b);void 0===c&&(c=d>o.getCurrentIndex()?"next":"prev"),b&&b!==o.currentSlide&&!a.$currentTransition?h(b,d,c):b&&b!==o.currentSlide&&a.$currentTransition&&(s.push(b),b.active=!1)},a.indexOfSlide=function(a){return angular.isDefined(a.index)?+a.index:p.indexOf(a)},a.isActive=function(a){return o.currentSlide===a},a.pause=function(){a.noPause||(n=!1,i())},a.play=function(){n||(n=!0,k())},a.$on("$destroy",function(){t=!0,i()}),a.$watch("noTransition",function(a){e.enabled(b,!a)}),a.$watch("interval",k),a.$watchCollection("slides",j)}]).directive("uibCarousel",function(){return{transclude:!0,replace:!0,controller:"UibCarouselController",controllerAs:"carousel",templateUrl:function(a,b){return b.templateUrl||"uib/template/carousel/carousel.html"},scope:{interval:"=",noTransition:"=",noPause:"=",noWrap:"&"}}}).directive("uibSlide",function(){return{require:"^uibCarousel",transclude:!0,replace:!0,templateUrl:function(a,b){return b.templateUrl||"uib/template/carousel/slide.html"},scope:{active:"=?",actual:"=?",index:"=?"},link:function(a,b,c,d){d.addSlide(a,b),a.$on("$destroy",function(){d.removeSlide(a)}),a.$watch("active",function(b){b&&d.select(a)})}}}).animation(".item",["$animateCss",function(a){function b(a,b,c){a.removeClass(b),c&&c()}var c="uib-slideDirection";return{beforeAddClass:function(d,e,f){if("active"===e){var g=!1,h=d.data(c),i="next"===h?"left":"right",j=b.bind(this,d,i+" "+h,f);return d.addClass(h),a(d,{addClass:i}).start().done(j),function(){g=!0}}f()},beforeRemoveClass:function(d,e,f){if("active"===e){var g=!1,h=d.data(c),i="next"===h?"left":"right",j=b.bind(this,d,i,f);return a(d,{addClass:i}).start().done(j),function(){g=!0}}f()}}}]),angular.module("ui.bootstrap.dateparser",[]).service("uibDateParser",["$log","$locale","orderByFilter",function(a,b,c){function d(a){var b=[],d=a.split(""),e=a.indexOf("'");if(e>-1){var f=!1;a=a.split("");for(var g=e;g-1){a=a.split(""),d[e]="("+c.regex+")",a[e]="$";for(var f=e+1,g=e+c.key.length;g>f;f++)d[f]="",a[f]="$";a=a.join(""),b.push({index:e,apply:c.apply,matcher:c.regex})}}),{regex:new RegExp("^"+d.join("")+"$"),map:c(b,"index")}}function e(a,b,c){return 1>c?!1:1===b&&c>28?29===c&&(a%4===0&&a%100!==0||a%400===0):3===b||5===b||8===b||10===b?31>c:!0}function f(a){return parseInt(a,10)}function g(a,b){return a&&b?k(a,b):a}function h(a,b){return a&&b?k(a,b,!0):a}function i(a,b){var c=Date.parse("Jan 01, 1970 00:00:00 "+a)/6e4;return isNaN(c)?b:c}function j(a,b){return a=new Date(a.getTime()),a.setMinutes(a.getMinutes()+b),a}function k(a,b,c){c=c?-1:1;var d=i(b,a.getTimezoneOffset());return j(a,c*(d-a.getTimezoneOffset()))}var l,m,n=/[\\\^\$\*\+\?\|\[\]\(\)\.\{\}]/g;this.init=function(){l=b.id,this.parsers={},m=[{key:"yyyy",regex:"\\d{4}",apply:function(a){this.year=+a}},{key:"yy",regex:"\\d{2}",apply:function(a){this.year=+a+2e3}},{key:"y",regex:"\\d{1,4}",apply:function(a){this.year=+a}},{key:"M!",regex:"0?[1-9]|1[0-2]",apply:function(a){this.month=a-1}},{key:"MMMM",regex:b.DATETIME_FORMATS.MONTH.join("|"),apply:function(a){this.month=b.DATETIME_FORMATS.MONTH.indexOf(a)}},{key:"MMM",regex:b.DATETIME_FORMATS.SHORTMONTH.join("|"),apply:function(a){this.month=b.DATETIME_FORMATS.SHORTMONTH.indexOf(a)}},{key:"MM",regex:"0[1-9]|1[0-2]",apply:function(a){this.month=a-1}},{key:"M",regex:"[1-9]|1[0-2]",apply:function(a){this.month=a-1}},{key:"d!",regex:"[0-2]?[0-9]{1}|3[0-1]{1}",apply:function(a){this.date=+a}},{key:"dd",regex:"[0-2][0-9]{1}|3[0-1]{1}",apply:function(a){this.date=+a}},{key:"d",regex:"[1-2]?[0-9]{1}|3[0-1]{1}",apply:function(a){this.date=+a}},{key:"EEEE",regex:b.DATETIME_FORMATS.DAY.join("|")},{key:"EEE",regex:b.DATETIME_FORMATS.SHORTDAY.join("|")},{key:"HH",regex:"(?:0|1)[0-9]|2[0-3]",apply:function(a){this.hours=+a}},{key:"hh",regex:"0[0-9]|1[0-2]",apply:function(a){this.hours=+a}},{key:"H",regex:"1?[0-9]|2[0-3]",apply:function(a){this.hours=+a}},{key:"h",regex:"[0-9]|1[0-2]",apply:function(a){this.hours=+a}},{key:"mm",regex:"[0-5][0-9]",apply:function(a){this.minutes=+a}},{key:"m",regex:"[0-9]|[1-5][0-9]",apply:function(a){this.minutes=+a}},{key:"sss",regex:"[0-9][0-9][0-9]",apply:function(a){this.milliseconds=+a}},{key:"ss",regex:"[0-5][0-9]",apply:function(a){this.seconds=+a}},{key:"s",regex:"[0-9]|[1-5][0-9]",apply:function(a){this.seconds=+a}},{key:"a",regex:b.DATETIME_FORMATS.AMPMS.join("|"),apply:function(a){12===this.hours&&(this.hours=0),"PM"===a&&(this.hours+=12)}},{key:"Z",regex:"[+-]\\d{4}",apply:function(a){var b=a.match(/([+-])(\d{2})(\d{2})/),c=b[1],d=b[2],e=b[3];this.hours+=f(c+d),this.minutes+=f(c+e)}},{key:"ww",regex:"[0-4][0-9]|5[0-3]"},{key:"w",regex:"[0-9]|[1-4][0-9]|5[0-3]"},{key:"GGGG",regex:b.DATETIME_FORMATS.ERANAMES.join("|").replace(/\s/g,"\\s")},{key:"GGG",regex:b.DATETIME_FORMATS.ERAS.join("|")},{key:"GG",regex:b.DATETIME_FORMATS.ERAS.join("|")},{key:"G",regex:b.DATETIME_FORMATS.ERAS.join("|")}]},this.init(),this.parse=function(c,f,g){if(!angular.isString(c)||!f)return c;f=b.DATETIME_FORMATS[f]||f,f=f.replace(n,"\\$&"),b.id!==l&&this.init(),this.parsers[f]||(this.parsers[f]=d(f));var h=this.parsers[f],i=h.regex,j=h.map,k=c.match(i),m=!1;if(k&&k.length){var o,p;angular.isDate(g)&&!isNaN(g.getTime())?o={year:g.getFullYear(),month:g.getMonth(),date:g.getDate(),hours:g.getHours(),minutes:g.getMinutes(),seconds:g.getSeconds(),milliseconds:g.getMilliseconds()}:(g&&a.warn("dateparser:","baseDate is not a valid date"),o={year:1900,month:0,date:1,hours:0,minutes:0,seconds:0,milliseconds:0});for(var q=1,r=k.length;r>q;q++){var s=j[q-1];"Z"===s.matcher&&(m=!0),s.apply&&s.apply.call(o,k[q])}var t=m?Date.prototype.setUTCFullYear:Date.prototype.setFullYear,u=m?Date.prototype.setUTCHours:Date.prototype.setHours;return e(o.year,o.month,o.date)&&(!angular.isDate(g)||isNaN(g.getTime())||m?(p=new Date(0),t.call(p,o.year,o.month,o.date),u.call(p,o.hours||0,o.minutes||0,o.seconds||0,o.milliseconds||0)):(p=new Date(g),t.call(p,o.year,o.month,o.date),u.call(p,o.hours,o.minutes,o.seconds,o.milliseconds))),p}},this.toTimezone=g,this.fromTimezone=h,this.timezoneToOffset=i,this.addDateMinutes=j,this.convertTimezoneToLocal=k}]),angular.module("ui.bootstrap.isClass",[]).directive("uibIsClass",["$animate",function(a){var b=/^\s*([\s\S]+?)\s+on\s+([\s\S]+?)\s*$/,c=/^\s*([\s\S]+?)\s+for\s+([\s\S]+?)\s*$/;return{restrict:"A",compile:function(d,e){function f(a,b,c){i.push(a),j.push({scope:a,element:b}),o.forEach(function(b,c){g(b,a)}),a.$on("$destroy",h)}function g(b,d){var e=b.match(c),f=d.$eval(e[1]),g=e[2],h=k[b];if(!h){var i=function(b){var c=null;j.some(function(a){var d=a.scope.$eval(m);return d===b?(c=a,!0):void 0}),h.lastActivated!==c&&(h.lastActivated&&a.removeClass(h.lastActivated.element,f),c&&a.addClass(c.element,f),h.lastActivated=c)};k[b]=h={lastActivated:null,scope:d,watchFn:i,compareWithExp:g,watcher:d.$watch(g,i)}}h.watchFn(d.$eval(g))}function h(a){var b=a.targetScope,c=i.indexOf(b);if(i.splice(c,1),j.splice(c,1),i.length){var d=i[0];angular.forEach(k,function(a){a.scope===b&&(a.watcher=d.$watch(a.compareWithExp,a.watchFn),a.scope=d)})}else k={}}var i=[],j=[],k={},l=e.uibIsClass.match(b),m=l[2],n=l[1],o=n.split(",");return f}}}]),angular.module("ui.bootstrap.position",[]).factory("$uibPosition",["$document","$window",function(a,b){var c,d={normal:/(auto|scroll)/,hidden:/(auto|scroll|hidden)/},e={auto:/\s?auto?\s?/i,primary:/^(top|bottom|left|right)$/,secondary:/^(top|bottom|left|right|center)$/,vertical:/^(top|bottom)$/};return{getRawNode:function(a){return a[0]||a},parseStyle:function(a){return a=parseFloat(a),isFinite(a)?a:0},offsetParent:function(c){function d(a){return"static"===(b.getComputedStyle(a).position||"static")}c=this.getRawNode(c);for(var e=c.offsetParent||a[0].documentElement;e&&e!==a[0].documentElement&&d(e);)e=e.offsetParent;return e||a[0].documentElement},scrollbarWidth:function(){if(angular.isUndefined(c)){var b=angular.element('
              ');a.find("body").append(b),c=b[0].offsetWidth-b[0].clientWidth,c=isFinite(c)?c:0,b.remove()}return c},scrollParent:function(c,e){c=this.getRawNode(c);var f=e?d.hidden:d.normal,g=a[0].documentElement,h=b.getComputedStyle(c),i="absolute"===h.position,j=c.parentElement||g;if(j===g||"fixed"===h.position)return g;for(;j.parentElement&&j!==g;){var k=b.getComputedStyle(j);if(i&&"static"!==k.position&&(i=!1),!i&&f.test(k.overflow+k.overflowY+k.overflowX))break;j=j.parentElement}return j},position:function(c,d){c=this.getRawNode(c);var e=this.offset(c);if(d){var f=b.getComputedStyle(c);e.top-=this.parseStyle(f.marginTop),e.left-=this.parseStyle(f.marginLeft)}var g=this.offsetParent(c),h={top:0,left:0};return g!==a[0].documentElement&&(h=this.offset(g),h.top+=g.clientTop-g.scrollTop,h.left+=g.clientLeft-g.scrollLeft),{width:Math.round(angular.isNumber(e.width)?e.width:c.offsetWidth),height:Math.round(angular.isNumber(e.height)?e.height:c.offsetHeight),top:Math.round(e.top-h.top),left:Math.round(e.left-h.left)}},offset:function(c){c=this.getRawNode(c);var d=c.getBoundingClientRect();return{width:Math.round(angular.isNumber(d.width)?d.width:c.offsetWidth),height:Math.round(angular.isNumber(d.height)?d.height:c.offsetHeight),top:Math.round(d.top+(b.pageYOffset||a[0].documentElement.scrollTop)),left:Math.round(d.left+(b.pageXOffset||a[0].documentElement.scrollLeft))}},viewportOffset:function(c,d,e){c=this.getRawNode(c),e=e!==!1;var f=c.getBoundingClientRect(),g={top:0,left:0,bottom:0,right:0},h=d?a[0].documentElement:this.scrollParent(c),i=h.getBoundingClientRect();if(g.top=i.top+h.clientTop,g.left=i.left+h.clientLeft,h===a[0].documentElement&&(g.top+=b.pageYOffset,g.left+=b.pageXOffset),g.bottom=g.top+h.clientHeight,g.right=g.left+h.clientWidth,e){var j=b.getComputedStyle(h);g.top+=this.parseStyle(j.paddingTop),g.bottom-=this.parseStyle(j.paddingBottom),g.left+=this.parseStyle(j.paddingLeft),g.right-=this.parseStyle(j.paddingRight)}return{top:Math.round(f.top-g.top),bottom:Math.round(g.bottom-f.bottom),left:Math.round(f.left-g.left),right:Math.round(g.right-f.right)}},parsePlacement:function(a){var b=e.auto.test(a);return b&&(a=a.replace(e.auto,"")),a=a.split("-"),a[0]=a[0]||"top",e.primary.test(a[0])||(a[0]="top"),a[1]=a[1]||"center",e.secondary.test(a[1])||(a[1]="center"),b?a[2]=!0:a[2]=!1,a},positionElements:function(a,c,d,f){a=this.getRawNode(a),c=this.getRawNode(c);var g=angular.isDefined(c.offsetWidth)?c.offsetWidth:c.prop("offsetWidth"),h=angular.isDefined(c.offsetHeight)?c.offsetHeight:c.prop("offsetHeight");d=this.parsePlacement(d);var i=f?this.offset(a):this.position(a),j={top:0,left:0,placement:""};if(d[2]){var k=this.viewportOffset(a),l=b.getComputedStyle(c),m={width:g+Math.round(Math.abs(this.parseStyle(l.marginLeft)+this.parseStyle(l.marginRight))),height:h+Math.round(Math.abs(this.parseStyle(l.marginTop)+this.parseStyle(l.marginBottom)))};if(d[0]="top"===d[0]&&m.height>k.top&&m.height<=k.bottom?"bottom":"bottom"===d[0]&&m.height>k.bottom&&m.height<=k.top?"top":"left"===d[0]&&m.width>k.left&&m.width<=k.right?"right":"right"===d[0]&&m.width>k.right&&m.width<=k.left?"left":d[0],d[1]="top"===d[1]&&m.height-i.height>k.bottom&&m.height-i.height<=k.top?"bottom":"bottom"===d[1]&&m.height-i.height>k.top&&m.height-i.height<=k.bottom?"top":"left"===d[1]&&m.width-i.width>k.right&&m.width-i.width<=k.left?"right":"right"===d[1]&&m.width-i.width>k.left&&m.width-i.width<=k.right?"left":d[1],"center"===d[1])if(e.vertical.test(d[0])){var n=i.width/2-g/2;k.left+n<0&&m.width-i.width<=k.right?d[1]="left":k.right+n<0&&m.width-i.width<=k.left&&(d[1]="right")}else{var o=i.height/2-m.height/2;k.top+o<0&&m.height-i.height<=k.bottom?d[1]="top":k.bottom+o<0&&m.height-i.height<=k.top&&(d[1]="bottom")}}switch(d[0]){case"top":j.top=i.top-h;break;case"bottom":j.top=i.top+i.height;break;case"left":j.left=i.left-g;break;case"right":j.left=i.left+i.width}switch(d[1]){case"top":j.top=i.top;break;case"bottom":j.top=i.top+i.height-h;break;case"left":j.left=i.left;break;case"right":j.left=i.left+i.width-g;break;case"center":e.vertical.test(d[0])?j.left=i.left+i.width/2-g/2:j.top=i.top+i.height/2-h/2}return j.top=Math.round(j.top),j.left=Math.round(j.left),j.placement="center"===d[1]?d[0]:d[0]+"-"+d[1],j},positionArrow:function(a,c){a=this.getRawNode(a);var d=!0,f=a.querySelector(".tooltip-inner");if(f||(d=!1,f=a.querySelector(".popover-inner")),f){var g=d?a.querySelector(".tooltip-arrow"):a.querySelector(".arrow");if(g){if(c=this.parsePlacement(c),"center"===c[1])return void angular.element(g).css({top:"",bottom:"",right:"",left:"",margin:""});var h="border-"+c[0]+"-width",i=b.getComputedStyle(g)[h],j="border-";j+=e.vertical.test(c[0])?c[0]+"-"+c[1]:c[1]+"-"+c[0],j+="-radius";var k=b.getComputedStyle(d?f:a)[j],l={top:"auto",bottom:"auto",left:"auto",right:"auto",margin:0};switch(c[0]){case"top":l.bottom=d?"0":"-"+i;break;case"bottom":l.top=d?"0":"-"+i;break;case"left":l.right=d?"0":"-"+i;break;case"right":l.left=d?"0":"-"+i}l[c[1]]=k,angular.element(g).css(l)}}}}}]),angular.module("ui.bootstrap.datepicker",["ui.bootstrap.dateparser","ui.bootstrap.isClass","ui.bootstrap.position"]).value("$datepickerSuppressError",!1).constant("uibDatepickerConfig",{formatDay:"dd",formatMonth:"MMMM",formatYear:"yyyy",formatDayHeader:"EEE",formatDayTitle:"MMMM yyyy",formatMonthTitle:"yyyy",datepickerMode:"day",minMode:"day",maxMode:"year",showWeeks:!0,startingDay:0,yearRows:4,yearColumns:5,minDate:null,maxDate:null,shortcutPropagation:!1,ngModelOptions:{}}).controller("UibDatepickerController",["$scope","$attrs","$parse","$interpolate","$log","dateFilter","uibDatepickerConfig","$datepickerSuppressError","uibDateParser",function(a,b,c,d,e,f,g,h,i){var j=this,k={$setViewValue:angular.noop},l={};this.modes=["day","month","year"],angular.forEach(["formatDay","formatMonth","formatYear","formatDayHeader","formatDayTitle","formatMonthTitle"],function(c){j[c]=angular.isDefined(b[c])?d(b[c])(a.$parent):g[c]}),angular.forEach(["showWeeks","startingDay","yearRows","yearColumns","shortcutPropagation"],function(c){j[c]=angular.isDefined(b[c])?a.$parent.$eval(b[c]):g[c]}),angular.forEach(["minDate","maxDate"],function(c){b[c]?a.$parent.$watch(b[c],function(a){j[c]=a?angular.isDate(a)?i.fromTimezone(new Date(a),l.timezone):new Date(f(a,"medium")):null,j.refreshView()}):j[c]=g[c]?i.fromTimezone(new Date(g[c]),l.timezone):null}),angular.forEach(["minMode","maxMode"],function(c){b[c]?a.$parent.$watch(b[c],function(d){j[c]=a[c]=angular.isDefined(d)?d:b[c],("minMode"===c&&j.modes.indexOf(a.datepickerMode)j.modes.indexOf(j[c]))&&(a.datepickerMode=j[c])}):j[c]=a[c]=g[c]||null}),a.datepickerMode=a.datepickerMode||g.datepickerMode,a.uniqueId="datepicker-"+a.$id+"-"+Math.floor(1e4*Math.random()),angular.isDefined(b.initDate)?(this.activeDate=i.fromTimezone(a.$parent.$eval(b.initDate),l.timezone)||new Date,a.$parent.$watch(b.initDate,function(a){a&&(k.$isEmpty(k.$modelValue)||k.$invalid)&&(j.activeDate=i.fromTimezone(a,l.timezone),j.refreshView())})):this.activeDate=new Date,a.disabled=angular.isDefined(b.disabled)||!1,angular.isDefined(b.ngDisabled)&&a.$parent.$watch(b.ngDisabled,function(b){a.disabled=b,j.refreshView()}),a.isActive=function(b){return 0===j.compare(b.date,j.activeDate)?(a.activeDateId=b.uid,!0):!1},this.init=function(a){k=a,l=a.$options||g.ngModelOptions,k.$modelValue&&(this.activeDate=k.$modelValue),k.$render=function(){j.render()}},this.render=function(){if(k.$viewValue){var a=new Date(k.$viewValue),b=!isNaN(a);b?this.activeDate=i.fromTimezone(a,l.timezone):h||e.error('Datepicker directive: "ng-model" value must be a Date object')}this.refreshView()},this.refreshView=function(){if(this.element){a.selectedDt=null,this._refreshView(),a.activeDt&&(a.activeDateId=a.activeDt.uid);var b=k.$viewValue?new Date(k.$viewValue):null;b=i.fromTimezone(b,l.timezone),k.$setValidity("dateDisabled",!b||this.element&&!this.isDisabled(b))}},this.createDateObject=function(b,c){var d=k.$viewValue?new Date(k.$viewValue):null;d=i.fromTimezone(d,l.timezone);var e={date:b,label:f(b,c.replace(/d!/,"dd")).replace(/M!/,"MM"),selected:d&&0===this.compare(b,d),disabled:this.isDisabled(b),current:0===this.compare(b,new Date),customClass:this.customClass(b)||null};return d&&0===this.compare(b,d)&&(a.selectedDt=e),j.activeDate&&0===this.compare(e.date,j.activeDate)&&(a.activeDt=e),e},this.isDisabled=function(c){return a.disabled||this.minDate&&this.compare(c,this.minDate)<0||this.maxDate&&this.compare(c,this.maxDate)>0||b.dateDisabled&&a.dateDisabled({date:c,mode:a.datepickerMode})},this.customClass=function(b){return a.customClass({date:b,mode:a.datepickerMode})},this.split=function(a,b){for(var c=[];a.length>0;)c.push(a.splice(0,b));return c},a.select=function(b){if(a.datepickerMode===j.minMode){var c=k.$viewValue?i.fromTimezone(new Date(k.$viewValue),l.timezone):new Date(0,0,0,0,0,0,0);c.setFullYear(b.getFullYear(),b.getMonth(),b.getDate()),c=i.toTimezone(c,l.timezone),k.$setViewValue(c),k.$render()}else j.activeDate=b,a.datepickerMode=j.modes[j.modes.indexOf(a.datepickerMode)-1]},a.move=function(a){var b=j.activeDate.getFullYear()+a*(j.step.years||0),c=j.activeDate.getMonth()+a*(j.step.months||0);j.activeDate.setFullYear(b,c,1),j.refreshView()},a.toggleMode=function(b){b=b||1,a.datepickerMode===j.maxMode&&1===b||a.datepickerMode===j.minMode&&-1===b||(a.datepickerMode=j.modes[j.modes.indexOf(a.datepickerMode)+b])},a.keys={13:"enter",32:"space",33:"pageup",34:"pagedown",35:"end",36:"home",37:"left",38:"up",39:"right",40:"down"};var m=function(){j.element[0].focus()};a.$on("uib:datepicker.focus",m),a.keydown=function(b){var c=a.keys[b.which];if(c&&!b.shiftKey&&!b.altKey&&!a.disabled)if(b.preventDefault(),j.shortcutPropagation||b.stopPropagation(),"enter"===c||"space"===c){if(j.isDisabled(j.activeDate))return;a.select(j.activeDate)}else!b.ctrlKey||"up"!==c&&"down"!==c?(j.handleKeyDown(c,b),j.refreshView()):a.toggleMode("up"===c?1:-1)}}]).controller("UibDaypickerController",["$scope","$element","dateFilter",function(a,b,c){function d(a,b){return 1!==b||a%4!==0||a%100===0&&a%400!==0?f[b]:29}function e(a){var b=new Date(a);b.setDate(b.getDate()+4-(b.getDay()||7));var c=b.getTime();return b.setMonth(0),b.setDate(1),Math.floor(Math.round((c-b)/864e5)/7)+1}var f=[31,28,31,30,31,30,31,31,30,31,30,31];this.step={months:1},this.element=b,this.init=function(b){angular.extend(b,this),a.showWeeks=b.showWeeks,b.refreshView()},this.getDates=function(a,b){for(var c,d=new Array(b),e=new Date(a),f=0;b>f;)c=new Date(e),d[f++]=c,e.setDate(e.getDate()+1);return d},this._refreshView=function(){var b=this.activeDate.getFullYear(),d=this.activeDate.getMonth(),f=new Date(this.activeDate);f.setFullYear(b,d,1);var g=this.startingDay-f.getDay(),h=g>0?7-g:-g,i=new Date(f);h>0&&i.setDate(-h+1);for(var j=this.getDates(i,42),k=0;42>k;k++)j[k]=angular.extend(this.createDateObject(j[k],this.formatDay),{secondary:j[k].getMonth()!==d,uid:a.uniqueId+"-"+k});a.labels=new Array(7);for(var l=0;7>l;l++)a.labels[l]={abbr:c(j[l].date,this.formatDayHeader),full:c(j[l].date,"EEEE")};if(a.title=c(this.activeDate,this.formatDayTitle),a.rows=this.split(j,7),a.showWeeks){a.weekNumbers=[];for(var m=(11-this.startingDay)%7,n=a.rows.length,o=0;n>o;o++)a.weekNumbers.push(e(a.rows[o][m].date))}},this.compare=function(a,b){var c=new Date(a.getFullYear(),a.getMonth(),a.getDate()),d=new Date(b.getFullYear(),b.getMonth(),b.getDate());return c.setFullYear(a.getFullYear()),d.setFullYear(b.getFullYear()),c-d},this.handleKeyDown=function(a,b){var c=this.activeDate.getDate();if("left"===a)c-=1;else if("up"===a)c-=7;else if("right"===a)c+=1;else if("down"===a)c+=7;else if("pageup"===a||"pagedown"===a){var e=this.activeDate.getMonth()+("pageup"===a?-1:1);this.activeDate.setMonth(e,1),c=Math.min(d(this.activeDate.getFullYear(),this.activeDate.getMonth()),c)}else"home"===a?c=1:"end"===a&&(c=d(this.activeDate.getFullYear(),this.activeDate.getMonth()));this.activeDate.setDate(c)}}]).controller("UibMonthpickerController",["$scope","$element","dateFilter",function(a,b,c){this.step={years:1},this.element=b,this.init=function(a){angular.extend(a,this),a.refreshView()},this._refreshView=function(){for(var b,d=new Array(12),e=this.activeDate.getFullYear(),f=0;12>f;f++)b=new Date(this.activeDate),b.setFullYear(e,f,1),d[f]=angular.extend(this.createDateObject(b,this.formatMonth),{ uid:a.uniqueId+"-"+f});a.title=c(this.activeDate,this.formatMonthTitle),a.rows=this.split(d,3)},this.compare=function(a,b){var c=new Date(a.getFullYear(),a.getMonth()),d=new Date(b.getFullYear(),b.getMonth());return c.setFullYear(a.getFullYear()),d.setFullYear(b.getFullYear()),c-d},this.handleKeyDown=function(a,b){var c=this.activeDate.getMonth();if("left"===a)c-=1;else if("up"===a)c-=3;else if("right"===a)c+=1;else if("down"===a)c+=3;else if("pageup"===a||"pagedown"===a){var d=this.activeDate.getFullYear()+("pageup"===a?-1:1);this.activeDate.setFullYear(d)}else"home"===a?c=0:"end"===a&&(c=11);this.activeDate.setMonth(c)}}]).controller("UibYearpickerController",["$scope","$element","dateFilter",function(a,b,c){function d(a){return parseInt((a-1)/f,10)*f+1}var e,f;this.element=b,this.yearpickerInit=function(){e=this.yearColumns,f=this.yearRows*e,this.step={years:f}},this._refreshView=function(){for(var b,c=new Array(f),g=0,h=d(this.activeDate.getFullYear());f>g;g++)b=new Date(this.activeDate),b.setFullYear(h+g,0,1),c[g]=angular.extend(this.createDateObject(b,this.formatYear),{uid:a.uniqueId+"-"+g});a.title=[c[0].label,c[f-1].label].join(" - "),a.rows=this.split(c,e),a.columns=e},this.compare=function(a,b){return a.getFullYear()-b.getFullYear()},this.handleKeyDown=function(a,b){var c=this.activeDate.getFullYear();"left"===a?c-=1:"up"===a?c-=e:"right"===a?c+=1:"down"===a?c+=e:"pageup"===a||"pagedown"===a?c+=("pageup"===a?-1:1)*f:"home"===a?c=d(this.activeDate.getFullYear()):"end"===a&&(c=d(this.activeDate.getFullYear())+f-1),this.activeDate.setFullYear(c)}}]).directive("uibDatepicker",function(){return{replace:!0,templateUrl:function(a,b){return b.templateUrl||"uib/template/datepicker/datepicker.html"},scope:{datepickerMode:"=?",dateDisabled:"&",customClass:"&",shortcutPropagation:"&?"},require:["uibDatepicker","^ngModel"],controller:"UibDatepickerController",controllerAs:"datepicker",link:function(a,b,c,d){var e=d[0],f=d[1];e.init(f)}}}).directive("uibDaypicker",function(){return{replace:!0,templateUrl:function(a,b){return b.templateUrl||"uib/template/datepicker/day.html"},require:["^uibDatepicker","uibDaypicker"],controller:"UibDaypickerController",link:function(a,b,c,d){var e=d[0],f=d[1];f.init(e)}}}).directive("uibMonthpicker",function(){return{replace:!0,templateUrl:function(a,b){return b.templateUrl||"uib/template/datepicker/month.html"},require:["^uibDatepicker","uibMonthpicker"],controller:"UibMonthpickerController",link:function(a,b,c,d){var e=d[0],f=d[1];f.init(e)}}}).directive("uibYearpicker",function(){return{replace:!0,templateUrl:function(a,b){return b.templateUrl||"uib/template/datepicker/year.html"},require:["^uibDatepicker","uibYearpicker"],controller:"UibYearpickerController",link:function(a,b,c,d){var e=d[0];angular.extend(e,d[1]),e.yearpickerInit(),e.refreshView()}}}).constant("uibDatepickerPopupConfig",{datepickerPopup:"yyyy-MM-dd",datepickerPopupTemplateUrl:"uib/template/datepicker/popup.html",datepickerTemplateUrl:"uib/template/datepicker/datepicker.html",html5Types:{date:"yyyy-MM-dd","datetime-local":"yyyy-MM-ddTHH:mm:ss.sss",month:"yyyy-MM"},currentText:"Today",clearText:"Clear",closeText:"Done",closeOnDateSelection:!0,appendToBody:!1,showButtonBar:!0,onOpenFocus:!0,altInputFormats:[]}).controller("UibDatepickerPopupController",["$scope","$element","$attrs","$compile","$parse","$document","$rootScope","$uibPosition","dateFilter","uibDateParser","uibDatepickerPopupConfig","$timeout","uibDatepickerConfig",function(a,b,c,d,e,f,g,h,i,j,k,l,m){function n(a){return a.replace(/([A-Z])/g,function(a){return"-"+a.toLowerCase()})}function o(b){var c=j.parse(b,t,a.date);if(isNaN(c))for(var d=0;d
            "),a.ngModelOptions=angular.copy(C),a.ngModelOptions.timezone=null,z.attr({"ng-model":"date","ng-model-options":"ngModelOptions","ng-change":"dateSelection(date)","template-url":x}),A=angular.element(z.children()[0]),A.attr("template-url",y),G&&"month"===c.type&&(A.attr("datepicker-mode",'"month"'),A.attr("min-mode","month")),c.datepickerOptions){var l=a.$parent.$eval(c.datepickerOptions);l&&l.initDate&&(a.initDate=j.fromTimezone(l.initDate,C.timezone),A.attr("init-date","initDate"),delete l.initDate),angular.forEach(l,function(a,b){A.attr(n(b),a)})}angular.forEach(["minMode","maxMode"],function(b){c[b]&&(a.$parent.$watch(function(){return c[b]},function(c){a.watchData[b]=c}),A.attr(n(b),"watchData."+b))}),angular.forEach(["datepickerMode","shortcutPropagation"],function(b){if(c[b]){var d=e(c[b]),f={get:function(){return d(a.$parent)}};if(A.attr(n(b),"watchData."+b),"datepickerMode"===b){var g=d.assign;f.set=function(b){g(a.$parent,b)}}Object.defineProperty(a.watchData,b,f)}}),angular.forEach(["minDate","maxDate","initDate"],function(b){if(c[b]){var d=e(c[b]);a.$parent.$watch(d,function(c){"minDate"!==b&&"maxDate"!==b||(F[b]=angular.isDate(c)?j.fromTimezone(new Date(c),C.timezone):new Date(i(c,"medium"))),a.watchData[b]=F[b]||j.fromTimezone(new Date(c),C.timezone)}),A.attr(n(b),"watchData."+b)}}),c.dateDisabled&&A.attr("date-disabled","dateDisabled({ date: date, mode: mode })"),angular.forEach(["formatDay","formatMonth","formatYear","formatDayHeader","formatDayTitle","formatMonthTitle","showWeeks","startingDay","yearRows","yearColumns"],function(a){angular.isDefined(c[a])&&A.attr(n(a),c[a])}),c.customClass&&A.attr("custom-class","customClass({ date: date, mode: mode })"),G?B.$formatters.push(function(b){return a.date=j.fromTimezone(b,C.timezone),b}):(B.$$parserName="date",B.$validators.date=q,B.$parsers.unshift(p),B.$formatters.push(function(b){return B.$isEmpty(b)?(a.date=b,b):(a.date=j.fromTimezone(b,C.timezone),t=t.replace(/M!/,"MM").replace(/d!/,"dd"),i(a.date,t))})),B.$viewChangeListeners.push(function(){a.date=o(B.$viewValue)}),b.bind("keydown",s),D=d(z)(a),z.remove(),v?f.find("body").append(D):b.after(D),a.$on("$destroy",function(){a.isOpen===!0&&(g.$$phase||a.$apply(function(){a.isOpen=!1})),D.remove(),b.unbind("keydown",s),f.unbind("click",r)})},a.getText=function(b){return a[b+"Text"]||k[b+"Text"]},a.isDisabled=function(b){return"today"===b&&(b=new Date),a.watchData.minDate&&a.compare(b,F.minDate)<0||a.watchData.maxDate&&a.compare(b,F.maxDate)>0},a.compare=function(a,b){return new Date(a.getFullYear(),a.getMonth(),a.getDate())-new Date(b.getFullYear(),b.getMonth(),b.getDate())},a.dateSelection=function(c){angular.isDefined(c)&&(a.date=c);var d=a.date?i(a.date,t):null;b.val(d),B.$setViewValue(d),u&&(a.isOpen=!1,b[0].focus())},a.keydown=function(c){27===c.which&&(c.stopPropagation(),a.isOpen=!1,b[0].focus())},a.select=function(b){if("today"===b){var c=new Date;angular.isDate(a.date)?(b=new Date(a.date),b.setFullYear(c.getFullYear(),c.getMonth(),c.getDate())):b=new Date(c.setHours(0,0,0,0))}a.dateSelection(b)},a.close=function(){a.isOpen=!1,b[0].focus()},a.disabled=angular.isDefined(c.disabled)||!1,c.ngDisabled&&a.$parent.$watch(e(c.ngDisabled),function(b){a.disabled=b}),a.$watch("isOpen",function(c){c?a.disabled?a.isOpen=!1:(a.position=v?h.offset(b):h.position(b),a.position.top=a.position.top+b.prop("offsetHeight"),l(function(){w&&a.$broadcast("uib:datepicker.focus"),f.bind("click",r)},0,!1)):f.unbind("click",r)})}]).directive("uibDatepickerPopup",function(){return{require:["ngModel","uibDatepickerPopup"],controller:"UibDatepickerPopupController",scope:{isOpen:"=?",currentText:"@",clearText:"@",closeText:"@",dateDisabled:"&",customClass:"&"},link:function(a,b,c,d){var e=d[0],f=d[1];f.init(e)}}}).directive("uibDatepickerPopupWrap",function(){return{replace:!0,transclude:!0,templateUrl:function(a,b){return b.templateUrl||"uib/template/datepicker/popup.html"}}}),angular.module("ui.bootstrap.debounce",[]).factory("$$debounce",["$timeout",function(a){return function(b,c){var d;return function(){var e=this,f=Array.prototype.slice.call(arguments);d&&a.cancel(d),d=a(function(){b.apply(e,f)},c)}}}]),angular.module("ui.bootstrap.dropdown",["ui.bootstrap.position"]).constant("uibDropdownConfig",{appendToOpenClass:"uib-dropdown-open",openClass:"open"}).service("uibDropdownService",["$document","$rootScope",function(a,b){var c=null;this.open=function(b){c||(a.on("click",d),a.on("keydown",e)),c&&c!==b&&(c.isOpen=!1),c=b},this.close=function(b){c===b&&(c=null,a.off("click",d),a.off("keydown",e))};var d=function(a){if(c&&!(a&&"disabled"===c.getAutoClose()||a&&3===a.which)){var d=c.getToggleElement();if(!(a&&d&&d[0].contains(a.target))){var e=c.getDropdownElement();a&&"outsideClick"===c.getAutoClose()&&e&&e[0].contains(a.target)||(c.isOpen=!1,b.$$phase||c.$apply())}}},e=function(a){27===a.which?(c.focusToggleElement(),d()):c.isKeynavEnabled()&&-1!==[38,40].indexOf(a.which)&&c.isOpen&&(a.preventDefault(),a.stopPropagation(),c.focusDropdownEntry(a.which))}}]).controller("UibDropdownController",["$scope","$element","$attrs","$parse","uibDropdownConfig","uibDropdownService","$animate","$uibPosition","$document","$compile","$templateRequest",function(a,b,c,d,e,f,g,h,i,j,k){var l,m,n=this,o=a.$new(),p=e.appendToOpenClass,q=e.openClass,r=angular.noop,s=c.onToggle?d(c.onToggle):angular.noop,t=!1,u=null,v=!1,w=i.find("body");b.addClass("dropdown"),this.init=function(){if(c.isOpen&&(m=d(c.isOpen),r=m.assign,a.$watch(m,function(a){o.isOpen=!!a})),angular.isDefined(c.dropdownAppendTo)){var e=d(c.dropdownAppendTo)(o);e&&(u=angular.element(e))}t=angular.isDefined(c.dropdownAppendToBody),v=angular.isDefined(c.keyboardNav),t&&!u&&(u=w),u&&n.dropdownMenu&&(u.append(n.dropdownMenu),b.on("$destroy",function(){n.dropdownMenu.remove()}))},this.toggle=function(a){return o.isOpen=arguments.length?!!a:!o.isOpen},this.isOpen=function(){return o.isOpen},o.getToggleElement=function(){return n.toggleElement},o.getAutoClose=function(){return c.autoClose||"always"},o.getElement=function(){return b},o.isKeynavEnabled=function(){return v},o.focusDropdownEntry=function(a){var c=n.dropdownMenu?angular.element(n.dropdownMenu).find("a"):b.find("ul").eq(0).find("a");switch(a){case 40:angular.isNumber(n.selectedOption)?n.selectedOption=n.selectedOption===c.length-1?n.selectedOption:n.selectedOption+1:n.selectedOption=0;break;case 38:angular.isNumber(n.selectedOption)?n.selectedOption=0===n.selectedOption?0:n.selectedOption-1:n.selectedOption=c.length-1}c[n.selectedOption].focus()},o.getDropdownElement=function(){return n.dropdownMenu},o.focusToggleElement=function(){n.toggleElement&&n.toggleElement[0].focus()},o.$watch("isOpen",function(c,d){if(u&&n.dropdownMenu){var e,i,m=h.positionElements(b,n.dropdownMenu,"bottom-left",!0);if(e={top:m.top+"px",display:c?"block":"none"},i=n.dropdownMenu.hasClass("dropdown-menu-right"),i?(e.left="auto",e.right=window.innerWidth-(m.left+b.prop("offsetWidth"))+"px"):(e.left=m.left+"px",e.right="auto"),!t){var v=h.offset(u);e.top=m.top-v.top+"px",i?e.right=window.innerWidth-(m.left-v.left+b.prop("offsetWidth"))+"px":e.left=m.left-v.left+"px"}n.dropdownMenu.css(e)}var w=u?u:b;if(g[c?"addClass":"removeClass"](w,u?p:q).then(function(){angular.isDefined(c)&&c!==d&&s(a,{open:!!c})}),c)n.dropdownMenuTemplateUrl&&k(n.dropdownMenuTemplateUrl).then(function(a){l=o.$new(),j(a.trim())(l,function(a){var b=a;n.dropdownMenu.replaceWith(b),n.dropdownMenu=b})}),o.focusToggleElement(),f.open(o);else{if(n.dropdownMenuTemplateUrl){l&&l.$destroy();var x=angular.element('');n.dropdownMenu.replaceWith(x),n.dropdownMenu=x}f.close(o),n.selectedOption=null}angular.isFunction(r)&&r(a,c)}),a.$on("$locationChangeSuccess",function(){"disabled"!==o.getAutoClose()&&(o.isOpen=!1)})}]).directive("uibDropdown",function(){return{controller:"UibDropdownController",link:function(a,b,c,d){d.init()}}}).directive("uibDropdownMenu",function(){return{restrict:"A",require:"?^uibDropdown",link:function(a,b,c,d){if(d&&!angular.isDefined(c.dropdownNested)){b.addClass("dropdown-menu");var e=c.templateUrl;e&&(d.dropdownMenuTemplateUrl=e),d.dropdownMenu||(d.dropdownMenu=b)}}}}).directive("uibDropdownToggle",function(){return{require:"?^uibDropdown",link:function(a,b,c,d){if(d){b.addClass("dropdown-toggle"),d.toggleElement=b;var e=function(e){e.preventDefault(),b.hasClass("disabled")||c.disabled||a.$apply(function(){d.toggle()})};b.bind("click",e),b.attr({"aria-haspopup":!0,"aria-expanded":!1}),a.$watch(d.isOpen,function(a){b.attr("aria-expanded",!!a)}),a.$on("$destroy",function(){b.unbind("click",e)})}}}}),angular.module("ui.bootstrap.stackedMap",[]).factory("$$stackedMap",function(){return{createNew:function(){var a=[];return{add:function(b,c){a.push({key:b,value:c})},get:function(b){for(var c=0;c0&&(b=t.top().value,b.modalDomEl.toggleClass(b.windowTopClass||"",a))}function l(){if(p&&-1===i()){var a=q;m(p,q,function(){a=null}),p=void 0,q=void 0}}function m(a,c,d,e){function g(){g.done||(g.done=!0,b(a,{event:"leave"}).start().then(function(){a.remove(),e&&e.resolve()}),c.$destroy(),d&&d())}var h,i=null,j=function(){return h||(h=f.defer(),i=h.promise),function(){h.resolve()}};return c.$broadcast(v.NOW_CLOSING_EVENT,j),f.when(i).then(g)}function n(a){if(a.isDefaultPrevented())return a;var b=t.top();if(b)switch(a.which){case 27:b.value.keyboard&&(a.preventDefault(),e.$apply(function(){v.dismiss(b.key,"escape key press")}));break;case 9:v.loadFocusElementList(b);var c=!1;a.shiftKey?v.isFocusInFirstItem(a)&&(c=v.focusLastFocusableElement()):v.isFocusInLastItem(a)&&(c=v.focusFirstFocusableElement()),c&&(a.preventDefault(),a.stopPropagation())}}function o(a,b,c){return!a.value.modalScope.$broadcast("modal.closing",b,c).defaultPrevented}var p,q,r,s="modal-open",t=h.createNew(),u=g.createNew(),v={NOW_CLOSING_EVENT:"modal.stack.now-closing"},w=0,x="a[href], area[href], input:not([disabled]), button:not([disabled]),select:not([disabled]), textarea:not([disabled]), iframe, object, embed, *[tabindex], *[contenteditable=true]";return e.$watch(i,function(a){q&&(q.index=a)}),c.on("keydown",n),e.$on("$destroy",function(){c.off("keydown",n)}),v.open=function(b,f){var g=c[0].activeElement,h=f.openedClass||s;k(!1),t.add(b,{deferred:f.deferred,renderDeferred:f.renderDeferred,closedDeferred:f.closedDeferred,modalScope:f.scope,backdrop:f.backdrop,keyboard:f.keyboard,openedClass:f.openedClass,windowTopClass:f.windowTopClass,animation:f.animation,appendTo:f.appendTo}),u.put(h,b);var j=f.appendTo,l=i();if(!j.length)throw new Error("appendTo element not found. Make sure that the element passed is in DOM.");l>=0&&!p&&(q=e.$new(!0),q.modalOptions=f,q.index=l,p=angular.element('
            '),p.attr("backdrop-class",f.backdropClass),f.animation&&p.attr("modal-animation","true"),d(p)(q),a.enter(p,j));var m=angular.element('
            ');m.attr({"template-url":f.windowTemplateUrl,"window-class":f.windowClass,"window-top-class":f.windowTopClass,size:f.size,index:t.length()-1,animate:"animate"}).html(f.content),f.animation&&m.attr("modal-animation","true"),a.enter(m,j).then(function(){d(m)(f.scope),a.addClass(j,h)}),t.top().value.modalDomEl=m,t.top().value.modalOpener=g,v.clearFocusListCache()},v.close=function(a,b){var c=t.get(a);return c&&o(c,b,!0)?(c.value.modalScope.$$uibDestructionScheduled=!0,c.value.deferred.resolve(b),j(a,c.value.modalOpener),!0):!c},v.dismiss=function(a,b){var c=t.get(a);return c&&o(c,b,!1)?(c.value.modalScope.$$uibDestructionScheduled=!0,c.value.deferred.reject(b),j(a,c.value.modalOpener),!0):!c},v.dismissAll=function(a){for(var b=this.getTop();b&&this.dismiss(b.key,a);)b=this.getTop()},v.getTop=function(){return t.top()},v.modalRendered=function(a){var b=t.get(a);b&&b.value.renderDeferred.resolve()},v.focusFirstFocusableElement=function(){return r.length>0?(r[0].focus(),!0):!1},v.focusLastFocusableElement=function(){return r.length>0?(r[r.length-1].focus(),!0):!1},v.isFocusInFirstItem=function(a){return r.length>0?(a.target||a.srcElement)===r[0]:!1},v.isFocusInLastItem=function(a){return r.length>0?(a.target||a.srcElement)===r[r.length-1]:!1},v.clearFocusListCache=function(){r=[],w=0},v.loadFocusElementList=function(a){if((void 0===r||!r.length)&&a){var b=a.value.modalDomEl;b&&b.length&&(r=b[0].querySelectorAll(x))}},v}]).provider("$uibModal",function(){var a={options:{animation:!0,backdrop:!0,keyboard:!0},$get:["$rootScope","$q","$document","$templateRequest","$controller","$uibResolve","$uibModalStack",function(b,c,d,e,f,g,h){function i(a){return a.template?c.when(a.template):e(angular.isFunction(a.templateUrl)?a.templateUrl():a.templateUrl)}var j={},k=null;return j.getPromiseChain=function(){return k},j.open=function(e){function j(){return r}var l=c.defer(),m=c.defer(),n=c.defer(),o=c.defer(),p={result:l.promise,opened:m.promise,closed:n.promise,rendered:o.promise,close:function(a){return h.close(p,a)},dismiss:function(a){return h.dismiss(p,a)}};if(e=angular.extend({},a.options,e),e.resolve=e.resolve||{},e.appendTo=e.appendTo||d.find("body").eq(0),!e.template&&!e.templateUrl)throw new Error("One of template or templateUrl options is required.");var q,r=c.all([i(e),g.resolve(e.resolve,{},null,null)]);return q=k=c.all([k]).then(j,j).then(function(a){var c=e.scope||b,d=c.$new();d.$close=p.close,d.$dismiss=p.dismiss,d.$on("$destroy",function(){d.$$uibDestructionScheduled||d.$dismiss("$uibUnscheduledDestruction")});var g,i={};e.controller&&(i.$scope=d,i.$uibModalInstance=p,angular.forEach(a[1],function(a,b){i[b]=a}),g=f(e.controller,i),e.controllerAs&&(e.bindToController&&(g.$close=d.$close,g.$dismiss=d.$dismiss,angular.extend(g,c)),d[e.controllerAs]=g)),h.open(p,{scope:d,deferred:l,renderDeferred:o,closedDeferred:n,content:a[0],animation:e.animation,backdrop:e.backdrop,keyboard:e.keyboard,backdropClass:e.backdropClass,windowTopClass:e.windowTopClass,windowClass:e.windowClass,windowTemplateUrl:e.windowTemplateUrl,size:e.size,openedClass:e.openedClass,appendTo:e.appendTo}),m.resolve(!0)},function(a){m.reject(a),l.reject(a)})["finally"](function(){k===q&&(k=null)}),p},j}]};return a}),angular.module("ui.bootstrap.paging",[]).factory("uibPaging",["$parse",function(a){return{create:function(b,c,d){b.setNumPages=d.numPages?a(d.numPages).assign:angular.noop,b.ngModelCtrl={$setViewValue:angular.noop},b.init=function(e,f){b.ngModelCtrl=e,b.config=f,e.$render=function(){b.render()},d.itemsPerPage?c.$parent.$watch(a(d.itemsPerPage),function(a){b.itemsPerPage=parseInt(a,10),c.totalPages=b.calculateTotalPages(),b.updatePage()}):b.itemsPerPage=f.itemsPerPage,c.$watch("totalItems",function(a,d){(angular.isDefined(a)||a!==d)&&(c.totalPages=b.calculateTotalPages(),b.updatePage())})},b.calculateTotalPages=function(){var a=b.itemsPerPage<1?1:Math.ceil(c.totalItems/b.itemsPerPage);return Math.max(a||0,1)},b.render=function(){c.page=parseInt(b.ngModelCtrl.$viewValue,10)||1},c.selectPage=function(a,d){d&&d.preventDefault();var e=!c.ngDisabled||!d;e&&c.page!==a&&a>0&&a<=c.totalPages&&(d&&d.target&&d.target.blur(),b.ngModelCtrl.$setViewValue(a),b.ngModelCtrl.$render())},c.getText=function(a){return c[a+"Text"]||b.config[a+"Text"]},c.noPrevious=function(){return 1===c.page},c.noNext=function(){return c.page===c.totalPages},b.updatePage=function(){b.setNumPages(c.$parent,c.totalPages),c.page>c.totalPages?c.selectPage(c.totalPages):b.ngModelCtrl.$render()}}}}]),angular.module("ui.bootstrap.pager",["ui.bootstrap.paging"]).controller("UibPagerController",["$scope","$attrs","uibPaging","uibPagerConfig",function(a,b,c,d){a.align=angular.isDefined(b.align)?a.$parent.$eval(b.align):d.align,c.create(this,a,b)}]).constant("uibPagerConfig",{itemsPerPage:10,previousText:"« Previous",nextText:"Next »",align:!0}).directive("uibPager",["uibPagerConfig",function(a){return{scope:{totalItems:"=",previousText:"@",nextText:"@",ngDisabled:"="},require:["uibPager","?ngModel"],controller:"UibPagerController",controllerAs:"pager",templateUrl:function(a,b){return b.templateUrl||"uib/template/pager/pager.html"},replace:!0,link:function(b,c,d,e){var f=e[0],g=e[1];g&&f.init(g,a)}}}]),angular.module("ui.bootstrap.pagination",["ui.bootstrap.paging"]).controller("UibPaginationController",["$scope","$attrs","$parse","uibPaging","uibPaginationConfig",function(a,b,c,d,e){function f(a,b,c){return{number:a,text:b,active:c}}function g(a,b){var c=[],d=1,e=b,g=angular.isDefined(i)&&b>i;g&&(j?(d=Math.max(a-Math.floor(i/2),1),e=d+i-1,e>b&&(e=b,d=e-i+1)):(d=(Math.ceil(a/i)-1)*i+1,e=Math.min(d+i-1,b)));for(var h=d;e>=h;h++){var m=f(h,h,h===a);c.push(m)}if(g&&i>0&&(!j||k||l)){if(d>1){if(!l||d>3){var n=f(d-1,"...",!1);c.unshift(n)}if(l){if(3===d){var o=f(2,"2",!1);c.unshift(o)}var p=f(1,"1",!1);c.unshift(p)}}if(b>e){if(!l||b-2>e){var q=f(e+1,"...",!1);c.push(q)}if(l){if(e===b-2){var r=f(b-1,b-1,!1);c.push(r)}var s=f(b,b,!1);c.push(s)}}}return c}var h=this,i=angular.isDefined(b.maxSize)?a.$parent.$eval(b.maxSize):e.maxSize,j=angular.isDefined(b.rotate)?a.$parent.$eval(b.rotate):e.rotate,k=angular.isDefined(b.forceEllipses)?a.$parent.$eval(b.forceEllipses):e.forceEllipses,l=angular.isDefined(b.boundaryLinkNumbers)?a.$parent.$eval(b.boundaryLinkNumbers):e.boundaryLinkNumbers;a.boundaryLinks=angular.isDefined(b.boundaryLinks)?a.$parent.$eval(b.boundaryLinks):e.boundaryLinks,a.directionLinks=angular.isDefined(b.directionLinks)?a.$parent.$eval(b.directionLinks):e.directionLinks,d.create(this,a,b),b.maxSize&&a.$parent.$watch(c(b.maxSize),function(a){i=parseInt(a,10),h.render()});var m=this.render;this.render=function(){m(),a.page>0&&a.page<=a.totalPages&&(a.pages=g(a.page,a.totalPages))}}]).constant("uibPaginationConfig",{itemsPerPage:10,boundaryLinks:!1,boundaryLinkNumbers:!1,directionLinks:!0,firstText:"First",previousText:"Previous",nextText:"Next",lastText:"Last",rotate:!0,forceEllipses:!1}).directive("uibPagination",["$parse","uibPaginationConfig",function(a,b){return{scope:{totalItems:"=",firstText:"@",previousText:"@",nextText:"@",lastText:"@",ngDisabled:"="},require:["uibPagination","?ngModel"],controller:"UibPaginationController",controllerAs:"pagination",templateUrl:function(a,b){return b.templateUrl||"uib/template/pagination/pagination.html"},replace:!0,link:function(a,c,d,e){var f=e[0],g=e[1];g&&f.init(g,b)}}}]),angular.module("ui.bootstrap.tooltip",["ui.bootstrap.position","ui.bootstrap.stackedMap"]).provider("$uibTooltip",function(){function a(a){var b=/[A-Z]/g,c="-";return a.replace(b,function(a,b){return(b?c:"")+a.toLowerCase()})}var b={placement:"top",placementClassPrefix:"",animation:!0,popupDelay:0,popupCloseDelay:0,useContentExp:!1},c={mouseenter:"mouseleave",click:"click",outsideClick:"outsideClick",focus:"blur",none:""},d={};this.options=function(a){angular.extend(d,a)},this.setTriggers=function(a){angular.extend(c,a)},this.$get=["$window","$compile","$timeout","$document","$uibPosition","$interpolate","$rootScope","$parse","$$stackedMap",function(e,f,g,h,i,j,k,l,m){function n(a){if(27===a.which){var b=o.top();b&&(b.value.close(),o.removeTop(),b=null)}}var o=m.createNew();return h.on("keypress",n),k.$on("$destroy",function(){h.off("keypress",n)}),function(e,k,m,n){function p(a){var b=(a||n.trigger||m).split(" "),d=b.map(function(a){return c[a]||a});return{show:b,hide:d}}n=angular.extend({},b,d,n);var q=a(e),r=j.startSymbol(),s=j.endSymbol(),t="
            ';return{compile:function(a,b){var c=f(t);return function(a,b,d,f){function j(){M.isOpen?q():m()}function m(){L&&!a.$eval(d[k+"Enable"])||(u(),x(),M.popupDelay?G||(G=g(r,M.popupDelay,!1)):r())}function q(){s(),M.popupCloseDelay?H||(H=g(t,M.popupCloseDelay,!1)):t()}function r(){return s(),u(),M.content?(v(),void M.$evalAsync(function(){M.isOpen=!0,y(!0),R()})):angular.noop}function s(){G&&(g.cancel(G),G=null),I&&(g.cancel(I),I=null)}function t(){M&&M.$evalAsync(function(){M.isOpen=!1,y(!1),M.animation?F||(F=g(w,150,!1)):w()})}function u(){H&&(g.cancel(H),H=null),F&&(g.cancel(F),F=null)}function v(){D||(E=M.$new(),D=c(E,function(a){J?h.find("body").append(a):b.after(a)}),z())}function w(){s(),u(),A(),D&&(D.remove(),D=null),E&&(E.$destroy(),E=null)}function x(){M.title=d[k+"Title"],P?M.content=P(a):M.content=d[e],M.popupClass=d[k+"Class"],M.placement=angular.isDefined(d[k+"Placement"])?d[k+"Placement"]:n.placement;var b=parseInt(d[k+"PopupDelay"],10),c=parseInt(d[k+"PopupCloseDelay"],10);M.popupDelay=isNaN(b)?n.popupDelay:b,M.popupCloseDelay=isNaN(c)?n.popupCloseDelay:c}function y(b){O&&angular.isFunction(O.assign)&&O.assign(a,b)}function z(){Q.length=0,P?(Q.push(a.$watch(P,function(a){M.content=a,!a&&M.isOpen&&t()})),Q.push(E.$watch(function(){N||(N=!0,E.$$postDigest(function(){N=!1,M&&M.isOpen&&R()}))}))):Q.push(d.$observe(e,function(a){M.content=a,!a&&M.isOpen?t():R()})),Q.push(d.$observe(k+"Title",function(a){M.title=a,M.isOpen&&R()})),Q.push(d.$observe(k+"Placement",function(a){M.placement=a?a:n.placement,M.isOpen&&R()}))}function A(){Q.length&&(angular.forEach(Q,function(a){a()}),Q.length=0)}function B(a){M&&M.isOpen&&D&&(b[0].contains(a.target)||D[0].contains(a.target)||q())}function C(){var a=d[k+"Trigger"];S(),K=p(a),"none"!==K.show&&K.show.forEach(function(a,c){"outsideClick"===a?(b.on("click",j),h.on("click",B)):a===K.hide[c]?b.on(a,j):a&&(b.on(a,m),b.on(K.hide[c],q)),b.on("keypress",function(a){27===a.which&&q()})})}var D,E,F,G,H,I,J=angular.isDefined(n.appendToBody)?n.appendToBody:!1,K=p(void 0),L=angular.isDefined(d[k+"Enable"]),M=a.$new(!0),N=!1,O=angular.isDefined(d[k+"IsOpen"])?l(d[k+"IsOpen"]):!1,P=n.useContentExp?l(d[e]):!1,Q=[],R=function(){D&&D.html()&&(I||(I=g(function(){D.css({top:0,left:0});var a=i.positionElements(b,D,M.placement,J);D.css({top:a.top+"px",left:a.left+"px",visibility:"visible"}),n.placementClassPrefix&&D.removeClass("top bottom left right"),D.removeClass(n.placementClassPrefix+"top "+n.placementClassPrefix+"top-left "+n.placementClassPrefix+"top-right "+n.placementClassPrefix+"bottom "+n.placementClassPrefix+"bottom-left "+n.placementClassPrefix+"bottom-right "+n.placementClassPrefix+"left "+n.placementClassPrefix+"left-top "+n.placementClassPrefix+"left-bottom "+n.placementClassPrefix+"right "+n.placementClassPrefix+"right-top "+n.placementClassPrefix+"right-bottom"); var c=a.placement.split("-");D.addClass(c[0],n.placementClassPrefix+a.placement),i.positionArrow(D,a.placement),I=null},0,!1)))};M.origScope=a,M.isOpen=!1,o.add(M,{close:t}),M.contentExp=function(){return M.content},d.$observe("disabled",function(a){a&&s(),a&&M.isOpen&&t()}),O&&a.$watch(O,function(a){M&&!a===M.isOpen&&j()});var S=function(){K.show.forEach(function(a){"outsideClick"===a?b.off("click",j):(b.off(a,m),b.off(a,j))}),K.hide.forEach(function(a){"outsideClick"===a?h.off("click",B):b.off(a,q)})};C();var T=a.$eval(d[k+"Animation"]);M.animation=angular.isDefined(T)?!!T:n.animation;var U,V=k+"AppendToBody";U=V in d&&void 0===d[V]?!0:a.$eval(d[V]),J=angular.isDefined(U)?U:J,J&&a.$on("$locationChangeSuccess",function(){M.isOpen&&t()}),a.$on("$destroy",function(){S(),w(),o.remove(M),M=null})}}}}}]}).directive("uibTooltipTemplateTransclude",["$animate","$sce","$compile","$templateRequest",function(a,b,c,d){return{link:function(e,f,g){var h,i,j,k=e.$eval(g.tooltipTemplateTranscludeScope),l=0,m=function(){i&&(i.remove(),i=null),h&&(h.$destroy(),h=null),j&&(a.leave(j).then(function(){i=null}),i=j,j=null)};e.$watch(b.parseAsResourceUrl(g.uibTooltipTemplateTransclude),function(b){var g=++l;b?(d(b,!0).then(function(d){if(g===l){var e=k.$new(),i=d,n=c(i)(e,function(b){m(),a.enter(b,f)});h=e,j=n,h.$emit("$includeContentLoaded",b)}},function(){g===l&&(m(),e.$emit("$includeContentError",b))}),e.$emit("$includeContentRequested",b)):m()}),e.$on("$destroy",m)}}}]).directive("uibTooltipClasses",["$uibPosition",function(a){return{restrict:"A",link:function(b,c,d){if(b.placement){var e=a.parsePlacement(b.placement);c.addClass(e[0])}else c.addClass("top");b.popupClass&&c.addClass(b.popupClass),b.animation()&&c.addClass(d.tooltipAnimationClass)}}}]).directive("uibTooltipPopup",function(){return{replace:!0,scope:{content:"@",placement:"@",popupClass:"@",animation:"&",isOpen:"&"},templateUrl:"uib/template/tooltip/tooltip-popup.html"}}).directive("uibTooltip",["$uibTooltip",function(a){return a("uibTooltip","tooltip","mouseenter")}]).directive("uibTooltipTemplatePopup",function(){return{replace:!0,scope:{contentExp:"&",placement:"@",popupClass:"@",animation:"&",isOpen:"&",originScope:"&"},templateUrl:"uib/template/tooltip/tooltip-template-popup.html"}}).directive("uibTooltipTemplate",["$uibTooltip",function(a){return a("uibTooltipTemplate","tooltip","mouseenter",{useContentExp:!0})}]).directive("uibTooltipHtmlPopup",function(){return{replace:!0,scope:{contentExp:"&",placement:"@",popupClass:"@",animation:"&",isOpen:"&"},templateUrl:"uib/template/tooltip/tooltip-html-popup.html"}}).directive("uibTooltipHtml",["$uibTooltip",function(a){return a("uibTooltipHtml","tooltip","mouseenter",{useContentExp:!0})}]),angular.module("ui.bootstrap.popover",["ui.bootstrap.tooltip"]).directive("uibPopoverTemplatePopup",function(){return{replace:!0,scope:{title:"@",contentExp:"&",placement:"@",popupClass:"@",animation:"&",isOpen:"&",originScope:"&"},templateUrl:"uib/template/popover/popover-template.html"}}).directive("uibPopoverTemplate",["$uibTooltip",function(a){return a("uibPopoverTemplate","popover","click",{useContentExp:!0})}]).directive("uibPopoverHtmlPopup",function(){return{replace:!0,scope:{contentExp:"&",title:"@",placement:"@",popupClass:"@",animation:"&",isOpen:"&"},templateUrl:"uib/template/popover/popover-html.html"}}).directive("uibPopoverHtml",["$uibTooltip",function(a){return a("uibPopoverHtml","popover","click",{useContentExp:!0})}]).directive("uibPopoverPopup",function(){return{replace:!0,scope:{title:"@",content:"@",placement:"@",popupClass:"@",animation:"&",isOpen:"&"},templateUrl:"uib/template/popover/popover.html"}}).directive("uibPopover",["$uibTooltip",function(a){return a("uibPopover","popover","click")}]),angular.module("ui.bootstrap.progressbar",[]).constant("uibProgressConfig",{animate:!0,max:100}).controller("UibProgressController",["$scope","$attrs","uibProgressConfig",function(a,b,c){var d=this,e=angular.isDefined(b.animate)?a.$parent.$eval(b.animate):c.animate;this.bars=[],a.max=angular.isDefined(a.max)?a.max:c.max,this.addBar=function(b,c,f){e||c.css({transition:"none"}),this.bars.push(b),b.max=a.max,b.title=f&&angular.isDefined(f.title)?f.title:"progressbar",b.$watch("value",function(a){b.recalculatePercentage()}),b.recalculatePercentage=function(){var a=d.bars.reduce(function(a,b){return b.percent=+(100*b.value/b.max).toFixed(2),a+b.percent},0);a>100&&(b.percent-=a-100)},b.$on("$destroy",function(){c=null,d.removeBar(b)})},this.removeBar=function(a){this.bars.splice(this.bars.indexOf(a),1),this.bars.forEach(function(a){a.recalculatePercentage()})},a.$watch("max",function(b){d.bars.forEach(function(b){b.max=a.max,b.recalculatePercentage()})})}]).directive("uibProgress",function(){return{replace:!0,transclude:!0,controller:"UibProgressController",require:"uibProgress",scope:{max:"=?"},templateUrl:"uib/template/progressbar/progress.html"}}).directive("uibBar",function(){return{replace:!0,transclude:!0,require:"^uibProgress",scope:{value:"=",type:"@"},templateUrl:"uib/template/progressbar/bar.html",link:function(a,b,c,d){d.addBar(a,b,c)}}}).directive("uibProgressbar",function(){return{replace:!0,transclude:!0,controller:"UibProgressController",scope:{value:"=",max:"=?",type:"@"},templateUrl:"uib/template/progressbar/progressbar.html",link:function(a,b,c,d){d.addBar(a,angular.element(b.children()[0]),{title:c.title})}}}),angular.module("ui.bootstrap.rating",[]).constant("uibRatingConfig",{max:5,stateOn:null,stateOff:null,titles:["one","two","three","four","five"]}).controller("UibRatingController",["$scope","$attrs","uibRatingConfig",function(a,b,c){var d={$setViewValue:angular.noop};this.init=function(e){d=e,d.$render=this.render,d.$formatters.push(function(a){return angular.isNumber(a)&&a<<0!==a&&(a=Math.round(a)),a}),this.stateOn=angular.isDefined(b.stateOn)?a.$parent.$eval(b.stateOn):c.stateOn,this.stateOff=angular.isDefined(b.stateOff)?a.$parent.$eval(b.stateOff):c.stateOff;var f=angular.isDefined(b.titles)?a.$parent.$eval(b.titles):c.titles;this.titles=angular.isArray(f)&&f.length>0?f:c.titles;var g=angular.isDefined(b.ratingStates)?a.$parent.$eval(b.ratingStates):new Array(angular.isDefined(b.max)?a.$parent.$eval(b.max):c.max);a.range=this.buildTemplateObjects(g)},this.buildTemplateObjects=function(a){for(var b=0,c=a.length;c>b;b++)a[b]=angular.extend({index:b},{stateOn:this.stateOn,stateOff:this.stateOff,title:this.getTitle(b)},a[b]);return a},this.getTitle=function(a){return a>=this.titles.length?a+1:this.titles[a]},a.rate=function(b){!a.readonly&&b>=0&&b<=a.range.length&&(d.$setViewValue(d.$viewValue===b?0:b),d.$render())},a.enter=function(b){a.readonly||(a.value=b),a.onHover({value:b})},a.reset=function(){a.value=d.$viewValue,a.onLeave()},a.onKeydown=function(b){/(37|38|39|40)/.test(b.which)&&(b.preventDefault(),b.stopPropagation(),a.rate(a.value+(38===b.which||39===b.which?1:-1)))},this.render=function(){a.value=d.$viewValue}}]).directive("uibRating",function(){return{require:["uibRating","ngModel"],scope:{readonly:"=?",onHover:"&",onLeave:"&"},controller:"UibRatingController",templateUrl:"uib/template/rating/rating.html",replace:!0,link:function(a,b,c,d){var e=d[0],f=d[1];e.init(f)}}}),angular.module("ui.bootstrap.tabs",[]).controller("UibTabsetController",["$scope",function(a){var b=this,c=b.tabs=a.tabs=[];b.select=function(a){angular.forEach(c,function(b){b.active&&b!==a&&(b.active=!1,b.onDeselect(),a.selectCalled=!1)}),a.active=!0,a.selectCalled||(a.onSelect(),a.selectCalled=!0)},b.addTab=function(a){c.push(a),1===c.length&&a.active!==!1?a.active=!0:a.active?b.select(a):a.active=!1},b.removeTab=function(a){var e=c.indexOf(a);if(a.active&&c.length>1&&!d){var f=e===c.length-1?e-1:e+1;b.select(c[f])}c.splice(e,1)};var d;a.$on("$destroy",function(){d=!0})}]).directive("uibTabset",function(){return{transclude:!0,replace:!0,scope:{type:"@"},controller:"UibTabsetController",templateUrl:"uib/template/tabs/tabset.html",link:function(a,b,c){a.vertical=angular.isDefined(c.vertical)?a.$parent.$eval(c.vertical):!1,a.justified=angular.isDefined(c.justified)?a.$parent.$eval(c.justified):!1}}}).directive("uibTab",["$parse",function(a){return{require:"^uibTabset",replace:!0,templateUrl:"uib/template/tabs/tab.html",transclude:!0,scope:{active:"=?",heading:"@",onSelect:"&select",onDeselect:"&deselect"},controller:function(){},controllerAs:"tab",link:function(b,c,d,e,f){b.$watch("active",function(a){a&&e.select(b)}),b.disabled=!1,d.disable&&b.$parent.$watch(a(d.disable),function(a){b.disabled=!!a}),b.select=function(){b.disabled||(b.active=!0)},e.addTab(b),b.$on("$destroy",function(){e.removeTab(b)}),b.$transcludeFn=f}}}]).directive("uibTabHeadingTransclude",function(){return{restrict:"A",require:"^uibTab",link:function(a,b){a.$watch("headingElement",function(a){a&&(b.html(""),b.append(a))})}}}).directive("uibTabContentTransclude",function(){function a(a){return a.tagName&&(a.hasAttribute("uib-tab-heading")||a.hasAttribute("data-uib-tab-heading")||a.hasAttribute("x-uib-tab-heading")||"uib-tab-heading"===a.tagName.toLowerCase()||"data-uib-tab-heading"===a.tagName.toLowerCase()||"x-uib-tab-heading"===a.tagName.toLowerCase())}return{restrict:"A",require:"^uibTabset",link:function(b,c,d){var e=b.$eval(d.uibTabContentTransclude);e.$transcludeFn(e.$parent,function(b){angular.forEach(b,function(b){a(b)?e.headingElement=b:c.append(b)})})}}}),angular.module("ui.bootstrap.timepicker",[]).constant("uibTimepickerConfig",{hourStep:1,minuteStep:1,secondStep:1,showMeridian:!0,showSeconds:!1,meridians:null,readonlyInput:!1,mousewheel:!0,arrowkeys:!0,showSpinners:!0,templateUrl:"uib/template/timepicker/timepicker.html"}).controller("UibTimepickerController",["$scope","$element","$attrs","$parse","$log","$locale","uibTimepickerConfig",function(a,b,c,d,e,f,g){function h(){var b=+a.hours,c=a.showMeridian?b>0&&13>b:b>=0&&24>b;return c?(a.showMeridian&&(12===b&&(b=0),a.meridian===t[1]&&(b+=12)),b):void 0}function i(){var b=+a.minutes;return b>=0&&60>b?b:void 0}function j(){var b=+a.seconds;return b>=0&&60>b?b:void 0}function k(a){return null===a?"":angular.isDefined(a)&&a.toString().length<2?"0"+a:a.toString()}function l(a){m(),s.$setViewValue(new Date(r)),n(a)}function m(){s.$setValidity("time",!0),a.invalidHours=!1,a.invalidMinutes=!1,a.invalidSeconds=!1}function n(b){if(s.$modelValue){var c=r.getHours(),d=r.getMinutes(),e=r.getSeconds();a.showMeridian&&(c=0===c||12===c?12:c%12),a.hours="h"===b?c:k(c),"m"!==b&&(a.minutes=k(d)),a.meridian=r.getHours()<12?t[0]:t[1],"s"!==b&&(a.seconds=k(e)),a.meridian=r.getHours()<12?t[0]:t[1]}else a.hours=null,a.minutes=null,a.seconds=null,a.meridian=t[0]}function o(a){r=q(r,a),l()}function p(a,b){return q(a,60*b)}function q(a,b){var c=new Date(a.getTime()+1e3*b),d=new Date(a);return d.setHours(c.getHours(),c.getMinutes(),c.getSeconds()),d}var r=new Date,s={$setViewValue:angular.noop},t=angular.isDefined(c.meridians)?a.$parent.$eval(c.meridians):g.meridians||f.DATETIME_FORMATS.AMPMS;a.tabindex=angular.isDefined(c.tabindex)?c.tabindex:0,b.removeAttr("tabindex"),this.init=function(b,d){s=b,s.$render=this.render,s.$formatters.unshift(function(a){return a?new Date(a):null});var e=d.eq(0),f=d.eq(1),h=d.eq(2),i=angular.isDefined(c.mousewheel)?a.$parent.$eval(c.mousewheel):g.mousewheel;i&&this.setupMousewheelEvents(e,f,h);var j=angular.isDefined(c.arrowkeys)?a.$parent.$eval(c.arrowkeys):g.arrowkeys;j&&this.setupArrowkeyEvents(e,f,h),a.readonlyInput=angular.isDefined(c.readonlyInput)?a.$parent.$eval(c.readonlyInput):g.readonlyInput,this.setupInputEvents(e,f,h)};var u=g.hourStep;c.hourStep&&a.$parent.$watch(d(c.hourStep),function(a){u=+a});var v=g.minuteStep;c.minuteStep&&a.$parent.$watch(d(c.minuteStep),function(a){v=+a});var w;a.$parent.$watch(d(c.min),function(a){var b=new Date(a);w=isNaN(b)?void 0:b});var x;a.$parent.$watch(d(c.max),function(a){var b=new Date(a);x=isNaN(b)?void 0:b});var y=!1;c.ngDisabled&&a.$parent.$watch(d(c.ngDisabled),function(a){y=a}),a.noIncrementHours=function(){var a=p(r,60*u);return y||a>x||r>a&&w>a},a.noDecrementHours=function(){var a=p(r,60*-u);return y||w>a||a>r&&a>x},a.noIncrementMinutes=function(){var a=p(r,v);return y||a>x||r>a&&w>a},a.noDecrementMinutes=function(){var a=p(r,-v);return y||w>a||a>r&&a>x},a.noIncrementSeconds=function(){var a=q(r,z);return y||a>x||r>a&&w>a},a.noDecrementSeconds=function(){var a=q(r,-z);return y||w>a||a>r&&a>x},a.noToggleMeridian=function(){return r.getHours()<12?y||p(r,720)>x:y||p(r,-720)0};b.bind("mousewheel wheel",function(b){y||a.$apply(e(b)?a.incrementHours():a.decrementHours()),b.preventDefault()}),c.bind("mousewheel wheel",function(b){y||a.$apply(e(b)?a.incrementMinutes():a.decrementMinutes()),b.preventDefault()}),d.bind("mousewheel wheel",function(b){y||a.$apply(e(b)?a.incrementSeconds():a.decrementSeconds()),b.preventDefault()})},this.setupArrowkeyEvents=function(b,c,d){b.bind("keydown",function(b){y||(38===b.which?(b.preventDefault(),a.incrementHours(),a.$apply()):40===b.which&&(b.preventDefault(),a.decrementHours(),a.$apply()))}),c.bind("keydown",function(b){y||(38===b.which?(b.preventDefault(),a.incrementMinutes(),a.$apply()):40===b.which&&(b.preventDefault(),a.decrementMinutes(),a.$apply()))}),d.bind("keydown",function(b){y||(38===b.which?(b.preventDefault(),a.incrementSeconds(),a.$apply()):40===b.which&&(b.preventDefault(),a.decrementSeconds(),a.$apply()))})},this.setupInputEvents=function(b,c,d){if(a.readonlyInput)return a.updateHours=angular.noop,a.updateMinutes=angular.noop,void(a.updateSeconds=angular.noop);var e=function(b,c,d){s.$setViewValue(null),s.$setValidity("time",!1),angular.isDefined(b)&&(a.invalidHours=b),angular.isDefined(c)&&(a.invalidMinutes=c),angular.isDefined(d)&&(a.invalidSeconds=d)};a.updateHours=function(){var a=h(),b=i();s.$setDirty(),angular.isDefined(a)&&angular.isDefined(b)?(r.setHours(a),r.setMinutes(b),w>r||r>x?e(!0):l("h")):e(!0)},b.bind("blur",function(b){s.$setTouched(),null===a.hours||""===a.hours?e(!0):!a.invalidHours&&a.hours<10&&a.$apply(function(){a.hours=k(a.hours)})}),a.updateMinutes=function(){var a=i(),b=h();s.$setDirty(),angular.isDefined(a)&&angular.isDefined(b)?(r.setHours(b),r.setMinutes(a),w>r||r>x?e(void 0,!0):l("m")):e(void 0,!0)},c.bind("blur",function(b){s.$setTouched(),null===a.minutes?e(void 0,!0):!a.invalidMinutes&&a.minutes<10&&a.$apply(function(){a.minutes=k(a.minutes)})}),a.updateSeconds=function(){var a=j();s.$setDirty(),angular.isDefined(a)?(r.setSeconds(a),l("s")):e(void 0,void 0,!0)},d.bind("blur",function(b){!a.invalidSeconds&&a.seconds<10&&a.$apply(function(){a.seconds=k(a.seconds)})})},this.render=function(){var b=s.$viewValue;isNaN(b)?(s.$setValidity("time",!1),e.error('Timepicker directive: "ng-model" value must be a Date object, a number of milliseconds since 01.01.1970 or a string representing an RFC2822 or ISO 8601 date.')):(b&&(r=b),w>r||r>x?(s.$setValidity("time",!1),a.invalidHours=!0,a.invalidMinutes=!0):m(),n())},a.showSpinners=angular.isDefined(c.showSpinners)?a.$parent.$eval(c.showSpinners):g.showSpinners,a.incrementHours=function(){a.noIncrementHours()||o(60*u*60)},a.decrementHours=function(){a.noDecrementHours()||o(60*-u*60)},a.incrementMinutes=function(){a.noIncrementMinutes()||o(60*v)},a.decrementMinutes=function(){a.noDecrementMinutes()||o(60*-v)},a.incrementSeconds=function(){a.noIncrementSeconds()||o(z)},a.decrementSeconds=function(){a.noDecrementSeconds()||o(-z)},a.toggleMeridian=function(){var b=i(),c=h();a.noToggleMeridian()||(angular.isDefined(b)&&angular.isDefined(c)?o(720*(r.getHours()<12?60:-60)):a.meridian=a.meridian===t[0]?t[1]:t[0])},a.blur=function(){s.$setTouched()}}]).directive("uibTimepicker",["uibTimepickerConfig",function(a){return{require:["uibTimepicker","?^ngModel"],controller:"UibTimepickerController",controllerAs:"timepicker",replace:!0,scope:{},templateUrl:function(b,c){return c.templateUrl||a.templateUrl},link:function(a,b,c,d){var e=d[0],f=d[1];f&&e.init(f,b.find("input"))}}}]),angular.module("ui.bootstrap.typeahead",["ui.bootstrap.debounce","ui.bootstrap.position"]).factory("uibTypeaheadParser",["$parse",function(a){var b=/^\s*([\s\S]+?)(?:\s+as\s+([\s\S]+?))?\s+for\s+(?:([\$\w][\$\w\d]*))\s+in\s+([\s\S]+?)$/;return{parse:function(c){var d=c.match(b);if(!d)throw new Error('Expected typeahead specification in form of "_modelValue_ (as _label_)? for _item_ in _collection_" but got "'+c+'".');return{itemName:d[3],source:a(d[4]),viewMapper:a(d[2]||d[1]),modelMapper:a(d[1])}}}}]).controller("UibTypeaheadController",["$scope","$element","$attrs","$compile","$parse","$q","$timeout","$document","$window","$rootScope","$$debounce","$uibPosition","uibTypeaheadParser",function(a,b,c,d,e,f,g,h,i,j,k,l,m){function n(){N.moveInProgress||(N.moveInProgress=!0,N.$digest()),Y()}function o(){N.position=D?l.offset(b):l.position(b),N.position.top+=b.prop("offsetHeight")}var p,q,r=[9,13,27,38,40],s=200,t=a.$eval(c.typeaheadMinLength);t||0===t||(t=1);var u=a.$eval(c.typeaheadWaitMs)||0,v=a.$eval(c.typeaheadEditable)!==!1;a.$watch(c.typeaheadEditable,function(a){v=a!==!1});var w,x,y=e(c.typeaheadLoading).assign||angular.noop,z=e(c.typeaheadOnSelect),A=angular.isDefined(c.typeaheadSelectOnBlur)?a.$eval(c.typeaheadSelectOnBlur):!1,B=e(c.typeaheadNoResults).assign||angular.noop,C=c.typeaheadInputFormatter?e(c.typeaheadInputFormatter):void 0,D=c.typeaheadAppendToBody?a.$eval(c.typeaheadAppendToBody):!1,E=c.typeaheadAppendTo?a.$eval(c.typeaheadAppendTo):null,F=a.$eval(c.typeaheadFocusFirst)!==!1,G=c.typeaheadSelectOnExact?a.$eval(c.typeaheadSelectOnExact):!1,H=e(c.typeaheadIsOpen).assign||angular.noop,I=a.$eval(c.typeaheadShowHint)||!1,J=e(c.ngModel),K=e(c.ngModel+"($$$p)"),L=function(b,c){return angular.isFunction(J(a))&&q&&q.$options&&q.$options.getterSetter?K(b,{$$$p:c}):J.assign(b,c)},M=m.parse(c.uibTypeahead),N=a.$new(),O=a.$on("$destroy",function(){N.$destroy()});N.$on("$destroy",O);var P="typeahead-"+N.$id+"-"+Math.floor(1e4*Math.random());b.attr({"aria-autocomplete":"list","aria-expanded":!1,"aria-owns":P});var Q,R;I&&(Q=angular.element("
            "),Q.css("position","relative"),b.after(Q),R=b.clone(),R.attr("placeholder",""),R.val(""),R.css({position:"absolute",top:"0px",left:"0px","border-color":"transparent","box-shadow":"none",opacity:1,background:"none 0% 0% / auto repeat scroll padding-box border-box rgb(255, 255, 255)",color:"#999"}),b.css({position:"relative","vertical-align":"top","background-color":"transparent"}),Q.append(R),R.after(b));var S=angular.element("
            ");S.attr({id:P,matches:"matches",active:"activeIdx",select:"select(activeIdx, evt)","move-in-progress":"moveInProgress",query:"query",position:"position","assign-is-open":"assignIsOpen(isOpen)",debounce:"debounceUpdate"}),angular.isDefined(c.typeaheadTemplateUrl)&&S.attr("template-url",c.typeaheadTemplateUrl),angular.isDefined(c.typeaheadPopupTemplateUrl)&&S.attr("popup-template-url",c.typeaheadPopupTemplateUrl);var T=function(){I&&R.val("")},U=function(){N.matches=[],N.activeIdx=-1,b.attr("aria-expanded",!1),T()},V=function(a){return P+"-option-"+a};N.$watch("activeIdx",function(a){0>a?b.removeAttr("aria-activedescendant"):b.attr("aria-activedescendant",V(a))});var W=function(a,b){return N.matches.length>b&&a?a.toUpperCase()===N.matches[b].label.toUpperCase():!1},X=function(c,d){var e={$viewValue:c};y(a,!0),B(a,!1),f.when(M.source(a,e)).then(function(f){var g=c===p.$viewValue;if(g&&w)if(f&&f.length>0){N.activeIdx=F?0:-1,B(a,!1),N.matches.length=0;for(var h=0;h0&&i.slice(0,c.length).toUpperCase()===c.toUpperCase()?R.val(c+i.slice(c.length)):R.val("")}}else U(),B(a,!0);g&&y(a,!1)},function(){U(),y(a,!1),B(a,!0)})};D&&(angular.element(i).on("resize",n),h.find("body").on("scroll",n));var Y=k(function(){N.matches.length&&o(),N.moveInProgress=!1},s);N.moveInProgress=!1,N.query=void 0;var Z,$=function(a){Z=g(function(){X(a)},u)},_=function(){Z&&g.cancel(Z)};U(),N.assignIsOpen=function(b){H(a,b)},N.select=function(d,e){var f,h,i={};x=!0,i[M.itemName]=h=N.matches[d].model,f=M.modelMapper(a,i),L(a,f),p.$setValidity("editable",!0),p.$setValidity("parse",!0),z(a,{$item:h,$model:f,$label:M.viewMapper(a,i),$event:e}),U(),N.$eval(c.typeaheadFocusOnSelect)!==!1&&g(function(){b[0].focus()},0,!1)},b.on("keydown",function(a){if(0!==N.matches.length&&-1!==r.indexOf(a.which)){if(-1===N.activeIdx&&(9===a.which||13===a.which))return U(),void N.$digest();a.preventDefault();var b;switch(a.which){case 9:case 13:N.$apply(function(){angular.isNumber(N.debounceUpdate)||angular.isObject(N.debounceUpdate)?k(function(){N.select(N.activeIdx,a)},angular.isNumber(N.debounceUpdate)?N.debounceUpdate:N.debounceUpdate["default"]):N.select(N.activeIdx,a)});break;case 27:a.stopPropagation(),U(),N.$digest();break;case 38:N.activeIdx=(N.activeIdx>0?N.activeIdx:N.matches.length)-1,N.$digest(),b=S.find("li")[N.activeIdx],b.parentNode.scrollTop=b.offsetTop;break;case 40:N.activeIdx=(N.activeIdx+1)%N.matches.length,N.$digest(),b=S.find("li")[N.activeIdx],b.parentNode.scrollTop=b.offsetTop}}}),b.bind("focus",function(a){w=!0,0!==t||p.$viewValue||g(function(){X(p.$viewValue,a)},0)}),b.bind("blur",function(a){A&&N.matches.length&&-1!==N.activeIdx&&!x&&(x=!0,N.$apply(function(){angular.isObject(N.debounceUpdate)&&angular.isNumber(N.debounceUpdate.blur)?k(function(){N.select(N.activeIdx,a)},N.debounceUpdate.blur):N.select(N.activeIdx,a)})),!v&&p.$error.editable&&(p.$viewValue="",b.val("")),w=!1,x=!1});var aa=function(a){b[0]!==a.target&&3!==a.which&&0!==N.matches.length&&(U(),j.$$phase||N.$digest())};h.on("click",aa),a.$on("$destroy",function(){h.off("click",aa),(D||E)&&ba.remove(),D&&(angular.element(i).off("resize",n),h.find("body").off("scroll",n)),S.remove(),I&&Q.remove()});var ba=d(S)(N);D?h.find("body").append(ba):E?angular.element(E).eq(0).append(ba):b.after(ba),this.init=function(b,c){p=b,q=c,N.debounceUpdate=p.$options&&e(p.$options.debounce)(a),p.$parsers.unshift(function(b){return w=!0,0===t||b&&b.length>=t?u>0?(_(),$(b)):X(b):(y(a,!1),_(),U()),v?b:b?void p.$setValidity("editable",!1):(p.$setValidity("editable",!0),null)}),p.$formatters.push(function(b){var c,d,e={};return v||p.$setValidity("editable",!0),C?(e.$model=b,C(a,e)):(e[M.itemName]=b,c=M.viewMapper(a,e),e[M.itemName]=void 0,d=M.viewMapper(a,e),c!==d?c:b)})}}]).directive("uibTypeahead",function(){return{controller:"UibTypeaheadController",require:["ngModel","^?ngModelOptions","uibTypeahead"],link:function(a,b,c,d){d[2].init(d[0],d[1])}}}).directive("uibTypeaheadPopup",["$$debounce",function(a){return{scope:{matches:"=",query:"=",active:"=",position:"&",moveInProgress:"=",select:"&",assignIsOpen:"&",debounce:"&"},replace:!0,templateUrl:function(a,b){return b.popupTemplateUrl||"uib/template/typeahead/typeahead-popup.html"},link:function(b,c,d){b.templateUrl=d.templateUrl,b.isOpen=function(){var a=b.matches.length>0;return b.assignIsOpen({isOpen:a}),a},b.isActive=function(a){return b.active===a},b.selectActive=function(a){b.active=a},b.selectMatch=function(c,d){var e=b.debounce();angular.isNumber(e)||angular.isObject(e)?a(function(){b.select({activeIdx:c,evt:d})},angular.isNumber(e)?e:e["default"]):b.select({activeIdx:c,evt:d})}}}}]).directive("uibTypeaheadMatch",["$templateRequest","$compile","$parse",function(a,b,c){return{scope:{index:"=",match:"=",query:"="},link:function(d,e,f){var g=c(f.templateUrl)(d.$parent)||"uib/template/typeahead/typeahead-match.html";a(g).then(function(a){var c=angular.element(a.trim());e.replaceWith(c),b(c)(d)})}}}]).filter("uibTypeaheadHighlight",["$sce","$injector","$log",function(a,b,c){function d(a){return a.replace(/([.?*+^$[\]\\(){}|-])/g,"\\$1")}function e(a){return/<.*>/g.test(a)}var f;return f=b.has("$sanitize"),function(b,g){return!f&&e(b)&&c.warn("Unsafe use of typeahead please use ngSanitize"),b=g?(""+b).replace(new RegExp(d(g),"gi"),"$&"):b,f||(b=a.trustAsHtml(b)),b}}]),angular.module("uib/template/accordion/accordion-group.html",[]).run(["$templateCache",function(a){a.put("uib/template/accordion/accordion-group.html",'
            \n
            \n

            \n
            {{heading}}
            \n

            \n
            \n
            \n
            \n
            \n
            \n')}]),angular.module("uib/template/accordion/accordion.html",[]).run(["$templateCache",function(a){a.put("uib/template/accordion/accordion.html",'
            ')}]),angular.module("uib/template/alert/alert.html",[]).run(["$templateCache",function(a){a.put("uib/template/alert/alert.html",'\n')}]),angular.module("uib/template/carousel/carousel.html",[]).run(["$templateCache",function(a){a.put("uib/template/carousel/carousel.html",'')}]),angular.module("uib/template/carousel/slide.html",[]).run(["$templateCache",function(a){a.put("uib/template/carousel/slide.html",'
            \n')}]),angular.module("uib/template/datepicker/datepicker.html",[]).run(["$templateCache",function(a){a.put("uib/template/datepicker/datepicker.html",'
            \n \n \n \n
            ')}]),angular.module("uib/template/datepicker/day.html",[]).run(["$templateCache",function(a){a.put("uib/template/datepicker/day.html",'\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n
            {{::label.abbr}}
            {{ weekNumbers[$index] }}\n \n
            \n')}]),angular.module("uib/template/datepicker/month.html",[]).run(["$templateCache",function(a){a.put("uib/template/datepicker/month.html",'\n \n \n \n \n \n \n \n \n \n \n \n \n
            \n \n
            \n')}]),angular.module("uib/template/datepicker/popup.html",[]).run(["$templateCache",function(a){a.put("uib/template/datepicker/popup.html",'\n'); }]),angular.module("uib/template/datepicker/year.html",[]).run(["$templateCache",function(a){a.put("uib/template/datepicker/year.html",'\n \n \n \n \n \n \n \n \n \n \n \n \n
            \n \n
            \n')}]),angular.module("uib/template/modal/backdrop.html",[]).run(["$templateCache",function(a){a.put("uib/template/modal/backdrop.html",'\n')}]),angular.module("uib/template/modal/window.html",[]).run(["$templateCache",function(a){a.put("uib/template/modal/window.html",'\n')}]),angular.module("uib/template/pager/pager.html",[]).run(["$templateCache",function(a){a.put("uib/template/pager/pager.html",'\n')}]),angular.module("uib/template/pagination/pagination.html",[]).run(["$templateCache",function(a){a.put("uib/template/pagination/pagination.html",'\n')}]),angular.module("uib/template/tooltip/tooltip-html-popup.html",[]).run(["$templateCache",function(a){a.put("uib/template/tooltip/tooltip-html-popup.html",'
            \n
            \n
            \n
            \n')}]),angular.module("template/tooltip/tooltip-html-unsafe-popup.html",[]).run(["$templateCache",function(a){a.put("template/tooltip/tooltip-html-unsafe-popup.html",'
            \n
            \n
            \n
            \n')}]),angular.module("uib/template/tooltip/tooltip-popup.html",[]).run(["$templateCache",function(a){a.put("uib/template/tooltip/tooltip-popup.html",'
            \n
            \n
            \n
            \n')}]),angular.module("uib/template/tooltip/tooltip-template-popup.html",[]).run(["$templateCache",function(a){a.put("uib/template/tooltip/tooltip-template-popup.html",'
            \n
            \n
            \n
            \n')}]),angular.module("uib/template/popover/popover-html.html",[]).run(["$templateCache",function(a){a.put("uib/template/popover/popover-html.html",'
            \n
            \n\n
            \n

            \n
            \n
            \n
            \n')}]),angular.module("uib/template/popover/popover-template.html",[]).run(["$templateCache",function(a){a.put("uib/template/popover/popover-template.html",'
            \n
            \n\n
            \n

            \n
            \n
            \n
            \n')}]),angular.module("uib/template/popover/popover.html",[]).run(["$templateCache",function(a){a.put("uib/template/popover/popover.html",'
            \n
            \n\n
            \n

            \n
            \n
            \n
            \n')}]),angular.module("uib/template/progressbar/bar.html",[]).run(["$templateCache",function(a){a.put("uib/template/progressbar/bar.html",'
            \n')}]),angular.module("uib/template/progressbar/progress.html",[]).run(["$templateCache",function(a){a.put("uib/template/progressbar/progress.html",'
            ')}]),angular.module("uib/template/progressbar/progressbar.html",[]).run(["$templateCache",function(a){a.put("uib/template/progressbar/progressbar.html",'
            \n
            \n
            \n')}]),angular.module("uib/template/rating/rating.html",[]).run(["$templateCache",function(a){a.put("uib/template/rating/rating.html",'\n ({{ $index < value ? \'*\' : \' \' }})\n \n\n')}]),angular.module("uib/template/tabs/tab.html",[]).run(["$templateCache",function(a){a.put("uib/template/tabs/tab.html",'
          • \n
            {{heading}}
            \n
          • \n')}]),angular.module("uib/template/tabs/tabset.html",[]).run(["$templateCache",function(a){a.put("uib/template/tabs/tabset.html",'
            \n \n
            \n
            \n
            \n
            \n
            \n')}]),angular.module("uib/template/timepicker/timepicker.html",[]).run(["$templateCache",function(a){a.put("uib/template/timepicker/timepicker.html",'\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n
              
            \n \n :\n \n :\n \n
              
            \n')}]),angular.module("uib/template/typeahead/typeahead-match.html",[]).run(["$templateCache",function(a){a.put("uib/template/typeahead/typeahead-match.html",'\n')}]),angular.module("uib/template/typeahead/typeahead-popup.html",[]).run(["$templateCache",function(a){a.put("uib/template/typeahead/typeahead-popup.html",'\n')}]),angular.module("ui.bootstrap.carousel").run(function(){!angular.$$csp().noInlineStyle&&angular.element(document).find("head").prepend('')}),angular.module("ui.bootstrap.tabs").run(function(){!angular.$$csp().noInlineStyle&&angular.element(document).find("head").prepend('')}),function(a){"use strict";"function"==typeof define&&define.amd?define(a):"undefined"!=typeof module&&"undefined"!=typeof module.exports?module.exports=a():"undefined"!=typeof Package?Sortable=a():window.Sortable=a()}(function(){"use strict";function a(a,b){if(!a||!a.nodeType||1!==a.nodeType)throw"Sortable: `el` must be HTMLElement, and not "+{}.toString.call(a);this.el=a,this.options=b=r({},b),a[L]=this;var c={group:Math.random(),sort:!0,disabled:!1,store:null,handle:null,scroll:!0,scrollSensitivity:30,scrollSpeed:10,draggable:/[uo]l/i.test(a.nodeName)?"li":">*",ghostClass:"sortable-ghost",chosenClass:"sortable-chosen",ignore:"a, img",filter:null,animation:0,setData:function(a,b){a.setData("Text",b.textContent)},dropBubble:!1,dragoverBubble:!1,dataIdAttr:"data-id",delay:0,forceFallback:!1,fallbackClass:"sortable-fallback",fallbackOnBody:!1};for(var d in c)!(d in b)&&(b[d]=c[d]);V(b);for(var f in this)"_"===f.charAt(0)&&(this[f]=this[f].bind(this));this.nativeDraggable=b.forceFallback?!1:P,e(a,"mousedown",this._onTapStart),e(a,"touchstart",this._onTapStart),this.nativeDraggable&&(e(a,"dragover",this),e(a,"dragenter",this)),T.push(this._onDragOver),b.store&&this.sort(b.store.get(this))}function b(a){v&&v.state!==a&&(h(v,"display",a?"none":""),!a&&v.state&&w.insertBefore(v,s),v.state=a)}function c(a,b,c){if(a){c=c||N,b=b.split(".");var d=b.shift().toUpperCase(),e=new RegExp("\\s("+b.join("|")+")(?=\\s)","g");do if(">*"===d&&a.parentNode===c||(""===d||a.nodeName.toUpperCase()==d)&&(!b.length||((" "+a.className+" ").match(e)||[]).length==b.length))return a;while(a!==c&&(a=a.parentNode))}return null}function d(a){a.dataTransfer&&(a.dataTransfer.dropEffect="move"),a.preventDefault()}function e(a,b,c){a.addEventListener(b,c,!1)}function f(a,b,c){a.removeEventListener(b,c,!1)}function g(a,b,c){if(a)if(a.classList)a.classList[c?"add":"remove"](b);else{var d=(" "+a.className+" ").replace(K," ").replace(" "+b+" "," ");a.className=(d+(c?" "+b:"")).replace(K," ")}}function h(a,b,c){var d=a&&a.style;if(d){if(void 0===c)return N.defaultView&&N.defaultView.getComputedStyle?c=N.defaultView.getComputedStyle(a,""):a.currentStyle&&(c=a.currentStyle),void 0===b?c:c[b];b in d||(b="-webkit-"+b),d[b]=c+("string"==typeof c?"":"px")}}function i(a,b,c){if(a){var d=a.getElementsByTagName(b),e=0,f=d.length;if(c)for(;f>e;e++)c(d[e],e);return d}return[]}function j(a,b,c,d,e,f,g){var h=N.createEvent("Event"),i=(a||b[L]).options,j="on"+c.charAt(0).toUpperCase()+c.substr(1);h.initEvent(c,!0,!0),h.to=b,h.from=e||b,h.item=d||b,h.clone=v,h.oldIndex=f,h.newIndex=g,b.dispatchEvent(h),i[j]&&i[j].call(a,h)}function k(a,b,c,d,e,f){var g,h,i=a[L],j=i.options.onMove;return g=N.createEvent("Event"),g.initEvent("move",!0,!0),g.to=b,g.from=a,g.dragged=c,g.draggedRect=d,g.related=e||b,g.relatedRect=f||b.getBoundingClientRect(),a.dispatchEvent(g),j&&(h=j.call(i,g)),h}function l(a){a.draggable=!1}function m(){R=!1}function n(a,b){var c=a.lastElementChild,d=c.getBoundingClientRect();return(b.clientY-(d.top+d.height)>5||b.clientX-(d.right+d.width)>5)&&c}function o(a){for(var b=a.tagName+a.className+a.src+a.href+a.textContent,c=b.length,d=0;c--;)d+=b.charCodeAt(c);return d.toString(36)}function p(a){var b=0;if(!a||!a.parentNode)return-1;for(;a&&(a=a.previousElementSibling);)"TEMPLATE"!==a.nodeName.toUpperCase()&&b++;return b}function q(a,b){var c,d;return function(){void 0===c&&(c=arguments,d=this,setTimeout(function(){1===c.length?a.call(d,c[0]):a.apply(d,c),c=void 0},b))}}function r(a,b){if(a&&b)for(var c in b)b.hasOwnProperty(c)&&(a[c]=b[c]);return a}var s,t,u,v,w,x,y,z,A,B,C,D,E,F,G,H,I,J={},K=/\s+/g,L="Sortable"+(new Date).getTime(),M=window,N=M.document,O=M.parseInt,P=!!("draggable"in N.createElement("div")),Q=function(a){return a=N.createElement("x"),a.style.cssText="pointer-events:auto","auto"===a.style.pointerEvents}(),R=!1,S=Math.abs,T=([].slice,[]),U=q(function(a,b,c){if(c&&b.scroll){var d,e,f,g,h=b.scrollSensitivity,i=b.scrollSpeed,j=a.clientX,k=a.clientY,l=window.innerWidth,m=window.innerHeight;if(z!==c&&(y=b.scroll,z=c,y===!0)){y=c;do if(y.offsetWidth=l-j)-(h>=j),g=(h>=m-k)-(h>=k),(f||g)&&(d=M)),J.vx===f&&J.vy===g&&J.el===d||(J.el=d,J.vx=f,J.vy=g,clearInterval(J.pid),d&&(J.pid=setInterval(function(){d===M?M.scrollTo(M.pageXOffset+f*i,M.pageYOffset+g*i):(g&&(d.scrollTop+=g*i),f&&(d.scrollLeft+=f*i))},24)))}},30),V=function(a){var b=a.group;b&&"object"==typeof b||(b=a.group={name:b}),["pull","put"].forEach(function(a){a in b||(b[a]=!0)}),a.groups=" "+b.name+(b.put.join?" "+b.put.join(" "):"")+" "};return a.prototype={constructor:a,_onTapStart:function(a){var b=this,d=this.el,e=this.options,f=a.type,g=a.touches&&a.touches[0],h=(g||a).target,i=h,k=e.filter;if(!("mousedown"===f&&0!==a.button||e.disabled)&&(h=c(h,e.draggable,d))){if(D=p(h),"function"==typeof k){if(k.call(this,a,h,this))return j(b,i,"filter",h,d,D),void a.preventDefault()}else if(k&&(k=k.split(",").some(function(a){return a=c(i,a.trim(),d),a?(j(b,a,"filter",h,d,D),!0):void 0})))return void a.preventDefault();e.handle&&!c(i,e.handle,d)||this._prepareDragStart(a,g,h)}},_prepareDragStart:function(a,b,c){var d,f=this,h=f.el,j=f.options,k=h.ownerDocument;c&&!s&&c.parentNode===h&&(G=a,w=h,s=c,t=s.parentNode,x=s.nextSibling,F=j.group,d=function(){f._disableDelayedDrag(),s.draggable=!0,g(s,f.options.chosenClass,!0),f._triggerDragStart(b)},j.ignore.split(",").forEach(function(a){i(s,a.trim(),l)}),e(k,"mouseup",f._onDrop),e(k,"touchend",f._onDrop),e(k,"touchcancel",f._onDrop),j.delay?(e(k,"mouseup",f._disableDelayedDrag),e(k,"touchend",f._disableDelayedDrag),e(k,"touchcancel",f._disableDelayedDrag),e(k,"mousemove",f._disableDelayedDrag),e(k,"touchmove",f._disableDelayedDrag),f._dragStartTimer=setTimeout(d,j.delay)):d())},_disableDelayedDrag:function(){var a=this.el.ownerDocument;clearTimeout(this._dragStartTimer),f(a,"mouseup",this._disableDelayedDrag),f(a,"touchend",this._disableDelayedDrag),f(a,"touchcancel",this._disableDelayedDrag),f(a,"mousemove",this._disableDelayedDrag),f(a,"touchmove",this._disableDelayedDrag)},_triggerDragStart:function(a){a?(G={target:s,clientX:a.clientX,clientY:a.clientY},this._onDragStart(G,"touch")):this.nativeDraggable?(e(s,"dragend",this),e(w,"dragstart",this._onDragStart)):this._onDragStart(G,!0);try{N.selection?N.selection.empty():window.getSelection().removeAllRanges()}catch(b){}},_dragStarted:function(){w&&s&&(g(s,this.options.ghostClass,!0),a.active=this,j(this,w,"start",s,w,D))},_emulateDragOver:function(){if(H){if(this._lastX===H.clientX&&this._lastY===H.clientY)return;this._lastX=H.clientX,this._lastY=H.clientY,Q||h(u,"display","none");var a=N.elementFromPoint(H.clientX,H.clientY),b=a,c=" "+this.options.group.name,d=T.length;if(b)do{if(b[L]&&b[L].options.groups.indexOf(c)>-1){for(;d--;)T[d]({clientX:H.clientX,clientY:H.clientY,target:a,rootEl:b});break}a=b}while(b=b.parentNode);Q||h(u,"display","")}},_onTouchMove:function(b){if(G){a.active||this._dragStarted(),this._appendGhost();var c=b.touches?b.touches[0]:b,d=c.clientX-G.clientX,e=c.clientY-G.clientY,f=b.touches?"translate3d("+d+"px,"+e+"px,0)":"translate("+d+"px,"+e+"px)";I=!0,H=c,h(u,"webkitTransform",f),h(u,"mozTransform",f),h(u,"msTransform",f),h(u,"transform",f),b.preventDefault()}},_appendGhost:function(){if(!u){var a,b=s.getBoundingClientRect(),c=h(s),d=this.options;u=s.cloneNode(!0),g(u,d.ghostClass,!1),g(u,d.fallbackClass,!0),h(u,"top",b.top-O(c.marginTop,10)),h(u,"left",b.left-O(c.marginLeft,10)),h(u,"width",b.width),h(u,"height",b.height),h(u,"opacity","0.8"),h(u,"position","fixed"),h(u,"zIndex","100000"),h(u,"pointerEvents","none"),d.fallbackOnBody&&N.body.appendChild(u)||w.appendChild(u),a=u.getBoundingClientRect(),h(u,"width",2*b.width-a.width),h(u,"height",2*b.height-a.height)}},_onDragStart:function(a,b){var c=a.dataTransfer,d=this.options;this._offUpEvents(),"clone"==F.pull&&(v=s.cloneNode(!0),h(v,"display","none"),w.insertBefore(v,s)),b?("touch"===b?(e(N,"touchmove",this._onTouchMove),e(N,"touchend",this._onDrop),e(N,"touchcancel",this._onDrop)):(e(N,"mousemove",this._onTouchMove),e(N,"mouseup",this._onDrop)),this._loopId=setInterval(this._emulateDragOver,50)):(c&&(c.effectAllowed="move",d.setData&&d.setData.call(this,c,s)),e(N,"drop",this),setTimeout(this._dragStarted,0))},_onDragOver:function(a){var d,e,f,g=this.el,i=this.options,j=i.group,l=j.put,o=F===j,p=i.sort;if(void 0!==a.preventDefault&&(a.preventDefault(),!i.dragoverBubble&&a.stopPropagation()),I=!0,F&&!i.disabled&&(o?p||(f=!w.contains(s)):F.pull&&l&&(F.name===j.name||l.indexOf&&~l.indexOf(F.name)))&&(void 0===a.rootEl||a.rootEl===this.el)){if(U(a,i,this.el),R)return;if(d=c(a.target,i.draggable,g),e=s.getBoundingClientRect(),f)return b(!0),void(v||x?w.insertBefore(s,v||x):p||w.appendChild(s));if(0===g.children.length||g.children[0]===u||g===a.target&&(d=n(g,a))){if(d){if(d.animated)return;r=d.getBoundingClientRect()}b(o),k(w,g,s,e,d,r)!==!1&&(s.contains(g)||(g.appendChild(s),t=g),this._animate(e,s),d&&this._animate(r,d))}else if(d&&!d.animated&&d!==s&&void 0!==d.parentNode[L]){A!==d&&(A=d,B=h(d),C=h(d.parentNode));var q,r=d.getBoundingClientRect(),y=r.right-r.left,z=r.bottom-r.top,D=/left|right|inline/.test(B.cssFloat+B.display)||"flex"==C.display&&0===C["flex-direction"].indexOf("row"),E=d.offsetWidth>s.offsetWidth,G=d.offsetHeight>s.offsetHeight,H=(D?(a.clientX-r.left)/y:(a.clientY-r.top)/z)>.5,J=d.nextElementSibling,K=k(w,g,s,e,d,r);if(K!==!1){if(R=!0,setTimeout(m,30),b(o),1===K||-1===K)q=1===K;else if(D){var M=s.offsetTop,N=d.offsetTop;q=M===N?d.previousElementSibling===s&&!E||H&&E:N>M}else q=J!==s&&!G||H&&G;s.contains(g)||(q&&!J?g.appendChild(s):d.parentNode.insertBefore(s,q?J:d)),t=s.parentNode,this._animate(e,s),this._animate(r,d)}}}},_animate:function(a,b){var c=this.options.animation;if(c){var d=b.getBoundingClientRect();h(b,"transition","none"),h(b,"transform","translate3d("+(a.left-d.left)+"px,"+(a.top-d.top)+"px,0)"),b.offsetWidth,h(b,"transition","all "+c+"ms"),h(b,"transform","translate3d(0,0,0)"),clearTimeout(b.animated),b.animated=setTimeout(function(){h(b,"transition",""),h(b,"transform",""),b.animated=!1},c)}},_offUpEvents:function(){var a=this.el.ownerDocument;f(N,"touchmove",this._onTouchMove),f(a,"mouseup",this._onDrop),f(a,"touchend",this._onDrop),f(a,"touchcancel",this._onDrop)},_onDrop:function(b){var c=this.el,d=this.options;clearInterval(this._loopId),clearInterval(J.pid),clearTimeout(this._dragStartTimer),f(N,"mousemove",this._onTouchMove),this.nativeDraggable&&(f(N,"drop",this),f(c,"dragstart",this._onDragStart)),this._offUpEvents(),b&&(I&&(b.preventDefault(),!d.dropBubble&&b.stopPropagation()),u&&u.parentNode.removeChild(u),s&&(this.nativeDraggable&&f(s,"dragend",this),l(s),g(s,this.options.ghostClass,!1),g(s,this.options.chosenClass,!1),w!==t?(E=p(s),E>=0&&(j(null,t,"sort",s,w,D,E),j(this,w,"sort",s,w,D,E),j(null,t,"add",s,w,D,E),j(this,w,"remove",s,w,D,E))):(v&&v.parentNode.removeChild(v),s.nextSibling!==x&&(E=p(s),E>=0&&(j(this,w,"update",s,w,D,E),j(this,w,"sort",s,w,D,E)))),a.active&&(null!==E&&-1!==E||(E=D),j(this,w,"end",s,w,D,E),this.save())),w=s=t=u=x=v=y=z=G=H=I=E=A=B=F=a.active=null)},handleEvent:function(a){var b=a.type;"dragover"===b||"dragenter"===b?s&&(this._onDragOver(a),d(a)):"drop"!==b&&"dragend"!==b||this._onDrop(a)},toArray:function(){for(var a,b=[],d=this.el.children,e=0,f=d.length,g=this.options;f>e;e++)a=d[e],c(a,g.draggable,this.el)&&b.push(a.getAttribute(g.dataIdAttr)||o(a));return b},sort:function(a){var b={},d=this.el;this.toArray().forEach(function(a,e){var f=d.children[e];c(f,this.options.draggable,d)&&(b[a]=f)},this),a.forEach(function(a){b[a]&&(d.removeChild(b[a]),d.appendChild(b[a]))})},save:function(){var a=this.options.store;a&&a.set(this)},closest:function(a,b){return c(a,b||this.options.draggable,this.el)},option:function(a,b){var c=this.options;return void 0===b?c[a]:(c[a]=b,void("group"===a&&V(c)))},destroy:function(){var a=this.el;a[L]=null,f(a,"mousedown",this._onTapStart),f(a,"touchstart",this._onTapStart),this.nativeDraggable&&(f(a,"dragover",this),f(a,"dragenter",this)),Array.prototype.forEach.call(a.querySelectorAll("[draggable]"),function(a){a.removeAttribute("draggable")}),T.splice(T.indexOf(this._onDragOver),1),this._onDrop(),this.el=a=null}},a.utils={on:e,off:f,css:h,find:i,is:function(a,b){return!!c(a,b,a)},extend:r,throttle:q,closest:c,toggleClass:g,index:p},a.create=function(b,c){return new a(b,c)},a.version="1.4.2",a}),function(a){"use strict";"function"==typeof define&&define.amd?define(["angular","./Sortable"],a):"function"==typeof require&&"object"==typeof exports&&"object"==typeof module?(require("angular"),a(angular,require("./Sortable")),module.exports="ng-sortable"):window.angular&&window.Sortable&&a(angular,Sortable)}(function(a,b){"use strict";var c="Sortable:ng-sortable";a.module("ng-sortable",[]).constant("ngSortableVersion","0.4.0").constant("ngSortableConfig",{}).directive("ngSortable",["$parse","ngSortableConfig",function(d,e){var f,g,h=function(a,b){var c=[].filter.call(a.childNodes,function(a){return 8===a.nodeType&&-1!==a.nodeValue.indexOf("ngRepeat:")})[0];if(!c)return function(){return null};c=c.nodeValue.match(/ngRepeat:\s*(?:\(.*?,\s*)?([^\s)]+)[\s)]+in\s+([^\s|]+)/);var e=d(c[2]);return function(){return e(b.$parent)||[]}};return{restrict:"AC",scope:{ngSortable:"=?"},link:function(d,i){function j(a,b){var c="on"+a.type.charAt(0).toUpperCase()+a.type.substr(1),d=p();n[c]&&n[c]({model:b||d[a.newIndex],models:d,oldIndex:a.oldIndex,newIndex:a.newIndex})}function k(e){var h=p();if(h){var i=e.oldIndex,j=e.newIndex;if(m!==e.from){var k=e.from[c]();f=k[i],e.clone?(f=a.copy(f),k.splice(b.utils.index(e.clone),0,k.splice(i,1)[0]),e.from.removeChild(e.clone)):k.splice(i,1),h.splice(j,0,f),e.from.insertBefore(e.item,g)}else h.splice(j,0,h.splice(i,1)[0]);d.$apply()}}var l,m=i[0],n=a.extend(d.ngSortable||{},e),o=[],p=h(m,d);m[c]=p,l=b.create(m,Object.keys(n).reduce(function(a,b){return a[b]=a[b]||n[b],a},{onStart:function(a){g=a.item.nextSibling,j(a),d.$apply()},onEnd:function(a){j(a,f),d.$apply()},onAdd:function(a){k(a),j(a,f),d.$apply()},onUpdate:function(a){k(a),j(a)},onRemove:function(a){j(a,f)},onSort:function(a){j(a)}})),i.on("$destroy",function(){a.forEach(o,function(a){a()}),l.destroy(),m[c]=null,m=null,o=null,l=null,g=null}),a.forEach(["sort","disabled","draggable","handle","animation","group","ghostClass","filter","onStart","onEnd","onAdd","onUpdate","onRemove","onSort"],function(a){o.push(d.$watch("ngSortable."+a,function(b){void 0!==b&&(n[a]=b,/^on[A-Z]/.test(a)||l.option(a,b))}))})}}}])}),function(a){"use strict";"undefined"!=typeof module&&"undefined"!=typeof module.exports?module.exports=a(require("./Sortable")):"function"==typeof define&&define.amd?define(["./Sortable"],a):window.SortableMixin=a(Sortable)}(function(a){"use strict";function b(a){return a.sortableOptions&&a.sortableOptions.model||g.model}function c(a){var c=b(a),d=a.state&&a.state[c]||a.props[c];return d.slice()}function d(a,b){for(var c in b)b.hasOwnProperty(c)&&(a[c]=b[c]);return a}var e,f,g={ref:"list",model:"items",animation:100,onStart:"handleStart",onEnd:"handleEnd",onAdd:"handleAdd",onUpdate:"handleUpdate",onRemove:"handleRemove",onSort:"handleSort",onFilter:"handleFilter",onMove:"handleMove"},h={sortableMixinVersion:"0.1.1",_sortableInstance:null,componentDidMount:function(){var h,i=d(d({},g),this.sortableOptions||{}),j=d({},i),k=function(a,b){var c=this[i[a]];c&&c.call(this,b,this._sortableInstance)}.bind(this);"onStart onEnd onAdd onSort onUpdate onRemove onFilter onMove".split(" ").forEach(function(a){j[a]=function(d){if("onStart"===a)e=d.item.nextElementSibling,f=this;else if("onAdd"===a||"onUpdate"===a){d.from.insertBefore(d.item,e);var g,h,i={},l={},m=d.oldIndex,n=d.newIndex,o=c(this);"onAdd"===a?(g=c(f),h=g.splice(m,1)[0],o.splice(n,0,h),l[b(f)]=g):o.splice(n,0,o.splice(m,1)[0]),i[b(this)]=o,j.stateHandler?this[j.stateHandler](i):this.setState(i),this!==f&&f.setState(l)}setTimeout(function(){k(a,d)},0)}.bind(this)},this),h=this.getDOMNode()?(this.refs[i.ref]||this).getDOMNode():this.refs[i.ref]||this,this._sortableInstance=a.create(h,j); -},componentWillReceiveProps:function(a){var c={},d=b(this),e=a[d];e&&(c[d]=e,this.setState(c))},componentWillUnmount:function(){this._sortableInstance.destroy(),this._sortableInstance=null}};return h}),function(a,b){"use strict";angular.module("adf",["adf.provider","ui.bootstrap"]).value("adfTemplatePath","../src/templates/").value("rowTemplate",'').value("columnTemplate",'').value("adfVersion","0.11.0"),angular.module("adf").directive("adfDashboardColumn",["$log","$compile","$rootScope","adfTemplatePath","rowTemplate","dashboard",function(a,b,c,d,e,f){function g(a,b,d){var e=b.widgets;a.$apply(function(){e.splice(d.newIndex,0,e.splice(d.oldIndex,1)[0]),c.$broadcast("adfWidgetMovedInColumn")})}function h(a,b){for(var c=null,d=0;d0)for(var c=a.widgets.shift();c;)b.widgets.push(c),c=a.widgets.shift()}function i(a,b,c){return c=c||0,angular.isDefined(a.rows)&&angular.forEach(a.rows,function(a){angular.forEach(a.columns,function(a){a.widgets||(a.widgets=[]),angular.isDefined(b[c])&&(angular.isDefined(a.rows)||(h(b[c],a),c++)),c=i(a,b,c)})}),c}function j(a,b){return b=b||[],angular.isDefined(a.rows)&&angular.forEach(a.rows,function(a){angular.forEach(a.columns,function(a){b.push(a),j(a,b)})}),b}function k(a,b){var c=j(a),d=0;for(a.rows=angular.copy(b.rows);d{}
            ',e='
            \n
            \n loading ...\n
            \n
            ',f=function(){return!0};this.widget=function(b,c){var d=angular.extend({reload:!1,frameless:!1},c);if(d.edit){var e={reload:!0,immediate:!1,apply:f};angular.extend(e,d.edit),d.edit=e}return a[b]=d,this},this.widgetsPath=function(a){return b=a,this},this.structure=function(a,b){return c[a]=b,this},this.messageTemplate=function(a){return d=a,this},this.loadingTemplate=function(a){return e=a,this},this.$get=function(){var f=0;return{widgets:a,widgetsPath:b,structures:c,messageTemplate:d,loadingTemplate:e,id:function(){return(new Date).getTime()+"-"+ ++f},idEquals:function(a,b){return a&&b&&a.toString()===b.toString()}}}}),angular.module("adf").directive("adfDashboardRow",["$compile","adfTemplatePath","columnTemplate",function(a,b,c){return{restrict:"E",replace:!0,scope:{row:"=",adfModel:"=",editMode:"=",continuousEditMode:"=",options:"="},templateUrl:b+"dashboard-row.html",link:function(b,d){angular.isDefined(b.row.columns)&&angular.isArray(b.row.columns)&&a(c)(b,function(a){d.append(a)})}}}]),angular.module("adf").directive("adfWidgetContent",["$log","$q","$sce","$http","$templateCache","$compile","$controller","$injector","dashboard",function(a,b,c,d,e,f,g,h,i){function j(a){var b=a;return a.indexOf("{widgetsPath}")>=0&&(b=a.replace("{widgetsPath}",i.widgetsPath).replace("//","/"),0===b.indexOf("/")&&(b=b.substring(1))),b}function k(a){var f=b.defer();if(a.template)f.resolve(a.template);else if(a.templateUrl){var g=e.get(a.templateUrl);if(g)f.resolve(g);else{var h=c.getTrustedResourceUrl(j(a.templateUrl));d.get(h).success(function(b){e.put(a.templateUrl,b),f.resolve(b)}).error(function(){f.reject("could not load template")})}}return f.promise}function l(c,d,e){var j=c.model,l=c.content;d.html(i.loadingTemplate);var m=c.$new();j.config||(j.config={}),m.config=j.config;var n={$scope:m,widget:j,config:j.config},o={};return o.$tpl=k(l),l.resolve&&angular.forEach(l.resolve,function(a,b){angular.isString(a)?o[b]=h.get(a):o[b]=h.invoke(a,a,n)}),b.all(o).then(function(a){angular.extend(a,n);var b=a.$tpl;if(d.html(b),l.controller){var c=g(l.controller,a);l.controllerAs&&(m[l.controllerAs]=c),d.children().data("$ngControllerController",c)}f(d.contents())(m)},function(b){var c="Could not resolve all promises";b&&(c+=": "+b),a.warn(c),d.html(i.messageTemplate.replace(/{}/g,c))}),e&&e.$destroy(),m}return{replace:!0,restrict:"EA",transclude:!1,scope:{model:"=",content:"="},link:function(a,b){var c=l(a,b,null);a.$on("widgetConfigChanged",function(){c=l(a,b,c)}),a.$on("widgetReload",function(){c=l(a,b,c)})}}}]),angular.module("adf").directive("adfWidget",["$injector","$q","$log","$uibModal","$rootScope","dashboard","adfTemplatePath",function(a,b,c,d,e,f,g){function h(a){var b=a.definition;if(b){var d=f.widgets[b.type];if(d){b.title||(b.title=d.title),b.titleTemplateUrl||(b.titleTemplateUrl=g+"widget-title.html",d.titleTemplateUrl&&(b.titleTemplateUrl=d.titleTemplateUrl)),b.titleTemplateUrl||(b.frameless=d.frameless),b.styleClass||(b.styleClass=d.styleClass),b.wid||(b.wid=f.id()),a.widget=angular.copy(d);var e=b.config;e?angular.isString(e)&&(e=angular.fromJson(e)):e={},a.config=e,a.widgetState||(a.widgetState={},a.widgetState.isCollapsed=d.collapsed===!0?d.collapsed:!1)}else c.warn("could not find widget "+b.type)}else c.debug("definition not specified, widget was probably removed")}function i(f,h){var i=f.definition;if(i){var j=function(){var a=f.col;if(a){var b=a.widgets.indexOf(i);b>=0&&a.widgets.splice(b,1)}h.remove(),e.$broadcast("adfWidgetRemovedFromColumn")};f.remove=function(){if(f.options.enableConfirmDelete){var a=f.$new(),b=g+"widget-delete.html";i.deleteTemplateUrl&&(b=i.deleteTemplateUrl);var c={scope:a,templateUrl:b,backdrop:"static"},e=d.open(c);a.closeDialog=function(){e.close(),a.$destroy()},a.deleteDialog=function(){j(),a.closeDialog()}}else j()},f.reload=function(){f.$broadcast("widgetReload")},f.edit=function(){function c(a){var c;if("boolean"==typeof a){var d=b.defer();a?d.resolve():d.reject(),c=d.promise}else c=b.when(a);return c}var e=f.$new();e.definition=angular.copy(i);var h=g+"widget-edit.html";i.editTemplateUrl&&(h=i.editTemplateUrl);var j={scope:e,templateUrl:h,backdrop:"static"},k=d.open(j);e.closeDialog=function(){k.close(),e.$destroy()},e.saveDialog=function(){e.validationError=null;var b=f.widget,d=b.edit.apply,g={widget:b,definition:e.definition,config:e.definition.config},h=a.invoke(d,d,g);c(h).then(function(){i.title=e.definition.title,angular.extend(i.config,e.definition.config),b.edit&&b.edit.reload&&f.$broadcast("widgetConfigChanged"),e.closeDialog()},function(a){a?e.validationError=a:e.validationError="Validation durring apply failed"})}}}else c.debug("widget not found")}return{replace:!0,restrict:"EA",transclude:!1,templateUrl:g+"widget.html",scope:{definition:"=",col:"=column",editMode:"=",options:"=",widgetState:"="},controller:["$scope",function(a){a.$on("adfDashboardCollapseExpand",function(b,c){a.widgetState.isCollapsed=c.collapseExpandStatus}),a.$on("adfWidgetEnterEditMode",function(b,c){f.idEquals(a.definition.wid,c.wid)&&a.edit()}),a.widgetClasses=function(b,c){var d=c.styleClass||"";return b.frameless&&!a.editMode||(d+=" panel panel-default"),d},a.openFullScreen=function(){var b=a.definition,c=a.$new(),e={scope:c,templateUrl:g+"widget-fullscreen.html",size:b.modalSize||"lg",backdrop:"static",windowClass:b.fullScreen?"dashboard-modal widget-fullscreen":"dashboard-modal"},f=d.open(e);c.closeDialog=function(){f.close(),c.$destroy()}}}],compile:function(){return{pre:h,post:i}}}}]),angular.module("adf").run(["$templateCache",function(a){a.put("../src/templates/dashboard-column.html",'
            '),a.put("../src/templates/dashboard-edit.html",' '),a.put("../src/templates/dashboard-row.html","
            "),a.put("../src/templates/dashboard-title.html",'

            {{model.title}}

            '),a.put("../src/templates/dashboard.html",'
            '),a.put("../src/templates/widget-add.html",' '),a.put("../src/templates/widget-delete.html",' '),a.put("../src/templates/widget-edit.html",'
            '),a.put("../src/templates/widget-fullscreen.html",' '),a.put("../src/templates/widget-title.html",'

            {{definition.title}}

            '),a.put("../src/templates/widget.html",'
            ')}])}(window),angular.module("adf.structures.base",["adf"]).config(["dashboardProvider",function(a){a.structure("6-6",{rows:[{columns:[{styleClass:"col-md-6"},{styleClass:"col-md-6"}]}]}).structure("4-8",{rows:[{columns:[{styleClass:"col-md-4",widgets:[]},{styleClass:"col-md-8",widgets:[]}]}]}).structure("12/4-4-4",{rows:[{columns:[{styleClass:"col-md-12"}]},{columns:[{styleClass:"col-md-4"},{styleClass:"col-md-4"},{styleClass:"col-md-4"}]}]}).structure("12/6-6",{rows:[{columns:[{styleClass:"col-md-12"}]},{columns:[{styleClass:"col-md-6"},{styleClass:"col-md-6"}]}]}).structure("12/6-6/12",{rows:[{columns:[{styleClass:"col-md-12"}]},{columns:[{styleClass:"col-md-6"},{styleClass:"col-md-6"}]},{columns:[{styleClass:"col-md-12"}]}]}).structure("3-9 (12/6-6)",{rows:[{columns:[{styleClass:"col-md-3"},{styleClass:"col-md-9",rows:[{columns:[{styleClass:"col-md-12"}]},{columns:[{styleClass:"col-md-6"},{styleClass:"col-md-6"}]}]}]}]})}]),function(a,b){"use strict";angular.module("adf.widget.clock",["adf.provider"]).config(["dashboardProvider",function(a){a.widget("clock",{title:"Clock",description:"Displays date and time",templateUrl:"{widgetsPath}/clock/src/view.html",controller:"clockController",controllerAs:"clock",config:{timePattern:"HH:mm:ss",datePattern:"YYYY-MM-DD"},edit:{templateUrl:"{widgetsPath}/clock/src/edit.html"}})}]).controller("clockController",["$scope","$interval","config",function(a,b,c){function d(){var a=new moment;e.time=a.format(c.timePattern),e.date=a.format(c.datePattern)}var e=this;d();var f=b(d,1e3);a.$on("$destroy",function(){b.cancel(f)})}]),angular.module("adf.widget.clock").run(["$templateCache",function(a){a.put("{widgetsPath}/clock/src/edit.html",'

            For the list of possible patterns, please have a look at moment.js documentation

            '),a.put("{widgetsPath}/clock/src/view.html","
            {{clock.time}}
            {{clock.date}}
            ")}])}(window),function(a,b){"use strict";angular.module("adf.widget.iframe",["adf.provider"]).config(["dashboardProvider",function(a){a.widget("iframe",{title:"iframe",description:"Embed an external page into the dashboard",templateUrl:"{widgetsPath}/iframe/src/view.html",controller:"iframeController",controllerAs:"iframe",edit:{templateUrl:"{widgetsPath}/iframe/src/edit.html"},config:{height:"420px"}})}]).controller("iframeController",["$sce","config",function(a,b){b.url&&(this.url=a.trustAsResourceUrl(b.url))}]),angular.module("adf.widget.iframe").run(["$templateCache",function(a){a.put("{widgetsPath}/iframe/src/edit.html","
            "),a.put("{widgetsPath}/iframe/src/view.html",'
            Please insert a url in the widget configuration
            ')}])}(window),function(){"use strict";angular.module("ui.grid.i18n",[]),angular.module("ui.grid",["ui.grid.i18n"])}(),function(){"use strict";angular.module("ui.grid").constant("uiGridConstants",{LOG_DEBUG_MESSAGES:!0,LOG_WARN_MESSAGES:!0,LOG_ERROR_MESSAGES:!0,CUSTOM_FILTERS:/CUSTOM_FILTERS/g,COL_FIELD:/COL_FIELD/g,MODEL_COL_FIELD:/MODEL_COL_FIELD/g,TOOLTIP:/title=\"TOOLTIP\"/g,DISPLAY_CELL_TEMPLATE:/DISPLAY_CELL_TEMPLATE/g,TEMPLATE_REGEXP:/<.+>/,FUNC_REGEXP:/(\([^)]*\))?$/,DOT_REGEXP:/\./g,APOS_REGEXP:/'/g,BRACKET_REGEXP:/^(.*)((?:\s*\[\s*\d+\s*\]\s*)|(?:\s*\[\s*"(?:[^"\\]|\\.)*"\s*\]\s*)|(?:\s*\[\s*'(?:[^'\\]|\\.)*'\s*\]\s*))(.*)$/,COL_CLASS_PREFIX:"ui-grid-col",events:{GRID_SCROLL:"uiGridScroll",COLUMN_MENU_SHOWN:"uiGridColMenuShown",ITEM_DRAGGING:"uiGridItemDragStart",COLUMN_HEADER_CLICK:"uiGridColumnHeaderClick"},keymap:{TAB:9,STRG:17,CAPSLOCK:20,CTRL:17,CTRLRIGHT:18,CTRLR:18,SHIFT:16,RETURN:13,ENTER:13,BACKSPACE:8,BCKSP:8,ALT:18,ALTR:17,ALTRIGHT:17,SPACE:32,WIN:91,MAC:91,FN:null,PG_UP:33,PG_DOWN:34,UP:38,DOWN:40,LEFT:37,RIGHT:39,ESC:27,DEL:46,F1:112,F2:113,F3:114,F4:115,F5:116,F6:117,F7:118,F8:119,F9:120,F10:121,F11:122,F12:123},ASC:"asc",DESC:"desc",filter:{STARTS_WITH:2,ENDS_WITH:4,EXACT:8,CONTAINS:16,GREATER_THAN:32,GREATER_THAN_OR_EQUAL:64,LESS_THAN:128,LESS_THAN_OR_EQUAL:256,NOT_EQUAL:512,SELECT:"select",INPUT:"input"},aggregationTypes:{sum:2,count:4,avg:8,min:16,max:32},CURRENCY_SYMBOLS:["ƒ","$","£","$","¤","¥","៛","₩","₱","฿","₫"],scrollDirection:{UP:"up",DOWN:"down",LEFT:"left",RIGHT:"right",NONE:"none"},dataChange:{ALL:"all",EDIT:"edit",ROW:"row",COLUMN:"column",OPTIONS:"options"},scrollbars:{NEVER:0,ALWAYS:1}})}(),angular.module("ui.grid").directive("uiGridCell",["$compile","$parse","gridUtil","uiGridConstants",function(a,b,c,d){var e={priority:0,scope:!1,require:"?^uiGrid",compile:function(){return{pre:function(b,e,f,g){function h(){var a=b.col.compiledElementFn;a(b,function(a,b){e.append(a)})}if(g&&b.col.compiledElementFn)h();else if(g&&!b.col.compiledElementFn)b.col.getCompiledElementFn().then(function(a){a(b,function(a,b){e.append(a)})});else{var i=b.col.cellTemplate.replace(d.MODEL_COL_FIELD,"row.entity."+c.preEval(b.col.field)).replace(d.COL_FIELD,"grid.getCellValue(row, col)"),j=a(i)(b);e.append(j)}},post:function(a,b,c,e){var f=a.col.getColClass(!1);b.addClass(f);var g,h=function(c){var d=b;g&&(d.removeClass(g),g=null),g=angular.isFunction(a.col.cellClass)?a.col.cellClass(a.grid,a.row,a.col,a.rowRenderIndex,a.colRenderIndex):a.col.cellClass,d.addClass(g)};a.col.cellClass&&h();var i=a.grid.registerDataChangeCallback(h,[d.dataChange.COLUMN,d.dataChange.EDIT]),j=function(c,d){if(c!==d){(g||a.col.cellClass)&&h();var e=a.col.getColClass(!1);e!==f&&(b.removeClass(f),b.addClass(e),f=e)}},k=a.$watch("row",j),l=function(){i(),k()};a.$on("$destroy",l),b.on("$destroy",l)}}}};return e}]),function(){angular.module("ui.grid").service("uiGridColumnMenuService",["i18nService","uiGridConstants","gridUtil",function(a,b,c){var d={initialize:function(a,b){a.grid=b.grid,b.columnMenuScope=a,a.menuShown=!1},setColMenuItemWatch:function(a){var b=a.$watch("col.menuItems",function(b){"undefined"!=typeof b&&b&&angular.isArray(b)?(b.forEach(function(b){"undefined"!=typeof b.context&&b.context||(b.context={}),b.context.col=a.col}),a.menuItems=a.defaultMenuItems.concat(b)):a.menuItems=a.defaultMenuItems});a.$on("$destroy",b)},sortable:function(a){return!!(a.grid.options.enableSorting&&"undefined"!=typeof a.col&&a.col&&a.col.enableSorting)},isActiveSort:function(a,b){return"undefined"!=typeof a.col&&"undefined"!=typeof a.col.sort&&"undefined"!=typeof a.col.sort.direction&&a.col.sort.direction===b},suppressRemoveSort:function(a){return!(!a.col||!a.col.suppressRemoveSort)},hideable:function(a){return"undefined"==typeof a.col||!a.col||!a.col.colDef||a.col.colDef.enableHiding!==!1},getDefaultMenuItems:function(c){return[{title:a.getSafeText("sort.ascending"),icon:"ui-grid-icon-sort-alt-up",action:function(a){a.stopPropagation(),c.sortColumn(a,b.ASC)},shown:function(){return d.sortable(c)},active:function(){return d.isActiveSort(c,b.ASC)}},{title:a.getSafeText("sort.descending"),icon:"ui-grid-icon-sort-alt-down",action:function(a){a.stopPropagation(),c.sortColumn(a,b.DESC)},shown:function(){return d.sortable(c)},active:function(){return d.isActiveSort(c,b.DESC)}},{title:a.getSafeText("sort.remove"),icon:"ui-grid-icon-cancel",action:function(a){a.stopPropagation(),c.unsortColumn()},shown:function(){return d.sortable(c)&&"undefined"!=typeof c.col&&"undefined"!=typeof c.col.sort&&"undefined"!=typeof c.col.sort.direction&&null!==c.col.sort.direction&&!d.suppressRemoveSort(c)}},{title:a.getSafeText("column.hide"),icon:"ui-grid-icon-cancel",shown:function(){return d.hideable(c)},action:function(a){a.stopPropagation(),c.hideColumn()}}]},getColumnElementPosition:function(a,b,d){var e={};return e.left=d[0].offsetLeft,e.top=d[0].offsetTop,e.parentLeft=d[0].offsetParent.offsetLeft,e.offset=0,b.grid.options.offsetLeft&&(e.offset=b.grid.options.offsetLeft),e.height=c.elementHeight(d,!0),e.width=c.elementWidth(d,!0),e},repositionMenu:function(a,b,d,e,f){var g=e[0].querySelectorAll(".ui-grid-menu"),h=c.closestElm(f,".ui-grid-render-container"),i=h.getBoundingClientRect().left-a.grid.element[0].getBoundingClientRect().left,j=h.querySelectorAll(".ui-grid-viewport")[0].scrollLeft,k=b.lastMenuWidth?b.lastMenuWidth:a.lastMenuWidth?a.lastMenuWidth:170,l=b.lastMenuPaddingRight?b.lastMenuPaddingRight:a.lastMenuPaddingRight?a.lastMenuPaddingRight:10;if(0!==g.length){var m=g[0].querySelectorAll(".ui-grid-menu-mid");0===m.length||angular.element(m).hasClass("ng-hide")||(k=c.elementWidth(g,!0),a.lastMenuWidth=k,b.lastMenuWidth=k,l=parseInt(c.getStyles(angular.element(g)[0]).paddingRight,10),a.lastMenuPaddingRight=l,b.lastMenuPaddingRight=l)}var n=d.left+i-j+d.parentLeft+d.width-k+l;nc)d=b;else{if(c>a&&!d)return d=b,!0;if(c>a&&d)return!0}}),d){var g=d.getColClass();b.focus.bySelector(e,".ui-grid-header-cell."+g+" .ui-grid-header-cell-primary-focus",!0).then(angular.noop,function(a){return"canceled"!==a?c():void 0})}else c()})};f.hideColumn=function(){f.col.colDef.visible=!1,f.col.visible=!1,f.grid.queueGridRefresh(),f.hideMenu(),f.grid.api.core.notifyDataChange(c.dataChange.COLUMN),f.grid.api.core.raise.columnVisibilityChanged(f.col),j()}},controller:["$scope",function(a){var b=this;a.$watch("menuItems",function(a){b.menuItems=a})}]};return f}])}(),function(){"use strict";angular.module("ui.grid").directive("uiGridFilter",["$compile","$templateCache","i18nService","gridUtil",function(a,b,c,d){return{compile:function(){return{pre:function(b,c,d,e){b.col.updateFilters=function(d){if(c.children().remove(),d){var e=b.col.filterHeaderTemplate;c.append(a(e)(b))}},b.$on("$destroy",function(){delete b.col.updateFilters})},post:function(a,b,e,f){a.aria=c.getSafeText("headerCell.aria"),a.removeFilter=function(a,c){a.term=null,d.focus.bySelector(b,".ui-grid-filter-input-"+c)}}}}}}])}(),function(){"use strict";angular.module("ui.grid").directive("uiGridFooterCell",["$timeout","gridUtil","uiGridConstants","$compile",function(a,b,c,d){var e={priority:0,scope:{col:"=",row:"=",renderIndex:"="},replace:!0,require:"^uiGrid",compile:function(a,b,e){return{pre:function(a,b,c,e){var f=d(a.col.footerCellTemplate)(a);b.append(f)},post:function(a,b,d,e){a.grid=e.grid;var f=a.col.getColClass(!1);b.addClass(f);var g,h=function(c){var d=b;g&&(d.removeClass(g),g=null),g=angular.isFunction(a.col.footerCellClass)?a.col.footerCellClass(a.grid,a.row,a.col,a.rowRenderIndex,a.colRenderIndex):a.col.footerCellClass,d.addClass(g)};a.col.footerCellClass&&h(),a.col.updateAggregationValue();var i=a.grid.registerDataChangeCallback(h,[c.dataChange.COLUMN]);a.grid.api.core.on.rowsRendered(a,a.col.updateAggregationValue),a.grid.api.core.on.rowsRendered(a,h),a.$on("$destroy",i)}}}};return e}])}(),function(){"use strict";angular.module("ui.grid").directive("uiGridFooter",["$templateCache","$compile","uiGridConstants","gridUtil","$timeout",function(a,b,c,d,e){ -return{restrict:"EA",replace:!0,require:["^uiGrid","^uiGridRenderContainer"],scope:!0,compile:function(a,c){return{pre:function(a,c,e,f){var g=f[0],h=f[1];a.grid=g.grid,a.colContainer=h.colContainer,h.footer=c;var i=a.grid.options.footerTemplate;d.getTemplate(i).then(function(d){var e=angular.element(d),f=b(e)(a);if(c.append(f),h){var g=c[0].getElementsByClassName("ui-grid-footer-viewport")[0];g&&(h.footerViewport=g)}})},post:function(a,b,c,e){var f=e[0],g=e[1];f.grid;d.disableAnimations(b),g.footer=b;var h=b[0].getElementsByClassName("ui-grid-footer-viewport")[0];h&&(g.footerViewport=h)}}}}}])}(),function(){"use strict";angular.module("ui.grid").directive("uiGridGridFooter",["$templateCache","$compile","uiGridConstants","gridUtil","$timeout",function(a,b,c,d,e){return{restrict:"EA",replace:!0,require:"^uiGrid",scope:!0,compile:function(a,c){return{pre:function(a,c,e,f){a.grid=f.grid;var g=a.grid.options.gridFooterTemplate;d.getTemplate(g).then(function(d){var e=angular.element(d),f=b(e)(a);c.append(f)})},post:function(a,b,c,d){}}}}}])}(),function(){"use strict";angular.module("ui.grid").directive("uiGridGroupPanel",["$compile","uiGridConstants","gridUtil",function(a,b,c){var d="ui-grid/ui-grid-group-panel";return{restrict:"EA",replace:!0,require:"?^uiGrid",scope:!1,compile:function(b,e){return{pre:function(b,e,f,g){var h=b.grid.options.groupPanelTemplate||d;c.getTemplate(h).then(function(c){var d=angular.element(c),f=a(d)(b);e.append(f)})},post:function(a,b,c,d){b.bind("$destroy",function(){})}}}}}])}(),function(){"use strict";angular.module("ui.grid").directive("uiGridHeaderCell",["$compile","$timeout","$window","$document","gridUtil","uiGridConstants","ScrollEvent","i18nService",function(a,b,c,d,e,f,g,h){var i=500,j=500,k={priority:0,scope:{col:"=",row:"=",renderIndex:"="},require:["^uiGrid","^uiGridRenderContainer"],replace:!0,compile:function(){return{pre:function(b,c,d){var e=a(b.col.headerCellTemplate)(b);c.append(e)},post:function(a,c,e,g){var k=g[0],l=g[1];a.i18n={headerCell:h.getSafeText("headerCell"),sort:h.getSafeText("sort")},a.isSortPriorityVisible=function(){return angular.isNumber(a.col.sort.priority)&&a.grid.columns.some(function(b,c){return angular.isNumber(b.sort.priority)&&b!==a.col})},a.getSortDirectionAriaLabel=function(){var b=a.col,c=b.sort.direction===f.ASC?a.i18n.sort.ascending:b.sort.direction===f.DESC?a.i18n.sort.descending:a.i18n.sort.none,d=c;return a.isSortPriorityVisible()&&(d=d+". "+a.i18n.headerCell.priority+" "+b.sort.priority),d},a.grid=k.grid,a.renderContainer=k.grid.renderContainers[l.containerId];var m=a.col.getColClass(!1);c.addClass(m),a.menuShown=!1,a.asc=f.ASC,a.desc=f.DESC;var n,o,p=(angular.element(c[0].querySelectorAll(".ui-grid-header-cell-menu")),angular.element(c[0].querySelectorAll(".ui-grid-cell-contents"))),q=[];a.downFn=function(e){e.stopPropagation(),"undefined"!=typeof e.originalEvent&&void 0!==e.originalEvent&&(e=e.originalEvent),e.button&&0!==e.button||(o=e.pageX,a.mousedownStartTime=(new Date).getTime(),a.mousedownTimeout=b(function(){},i),a.mousedownTimeout.then(function(){a.colMenu&&k.columnMenuScope.showMenu(a.col,c,e)}),k.fireEvent(f.events.COLUMN_HEADER_CLICK,{event:e,columnName:a.col.colDef.name}),a.offAllEvents(),"touchstart"===e.type?(d.on("touchend",a.upFn),d.on("touchmove",a.moveFn)):"mousedown"===e.type&&(d.on("mouseup",a.upFn),d.on("mousemove",a.moveFn)))},a.upFn=function(c){c.stopPropagation(),b.cancel(a.mousedownTimeout),a.offAllEvents(),a.onDownEvents(c.type);var d=(new Date).getTime(),e=d-a.mousedownStartTime;e>i||a.sortable&&a.handleClick(c)},a.moveFn=function(c){var d=c.pageX-o;0!==d&&(b.cancel(a.mousedownTimeout),a.offAllEvents(),a.onDownEvents(c.type))},a.clickFn=function(b){b.stopPropagation(),p.off("click",a.clickFn)},a.offAllEvents=function(){p.off("touchstart",a.downFn),p.off("mousedown",a.downFn),d.off("touchend",a.upFn),d.off("mouseup",a.upFn),d.off("touchmove",a.moveFn),d.off("mousemove",a.moveFn),p.off("click",a.clickFn)},a.onDownEvents=function(c){switch(c){case"touchmove":case"touchend":p.on("click",a.clickFn),p.on("touchstart",a.downFn),b(function(){p.on("mousedown",a.downFn)},j);break;case"mousemove":case"mouseup":p.on("click",a.clickFn),p.on("mousedown",a.downFn),b(function(){p.on("touchstart",a.downFn)},j);break;default:p.on("click",a.clickFn),p.on("touchstart",a.downFn),p.on("mousedown",a.downFn)}};var r=function(d){var e=c;n&&(e.removeClass(n),n=null),n=angular.isFunction(a.col.headerCellClass)?a.col.headerCellClass(a.grid,a.row,a.col,a.rowRenderIndex,a.colRenderIndex):a.col.headerCellClass,e.addClass(n),b(function(){var b=a.grid.renderContainers.right?a.grid.renderContainers.right:a.grid.renderContainers.body;a.isLastCol=a.col===b.visibleColumnCache[b.visibleColumnCache.length-1]}),k.grid.options.enableSorting&&a.col.enableSorting?a.sortable=!0:a.sortable=!1;var g=a.filterable;k.grid.options.enableFiltering&&a.col.enableFiltering?a.filterable=!0:a.filterable=!1,g!==a.filterable&&("undefined"!=typeof a.col.updateFilters&&a.col.updateFilters(a.filterable),a.filterable?(a.col.filters.forEach(function(b,c){q.push(a.$watch("col.filters["+c+"].term",function(a,b){a!==b&&(k.grid.api.core.raise.filterChanged(),k.grid.api.core.notifyDataChange(f.dataChange.COLUMN),k.grid.queueGridRefresh())}))}),a.$on("$destroy",function(){q.forEach(function(a){a()})})):q.forEach(function(a){a()})),a.col.grid.options&&a.col.grid.options.enableColumnMenus!==!1&&a.col.colDef&&a.col.colDef.enableColumnMenu!==!1?a.colMenu=!0:a.colMenu=!1,a.offAllEvents(),(a.sortable||a.colMenu)&&(a.onDownEvents(),a.$on("$destroy",function(){a.offAllEvents()}))};r();var s=a.grid.registerDataChangeCallback(r,[f.dataChange.COLUMN]);a.$on("$destroy",s),a.handleClick=function(b){var c=!1;b.shiftKey&&(c=!0),k.grid.sortColumn(a.col,c).then(function(){k.columnMenuScope&&k.columnMenuScope.hideMenu(),k.grid.refresh()})},a.toggleMenu=function(b){b.stopPropagation(),k.columnMenuScope.menuShown&&k.columnMenuScope.col===a.col?k.columnMenuScope.hideMenu():k.columnMenuScope.showMenu(a.col,c)}}}}};return k}])}(),function(){"use strict";angular.module("ui.grid").directive("uiGridHeader",["$templateCache","$compile","uiGridConstants","gridUtil","$timeout","ScrollEvent",function(a,b,c,d,e,f){var g="ui-grid/ui-grid-header",h="ui-grid/ui-grid-no-header";return{restrict:"EA",replace:!0,require:["^uiGrid","^uiGridRenderContainer"],scope:!0,compile:function(a,c){return{pre:function(a,c,e,i){function j(){m.header=m.colContainer.header=c;var a=c[0].getElementsByClassName("ui-grid-header-canvas");a.length>0?m.headerCanvas=m.colContainer.headerCanvas=a[0]:m.headerCanvas=null}function k(a){if(!l.grid.isScrollingHorizontally){var b=d.normalizeScrollLeft(m.headerViewport,l.grid),c=m.colContainer.scrollHorizontal(b),e=new f(l.grid,null,m.colContainer,f.Sources.ViewPortScroll);e.newScrollLeft=b,c>-1&&(e.x={percentage:c}),l.grid.scrollContainers(null,e)}}var l=i[0],m=i[1];a.grid=l.grid,a.colContainer=m.colContainer,j();var n;n=a.grid.options.showHeader?a.grid.options.headerTemplate?a.grid.options.headerTemplate:g:h,d.getTemplate(n).then(function(d){var e=angular.element(d),f=b(e)(a);if(c.replaceWith(f),c=f,j(),m){var g=c[0].getElementsByClassName("ui-grid-header-viewport")[0];g&&(m.headerViewport=g,angular.element(g).on("scroll",k),a.$on("$destroy",function(){angular.element(g).off("scroll",k)}))}a.grid.queueRefresh()})},post:function(a,b,c,e){function f(){var a=h.colContainer.visibleColumnCache,b="",c=0;return a.forEach(function(a){b+=a.getColClassDefinition(),c+=a.drawnWidth}),h.colContainer.canvasWidth=c,b}var g=e[0],h=e[1];g.grid;d.disableAnimations(b),h.header=b;var i=b[0].getElementsByClassName("ui-grid-header-viewport")[0];i&&(h.headerViewport=i),g&&g.grid.registerStyleComputation({priority:15,func:f})}}}}}])}(),function(){angular.module("ui.grid").service("uiGridGridMenuService",["gridUtil","i18nService","uiGridConstants",function(a,b,c){var d={initialize:function(a,b){b.gridMenuScope=a,a.grid=b,a.registeredMenuItems=[],a.$on("$destroy",function(){a.grid&&a.grid.gridMenuScope&&(a.grid.gridMenuScope=null),a.grid&&(a.grid=null),a.registeredMenuItems&&(a.registeredMenuItems=null)}),a.registeredMenuItems=[],b.api.registerMethod("core","addToGridMenu",d.addToGridMenu),b.api.registerMethod("core","removeFromGridMenu",d.removeFromGridMenu)},addToGridMenu:function(b,c){angular.isArray(c)?b.gridMenuScope?(b.gridMenuScope.registeredMenuItems=b.gridMenuScope.registeredMenuItems?b.gridMenuScope.registeredMenuItems:[],b.gridMenuScope.registeredMenuItems=b.gridMenuScope.registeredMenuItems.concat(c)):a.logError("Asked to addToGridMenu, but gridMenuScope not present. Timing issue? Please log issue with ui-grid"):a.logError("addToGridMenu: menuItems must be an array, and is not, not adding any items")},removeFromGridMenu:function(b,c){var d=-1;b&&b.gridMenuScope&&b.gridMenuScope.registeredMenuItems.forEach(function(b,e){b.id===c&&(d>-1?a.logError("removeFromGridMenu: found multiple items with the same id, removing only the last"):d=e)}),d>-1&&b.gridMenuScope.registeredMenuItems.splice(d,1)},getMenuItems:function(c){var e=[];c.grid.options.gridMenuCustomItems&&(angular.isArray(c.grid.options.gridMenuCustomItems)?e=e.concat(c.grid.options.gridMenuCustomItems):a.logError("gridOptions.gridMenuCustomItems must be an array, and is not"));var f=[{title:b.getSafeText("gridMenu.clearAllFilters"),action:function(a){c.grid.clearAllFilters(void 0,!0,void 0)},shown:function(){return c.grid.options.enableFiltering},order:100}];return e=e.concat(f),e=e.concat(c.registeredMenuItems),c.grid.options.gridMenuShowHideColumns!==!1&&(e=e.concat(d.showHideColumns(c))),e.sort(function(a,b){return a.order-b.order}),e},showHideColumns:function(a){var c=[];return a.grid.options.columnDefs&&0!==a.grid.options.columnDefs.length&&0!==a.grid.columns.length?(c.push({title:b.getSafeText("gridMenu.columns"),order:300}),a.grid.options.gridMenuTitleFilter=a.grid.options.gridMenuTitleFilter?a.grid.options.gridMenuTitleFilter:function(a){return a},a.grid.options.columnDefs.forEach(function(b,e){if(b.enableHiding!==!1){var f={icon:"ui-grid-icon-ok",action:function(a){a.stopPropagation(),d.toggleColumnVisibility(this.context.gridCol)},shown:function(){return this.context.gridCol.colDef.visible===!0||void 0===this.context.gridCol.colDef.visible},context:{gridCol:a.grid.getColumn(b.name||b.field)},leaveOpen:!0,order:301+2*e};d.setMenuItemTitle(f,b,a.grid),c.push(f),f={icon:"ui-grid-icon-cancel",action:function(a){a.stopPropagation(),d.toggleColumnVisibility(this.context.gridCol)},shown:function(){return!(this.context.gridCol.colDef.visible===!0||void 0===this.context.gridCol.colDef.visible)},context:{gridCol:a.grid.getColumn(b.name||b.field)},leaveOpen:!0,order:301+2*e+1},d.setMenuItemTitle(f,b,a.grid),c.push(f)}}),c):c},setMenuItemTitle:function(b,c,d){var e=d.options.gridMenuTitleFilter(c.displayName||a.readableColumnName(c.name)||c.field);"string"==typeof e?b.title=e:e.then?(b.title="",e.then(function(a){b.title=a},function(a){b.title=a})):(a.logError("Expected gridMenuTitleFilter to return a string or a promise, it has returned neither, bad config"),b.title="badconfig")},toggleColumnVisibility:function(a){a.colDef.visible=!(a.colDef.visible===!0||void 0===a.colDef.visible),a.grid.refresh(),a.grid.api.core.notifyDataChange(c.dataChange.COLUMN),a.grid.api.core.raise.columnVisibilityChanged(a)}};return d}]).directive("uiGridMenuButton",["gridUtil","uiGridConstants","uiGridGridMenuService","i18nService",function(a,b,c,d){return{priority:0,scope:!0,require:["^uiGrid"],templateUrl:"ui-grid/ui-grid-menu-button",replace:!0,link:function(b,e,f,g){var h=g[0];b.i18n={aria:d.getSafeText("gridMenu.aria")},c.initialize(b,h.grid),b.shown=!1,b.toggleMenu=function(){b.shown?(b.$broadcast("hide-menu"),b.shown=!1):(b.menuItems=c.getMenuItems(b),b.$broadcast("show-menu"),b.shown=!0)},b.$on("menu-hidden",function(){b.shown=!1,a.focus.bySelector(e,".ui-grid-icon-container")})}}}])}(),function(){angular.module("ui.grid").directive("uiGridMenu",["$compile","$timeout","$window","$document","gridUtil","uiGridConstants","i18nService",function(a,b,c,d,e,f,g){var h={priority:0,scope:{menuItems:"=",autoHide:"=?"},require:"?^uiGrid",templateUrl:"ui-grid/uiGridMenu",replace:!1,link:function(a,d,h,i){var j;a.dynamicStyles="",i&&(j=i.grid.gridHeight-30,a.dynamicStyles=[".grid"+i.grid.id+" .ui-grid-menu-mid {","max-height: "+j+"px;","}"].join(" ")),a.i18n={close:g.getSafeText("columnMenu.close")},a.showMenu=function(c,f){a.shown?a.shownMid||(a.shownMid=!0,a.$emit("menu-shown")):(a.shown=!0,b(function(){a.shownMid=!0,a.$emit("menu-shown")}));var g="click";f&&f.originalEvent&&f.originalEvent.type&&"touchstart"===f.originalEvent.type&&(g=f.originalEvent.type),angular.element(document).off("click touchstart",k),d.off("keyup",l),d.off("keydown",m),b(function(){angular.element(document).on(g,k),d.on("keyup",l),d.on("keydown",m)}),e.focus.bySelector(d,"button[type=button]",!0)},a.hideMenu=function(c){a.shown&&(a.shownMid=!1,b(function(){a.shownMid||(a.shown=!1,a.$emit("menu-hidden"))},200)),angular.element(document).off("click touchstart",k),d.off("keyup",l),d.off("keydown",m)},a.$on("hide-menu",function(b,c){a.hideMenu(b,c)}),a.$on("show-menu",function(b,c){a.showMenu(b,c)});var k=function(){a.shown&&a.$apply(function(){a.hideMenu()})},l=function(b){27===b.keyCode&&a.hideMenu()},m=function(a){var b=function(b){return b.focus(),a.preventDefault(),!1};if(9===a.keyCode){var c,e,f=d[0].querySelectorAll("button:not(.ng-hide)");f.length>0&&(c=f[0],e=f[f.length-1],a.target!==e||a.shiftKey?a.target===c&&a.shiftKey&&b(e):b(c))}};"undefined"!=typeof a.autoHide&&void 0!==a.autoHide||(a.autoHide=!0),a.autoHide&&angular.element(c).on("resize",k),a.$on("$destroy",function(){angular.element(document).off("click touchstart",k)}),a.$on("$destroy",function(){angular.element(c).off("resize",k)}),i&&a.$on("$destroy",i.grid.api.core.on.scrollBegin(a,k)),a.$on("$destroy",a.$on(f.events.ITEM_DRAGGING,k))}};return h}]).directive("uiGridMenuItem",["gridUtil","$compile","i18nService",function(a,b,c){var d={priority:0,scope:{name:"=",active:"=",action:"=",icon:"=",shown:"=",context:"=",templateUrl:"=",leaveOpen:"=",screenReaderOnly:"="},require:["?^uiGrid"],templateUrl:"ui-grid/uiGridMenuItem",replace:!1,compile:function(){return{pre:function(c,d){c.templateUrl&&a.getTemplate(c.templateUrl).then(function(a){var e=angular.element(a),f=b(e)(c);d.replaceWith(f)})},post:function(b,d,e,f){var g=f[0];"undefined"!=typeof b.shown&&null!==b.shown||(b.shown=function(){return!0}),b.itemShown=function(){var a={};return b.context&&(a.context=b.context),"undefined"!=typeof g&&g&&(a.grid=g.grid),b.shown.call(a)},b.itemAction=function(c,e){if(a.logDebug("itemAction"),c.stopPropagation(),"function"==typeof b.action){var f={};b.context&&(f.context=b.context),"undefined"!=typeof g&&g&&(f.grid=g.grid),b.action.call(f,c,e),b.leaveOpen?a.focus.bySelector(angular.element(a.closestElm(d,".ui-grid-menu-items")),"button[type=button]",!0):b.$emit("hide-menu")}},b.i18n=c.get()}}}};return d}])}(),function(){"use strict";var a=angular.module("ui.grid");angular.forEach([{tag:"Src",method:"attr"},{tag:"Text",method:"text"},{tag:"Href",method:"attr"},{tag:"Class",method:"addClass"},{tag:"Html",method:"html"},{tag:"Alt",method:"attr"},{tag:"Style",method:"css"},{tag:"Value",method:"attr"},{tag:"Id",method:"attr"},{tag:"Id",directiveName:"IdGrid",method:"attr",appendGridId:!0},{tag:"Title",method:"attr"},{tag:"Label",method:"attr",aria:!0},{tag:"Labelledby",method:"attr",aria:!0},{tag:"Labelledby",directiveName:"LabelledbyGrid",appendGridId:!0,method:"attr",aria:!0},{tag:"Describedby",method:"attr",aria:!0},{tag:"Describedby",directiveName:"DescribedbyGrid",appendGridId:!0,method:"attr",aria:!0}],function(b){var c="uiGridOneBind",d=(b.aria?c+"Aria":c)+(b.directiveName?b.directiveName:b.tag);a.directive(d,["gridUtil",function(a){return{restrict:"A",require:["?uiGrid","?^uiGrid"],link:function(c,e,f,g){var h=function(b){var e;if(c.grid)e=c.grid;else if(c.col&&c.col.grid)e=c.col.grid;else if(!g.some(function(a){return a&&a.grid?(e=a.grid,!0):void 0}))throw a.logError("["+d+"] A valid grid could not be found to bind id. Are you using this directive within the correct scope? Trying to generate id: [gridID]-"+b),new Error("No valid grid could be found");if(e){var f=new RegExp(e.id.toString());f.test(b)||(b=e.id.toString()+"-"+b)}return b},i=c.$watch(f[d],function(a){if(a){if(b.appendGridId){var c=null;angular.forEach(a.split(" "),function(a){c=(c?c+" ":"")+h(a)}),a=c}switch(b.method){case"attr":b.aria?e[b.method]("aria-"+b.tag.toLowerCase(),a):e[b.method](b.tag.toLowerCase(),a);break;case"addClass":if(angular.isObject(a)&&!angular.isArray(a)){var d=[],f=!1;if(angular.forEach(a,function(a,b){null!==a&&"undefined"!=typeof a&&(f=!0,a&&d.push(b))}),!f)return;a=d}if(!a)return;e.addClass(angular.isArray(a)?a.join(" "):a);break;default:e[b.method](a)}i()}},!0)}}}])})}(),function(){"use strict";var a=angular.module("ui.grid");a.directive("uiGridRenderContainer",["$timeout","$document","uiGridConstants","gridUtil","ScrollEvent",function(a,b,c,d,e){return{replace:!0,transclude:!0,templateUrl:"ui-grid/uiGridRenderContainer",require:["^uiGrid","uiGridRenderContainer"],scope:{containerId:"=",rowContainerName:"=",colContainerName:"=",bindScrollHorizontal:"=",bindScrollVertical:"=",enableVerticalScrollbar:"=",enableHorizontalScrollbar:"="},controller:"uiGridRenderContainer as RenderContainer",compile:function(){return{pre:function(a,b,c,d){var e=d[0],f=d[1],g=a.grid=e.grid;if(!a.rowContainerName)throw"No row render container name specified";if(!a.colContainerName)throw"No column render container name specified";if(!g.renderContainers[a.rowContainerName])throw"Row render container '"+a.rowContainerName+"' is not registered.";if(!g.renderContainers[a.colContainerName])throw"Column render container '"+a.colContainerName+"' is not registered.";var h=a.rowContainer=g.renderContainers[a.rowContainerName],i=a.colContainer=g.renderContainers[a.colContainerName];f.containerId=a.containerId,f.rowContainer=h,f.colContainer=i},post:function(a,b,c,f){function g(){var b="",c=l.canvasWidth,d=l.getViewportWidth(),e=k.getCanvasHeight(),f=k.getViewportHeight();l.needsHScrollbarPlaceholder()&&(f-=j.scrollbarHeight);var g,i;return g=i=l.getHeaderViewportWidth(),b+="\n .grid"+h.grid.id+" .ui-grid-render-container-"+a.containerId+" .ui-grid-canvas { width: "+c+"px; height: "+e+"px; }",b+="\n .grid"+h.grid.id+" .ui-grid-render-container-"+a.containerId+" .ui-grid-header-canvas { width: "+(c+j.scrollbarWidth)+"px; }",b+=o.explicitHeaderCanvasHeight?"\n .grid"+h.grid.id+" .ui-grid-render-container-"+a.containerId+" .ui-grid-header-canvas { height: "+o.explicitHeaderCanvasHeight+"px; }":"\n .grid"+h.grid.id+" .ui-grid-render-container-"+a.containerId+" .ui-grid-header-canvas { height: inherit; }",b+="\n .grid"+h.grid.id+" .ui-grid-render-container-"+a.containerId+" .ui-grid-viewport { width: "+d+"px; height: "+f+"px; }",b+="\n .grid"+h.grid.id+" .ui-grid-render-container-"+a.containerId+" .ui-grid-header-viewport { width: "+g+"px; }",b+="\n .grid"+h.grid.id+" .ui-grid-render-container-"+a.containerId+" .ui-grid-footer-canvas { width: "+(c+j.scrollbarWidth)+"px; }",b+="\n .grid"+h.grid.id+" .ui-grid-render-container-"+a.containerId+" .ui-grid-footer-viewport { width: "+i+"px; }"}var h=f[0],i=f[1],j=h.grid,k=i.rowContainer,l=i.colContainer,m=null,n=null,o=j.renderContainers[a.containerId];b.addClass("ui-grid-render-container-"+a.containerId),d.on.mousewheel(b,function(a){var b=new e(j,k,l,e.Sources.RenderContainerMouseWheel);if(0!==a.deltaY){var c=-1*a.deltaY*a.deltaFactor;m=i.viewport[0].scrollTop,b.verticalScrollLength=k.getVerticalScrollLength();var f=(m+c)/b.verticalScrollLength;f>=1&&mf?f=0:f>1&&(f=1),b.y={percentage:f,pixels:c}}if(0!==a.deltaX){var g=a.deltaX*a.deltaFactor;n=d.normalizeScrollLeft(i.viewport,j),b.horizontalScrollLength=l.getCanvasWidth()-l.getViewportWidth();var h=(n+g)/b.horizontalScrollLength;0>h?h=0:h>1&&(h=1),b.x={percentage:h,pixels:g}}0!==a.deltaY&&(b.atTop(m)||b.atBottom(m))||0!==a.deltaX&&(b.atLeft(n)||b.atRight(n))||(a.preventDefault(),a.stopPropagation(),b.fireThrottledScrollingEvent("",b))}),b.bind("$destroy",function(){b.unbind("keydown"),["touchstart","touchmove","touchend","keydown","wheel","mousewheel","DomMouseScroll","MozMousePixelScroll"].forEach(function(a){b.unbind(a)})}),h.grid.registerStyleComputation({priority:6,func:g})}}}}}]),a.controller("uiGridRenderContainer",["$scope","gridUtil",function(a,b){}])}(),function(){"use strict";angular.module("ui.grid").directive("uiGridRow",["gridUtil",function(a){return{replace:!0,require:["^uiGrid","^uiGridRenderContainer"],scope:{row:"=uiGridRow",rowRenderIndex:"="},compile:function(){return{pre:function(a,b,c,d){function e(){a.row.getRowTemplateFn.then(function(c){var d=a.$new();c(d,function(a,c){h&&(h.remove(),i.$destroy()),b.empty().append(a),h=a,i=d})})}var f=d[0],g=d[1];f.grid;a.grid=f.grid,a.colContainer=g.colContainer;var h,i;e(),a.$watch("row.getRowTemplateFn",function(a,b){a!==b&&e()})},post:function(a,b,c,d){}}}}}])}(),function(){angular.module("ui.grid").directive("uiGridStyle",["gridUtil","$interpolate",function(a,b){return{link:function(a,c,d,e){var f=b(c.text(),!0);f&&a.$watch(f,function(a){c.text(a)})}}}])}(),function(){"use strict";angular.module("ui.grid").directive("uiGridViewport",["gridUtil","ScrollEvent","uiGridConstants","$log",function(a,b,c,d){return{replace:!0,scope:{},controllerAs:"Viewport",templateUrl:"ui-grid/uiGridViewport",require:["^uiGrid","^uiGridRenderContainer"],link:function(c,d,e,f){function g(e){var f=d[0].scrollTop,g=a.normalizeScrollLeft(d,p),h=n.scrollVertical(f),i=o.scrollHorizontal(g),j=new b(p,n,o,b.Sources.ViewPortScroll);j.newScrollLeft=g,j.newScrollTop=f,i>-1&&(j.x={percentage:i}),h>-1&&(j.y={percentage:h}),p.scrollContainers(c.$parent.containerId,j)}function h(a){m.prevScrollArgs=a;var b=a.getNewScrollTop(n,m.viewport);d[0].scrollTop=b}function i(b){m.prevScrollArgs=b;var c=b.getNewScrollLeft(o,m.viewport);d[0].scrollLeft=a.denormalizeScrollLeft(m.viewport,c,p)}function j(b){var c=b.getNewScrollLeft(o,m.viewport);m.headerViewport&&(m.headerViewport.scrollLeft=a.denormalizeScrollLeft(m.viewport,c,p))}function k(b){var c=b.getNewScrollLeft(o,m.viewport);m.footerViewport&&(m.footerViewport.scrollLeft=a.denormalizeScrollLeft(m.viewport,c,p))}var l=f[0],m=f[1];c.containerCtrl=m;var n=m.rowContainer,o=m.colContainer,p=l.grid;c.grid=l.grid,c.rowContainer=m.rowContainer,c.colContainer=m.colContainer,m.viewport=d,d.on("scroll",g);c.$parent.bindScrollVertical&&p.addVerticalScrollSync(c.$parent.containerId,h),c.$parent.bindScrollHorizontal&&(p.addHorizontalScrollSync(c.$parent.containerId,i),p.addHorizontalScrollSync(c.$parent.containerId+"header",j),p.addHorizontalScrollSync(c.$parent.containerId+"footer",k))},controller:["$scope",function(a){this.rowStyle=function(b){var c=a.rowContainer,d=a.colContainer,e={};if(0===b&&0!==c.currentTopRow){var f=c.currentTopRow*c.grid.options.rowHeight;e["margin-top"]=f+"px"}return 0!==d.currentFirstColumn&&(d.grid.isRTL()?e["margin-right"]=d.columnOffset+"px":e["margin-left"]=d.columnOffset+"px"),e}}]}}])}(),function(){angular.module("ui.grid").directive("uiGridVisible",function(){return function(a,b,c){a.$watch(c.uiGridVisible,function(a){b[a?"removeClass":"addClass"]("ui-grid-invisible")})}})}(),function(){"use strict";function a(a,b,c,d,e,f){return{templateUrl:"ui-grid/ui-grid",scope:{uiGrid:"="},replace:!0,transclude:!0,controller:"uiGridController",compile:function(){return{post:function(a,b,g,h){function i(){b[0].offsetWidth<=0&&p>q?(setTimeout(i,o),q++):c(k)}function j(){angular.element(d).on("resize",m),b.on("$destroy",function(){angular.element(d).off("resize",m)}),a.$watch(function(){return n.hasLeftContainer()},function(a,b){a!==b&&n.refreshCanvas(!0)}),a.$watch(function(){return n.hasRightContainer()},function(a,b){a!==b&&n.refreshCanvas(!0)})}function k(){n.gridWidth=a.gridWidth=e.elementWidth(b),n.canvasWidth=h.grid.gridWidth,n.gridHeight=a.gridHeight=e.elementHeight(b),n.gridHeight<=n.options.rowHeight&&n.options.enableMinHeightCheck&&l(),n.refreshCanvas(!0)}function l(){var c=n.options.minRowsToShow*n.options.rowHeight,d=n.options.showHeader?n.options.headerRowHeight:0,g=n.calcFooterHeight(),h=0;n.options.enableHorizontalScrollbar===f.scrollbars.ALWAYS&&(h=e.getScrollbarWidth());var i=0;if(angular.forEach(n.options.columnDefs,function(a){a.hasOwnProperty("filter")?1>i&&(i=1):a.hasOwnProperty("filters")&&i(n.grid.rowHeaderColumns?n.grid.rowHeaderColumns.length:0);!g&&!c.uiGridColumns&&0===n.grid.options.columnDefs.length&&b.length>0&&n.grid.buildColumnDefsFromData(b),!g&&(n.grid.options.columnDefs.length>0||b.length>0)&&d.push(n.grid.buildColumns().then(function(){n.grid.preCompileCellTemplates()})),e.all(d).then(function(){n.grid.modifyRows(p).then(function(){n.grid.redrawInPlace(!0),a.$evalAsync(function(){n.grid.refreshCanvas(!0),n.grid.callDataChangeCallbacks(f.dataChange.ROW)})})})}}var n=this;n.grid=h.createGrid(a.uiGrid),n.grid.appScope=n.grid.appScope||a.$parent,b.addClass("grid"+n.grid.id),n.grid.rtl="rtl"===d.getStyles(b[0]).direction,a.grid=n.grid,c.uiGridColumns&&c.$observe("uiGridColumns",function(a){n.grid.options.columnDefs=a,n.grid.buildColumns().then(function(){n.grid.preCompileCellTemplates(),n.grid.refreshCanvas(!0)})});var o=[];n.grid.options.fastWatch?(n.uiGrid=a.uiGrid,angular.isString(a.uiGrid.data)?(o.push(a.$parent.$watch(a.uiGrid.data,m)),o.push(a.$parent.$watch(function(){return n.grid.appScope[a.uiGrid.data]?n.grid.appScope[a.uiGrid.data].length:void 0},m))):(o.push(a.$parent.$watch(function(){return a.uiGrid.data},m)),o.push(a.$parent.$watch(function(){return a.uiGrid.data.length},function(){m(a.uiGrid.data)}))),o.push(a.$parent.$watch(function(){return a.uiGrid.columnDefs},l)),o.push(a.$parent.$watch(function(){return a.uiGrid.columnDefs.length},function(){l(a.uiGrid.columnDefs)}))):(angular.isString(a.uiGrid.data)?o.push(a.$parent.$watchCollection(a.uiGrid.data,m)):o.push(a.$parent.$watchCollection(function(){return a.uiGrid.data},m)),o.push(a.$parent.$watchCollection(function(){return a.uiGrid.columnDefs},l)));var p,q=a.$watch(function(){return n.grid.styleComputations},function(){n.grid.refreshCanvas(!0)});a.$on("$destroy",function(){o.forEach(function(a){a()}),q()}),n.fireEvent=function(b,c){"undefined"!=typeof c&&void 0!==c||(c={}),"undefined"!=typeof c.grid&&void 0!==c.grid||(c.grid=n.grid),a.$broadcast(b,c)},n.innerCompile=function(b){k(b)(a)}}]),angular.module("ui.grid").directive("uiGrid",a),a.$inject=["$compile","$templateCache","$timeout","$window","gridUtil","uiGridConstants"]}(),function(){"use strict";angular.module("ui.grid").directive("uiGridPinnedContainer",["gridUtil",function(a){return{restrict:"EA",replace:!0,template:'
            ',scope:{side:"=uiGridPinnedContainer"},require:"^uiGrid",compile:function(){return{post:function(a,b,c,d){function e(){var a=this,b=0;a.visibleColumnCache.forEach(function(a){b+=a.drawnWidth});var c=a.getViewportAdjustment();return b+=c.width}function f(){if("left"===a.side||"right"===a.side){for(var b=h.renderContainers[a.side].visibleColumnCache,c=0,d=0;d0?b[0]:null},p.prototype.getColDef=function(a){var b=this.options.columnDefs.filter(function(b){return b.name===a});return b.length>0?b[0]:null},p.prototype.assignTypes=function(){var a=this;a.options.columnDefs.forEach(function(b,c){if(!b.type){var e=new g(b,c,a),f=a.rows.length>0?a.rows[0]:null;f?b.type=d.guessType(a.getCellValue(f,e)):b.type="string"}})},p.prototype.isRowHeaderColumn=function(a){return-1!==this.rowHeaderColumns.indexOf(a)},p.prototype.addRowHeaderColumn=function(a){var b=this,c=new g(a,d.nextUid(),b);c.isRowHeader=!0,b.isRTL()?(b.createRightContainer(),c.renderContainer="right"):(b.createLeftContainer(),c.renderContainer="left"),b.columnBuilders[0](a,c,b.options).then(function(){c.enableFiltering=!1,c.enableSorting=!1,c.enableHiding=!1,b.rowHeaderColumns.push(c),b.buildColumns().then(function(){b.preCompileCellTemplates(),b.queueGridRefresh()})})},p.prototype.getOnlyDataColumns=function(){var a=this,b=[];return a.columns.forEach(function(c){-1===a.rowHeaderColumns.indexOf(c)&&b.push(c)}),b},p.prototype.buildColumns=function(b){var c={orderByColumnDefs:!1};angular.extend(c,b);var e,f=this,h=[],i=f.rowHeaderColumns.length;for(e=0;ee;e++)f.columns[e+i].name!==f.options.columnDefs[e].name?j[e+i]=f.getColumn(f.options.columnDefs[e].name):j[e+i]=f.columns[e+i];f.columns.length=0,Array.prototype.splice.apply(f.columns,[0,0].concat(j))}return a.all(h).then(function(){f.rows.length>0&&f.assignTypes()})},p.prototype.preCompileCellTemplates=function(){var a=this,c=function(c){var d=c.cellTemplate.replace(e.MODEL_COL_FIELD,a.getQualifiedColField(c));d=d.replace(e.COL_FIELD,"grid.getCellValue(row, col)");var f=b(d);c.compiledElementFn=f,c.compiledElementFnDefer&&c.compiledElementFnDefer.resolve(c.compiledElementFn)};this.columns.forEach(function(a){a.cellTemplate?c(a):a.cellTemplatePromise&&a.cellTemplatePromise.then(function(){c(a)})})},p.prototype.getQualifiedColField=function(a){return"row.entity."+d.preEval(a.field)},p.prototype.createLeftContainer=function(){this.hasLeftContainer()||(this.renderContainers.left=new l("left",this,{disableColumnOffset:!0}))},p.prototype.createRightContainer=function(){this.hasRightContainer()||(this.renderContainers.right=new l("right",this,{disableColumnOffset:!0}))},p.prototype.hasLeftContainer=function(){return void 0!==this.renderContainers.left},p.prototype.hasRightContainer=function(){return void 0!==this.renderContainers.right},p.prototype.preprocessColDef=function(a){var b=this;if(!a.field&&!a.name)throw new Error("colDef.name or colDef.field property is required");if(void 0===a.name&&void 0!==a.field){for(var c=a.field,d=2;b.getColumn(c);)c=a.field+d.toString(),d++;a.name=c}},p.prototype.newInN=function(a,b,c,d){for(var e=this,f=[],g=0;g0?d[0]:null},p.prototype.modifyRows=function(b){var c=this,d=c.rows.slice(0),e=c.rowHashMap||c.createRowHashMap();c.rowHashMap=c.createRowHashMap(),c.rows.length=0,b.forEach(function(a,b){var f;f=c.options.enableRowHashing?e.get(a):c.getRow(a,d),f||(f=c.processRowBuilders(new h(a,b,c))),c.rows.push(f),c.rowHashMap.put(a,f)}),c.assignTypes();var f=a.when(c.processRowsProcessors(c.rows)).then(function(a){return c.setVisibleRows(a)}),g=a.when(c.processColumnsProcessors(c.columns)).then(function(a){return c.setVisibleColumns(a)});return a.all([f,g])},p.prototype.addRows=function(a){for(var b=this,c=b.rows.length,d=0;dd)d+=e.drawnWidth,c++;else{for(var g=0,h=f;h>=f-c;h--)g+=a.columns[h].drawnWidth;b>g&&c++}}),c},p.prototype.getBodyHeight=function(){var a=this.getViewportHeight();return a},p.prototype.getViewportHeight=function(){var a=this,b=this.gridHeight-this.headerHeight-this.footerHeight,c=a.getViewportAdjustment();return b+=c.height},p.prototype.getViewportWidth=function(){var a=this,b=this.gridWidth,c=a.getViewportAdjustment();return b+=c.width},p.prototype.getHeaderViewportWidth=function(){var a=this.getViewportWidth();return a},p.prototype.addVerticalScrollSync=function(a,b){this.verticalScrollSyncCallBackFns[a]=b},p.prototype.addHorizontalScrollSync=function(a,b){this.horizontalScrollSyncCallBackFns[a]=b},p.prototype.scrollContainers=function(a,b){if(b.y){var c=["body","left","right"];this.flagScrollingVertically(b),"body"===a?c=["left","right"]:"left"===a?c=["body","right"]:"right"===a&&(c=["body","left"]);for(var d=0;d=b&&(b=a.sort.priority+1)}),b},p.prototype.resetColumnSorting=function(a){var b=this;b.columns.forEach(function(b){b===a||b.suppressRemoveSort||(b.sort={})})},p.prototype.getColumnSorting=function(){var a,b=this,c=[];return a=b.columns.slice(0),a.sort(j.prioritySort).forEach(function(a){a.sort&&"undefined"!=typeof a.sort.direction&&a.sort.direction&&(a.sort.direction===e.ASC||a.sort.direction===e.DESC)&&c.push(a)}),c},p.prototype.sortColumn=function(b,c,d){var e=this,f=null;if("undefined"==typeof b||!b)throw new Error("No column parameter provided");if("boolean"==typeof c?d=c:f=c,d?b.sort.priority||(b.sort.priority=e.getNextColumnSortPriority()):(e.resetColumnSorting(b),b.sort.priority=void 0,b.sort.priority=e.getNextColumnSortPriority()),f)b.sort.direction=f;else{var g=b.sortDirectionCycle.indexOf(b.sort.direction?b.sort.direction:null);g=(g+1)%b.sortDirectionCycle.length,b.colDef&&b.suppressRemoveSort&&!b.sortDirectionCycle[g]&&(g=(g+1)%b.sortDirectionCycle.length),b.sortDirectionCycle[g]?b.sort.direction=b.sortDirectionCycle[g]:b.sort={}}return e.api.core.raise.sortChanged(e,e.getColumnSorting()),a.when(b)},p.prototype.renderingComplete=function(){angular.isFunction(this.options.onRegisterApi)&&this.options.onRegisterApi(this.api),this.api.core.raise.renderingComplete(this.api)},p.prototype.createRowHashMap=function(){var a=this,b=new o;return b.grid=a,b},p.prototype.refresh=function(b){var c=this,d=c.processRowsProcessors(c.rows).then(function(a){c.setVisibleRows(a)}),e=c.processColumnsProcessors(c.columns).then(function(a){c.setVisibleColumns(a)});return a.all([d,e]).then(function(){c.redrawInPlace(b),c.refreshCanvas(!0)})},p.prototype.refreshRows=function(){var a=this;return a.processRowsProcessors(a.rows).then(function(b){a.setVisibleRows(b),a.redrawInPlace(),a.refreshCanvas(!0)})},p.prototype.refreshCanvas=function(b){var c=this;b&&c.buildStyles();var e=a.defer(),f=[];for(var g in c.renderContainers)if(c.renderContainers.hasOwnProperty(g)){var h=c.renderContainers[g];if(null===h.canvasWidth||isNaN(h.canvasWidth))continue;(h.header||h.headerCanvas)&&(h.explicitHeaderHeight=h.explicitHeaderHeight||null,h.explicitHeaderCanvasHeight=h.explicitHeaderCanvasHeight||null,f.push(h))}return f.length>0?(b&&c.buildStyles(),m(function(){var a,g,h=!1,i=0,j=0,k=function(a,b){return a!==b&&(h=!0),b};for(a=0;ao?0:o,g.innerHeaderHeight=o,!g.explicitHeaderHeight&&o>i&&(i=o)}if(g.headerCanvas){var p=g.headerCanvasHeight=k(g.headerCanvasHeight,parseInt(d.outerElementHeight(g.headerCanvas),10));!g.explicitHeaderCanvasHeight&&p>j&&(j=p)}}for(a=0;a0&&"undefined"!=typeof g.headerHeight&&null!==g.headerHeight&&(g.explicitHeaderHeight||g.headerHeight0&&"undefined"!=typeof g.headerCanvasHeight&&null!==g.headerCanvasHeight&&(g.explicitHeaderCanvasHeight||g.headerCanvasHeight0},p.prototype.hasRightContainerColumns=function(){return this.hasRightContainer()&&this.renderContainers.right.renderedColumns.length>0},p.prototype.scrollToIfNecessary=function(b,c){var d=this,e=new n(d,"uiGrid.scrollToIfNecessary"),f=d.renderContainers.body.visibleRowCache,g=d.renderContainers.body.visibleColumnCache,h=d.renderContainers.body.prevScrollTop+d.headerHeight;h=0>h?0:h;var i=d.renderContainers.body.prevScrollLeft,j=d.renderContainers.body.prevScrollTop+d.gridHeight-d.renderContainers.body.headerHeight-d.footerHeight-d.scrollbarWidth,k=d.renderContainers.body.prevScrollLeft+Math.ceil(d.renderContainers.body.getViewportWidth());if(null!==b){var l=f.indexOf(b),m=d.renderContainers.body.getCanvasHeight()-d.renderContainers.body.getViewportHeight(),o=l*d.options.rowHeight+d.headerHeight;o=0>o?0:o;var p,q;h>o?(p=d.renderContainers.body.prevScrollTop-(h-o),q=p/m,e.y={percentage:q}):o>j&&(p=o-j+d.renderContainers.body.prevScrollTop,q=p/m,e.y={percentage:q})}if(null!==c){for(var r=g.indexOf(c),s=d.renderContainers.body.getCanvasWidth()-d.renderContainers.body.getViewportWidth(),t=0,u=0;r>u;u++){var v=g[u];t+=v.drawnWidth}t=0>t?0:t;var w=t+c.drawnWidth;w=0>w?0:w;var x,y;i>t?(x=d.renderContainers.body.prevScrollLeft-(i-t),y=x/s,y=y>1?1:y,e.x={percentage:y}):w>k&&(x=w-k+d.renderContainers.body.prevScrollLeft,y=x/s,y=y>1?1:y,e.x={percentage:y})}var z=a.defer();if(e.y||e.x){e.withDelay=!1,d.scrollContainers("",e);var A=d.api.core.on.scrollEnd(null,function(){z.resolve(e),A()})}else z.resolve();return z.promise},p.prototype.scrollTo=function(a,b){var c=null,d=null;return null!==a&&"undefined"!=typeof a&&(c=this.getRow(a)),null!==b&&"undefined"!=typeof b&&(d=this.getColumn(b.name?b.name:b.field)),this.scrollToIfNecessary(c,d)},p.prototype.clearAllFilters=function(a,b,c){return void 0===a&&(a=!0),void 0===b&&(b=!1),void 0===c&&(c=!1),this.columns.forEach(function(a){a.filters.forEach(function(a){a.term=void 0,b&&(a.condition=void 0),c&&(a.flags=void 0)})}),a?this.refreshRows():void 0},o.prototype={put:function(a,b){this[this.grid.options.rowIdentity(a)]=b},get:function(a){return this[this.grid.options.rowIdentity(a)]},remove:function(a){var b=this[a=this.grid.options.rowIdentity(a)];return delete this[a],b}},p}])}(),function(){angular.module("ui.grid").factory("GridApi",["$q","$rootScope","gridUtil","uiGridConstants","GridRow","uiGridGridMenuService",function(a,b,c,d,e,f){function g(a,c,d,e){return b.$on(a,function(a){var b=Array.prototype.slice.call(arguments);b.splice(0,1),c.apply(e?e:d.api,b)})}var h=function(a){this.grid=a,this.listeners=[],this.registerEvent("core","renderingComplete"),this.registerEvent("core","filterChanged"),this.registerMethod("core","setRowInvisible",e.prototype.setRowInvisible),this.registerMethod("core","clearRowInvisible",e.prototype.clearRowInvisible),this.registerMethod("core","getVisibleRows",this.grid.getVisibleRows),this.registerEvent("core","rowsVisibleChanged"),this.registerEvent("core","rowsRendered"),this.registerEvent("core","scrollBegin"),this.registerEvent("core","scrollEnd"),this.registerEvent("core","canvasHeightChanged")};return h.prototype.suppressEvents=function(a,b){var c=this,d=angular.isArray(a)?a:[a],e=c.listeners.filter(function(a){return d.some(function(b){return a.handler===b})});e.forEach(function(a){a.dereg()}),b(),e.forEach(function(a){a.dereg=g(a.eventId,a.handler,c.grid,a._this)})},h.prototype.registerEvent=function(a,d){var e=this;e[a]||(e[a]={});var f=e[a];f.on||(f.on={},f.raise={});var h=e.grid.id+a+d;f.raise[d]=function(){b.$emit.apply(b,[h].concat(Array.prototype.slice.call(arguments)))},f.on[d]=function(b,f,i){if(null!==b&&"undefined"==typeof b.$on)return void c.logError("asked to listen on "+a+".on."+d+" but scope wasn't passed in the input parameters. It is legitimate to pass null, but you've passed something else, so you probably forgot to provide scope rather than did it deliberately, not registering");var j=g(h,f,e.grid,i),k={handler:f,dereg:j,eventId:h,scope:b,_this:i};e.listeners.push(k);var l=function(){k.dereg();var a=e.listeners.indexOf(k);e.listeners.splice(a,1)};return b&&b.$on("$destroy",function(){l()}),l}},h.prototype.registerEventsFromObject=function(a){var b=this,c=[];angular.forEach(a,function(a,b){var d={name:b,events:[]};angular.forEach(a,function(a,b){d.events.push(b)}),c.push(d)}),c.forEach(function(a){a.events.forEach(function(c){b.registerEvent(a.name,c)})})},h.prototype.registerMethod=function(a,b,d,e){this[a]||(this[a]={});var f=this[a];f[b]=c.createBoundedWrapper(e||this.grid,d)},h.prototype.registerMethodsFromObject=function(a,b){var c=this,d=[];angular.forEach(a,function(a,b){var c={name:b,methods:[]};angular.forEach(a,function(a,b){c.methods.push({name:b,fn:a})}),d.push(c)}),d.forEach(function(a){a.methods.forEach(function(d){c.registerMethod(a.name,d.name,d.fn,b)})})},h}])}(),function(){angular.module("ui.grid").factory("GridColumn",["gridUtil","uiGridConstants","i18nService",function(a,b,c){function d(a,c,d){var e=this;e.grid=d,e.uid=c,e.updateColumnDef(a,!0),e.aggregationValue=void 0,e.updateAggregationValue=function(){if(!e.aggregationType)return void(e.aggregationValue=void 0);var a=0,c=e.grid.getVisibleRows(),d=function(){var a=[];return c.forEach(function(b){var c=e.grid.getCellValue(b,e),d=Number(c);isNaN(d)||a.push(d)}),a};angular.isFunction(e.aggregationType)?e.aggregationValue=e.aggregationType(c,e):e.aggregationType===b.aggregationTypes.count?e.aggregationValue=e.grid.getVisibleRowCount():e.aggregationType===b.aggregationTypes.sum?(d().forEach(function(b){a+=b}),e.aggregationValue=a):e.aggregationType===b.aggregationTypes.avg?(d().forEach(function(b){a+=b}),a/=d().length,e.aggregationValue=a):e.aggregationType===b.aggregationTypes.min?e.aggregationValue=Math.min.apply(null,d()):e.aggregationType===b.aggregationTypes.max?e.aggregationValue=Math.max.apply(null,d()):e.aggregationValue=" "},this.getAggregationValue=function(){return e.aggregationValue}}return d.prototype.hideColumn=function(){this.colDef.visible=!1},d.prototype.setPropertyOrDefault=function(a,b,c){var d=this;"undefined"!=typeof a[b]&&a[b]?d[b]=a[b]:"undefined"!=typeof d[b]?d[b]=d[b]:d[b]=c?c:{}},d.prototype.updateColumnDef=function(c,d){var e=this;if(e.colDef=c,void 0===c.name)throw new Error("colDef.name is required for column at index "+e.grid.options.columnDefs.indexOf(c));if(e.displayName=void 0===c.displayName?a.readableColumnName(c.name):c.displayName,!angular.isNumber(e.width)||!e.hasCustomWidth||c.allowCustomWidthOverride){var f=c.width,g="Cannot parse column width '"+f+"' for column named '"+c.name+"'";if(e.hasCustomWidth=!1,angular.isString(f)||angular.isNumber(f))if(angular.isString(f))if(a.endsWith(f,"%")){var h=f.replace(/%/g,""),i=parseInt(h,10);if(isNaN(i))throw new Error(g);e.width=f}else if(f.match(/^(\d+)$/))e.width=parseInt(f.match(/^(\d+)$/)[1],10);else{if(!f.match(/^\*+$/))throw new Error(g);e.width=f}else e.width=f;else e.width="*"}["minWidth","maxWidth"].forEach(function(a){var b=c[a],d="Cannot parse column "+a+" '"+b+"' for column named '"+c.name+"'";if(angular.isString(b)||angular.isNumber(b))if(angular.isString(b)){if(!b.match(/^(\d+)$/))throw new Error(d);e[a]=parseInt(b.match(/^(\d+)$/)[1],10)}else e[a]=b;else e[a]="minWidth"===a?30:9e3}),e.field=void 0===c.field?c.name:c.field,"string"!=typeof e.field&&a.logError("Field is not a string, this is likely to break the code, Field is: "+e.field),e.name=c.name,e.displayName=void 0===c.displayName?a.readableColumnName(c.name):c.displayName,e.aggregationType=angular.isDefined(c.aggregationType)?c.aggregationType:null,e.footerCellTemplate=angular.isDefined(c.footerCellTemplate)?c.footerCellTemplate:null,"undefined"==typeof c.cellTooltip||c.cellTooltip===!1?e.cellTooltip=!1:c.cellTooltip===!0?e.cellTooltip=function(a,b){return e.grid.getCellValue(a,b)}:"function"==typeof c.cellTooltip?e.cellTooltip=c.cellTooltip:e.cellTooltip=function(a,b){return b.colDef.cellTooltip},"undefined"==typeof c.headerTooltip||c.headerTooltip===!1?e.headerTooltip=!1:c.headerTooltip===!0?e.headerTooltip=function(a){return a.displayName}:"function"==typeof c.headerTooltip?e.headerTooltip=c.headerTooltip:e.headerTooltip=function(a){return a.colDef.headerTooltip},e.footerCellClass=c.footerCellClass,e.cellClass=c.cellClass,e.headerCellClass=c.headerCellClass,e.cellFilter=c.cellFilter?c.cellFilter:"",e.sortCellFiltered=!!c.sortCellFiltered,e.filterCellFiltered=!!c.filterCellFiltered,e.headerCellFilter=c.headerCellFilter?c.headerCellFilter:"",e.footerCellFilter=c.footerCellFilter?c.footerCellFilter:"",e.visible=a.isNullOrUndefined(c.visible)||c.visible,e.headerClass=c.headerClass,e.enableSorting="undefined"!=typeof c.enableSorting?c.enableSorting:!0,e.sortingAlgorithm=c.sortingAlgorithm,e.sortDirectionCycle="undefined"!=typeof c.sortDirectionCycle?c.sortDirectionCycle:[null,b.ASC,b.DESC],"undefined"==typeof e.suppressRemoveSort&&(e.suppressRemoveSort="undefined"!=typeof c.suppressRemoveSort?c.suppressRemoveSort:!1),e.enableFiltering="undefined"!=typeof c.enableFiltering?c.enableFiltering:!0,e.setPropertyOrDefault(c,"menuItems",[]),d&&e.setPropertyOrDefault(c,"sort");var j=[];c.filter?j.push(c.filter):c.filters?j=c.filters:j.push({}),d?(e.setPropertyOrDefault(c,"filter"),e.setPropertyOrDefault(c,"filters",j)):e.filters.length===j.length&&e.filters.forEach(function(a,b){"undefined"!=typeof j[b].placeholder&&(a.placeholder=j[b].placeholder),"undefined"!=typeof j[b].ariaLabel&&(a.ariaLabel=j[b].ariaLabel),"undefined"!=typeof j[b].flags&&(a.flags=j[b].flags),"undefined"!=typeof j[b].type&&(a.type=j[b].type),"undefined"!=typeof j[b].selectOptions&&(a.selectOptions=j[b].selectOptions)})},d.prototype.unsort=function(){this.sort={},this.grid.api.core.raise.sortChanged(this.grid,this.grid.getColumnSorting())},d.prototype.getColClass=function(a){var c=b.COL_CLASS_PREFIX+this.uid;return a?"."+c:c},d.prototype.isPinnedLeft=function(){return"left"===this.renderContainer},d.prototype.isPinnedRight=function(){return"right"===this.renderContainer},d.prototype.getColClassDefinition=function(){return" .grid"+this.grid.id+" "+this.getColClass(!0)+" { min-width: "+this.drawnWidth+"px; max-width: "+this.drawnWidth+"px; }"},d.prototype.getRenderContainer=function(){var a=this,b=a.renderContainer;return null!==b&&""!==b&&void 0!==b||(b="body"),a.grid.renderContainers[b]},d.prototype.showColumn=function(){this.colDef.visible=!0},d.prototype.getAggregationText=function(){var a=this;if(a.colDef.aggregationHideLabel)return"";if(a.colDef.aggregationLabel)return a.colDef.aggregationLabel;switch(a.colDef.aggregationType){case b.aggregationTypes.count:return c.getSafeText("aggregation.count");case b.aggregationTypes.sum:return c.getSafeText("aggregation.sum");case b.aggregationTypes.avg:return c.getSafeText("aggregation.avg");case b.aggregationTypes.min:return c.getSafeText("aggregation.min");case b.aggregationTypes.max:return c.getSafeText("aggregation.max");default:return""}},d.prototype.getCellTemplate=function(){var a=this;return a.cellTemplatePromise},d.prototype.getCompiledElementFn=function(){var a=this;return a.compiledElementFnDefer.promise},d}])}(),function(){angular.module("ui.grid").factory("GridOptions",["gridUtil","uiGridConstants",function(a,b){return{initialize:function(c){return c.onRegisterApi=c.onRegisterApi||angular.noop(),c.data=c.data||[],c.columnDefs=c.columnDefs||[],c.excludeProperties=c.excludeProperties||["$$hashKey"],c.enableRowHashing=c.enableRowHashing!==!1,c.rowIdentity=c.rowIdentity||function(b){return a.hashKey(b)},c.getRowIdentity=c.getRowIdentity||function(a){return a.$$hashKey},c.flatEntityAccess=c.flatEntityAccess===!0,c.showHeader="undefined"!=typeof c.showHeader?c.showHeader:!0,c.showHeader?c.headerRowHeight="undefined"!=typeof c.headerRowHeight?c.headerRowHeight:30:c.headerRowHeight=0,c.rowHeight=c.rowHeight||30,c.minRowsToShow="undefined"!=typeof c.minRowsToShow?c.minRowsToShow:10,c.showGridFooter=c.showGridFooter===!0,c.showColumnFooter=c.showColumnFooter===!0,c.columnFooterHeight="undefined"!=typeof c.columnFooterHeight?c.columnFooterHeight:30,c.gridFooterHeight="undefined"!=typeof c.gridFooterHeight?c.gridFooterHeight:30,c.columnWidth="undefined"!=typeof c.columnWidth?c.columnWidth:50,c.maxVisibleColumnCount="undefined"!=typeof c.maxVisibleColumnCount?c.maxVisibleColumnCount:200,c.virtualizationThreshold="undefined"!=typeof c.virtualizationThreshold?c.virtualizationThreshold:20,c.columnVirtualizationThreshold="undefined"!=typeof c.columnVirtualizationThreshold?c.columnVirtualizationThreshold:10,c.excessRows="undefined"!=typeof c.excessRows?c.excessRows:4,c.scrollThreshold="undefined"!=typeof c.scrollThreshold?c.scrollThreshold:4,c.excessColumns="undefined"!=typeof c.excessColumns?c.excessColumns:4,c.horizontalScrollThreshold="undefined"!=typeof c.horizontalScrollThreshold?c.horizontalScrollThreshold:2,c.aggregationCalcThrottle="undefined"!=typeof c.aggregationCalcThrottle?c.aggregationCalcThrottle:500,c.wheelScrollThrottle="undefined"!=typeof c.wheelScrollThrottle?c.wheelScrollThrottle:70,c.scrollDebounce="undefined"!=typeof c.scrollDebounce?c.scrollDebounce:300,c.enableSorting=c.enableSorting!==!1,c.enableFiltering=c.enableFiltering===!0,c.enableColumnMenus=c.enableColumnMenus!==!1,c.enableVerticalScrollbar="undefined"!=typeof c.enableVerticalScrollbar?c.enableVerticalScrollbar:b.scrollbars.ALWAYS,c.enableHorizontalScrollbar="undefined"!=typeof c.enableHorizontalScrollbar?c.enableHorizontalScrollbar:b.scrollbars.ALWAYS,c.enableMinHeightCheck=c.enableMinHeightCheck!==!1,c.minimumColumnSize="undefined"!=typeof c.minimumColumnSize?c.minimumColumnSize:10,c.rowEquality=c.rowEquality||function(a,b){ -return a===b},c.headerTemplate=c.headerTemplate||null,c.footerTemplate=c.footerTemplate||"ui-grid/ui-grid-footer",c.gridFooterTemplate=c.gridFooterTemplate||"ui-grid/ui-grid-grid-footer",c.rowTemplate=c.rowTemplate||"ui-grid/ui-grid-row",c.appScopeProvider=c.appScopeProvider||null,c}}}])}(),function(){angular.module("ui.grid").factory("GridRenderContainer",["gridUtil","uiGridConstants",function(a,b){function c(a,b,c){var d=this;d.name=a,d.grid=b,d.visibleRowCache=[],d.visibleColumnCache=[],d.renderedRows=[],d.renderedColumns=[],d.prevScrollTop=0,d.prevScrolltopPercentage=0,d.prevRowScrollIndex=0,d.prevScrollLeft=0,d.prevScrollleftPercentage=0,d.prevColumnScrollIndex=0,d.columnStyles="",d.viewportAdjusters=[],d.hasHScrollbar=!1,d.hasVScrollbar=!1,d.canvasHeightShouldUpdate=!0,d.$$canvasHeight=0,c&&angular.isObject(c)&&angular.extend(d,c),b.registerStyleComputation({priority:5,func:function(){return d.updateColumnWidths(),d.columnStyles}})}return c.prototype.reset=function(){this.visibleColumnCache.length=0,this.visibleRowCache.length=0,this.renderedRows.length=0,this.renderedColumns.length=0},c.prototype.containsColumn=function(a){return-1!==this.visibleColumnCache.indexOf(a)},c.prototype.minRowsToRender=function(){for(var a=this,b=0,c=0,d=a.getViewportHeight(),e=a.visibleRowCache.length-1;d>c&&e>=0;e--)c+=a.visibleRowCache[e].height,b++;return b},c.prototype.minColumnsToRender=function(){for(var a=this,b=this.getViewportWidth(),c=0,d=0,e=0;ed)d+=f.drawnWidth?f.drawnWidth:0,c++;else{for(var g=0,h=e;h>=e-c;h--)g+=a.visibleColumnCache[h].drawnWidth?a.visibleColumnCache[h].drawnWidth:0;b>g&&c++}}return c},c.prototype.getVisibleRowCount=function(){return this.visibleRowCache.length},c.prototype.registerViewportAdjuster=function(a){this.viewportAdjusters.push(a)},c.prototype.removeViewportAdjuster=function(a){var b=this.viewportAdjusters.indexOf(a);b>-1&&this.viewportAdjusters.splice(b,1)},c.prototype.getViewportAdjustment=function(){var a=this,b={height:0,width:0};return a.viewportAdjusters.forEach(function(a){b=a.call(this,b)}),b},c.prototype.getMargin=function(a){var b=this,c=0;return b.viewportAdjusters.forEach(function(b){var d=b.call(this,{height:0,width:0});d.side&&d.side===a&&(c+=-1*d.width)}),c},c.prototype.getViewportHeight=function(){var a=this,b=a.headerHeight?a.headerHeight:a.grid.headerHeight,c=a.grid.gridHeight-b-a.grid.footerHeight,d=a.getViewportAdjustment();return c+=d.height},c.prototype.getViewportWidth=function(){var a=this,b=a.grid.gridWidth,c=a.getViewportAdjustment();return b+=c.width},c.prototype.getHeaderViewportWidth=function(){var a=this.getViewportWidth();return a},c.prototype.getCanvasHeight=function(){var a=this;if(!a.canvasHeightShouldUpdate)return a.$$canvasHeight;var b=a.$$canvasHeight;return a.$$canvasHeight=0,a.visibleRowCache.forEach(function(b){a.$$canvasHeight+=b.height}),a.canvasHeightShouldUpdate=!1,a.grid.api.core.raise.canvasHeightChanged(b,a.$$canvasHeight),a.$$canvasHeight},c.prototype.getVerticalScrollLength=function(){return this.getCanvasHeight()-this.getViewportHeight()+this.grid.scrollbarHeight},c.prototype.getCanvasWidth=function(){var a=this,b=a.canvasWidth;return b},c.prototype.setRenderedRows=function(a){this.renderedRows.length=a.length;for(var b=0;b0&&(this.grid.scrollDirection=b.scrollDirection.DOWN),0>d&&(this.grid.scrollDirection=b.scrollDirection.UP);var e=this.getVerticalScrollLength();return c=a/e,c>1&&(c=1),0>c&&(c=0),this.adjustScrollVertical(a,c),c}},c.prototype.scrollHorizontal=function(a){var c=-1;if(a!==this.prevScrollLeft){var d=a-this.prevScrollLeft;d>0&&(this.grid.scrollDirection=b.scrollDirection.RIGHT),0>d&&(this.grid.scrollDirection=b.scrollDirection.LEFT);var e=this.canvasWidth-this.getViewportWidth();return c=0!==e?a/e:0,this.adjustScrollHorizontal(a,c),c}},c.prototype.adjustScrollVertical=function(a,b,c){(this.prevScrollTop!==a||c)&&("undefined"!=typeof a&&void 0!==a&&null!==a||(a=(this.getCanvasHeight()-this.getViewportHeight())*b),this.adjustRows(a,b,!1),this.prevScrollTop=a,this.prevScrolltopPercentage=b,this.grid.queueRefresh())},c.prototype.adjustScrollHorizontal=function(a,b,c){(this.prevScrollLeft!==a||c)&&("undefined"!=typeof a&&void 0!==a&&null!==a||(a=(this.getCanvasWidth()-this.getViewportWidth())*b),this.adjustColumns(a,b),this.prevScrollLeft=a,this.prevScrollleftPercentage=b,this.grid.queueRefresh())},c.prototype.adjustRows=function(a,b,c){var d=this,e=d.minRowsToRender(),f=d.visibleRowCache,g=f.length-e;"undefined"!=typeof b&&null!==b||!a||(b=a/d.getVerticalScrollLength());var h=Math.ceil(Math.min(g,g*b));h>g&&(h=g);var i=[];if(f.length>d.grid.options.virtualizationThreshold){if("undefined"!=typeof a&&null!==a){if(!d.grid.suppressParentScrollDown&&d.prevScrollToph)return;if(!d.grid.suppressParentScrollUp&&d.prevScrollTop>a&&h>d.prevRowScrollIndex-d.grid.options.scrollThreshold&&g>h)return}var j={},k={};j=Math.max(0,h-d.grid.options.excessRows),k=Math.min(f.length,h+e+d.grid.options.excessRows),i=[j,k]}else{var l=d.visibleRowCache.length;i=[0,Math.max(l,e+d.grid.options.excessRows)]}d.updateViewableRowRange(i),d.prevRowScrollIndex=h},c.prototype.adjustColumns=function(a,b){var c=this,d=c.minColumnsToRender(),e=c.visibleColumnCache,f=e.length-d;if(("undefined"==typeof b||null===b)&&a){var g=c.getCanvasWidth()-c.getViewportWidth();b=a/g}var h=Math.ceil(Math.min(f,f*b));h>f&&(h=f);var i=[];if(e.length>c.grid.options.columnVirtualizationThreshold&&c.getCanvasWidth()>c.getViewportWidth()){var j=Math.max(0,h-c.grid.options.excessColumns),k=Math.min(e.length,h+d+c.grid.options.excessColumns);i=[j,k]}else{var l=c.visibleColumnCache.length;i=[0,Math.max(l,d+c.grid.options.excessColumns)]}c.updateViewableColumnRange(i),c.prevColumnScrollIndex=h},c.prototype.updateViewableRowRange=function(a){var b=this.visibleRowCache.slice(a[0],a[1]);this.currentTopRow=a[0],this.setRenderedRows(b)},c.prototype.updateViewableColumnRange=function(a){var b=this.visibleColumnCache.slice(a[0],a[1]);this.currentFirstColumn=a[0],this.setRenderedColumns(b)},c.prototype.headerCellWrapperStyle=function(){var a=this;if(0!==a.currentFirstColumn){var b=a.columnOffset;return a.grid.isRTL()?{"margin-right":b+"px"}:{"margin-left":b+"px"}}return null},c.prototype.updateColumnWidths=function(){var b=this,c=[],d=0,e=0,f="",g=b.grid.getViewportWidth()-b.grid.scrollbarWidth,h=[];angular.forEach(b.grid.renderContainers,function(a,b){h=h.concat(a.visibleColumnCache)}),h.forEach(function(b,f){var h=0;b.visible&&(angular.isNumber(b.width)?(h=parseInt(b.width,10),e+=h,b.drawnWidth=h):a.endsWith(b.width,"%")?(h=parseInt(parseInt(b.width.replace(/%/g,""),10)/100*g),h>b.maxWidth&&(h=b.maxWidth),h0){var j=i/d;c.forEach(function(a){var b=parseInt(a.width.length*j,10);b>a.maxWidth&&(b=a.maxWidth),b0&&(a.drawnWidth++,e++,l--,m=!0)},l=g-e,m=!0;l>0&&m;)m=!1,c.forEach(k);var n=function(a){a.drawnWidth>a.minWidth&&o>0&&(a.drawnWidth--,e--,o--,m=!0)},o=e-g;for(m=!0;o>0&&m;)m=!1,c.forEach(n);var p=0;b.visibleColumnCache.forEach(function(a){a.visible&&(p+=a.drawnWidth)}),h.forEach(function(a){f+=a.getColClassDefinition()}),b.canvasWidth=p,this.columnStyles=f},c.prototype.needsHScrollbarPlaceholder=function(){return this.grid.options.enableHorizontalScrollbar&&!this.hasHScrollbar&&!this.grid.disableScrolling},c.prototype.getViewportStyle=function(){var a=this,c={};return a.hasHScrollbar=!1,a.hasVScrollbar=!1,a.grid.disableScrolling?(c["overflow-x"]="hidden",c["overflow-y"]="hidden",c):("body"===a.name?(a.hasHScrollbar=a.grid.options.enableHorizontalScrollbar!==b.scrollbars.NEVER,a.grid.isRTL()?a.grid.hasLeftContainerColumns()||(a.hasVScrollbar=a.grid.options.enableVerticalScrollbar!==b.scrollbars.NEVER):a.grid.hasRightContainerColumns()||(a.hasVScrollbar=a.grid.options.enableVerticalScrollbar!==b.scrollbars.NEVER)):"left"===a.name?a.hasVScrollbar=a.grid.isRTL()?a.grid.options.enableVerticalScrollbar!==b.scrollbars.NEVER:!1:a.hasVScrollbar=a.grid.isRTL()?!1:a.grid.options.enableVerticalScrollbar!==b.scrollbars.NEVER,c["overflow-x"]=a.hasHScrollbar?"scroll":"hidden",c["overflow-y"]=a.hasVScrollbar?"scroll":"hidden",c)},c}])}(),function(){angular.module("ui.grid").factory("GridRow",["gridUtil",function(a){function b(b,c,d){this.grid=d,this.entity=b,this.uid=a.nextUid(),this.visible=!0,this.$$height=d.options.rowHeight}return Object.defineProperty(b.prototype,"height",{get:function(){return this.$$height},set:function(a){a!==this.$$height&&(this.grid.updateCanvasHeight(),this.$$height=a)}}),b.prototype.getQualifiedColField=function(a){return"row."+this.getEntityQualifiedColField(a)},b.prototype.getEntityQualifiedColField=function(b){return a.preEval("entity."+b.field)},b.prototype.setRowInvisible=function(a){a&&a.setThisRowInvisible&&a.setThisRowInvisible("user")},b.prototype.clearRowInvisible=function(a){a&&a.clearThisRowInvisible&&a.clearThisRowInvisible("user")},b.prototype.setThisRowInvisible=function(a,b){this.invisibleReason||(this.invisibleReason={}),this.invisibleReason[a]=!0,this.evaluateRowVisibility(b)},b.prototype.clearThisRowInvisible=function(a,b){"undefined"!=typeof this.invisibleReason&&delete this.invisibleReason[a],this.evaluateRowVisibility(b)},b.prototype.evaluateRowVisibility=function(a){var b=!0;"undefined"!=typeof this.invisibleReason&&angular.forEach(this.invisibleReason,function(a,c){a&&(b=!1)}),"undefined"!=typeof this.visible&&this.visible===b||(this.visible=b,a||(this.grid.queueGridRefresh(),this.grid.api.core.raise.rowsVisibleChanged(this)))},b}])}(),function(){"use strict";angular.module("ui.grid").factory("GridRowColumn",["$parse","$filter",function(a,b){var c=function d(a,b){if(!(this instanceof d))throw"Using GridRowColumn as a function insead of as a constructor. Must be called with `new` keyword";this.row=a,this.col=b};return c.prototype.getIntersectionValueRaw=function(){var b=a(this.row.getEntityQualifiedColField(this.col)),c=this.row;return b(c)},c.prototype.getIntersectionValueFiltered=function(){var a=this.getIntersectionValueRaw();if(this.col.cellFilter&&""!==this.col.cellFilter){var c=function(a){try{return b(a)}catch(c){return null}},d=c(this.col.cellFilter);if(d)a=d(a);else{var e,f=/([^:]*):([^:]*):?([\s\S]+)?/;null!==(e=f.exec(this.col.cellFilter))&&(a=b(e[1])(a,e[2],e[3]))}}return a},c}])}(),function(){angular.module("ui.grid").factory("ScrollEvent",["gridUtil",function(a){function b(b,c,d,e){var f=this;if(!b)throw new Error("grid argument is required");f.grid=b,f.source=e,f.withDelay=!0,f.sourceRowContainer=c,f.sourceColContainer=d,f.newScrollLeft=null,f.newScrollTop=null,f.x=null,f.y=null,f.verticalScrollLength=-9999999,f.horizontalScrollLength=-999999,f.fireThrottledScrollingEvent=a.throttle(function(a){f.grid.scrollContainers(a,f)},f.grid.options.wheelScrollThrottle,{trailing:!0})}return b.prototype.getNewScrollLeft=function(b,c){var d=this;if(!d.newScrollLeft){var e,f=b.getCanvasWidth()-b.getViewportWidth(),g=a.normalizeScrollLeft(c,d.grid);if("undefined"!=typeof d.x.percentage&&void 0!==d.x.percentage)e=d.x.percentage;else{if("undefined"==typeof d.x.pixels||void 0===d.x.pixels)throw new Error("No percentage or pixel value provided for scroll event X axis");e=d.x.percentage=(g+d.x.pixels)/f}return Math.max(0,e*f)}return d.newScrollLeft},b.prototype.getNewScrollTop=function(a,b){var c=this;if(!c.newScrollTop){var d,e=a.getVerticalScrollLength(),f=b[0].scrollTop;if("undefined"!=typeof c.y.percentage&&void 0!==c.y.percentage)d=c.y.percentage;else{if("undefined"==typeof c.y.pixels||void 0===c.y.pixels)throw new Error("No percentage or pixel value provided for scroll event Y axis");d=c.y.percentage=(f+c.y.pixels)/e}return Math.max(0,d*e)}return c.newScrollTop},b.prototype.atTop=function(a){return this.y&&(0===this.y.percentage||this.verticalScrollLength<0)&&0===a},b.prototype.atBottom=function(a){return this.y&&(1===this.y.percentage||0===this.verticalScrollLength)&&a>0},b.prototype.atLeft=function(a){return this.x&&(0===this.x.percentage||this.horizontalScrollLength<0)&&0===a},b.prototype.atRight=function(a){return this.x&&(1===this.x.percentage||0===this.horizontalScrollLength)&&a>0},b.Sources={ViewPortScroll:"ViewPortScroll",RenderContainerMouseWheel:"RenderContainerMouseWheel",RenderContainerTouchMove:"RenderContainerTouchMove",Other:99},b}])}(),function(){"use strict";angular.module("ui.grid").service("gridClassFactory",["gridUtil","$q","$compile","$templateCache","uiGridConstants","Grid","GridColumn","GridRow",function(a,b,c,d,e,f,g,h){var i={createGrid:function(d){d="undefined"!=typeof d?d:{},d.id=a.newId();var e=new f(d);if(e.options.rowTemplate){var g=b.defer();e.getRowTemplateFn=g.promise,a.getTemplate(e.options.rowTemplate).then(function(a){var b=c(a);g.resolve(b)},function(a){throw new Error("Couldn't fetch/use row template '"+e.options.rowTemplate+"'")})}return e.registerColumnBuilder(i.defaultColumnBuilder),e.registerRowBuilder(i.rowTemplateAssigner),e.registerRowsProcessor(function(a){return a.forEach(function(a){a.evaluateRowVisibility(!0)},50),a}),e.registerColumnsProcessor(function(a){return a.forEach(function(a){a.visible=!0}),a},50),e.registerColumnsProcessor(function(a){return a.forEach(function(a){a.colDef.visible===!1&&(a.visible=!1)}),a},50),e.registerRowsProcessor(e.searchRows,100),e.options.externalSort&&angular.isFunction(e.options.externalSort)?e.registerRowsProcessor(e.options.externalSort,200):e.registerRowsProcessor(e.sortByColumn,200),e},defaultColumnBuilder:function(c,d,f){var g=[],h=function(b,f,h,i,j){c[b]?d[f]=c[b]:d[f]=h,g.push(a.getTemplate(d[f]).then(function(a){angular.isFunction(a)&&(a=a());var c="cellTooltip"===j?"col.cellTooltip(row,col)":"col.headerTooltip(col)";j&&d[j]===!1?a=a.replace(e.TOOLTIP,""):j&&d[j]&&(a=a.replace(e.TOOLTIP,'title="{{'+c+' CUSTOM_FILTERS }}"')),i?d[b]=a.replace(e.CUSTOM_FILTERS,function(){return d[i]?"|"+d[i]:""}):d[b]=a},function(a){throw new Error("Couldn't fetch/use colDef."+b+" '"+c[b]+"'")}))};return h("cellTemplate","providedCellTemplate","ui-grid/uiGridCell","cellFilter","cellTooltip"),d.cellTemplatePromise=g[0],h("headerCellTemplate","providedHeaderCellTemplate","ui-grid/uiGridHeaderCell","headerCellFilter","headerTooltip"),h("footerCellTemplate","providedFooterCellTemplate","ui-grid/uiGridFooterCell","footerCellFilter"),h("filterHeaderTemplate","providedFilterHeaderTemplate","ui-grid/ui-grid-filter"),d.compiledElementFnDefer=b.defer(),b.all(g)},rowTemplateAssigner:function(d){var e=this;if(d.rowTemplate){var f=b.defer();d.getRowTemplateFn=f.promise,a.getTemplate(d.rowTemplate).then(function(a){var b=c(a);f.resolve(b)},function(a){throw new Error("Couldn't fetch/use row template '"+d.rowTemplate+"'")})}else d.rowTemplate=e.options.rowTemplate,d.getRowTemplateFn=e.getRowTemplateFn;return d.getRowTemplateFn}};return i}])}(),function(){function a(a){return a.replace(/[\-\[\]\/\{\}\(\)\*\+\?\.\\\^\$\|]/g,"\\$&")}var b=angular.module("ui.grid");b.service("rowSearcher",["gridUtil","uiGridConstants",function(b,c){var d=c.filter.CONTAINS,e={};return e.getTerm=function(a){if("undefined"==typeof a.term)return a.term;var b=a.term;return"string"==typeof b&&(b=b.trim()),b},e.stripTerm=function(b){var c=e.getTerm(b);return"string"==typeof c?a(c.replace(/(^\*|\*$)/g,"")):c},e.guessCondition=function(a){if("undefined"==typeof a.term||!a.term)return d;var b=e.getTerm(a);if(/\*/.test(b)){var c="";a.flags&&a.flags.caseSensitive||(c+="i");var f=b.replace(/(\\)?\*/g,function(a,b){return b?a:"[\\s\\S]*?"});return new RegExp("^"+f+"$",c)}return d},e.setupFilters=function(a){for(var d=[],f=a.length,g=0;f>g;g++){var h=a[g];if(h.noTerm||!b.isNullOrUndefined(h.term)){var i={},j="";h.flags&&h.flags.caseSensitive||(j+="i"),b.isNullOrUndefined(h.term)||(i.term=e.stripTerm(h)),h.condition?i.condition=h.condition:i.condition=e.guessCondition(h),i.flags=angular.extend({caseSensitive:!1,date:!1},h.flags),i.condition===c.filter.STARTS_WITH&&(i.startswithRE=new RegExp("^"+i.term,j)),i.condition===c.filter.ENDS_WITH&&(i.endswithRE=new RegExp(i.term+"$",j)),i.condition===c.filter.CONTAINS&&(i.containsRE=new RegExp(i.term,j)),i.condition===c.filter.EXACT&&(i.exactRE=new RegExp("^"+i.term+"$",j)),d.push(i)}}return d},e.runColumnFilter=function(a,b,d,e){var f,g=typeof e.condition,h=e.term;if(f=d.filterCellFiltered?a.getCellDisplayValue(b,d):a.getCellValue(b,d),e.condition instanceof RegExp)return e.condition.test(f);if("function"===g)return e.condition(h,f,b,d);if(e.startswithRE)return e.startswithRE.test(f);if(e.endswithRE)return e.endswithRE.test(f);if(e.containsRE)return e.containsRE.test(f);if(e.exactRE)return e.exactRE.test(f);if(e.condition===c.filter.NOT_EQUAL){var i=new RegExp("^"+h+"$");return!i.exec(f)}if("number"==typeof f&&"string"==typeof h){var j=parseFloat(h.replace(/\\\./,".").replace(/\\\-/,"-"));isNaN(j)||(h=j)}return e.flags.date===!0&&(f=new Date(f),h=new Date(h.replace(/\\/g,""))),e.condition===c.filter.GREATER_THAN?f>h:e.condition===c.filter.GREATER_THAN_OR_EQUAL?f>=h:e.condition===c.filter.LESS_THAN?h>f:e.condition===c.filter.LESS_THAN_OR_EQUAL?h>=f:!0},e.searchColumn=function(a,b,c,d){if(a.options.useExternalFiltering)return!0;for(var f=d.length,g=0;f>g;g++){var h=d[g],i=e.runColumnFilter(a,b,c,h);if(!i)return!1}return!0},e.search=function(a,c,d){if(c){if(!a.options.enableFiltering)return c;for(var f=[],g=d.length,h=function(a){var c=!1;return a.forEach(function(a){(!b.isNullOrUndefined(a.term)&&""!==a.term||a.noTerm)&&(c=!0)}),c},i=0;g>i;i++){var j=d[i];"undefined"!=typeof j.filters&&h(j.filters)&&f.push({col:j,filters:e.setupFilters(j.filters)})}if(f.length>0){for(var k=function(a,b,c,d){b.visible&&!e.searchColumn(a,b,c,d)&&(b.visible=!1)},l=function(a,b){for(var d=c.length,e=0;d>e;e++)k(a,c[e],b.col,b.filters)},m=f.length,n=0;m>n;n++)l(a,f[n]);a.api.core.raise.rowsVisibleChanged&&a.api.core.raise.rowsVisibleChanged()}return c}},e}])}(),function(){var a=angular.module("ui.grid");a.service("rowSorter",["$parse","uiGridConstants",function(a,b){var c="("+b.CURRENCY_SYMBOLS.map(function(a){return"\\"+a}).join("|")+")?",d=(new RegExp("^[-+]?"+c+"[\\d,.]+"+c+"%?$"),{colSortFnCache:{}});return d.guessSortFn=function(a){switch(a){case"number":return d.sortNumber;case"numberStr":return d.sortNumberStr;case"boolean":return d.sortBool;case"string":return d.sortAlpha;case"date":return d.sortDate;case"object":return d.basicSort;default:throw new Error("No sorting function found for type:"+a)}},d.handleNulls=function(a,b){if(!a&&0!==a&&a!==!1||!b&&0!==b&&b!==!1){if(!a&&0!==a&&a!==!1&&!b&&0!==b&&b!==!1)return 0;if(!a&&0!==a&&a!==!1)return 1;if(!b&&0!==b&&b!==!1)return-1}return null},d.basicSort=function(a,b){var c=d.handleNulls(a,b);return null!==c?c:a===b?0:b>a?-1:1},d.sortNumber=function(a,b){var c=d.handleNulls(a,b);return null!==c?c:a-b},d.sortNumberStr=function(a,b){var c=d.handleNulls(a,b);if(null!==c)return c;var e,f,g=!1,h=!1;return e=parseFloat(a.replace(/[^0-9.-]/g,"")),isNaN(e)&&(g=!0),f=parseFloat(b.replace(/[^0-9.-]/g,"")),isNaN(f)&&(h=!0),g&&h?0:g?1:h?-1:e-f},d.sortAlpha=function(a,b){var c=d.handleNulls(a,b);if(null!==c)return c;var e=a.toString().toLowerCase(),f=b.toString().toLowerCase();return e===f?0:e.localeCompare(f)},d.sortDate=function(a,b){var c=d.handleNulls(a,b);if(null!==c)return c;a instanceof Date||(a=new Date(a)),b instanceof Date||(b=new Date(b));var e=a.getTime(),f=b.getTime();return e===f?0:f>e?-1:1},d.sortBool=function(a,b){var c=d.handleNulls(a,b);return null!==c?c:a&&b?0:a||b?a?1:-1:0},d.getSortFn=function(a,b,c){var e;return d.colSortFnCache[b.colDef.name]?e=d.colSortFnCache[b.colDef.name]:void 0!==b.sortingAlgorithm?(e=b.sortingAlgorithm,d.colSortFnCache[b.colDef.name]=b.sortingAlgorithm):b.sortCellFiltered&&b.cellFilter?(e=d.sortAlpha,d.colSortFnCache[b.colDef.name]=e):(e=d.guessSortFn(b.colDef.type),e?d.colSortFnCache[b.colDef.name]=e:e=d.sortAlpha),e},d.prioritySort=function(a,b){return void 0!==a.sort.priority&&void 0!==b.sort.priority?a.sort.priorityf;f+=2){var i=h[f];if("margin"===c){var j=parseFloat(e[c+i]);isNaN(j)||(g+=j)}if(d){if("content"===c){var k=parseFloat(e["padding"+i]);isNaN(k)||(g-=k)}if("margin"!==c){var l=parseFloat(e["border"+i+"Width"]);isNaN(l)||(g-=l)}}else{var m=parseFloat(e["padding"+i]);if(isNaN(m)||(g+=m),"padding"!==c){var n=parseFloat(e["border"+i+"Width"]);isNaN(n)||(g+=n)}}}return g}function c(c,d,e){var f,h=!0,i=a(c),j="border-box"===i.boxSizing;if(0>=f||null==f){if(f=i[d],(0>f||null==f)&&(f=c.style[d]),g.test(f))return f;h=j&&!0,f=parseFloat(f)||0}var k=f+b(c,d,e||(j?"border":"content"),h,i);return k}function d(b){b=angular.element(b)[0];var c=b.parentElement;return c||(c=document.getElementsByTagName("body")[0]),parseInt(a(c).fontSize)||parseInt(a(b).fontSize)||16}var e,f=angular.module("ui.grid");"function"!=typeof Function.prototype.bind&&(e=function(){var a=Array.prototype.slice;return function(b){var c=this,d=a.call(arguments,1);return d.length?function(){return arguments.length?c.apply(b,d.concat(a.call(arguments))):c.apply(b,d)}:function(){return arguments.length?c.apply(b,arguments):c.call(b)}}});var g=new RegExp("^("+/[+-]?(?:\d*\.|)\d+(?:[eE][+-]?\d+|)/.source+")(?!px)[a-z%]+$","i"),h=/^(block|none|table(?!-c[ea]).+)/,i={position:"absolute",visibility:"hidden",display:"block"},j=["0","0","0","0"],k="uiGrid-";f.service("gridUtil",["$log","$window","$document","$http","$templateCache","$timeout","$interval","$injector","$q","$interpolate","uiGridConstants",function(f,g,l,m,n,o,p,q,r,s,t){function u(a,b){var c=angular.element(this),d=0,e=0,f=0,g=0;if(b.originalEvent&&(b=b.originalEvent),"detail"in b&&(f=-1*b.detail),"wheelDelta"in b&&(f=b.wheelDelta),"wheelDeltaY"in b&&(f=b.wheelDeltaY),"wheelDeltaX"in b&&(e=-1*b.wheelDeltaX),"axis"in b&&b.axis===b.HORIZONTAL_AXIS&&(e=-1*f,f=0),d=0===f?e:f,"deltaY"in b&&(f=-1*b.deltaY,d=f),"deltaX"in b&&(e=b.deltaX,0===f&&(d=-1*e)),0!==f||0!==e){if(1===b.deltaMode){var h=c.data("mousewheel-line-height");d*=h,f*=h,e*=h}else if(2===b.deltaMode){var i=c.data("mousewheel-page-height");d*=i,f*=i,e*=i}g=Math.max(Math.abs(f),Math.abs(e)),(!z||z>g)&&(z=g,w(b,g)&&(z/=40)),d=Math[d>=1?"floor":"ceil"](d/z),e=Math[e>=1?"floor":"ceil"](e/z),f=Math[f>=1?"floor":"ceil"](f/z),b.deltaMode=0;var j={originalEvent:b,deltaX:e,deltaY:f,deltaFactor:z,preventDefault:function(){b.preventDefault()},stopPropagation:function(){b.stopPropagation()}};y&&clearTimeout(y),y=setTimeout(v,200),a.call(c[0],j)}}function v(){z=null}function w(a,b){return"mousewheel"===a.type&&b%120===0}var x={augmentWidthOrHeight:b,getStyles:a,createBoundedWrapper:function(a,b){return function(){return b.apply(a,arguments)}},readableColumnName:function(a){return"undefined"==typeof a||void 0===a||null===a?a:("string"!=typeof a&&(a=String(a)),a.replace(/_+/g," ").replace(/^[A-Z]+$/,function(a){return angular.lowercase(angular.uppercase(a.charAt(0))+a.slice(1))}).replace(/([\w\u00C0-\u017F]+)/g,function(a){return angular.uppercase(a.charAt(0))+a.slice(1)}).replace(/(\w+?(?=[A-Z]))/g,"$1 "))},getColumnsFromData:function(a,b){var c=[];if(!a||"undefined"==typeof a[0]||void 0===a[0])return[];angular.isUndefined(b)&&(b=[]);var d=a[0];return angular.forEach(d,function(a,d){-1===b.indexOf(d)&&c.push({name:d})}),c},newId:function(){var a=(new Date).getTime();return function(){return a+=1}}(),getTemplate:function(a){if(n.get(a))return x.postProcessTemplate(n.get(a));if(a.hasOwnProperty("then"))return a.then(x.postProcessTemplate);try{if(angular.element(a).length>0)return r.when(a).then(x.postProcessTemplate)}catch(b){}return x.logDebug("fetching url",a),m({method:"GET",url:a}).then(function(b){var c=b.data.trim();return n.put(a,c),c},function(b){throw new Error("Could not get template "+a+": "+b)}).then(x.postProcessTemplate)},postProcessTemplate:function(a){var b=s.startSymbol(),c=s.endSymbol();return"{{"===b&&"}}"===c||(a=a.replace(/\{\{/g,b),a=a.replace(/\}\}/g,c)),r.when(a)},guessType:function(a){var b=typeof a;switch(b){case"number":case"boolean":case"string":return b;default:return angular.isDate(a)?"date":"object"}},elementWidth:function(a){},elementHeight:function(a){},getScrollbarWidth:function(){var a=document.createElement("div");a.style.visibility="hidden",a.style.width="100px",a.style.msOverflowStyle="scrollbar",document.body.appendChild(a);var b=a.offsetWidth;a.style.overflow="scroll";var c=document.createElement("div");c.style.width="100%",a.appendChild(c);var d=c.offsetWidth;return a.parentNode.removeChild(a),b-d},swap:function(a,b,c,d){var e,f,g={};for(f in b)g[f]=a.style[f],a.style[f]=b[f];e=c.apply(a,d||[]);for(f in b)a.style[f]=g[f];return e},fakeElement:function(a,b,c,d){var e,f,g=angular.element(a).clone()[0];for(f in b)g.style[f]=b[f];return angular.element(document.body).append(g),e=c.call(g,g),angular.element(g).remove(),e},normalizeWheelEvent:function(a){var b,c,d,e=a||window.event,f=([].slice.call(arguments,1),0),g=0,h=0,i=0,j=0;return e.originalEvent&&(e=e.originalEvent),e.wheelDelta&&(f=e.wheelDelta),e.detail&&(f=-1*e.detail),h=f,void 0!==e.axis&&e.axis===e.HORIZONTAL_AXIS&&(h=0,g=-1*f),e.deltaY&&(h=-1*e.deltaY,f=h),e.deltaX&&(g=e.deltaX,f=-1*g),void 0!==e.wheelDeltaY&&(h=e.wheelDeltaY),void 0!==e.wheelDeltaX&&(g=e.wheelDeltaX),i=Math.abs(f),(!b||b>i)&&(b=i),j=Math.max(Math.abs(h),Math.abs(g)),(!c||c>j)&&(c=j),d=f>0?"floor":"ceil",f=Math[d](f/b),g=Math[d](g/c),h=Math[d](h/c),{delta:f,deltaX:g,deltaY:h}},isTouchEnabled:function(){var a;return("ontouchstart"in g||g.DocumentTouch&&l instanceof DocumentTouch)&&(a=!0),a},isNullOrUndefined:function(a){return void 0===a||null===a},endsWith:function(a,b){return a&&b&&"string"==typeof a?-1!==a.indexOf(b,a.length-b.length):!1},arrayContainsObjectWithProperty:function(a,b,c){var d=!1;return angular.forEach(a,function(a){a[b]===c&&(d=!0)}),d},numericAndNullSort:function(a,b){return null===a?1:null===b?-1:null===a&&null===b?0:a-b},disableAnimations:function(a){var b;try{b=q.get("$animate"),angular.version.major>1||1===angular.version.major&&angular.version.minor>=4?b.enabled(a,!1):b.enabled(!1,a)}catch(c){}},enableAnimations:function(a){var b;try{return b=q.get("$animate"),angular.version.major>1||1===angular.version.major&&angular.version.minor>=4?b.enabled(a,!0):b.enabled(!0,a),b}catch(c){}},nextUid:function(){for(var a,b=j.length;b;){if(b--,a=j[b].charCodeAt(0),57===a)return j[b]="A",k+j.join("");if(90!==a)return j[b]=String.fromCharCode(a+1),k+j.join("");j[b]="0"}return j.unshift("0"),k+j.join("")},hashKey:function(a){var b,c=typeof a;return"object"===c&&null!==a?"function"==typeof(b=a.$$hashKey)?b=a.$$hashKey():"undefined"!=typeof a.$$hashKey&&a.$$hashKey?b=a.$$hashKey:void 0===b&&(b=a.$$hashKey=x.nextUid()):b=a,c+":"+b},resetUids:function(){j=["0","0","0"]},logError:function(a){t.LOG_ERROR_MESSAGES&&f.error(a)},logWarn:function(a){t.LOG_WARN_MESSAGES&&f.warn(a)},logDebug:function(){t.LOG_DEBUG_MESSAGES&&f.debug.apply(f,arguments)}};x.focus={queue:[],byId:function(a,b){this._purgeQueue();var c=o(function(){var c=(b&&b.id?b.id+"-":"")+a,d=g.document.getElementById(c);d?d.focus():x.logWarn("[focus.byId] Element id "+c+" was not found.")});return this.queue.push(c),c},byElement:function(a){if(!angular.isElement(a))return x.logWarn("Trying to focus on an element that isn't an element."),r.reject("not-element");a=angular.element(a),this._purgeQueue();var b=o(function(){a&&a[0].focus()});return this.queue.push(b),b},bySelector:function(a,b,c){var d=this;if(!angular.isElement(a))throw new Error("The parent element is not an element.");a=angular.element(a);var e=function(){var c=a[0].querySelector(b);return d.byElement(c)};if(this._purgeQueue(),c){var f=o(e);return this.queue.push(o(e)),f}return e()},_purgeQueue:function(){this.queue.forEach(function(a){o.cancel(a)}),this.queue=[]}},["width","height"].forEach(function(b){var d=angular.uppercase(b.charAt(0))+b.substr(1);x["element"+d]=function(d,e){var f=d;if(f&&"undefined"!=typeof f.length&&f.length&&(f=d[0]),f){var g=a(f);return 0===f.offsetWidth&&h.test(g.display)?x.swap(f,i,function(){return c(f,b,e)}):c(f,b,e)}return null},x["outerElement"+d]=function(a,b){return a?x["element"+d].call(this,a,b?"margin":"border"):null}}),x.closestElm=function(a,b){"undefined"!=typeof a.length&&a.length&&(a=a[0]);var c;["matches","webkitMatchesSelector","mozMatchesSelector","msMatchesSelector","oMatchesSelector"].some(function(a){return"function"==typeof document.body[a]?(c=a,!0):!1});for(var d;null!==a;){if(d=a.parentElement,null!==d&&d[c](b))return d;a=d}return null},x.type=function(a){var b=Function.prototype.toString.call(a.constructor);return b.match(/function (.*?)\(/)[1]},x.getBorderSize=function(b,c){"undefined"!=typeof b.length&&b.length&&(b=b[0]);var d=a(b);c=c?"border"+c.charAt(0).toUpperCase()+c.slice(1):"border",c+="Width";var e=parseInt(d[c],10);return isNaN(e)?0:e},x.detectBrowser=function(){var a=g.navigator.userAgent,b={chrome:/chrome/i,safari:/safari/i,firefox:/firefox/i,ie:/internet explorer|trident\//i};for(var c in b)if(b[c].test(a))return c;return"unknown"},x.rtlScrollType=function B(){if(B.type)return B.type;var a=angular.element('
            A
            ')[0],b="reverse";return document.body.appendChild(a),a.scrollLeft>0?b="default":(a.scrollLeft=1,0===a.scrollLeft&&(b="negative")),angular.element(a).remove(),B.type=b,b},x.normalizeScrollLeft=function(a,b){"undefined"!=typeof a.length&&a.length&&(a=a[0]);var c=a.scrollLeft;if(b.isRTL())switch(x.rtlScrollType()){case"default":return a.scrollWidth-c-a.clientWidth;case"negative":return Math.abs(c);case"reverse":return c}return c},x.denormalizeScrollLeft=function(a,b,c){if("undefined"!=typeof a.length&&a.length&&(a=a[0]),c.isRTL())switch(x.rtlScrollType()){case"default":var d=a.scrollWidth-a.clientWidth;return d-b;case"negative":return-1*b;case"reverse":return b}return b},x.preEval=function(a){var b=t.BRACKET_REGEXP.exec(a);if(b)return(b[1]?x.preEval(b[1]):b[1])+b[2]+(b[3]?x.preEval(b[3]):b[3]);a=a.replace(t.APOS_REGEXP,"\\'");var c=a.split(t.DOT_REGEXP),d=[c.shift()];return angular.forEach(c,function(a){ -d.push(a.replace(t.FUNC_REGEXP,"']$1"))}),d.join("['")},x.debounce=function(a,b,c){function d(){g=this,f=arguments;var d=function(){e=null,c||(h=a.apply(g,f))},i=c&&!e;return e&&o.cancel(e),e=o(d,b,!1),i&&(h=a.apply(g,f)),h}var e,f,g,h;return d.cancel=function(){o.cancel(e),e=null},d},x.throttle=function(a,b,c){function d(b){g=+new Date,a.apply(e,f),p(function(){h=null},0,1,!1)}c=c||{};var e,f,g=0,h=null;return function(){if(e=this,f=arguments,null===h){var a=+new Date-g;a>b?d():c.trailing&&(h=p(d,b-a,1,!1))}}},x.on={},x.off={},x._events={},x.addOff=function(a){x.off[a]=function(b,c){var d=x._events[a].indexOf(c);d>0&&x._events[a].removeAt(d)}};var y,z,A="onwheel"in document||document.documentMode>=9?["wheel"]:["mousewheel","DomMouseScroll","MozMousePixelScroll"];return x.on.mousewheel=function(a,b){if(a&&b){var c=angular.element(a);c.data("mousewheel-line-height",d(c)),c.data("mousewheel-page-height",x.elementHeight(c)),c.data("mousewheel-callbacks")||c.data("mousewheel-callbacks",{});var f=c.data("mousewheel-callbacks");f[b]=(Function.prototype.bind||e).call(u,c[0],b);for(var g=A.length;g;)c.on(A[--g],f[b])}},x.off.mousewheel=function(a,b){var c=angular.element(a),d=c.data("mousewheel-callbacks"),e=d[b];if(e)for(var f=A.length;f;)c.off(A[--f],e);delete d[b],0===Object.keys(d).length&&(c.removeData("mousewheel-line-height"),c.removeData("mousewheel-page-height"),c.removeData("mousewheel-callbacks"))},x}]),f.filter("px",function(){return function(a){return a.match(/^[\d\.]+$/)?a+"px":a}})}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){var b={aggregate:{label:"položky"},groupPanel:{description:"Přesuňte záhlaví zde pro vytvoření skupiny dle sloupce."},search:{placeholder:"Hledat...",showingItems:"Zobrazuji položky:",selectedItems:"Vybrané položky:",totalItems:"Celkem položek:",size:"Velikost strany:",first:"První strana",next:"Další strana",previous:"Předchozí strana",last:"Poslední strana"},menu:{text:"Vyberte sloupec:"},sort:{ascending:"Seřadit od A-Z",descending:"Seřadit od Z-A",remove:"Odebrat seřazení"},column:{hide:"Schovat sloupec"},aggregation:{count:"celkem řádků: ",sum:"celkem: ",avg:"avg: ",min:"min.: ",max:"max.: "},pinning:{pinLeft:"Zamknout vlevo",pinRight:"Zamknout vpravo",unpin:"Odemknout"},gridMenu:{columns:"Sloupce:",importerTitle:"Importovat soubor",exporterAllAsCsv:"Exportovat všechna data do csv",exporterVisibleAsCsv:"Exportovat viditelná data do csv",exporterSelectedAsCsv:"Exportovat vybraná data do csv",exporterAllAsPdf:"Exportovat všechna data do pdf",exporterVisibleAsPdf:"Exportovat viditelná data do pdf",exporterSelectedAsPdf:"Exportovat vybraná data do pdf",clearAllFilters:"Odstranit všechny filtry"},importer:{noHeaders:"Názvy sloupců se nepodařilo získat, obsahuje soubor záhlaví?",noObjects:"Data se nepodařilo zpracovat, obsahuje soubor řádky mimo záhlaví?",invalidCsv:"Soubor nelze zpracovat, jedná se o CSV?",invalidJson:"Soubor nelze zpracovat, je to JSON?",jsonNotArray:"Soubor musí obsahovat json. Ukončuji.."},pagination:{sizes:"položek na stránku",totalItems:"položek"},grouping:{group:"Seskupit",ungroup:"Odebrat seskupení",aggregate_count:"Agregace: Count",aggregate_sum:"Agregace: Sum",aggregate_max:"Agregace: Max",aggregate_min:"Agregace: Min",aggregate_avg:"Agregace: Avg",aggregate_remove:"Agregace: Odebrat"}};return a.add("cs",b),a.add("cz",b),a.add("cs-cz",b),a.add("cs-CZ",b),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("da",{aggregate:{label:"artikler"},groupPanel:{description:"Grupér rækker udfra en kolonne ved at trække dens overskift hertil."},search:{placeholder:"Søg...",showingItems:"Viste rækker:",selectedItems:"Valgte rækker:",totalItems:"Rækker totalt:",size:"Side størrelse:",first:"Første side",next:"Næste side",previous:"Forrige side",last:"Sidste side"},menu:{text:"Vælg kolonner:"},sort:{ascending:"Sorter stigende",descending:"Sorter faldende",none:"Sorter ingen",remove:"Fjern sortering"},column:{hide:"Skjul kolonne"},aggregation:{count:"antal rækker: ",sum:"sum: ",avg:"gns: ",min:"min: ",max:"max: "},gridMenu:{columns:"Columns:",importerTitle:"Import file",exporterAllAsCsv:"Export all data as csv",exporterVisibleAsCsv:"Export visible data as csv",exporterSelectedAsCsv:"Export selected data as csv",exporterAllAsPdf:"Export all data as pdf",exporterVisibleAsPdf:"Export visible data as pdf",exporterSelectedAsPdf:"Export selected data as pdf",clearAllFilters:"Clear all filters"},importer:{noHeaders:"Column names were unable to be derived, does the file have a header?",noObjects:"Objects were not able to be derived, was there data in the file other than headers?",invalidCsv:"File was unable to be processed, is it valid CSV?",invalidJson:"File was unable to be processed, is it valid Json?",jsonNotArray:"Imported json file must contain an array, aborting."}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("de",{headerCell:{aria:{defaultFilterLabel:"Filter für Spalte",removeFilter:"Filter löschen",columnMenuButtonLabel:"Spaltenmenü"},priority:"Priorität:",filterLabel:"Filter für Spalte: "},aggregate:{label:"Eintrag"},groupPanel:{description:"Ziehen Sie eine Spaltenüberschrift hierhin, um nach dieser Spalte zu gruppieren."},search:{placeholder:"Suche...",showingItems:"Zeige Einträge:",selectedItems:"Ausgewählte Einträge:",totalItems:"Einträge gesamt:",size:"Einträge pro Seite:",first:"Erste Seite",next:"Nächste Seite",previous:"Vorherige Seite",last:"Letzte Seite"},menu:{text:"Spalten auswählen:"},sort:{ascending:"aufsteigend sortieren",descending:"absteigend sortieren",none:"keine Sortierung",remove:"Sortierung zurücksetzen"},column:{hide:"Spalte ausblenden"},aggregation:{count:"Zeilen insgesamt: ",sum:"gesamt: ",avg:"Durchschnitt: ",min:"min: ",max:"max: "},pinning:{pinLeft:"Links anheften",pinRight:"Rechts anheften",unpin:"Lösen"},columnMenu:{close:"Schließen"},gridMenu:{aria:{buttonLabel:"Tabellenmenü"},columns:"Spalten:",importerTitle:"Datei importieren",exporterAllAsCsv:"Alle Daten als CSV exportieren",exporterVisibleAsCsv:"sichtbare Daten als CSV exportieren",exporterSelectedAsCsv:"markierte Daten als CSV exportieren",exporterAllAsPdf:"Alle Daten als PDF exportieren",exporterVisibleAsPdf:"sichtbare Daten als PDF exportieren",exporterSelectedAsPdf:"markierte Daten als CSV exportieren",clearAllFilters:"Alle Filter zurücksetzen"},importer:{noHeaders:"Es konnten keine Spaltennamen ermittelt werden. Sind in der Datei Spaltendefinitionen enthalten?",noObjects:"Es konnten keine Zeileninformationen gelesen werden, Sind in der Datei außer den Spaltendefinitionen auch Daten enthalten?",invalidCsv:"Die Datei konnte nicht eingelesen werden, ist es eine gültige CSV-Datei?",invalidJson:"Die Datei konnte nicht eingelesen werden. Enthält sie gültiges JSON?",jsonNotArray:"Die importierte JSON-Datei muß ein Array enthalten. Breche Import ab."},pagination:{aria:{pageToFirst:"Zum Anfang",pageBack:"Seite zurück",pageSelected:"Ausgwählte Seite",pageForward:"Seite vor",pageToLast:"Zum Ende"},sizes:"Einträge pro Seite",totalItems:"Einträge",through:"bis",of:"von"},grouping:{group:"Gruppieren",ungroup:"Gruppierung aufheben",aggregate_count:"Agg: Anzahl",aggregate_sum:"Agg: Summe",aggregate_max:"Agg: Maximum",aggregate_min:"Agg: Minimum",aggregate_avg:"Agg: Mittelwert",aggregate_remove:"Aggregation entfernen"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("en",{headerCell:{aria:{defaultFilterLabel:"Filter for column",removeFilter:"Remove Filter",columnMenuButtonLabel:"Column Menu"},priority:"Priority:",filterLabel:"Filter for column: "},aggregate:{label:"items"},groupPanel:{description:"Drag a column header here and drop it to group by that column."},search:{placeholder:"Search...",showingItems:"Showing Items:",selectedItems:"Selected Items:",totalItems:"Total Items:",size:"Page Size:",first:"First Page",next:"Next Page",previous:"Previous Page",last:"Last Page"},menu:{text:"Choose Columns:"},sort:{ascending:"Sort Ascending",descending:"Sort Descending",none:"Sort None",remove:"Remove Sort"},column:{hide:"Hide Column"},aggregation:{count:"total rows: ",sum:"total: ",avg:"avg: ",min:"min: ",max:"max: "},pinning:{pinLeft:"Pin Left",pinRight:"Pin Right",unpin:"Unpin"},columnMenu:{close:"Close"},gridMenu:{aria:{buttonLabel:"Grid Menu"},columns:"Columns:",importerTitle:"Import file",exporterAllAsCsv:"Export all data as csv",exporterVisibleAsCsv:"Export visible data as csv",exporterSelectedAsCsv:"Export selected data as csv",exporterAllAsPdf:"Export all data as pdf",exporterVisibleAsPdf:"Export visible data as pdf",exporterSelectedAsPdf:"Export selected data as pdf",clearAllFilters:"Clear all filters"},importer:{noHeaders:"Column names were unable to be derived, does the file have a header?",noObjects:"Objects were not able to be derived, was there data in the file other than headers?",invalidCsv:"File was unable to be processed, is it valid CSV?",invalidJson:"File was unable to be processed, is it valid Json?",jsonNotArray:"Imported json file must contain an array, aborting."},pagination:{aria:{pageToFirst:"Page to first",pageBack:"Page back",pageSelected:"Selected page",pageForward:"Page forward",pageToLast:"Page to last"},sizes:"items per page",totalItems:"items",through:"through",of:"of"},grouping:{group:"Group",ungroup:"Ungroup",aggregate_count:"Agg: Count",aggregate_sum:"Agg: Sum",aggregate_max:"Agg: Max",aggregate_min:"Agg: Min",aggregate_avg:"Agg: Avg",aggregate_remove:"Agg: Remove"},validate:{error:"Error:",minLength:"Value should be at least THRESHOLD characters long.",maxLength:"Value should be at most THRESHOLD characters long.",required:"A value is needed."}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("es",{aggregate:{label:"Artículos"},groupPanel:{description:"Arrastre un encabezado de columna aquí y suéltelo para agrupar por esa columna."},search:{placeholder:"Buscar...",showingItems:"Artículos Mostrados:",selectedItems:"Artículos Seleccionados:",totalItems:"Artículos Totales:",size:"Tamaño de Página:",first:"Primera Página",next:"Página Siguiente",previous:"Página Anterior",last:"Última Página"},menu:{text:"Elegir columnas:"},sort:{ascending:"Orden Ascendente",descending:"Orden Descendente",remove:"Sin Ordenar"},column:{hide:"Ocultar la columna"},aggregation:{count:"filas totales: ",sum:"total: ",avg:"media: ",min:"min: ",max:"max: "},pinning:{pinLeft:"Fijar a la Izquierda",pinRight:"Fijar a la Derecha",unpin:"Quitar Fijación"},gridMenu:{columns:"Columnas:",importerTitle:"Importar archivo",exporterAllAsCsv:"Exportar todo como csv",exporterVisibleAsCsv:"Exportar vista como csv",exporterSelectedAsCsv:"Exportar selección como csv",exporterAllAsPdf:"Exportar todo como pdf",exporterVisibleAsPdf:"Exportar vista como pdf",exporterSelectedAsPdf:"Exportar selección como pdf",clearAllFilters:"Limpiar todos los filtros"},importer:{noHeaders:"No fue posible derivar los nombres de las columnas, ¿tiene encabezados el archivo?",noObjects:"No fue posible obtener registros, ¿contiene datos el archivo, aparte de los encabezados?",invalidCsv:"No fue posible procesar el archivo, ¿es un CSV válido?",invalidJson:"No fue posible procesar el archivo, ¿es un Json válido?",jsonNotArray:"El archivo json importado debe contener un array, abortando."},pagination:{sizes:"registros por página",totalItems:"registros",of:"de"},grouping:{group:"Agrupar",ungroup:"Desagrupar",aggregate_count:"Agr: Cont",aggregate_sum:"Agr: Sum",aggregate_max:"Agr: Máx",aggregate_min:"Agr: Min",aggregate_avg:"Agr: Prom",aggregate_remove:"Agr: Quitar"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("fa",{aggregate:{label:"قلم"},groupPanel:{description:"عنوان یک ستون را بگیر و به گروهی از آن ستون رها کن."},search:{placeholder:"جستجو...",showingItems:"نمایش اقلام:",selectedItems:"قلم‌های انتخاب شده:",totalItems:"مجموع اقلام:",size:"اندازه‌ی صفحه:",first:"اولین صفحه",next:"صفحه‌ی‌بعدی",previous:"صفحه‌ی‌ قبلی",last:"آخرین صفحه"},menu:{text:"ستون‌های انتخابی:"},sort:{ascending:"ترتیب صعودی",descending:"ترتیب نزولی",remove:"حذف مرتب کردن"},column:{hide:"پنهان‌کردن ستون"},aggregation:{count:"تعداد: ",sum:"مجموع: ",avg:"میانگین: ",min:"کمترین: ",max:"بیشترین: "},pinning:{pinLeft:"پین کردن سمت چپ",pinRight:"پین کردن سمت راست",unpin:"حذف پین"},gridMenu:{columns:"ستون‌ها:",importerTitle:"وارد کردن فایل",exporterAllAsCsv:"خروجی تمام داده‌ها در فایل csv",exporterVisibleAsCsv:"خروجی داده‌های قابل مشاهده در فایل csv",exporterSelectedAsCsv:"خروجی داده‌های انتخاب‌شده در فایل csv",exporterAllAsPdf:"خروجی تمام داده‌ها در فایل pdf",exporterVisibleAsPdf:"خروجی داده‌های قابل مشاهده در فایل pdf",exporterSelectedAsPdf:"خروجی داده‌های انتخاب‌شده در فایل pdf",clearAllFilters:"پاک کردن تمام فیلتر"},importer:{noHeaders:"نام ستون قابل استخراج نیست. آیا فایل عنوان دارد؟",noObjects:"اشیا قابل استخراج نیستند. آیا به جز عنوان‌ها در فایل داده وجود دارد؟",invalidCsv:"فایل قابل پردازش نیست. آیا فرمت csv معتبر است؟",invalidJson:"فایل قابل پردازش نیست. آیا فرمت json معتبر است؟",jsonNotArray:"فایل json وارد شده باید حاوی آرایه باشد. عملیات ساقط شد."},pagination:{sizes:"اقلام در هر صفحه",totalItems:"اقلام",of:"از"},grouping:{group:"گروه‌بندی",ungroup:"حذف گروه‌بندی",aggregate_count:"Agg: تعداد",aggregate_sum:"Agg: جمع",aggregate_max:"Agg: بیشینه",aggregate_min:"Agg: کمینه",aggregate_avg:"Agg: میانگین",aggregate_remove:"Agg: حذف"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("fi",{aggregate:{label:"rivit"},groupPanel:{description:"Raahaa ja pudota otsikko tähän ryhmittääksesi sarakkeen mukaan."},search:{placeholder:"Hae...",showingItems:"Näytetään rivejä:",selectedItems:"Valitut rivit:",totalItems:"Rivejä yht.:",size:"Näytä:",first:"Ensimmäinen sivu",next:"Seuraava sivu",previous:"Edellinen sivu",last:"Viimeinen sivu"},menu:{text:"Valitse sarakkeet:"},sort:{ascending:"Järjestä nouseva",descending:"Järjestä laskeva",remove:"Poista järjestys"},column:{hide:"Piilota sarake"},aggregation:{count:"Rivejä yht.: ",sum:"Summa: ",avg:"K.a.: ",min:"Min: ",max:"Max: "},pinning:{pinLeft:"Lukitse vasemmalle",pinRight:"Lukitse oikealle",unpin:"Poista lukitus"},gridMenu:{columns:"Sarakkeet:",importerTitle:"Tuo tiedosto",exporterAllAsCsv:"Vie tiedot csv-muodossa",exporterVisibleAsCsv:"Vie näkyvä tieto csv-muodossa",exporterSelectedAsCsv:"Vie valittu tieto csv-muodossa",exporterAllAsPdf:"Vie tiedot pdf-muodossa",exporterVisibleAsPdf:"Vie näkyvä tieto pdf-muodossa",exporterSelectedAsPdf:"Vie valittu tieto pdf-muodossa",clearAllFilters:"Puhdista kaikki suodattimet"},importer:{noHeaders:"Sarakkeen nimiä ei voitu päätellä, onko tiedostossa otsikkoriviä?",noObjects:"Tietoja ei voitu lukea, onko tiedostossa muuta kuin otsikkot?",invalidCsv:"Tiedostoa ei voitu käsitellä, oliko se CSV-muodossa?",invalidJson:"Tiedostoa ei voitu käsitellä, oliko se JSON-muodossa?",jsonNotArray:"Tiedosto ei sisältänyt taulukkoa, lopetetaan."}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("fr",{aggregate:{label:"éléments"},groupPanel:{description:"Faites glisser une en-tête de colonne ici pour créer un groupe de colonnes."},search:{placeholder:"Recherche...",showingItems:"Affichage des éléments :",selectedItems:"Éléments sélectionnés :",totalItems:"Nombre total d'éléments:",size:"Taille de page:",first:"Première page",next:"Page Suivante",previous:"Page précédente",last:"Dernière page"},menu:{text:"Choisir des colonnes :"},sort:{ascending:"Trier par ordre croissant",descending:"Trier par ordre décroissant",remove:"Enlever le tri"},column:{hide:"Cacher la colonne"},aggregation:{count:"lignes totales: ",sum:"total: ",avg:"moy: ",min:"min: ",max:"max: "},pinning:{pinLeft:"Épingler à gauche",pinRight:"Épingler à droite",unpin:"Détacher"},gridMenu:{columns:"Colonnes:",importerTitle:"Importer un fichier",exporterAllAsCsv:"Exporter toutes les données en CSV",exporterVisibleAsCsv:"Exporter les données visibles en CSV",exporterSelectedAsCsv:"Exporter les données sélectionnées en CSV",exporterAllAsPdf:"Exporter toutes les données en PDF",exporterVisibleAsPdf:"Exporter les données visibles en PDF",exporterSelectedAsPdf:"Exporter les données sélectionnées en PDF",clearAllFilters:"Nettoyez tous les filtres"},importer:{noHeaders:"Impossible de déterminer le nom des colonnes, le fichier possède-t-il une en-tête ?",noObjects:"Aucun objet trouvé, le fichier possède-t-il des données autres que l'en-tête ?",invalidCsv:"Le fichier n'a pas pu être traité, le CSV est-il valide ?",invalidJson:"Le fichier n'a pas pu être traité, le JSON est-il valide ?",jsonNotArray:"Le fichier JSON importé doit contenir un tableau, abandon."},pagination:{sizes:"éléments par page",totalItems:"éléments",of:"sur"},grouping:{group:"Grouper",ungroup:"Dégrouper",aggregate_count:"Agg: Compte",aggregate_sum:"Agg: Somme",aggregate_max:"Agg: Max",aggregate_min:"Agg: Min",aggregate_avg:"Agg: Moy",aggregate_remove:"Agg: Retirer"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("he",{aggregate:{label:"items"},groupPanel:{description:"גרור עמודה לכאן ושחרר בכדי לקבץ עמודה זו."},search:{placeholder:"חפש...",showingItems:"מציג:",selectedItems:'סה"כ נבחרו:',totalItems:'סה"כ רשומות:',size:"תוצאות בדף:",first:"דף ראשון",next:"דף הבא",previous:"דף קודם",last:"דף אחרון"},menu:{text:"בחר עמודות:"},sort:{ascending:"סדר עולה",descending:"סדר יורד",remove:"בטל"},column:{hide:"טור הסתר"},aggregation:{count:"total rows: ",sum:"total: ",avg:"avg: ",min:"min: ",max:"max: "},gridMenu:{columns:"Columns:",importerTitle:"Import file",exporterAllAsCsv:"Export all data as csv",exporterVisibleAsCsv:"Export visible data as csv",exporterSelectedAsCsv:"Export selected data as csv",exporterAllAsPdf:"Export all data as pdf",exporterVisibleAsPdf:"Export visible data as pdf",exporterSelectedAsPdf:"Export selected data as pdf",clearAllFilters:"Clean all filters"},importer:{noHeaders:"Column names were unable to be derived, does the file have a header?",noObjects:"Objects were not able to be derived, was there data in the file other than headers?",invalidCsv:"File was unable to be processed, is it valid CSV?",invalidJson:"File was unable to be processed, is it valid Json?",jsonNotArray:"Imported json file must contain an array, aborting."}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("hy",{aggregate:{label:"տվյալներ"},groupPanel:{description:"Ըստ սյան խմբավորելու համար քաշեք և գցեք վերնագիրն այստեղ։"},search:{placeholder:"Փնտրում...",showingItems:"Ցուցադրված տվյալներ՝",selectedItems:"Ընտրված:",totalItems:"Ընդամենը՝",size:"Տողերի քանակը էջում՝",first:"Առաջին էջ",next:"Հաջորդ էջ",previous:"Նախորդ էջ",last:"Վերջին էջ"},menu:{text:"Ընտրել սյուները:"},sort:{ascending:"Աճման կարգով",descending:"Նվազման կարգով",remove:"Հանել "},column:{hide:"Թաքցնել սյունը"},aggregation:{count:"ընդամենը տող՝ ",sum:"ընդամենը՝ ",avg:"միջին՝ ",min:"մին՝ ",max:"մաքս՝ "},pinning:{pinLeft:"Կպցնել ձախ կողմում",pinRight:"Կպցնել աջ կողմում",unpin:"Արձակել"},gridMenu:{columns:"Սյուներ:",importerTitle:"Ներմուծել ֆայլ",exporterAllAsCsv:"Արտահանել ամբողջը CSV",exporterVisibleAsCsv:"Արտահանել երևացող տվյալները CSV",exporterSelectedAsCsv:"Արտահանել ընտրված տվյալները CSV",exporterAllAsPdf:"Արտահանել PDF",exporterVisibleAsPdf:"Արտահանել երևացող տվյալները PDF",exporterSelectedAsPdf:"Արտահանել ընտրված տվյալները PDF",clearAllFilters:"Մաքրել բոլոր ֆիլտրերը"},importer:{noHeaders:"Հնարավոր չեղավ որոշել սյան վերնագրերը։ Արդյո՞ք ֆայլը ունի վերնագրեր։",noObjects:"Հնարավոր չեղավ կարդալ տվյալները։ Արդյո՞ք ֆայլում կան տվյալներ։",invalidCsv:"Հնարավոր չեղավ մշակել ֆայլը։ Արդյո՞ք այն վավեր CSV է։",invalidJson:"Հնարավոր չեղավ մշակել ֆայլը։ Արդյո՞ք այն վավեր Json է։",jsonNotArray:"Ներմուծված json ֆայլը պետք է պարունակի զանգված, կասեցվում է։"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("it",{aggregate:{label:"elementi"},groupPanel:{description:"Trascina un'intestazione all'interno del gruppo della colonna."},search:{placeholder:"Ricerca...",showingItems:"Mostra:",selectedItems:"Selezionati:",totalItems:"Totali:",size:"Tot Pagine:",first:"Prima",next:"Prossima",previous:"Precedente",last:"Ultima"},menu:{text:"Scegli le colonne:"},sort:{ascending:"Asc.",descending:"Desc.",remove:"Annulla ordinamento"},column:{hide:"Nascondi"},aggregation:{count:"righe totali: ",sum:"tot: ",avg:"media: ",min:"minimo: ",max:"massimo: "},pinning:{pinLeft:"Blocca a sx",pinRight:"Blocca a dx",unpin:"Blocca in alto"},gridMenu:{columns:"Colonne:",importerTitle:"Importa",exporterAllAsCsv:"Esporta tutti i dati in CSV",exporterVisibleAsCsv:"Esporta i dati visibili in CSV",exporterSelectedAsCsv:"Esporta i dati selezionati in CSV",exporterAllAsPdf:"Esporta tutti i dati in PDF",exporterVisibleAsPdf:"Esporta i dati visibili in PDF",exporterSelectedAsPdf:"Esporta i dati selezionati in PDF",clearAllFilters:"Pulire tutti i filtri"},importer:{noHeaders:"Impossibile reperire i nomi delle colonne, sicuro che siano indicati all'interno del file?",noObjects:"Impossibile reperire gli oggetti, sicuro che siano indicati all'interno del file?",invalidCsv:"Impossibile elaborare il file, sicuro che sia un CSV?",invalidJson:"Impossibile elaborare il file, sicuro che sia un JSON valido?",jsonNotArray:"Errore! Il file JSON da importare deve contenere un array."},grouping:{group:"Raggruppa",ungroup:"Separa",aggregate_count:"Agg: N. Elem.",aggregate_sum:"Agg: Somma",aggregate_max:"Agg: Massimo",aggregate_min:"Agg: Minimo",aggregate_avg:"Agg: Media",aggregate_remove:"Agg: Rimuovi"},validate:{error:"Errore:",minLength:"Lunghezza minima pari a THRESHOLD caratteri.",maxLength:"Lunghezza massima pari a THRESHOLD caratteri.",required:"Necessario inserire un valore."}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("ja",{aggregate:{label:"項目"},groupPanel:{description:"ここに列ヘッダをドラッグアンドドロップして、その列でグループ化します。"},search:{placeholder:"検索...",showingItems:"表示中の項目:",selectedItems:"選択した項目:",totalItems:"項目の総数:",size:"ページサイズ:",first:"最初のページ",next:"次のページ",previous:"前のページ",last:"前のページ"},menu:{text:"列の選択:"},sort:{ascending:"昇順に並べ替え",descending:"降順に並べ替え",remove:"並べ替えの解除"},column:{hide:"列の非表示"},aggregation:{count:"合計行数: ",sum:"合計: ",avg:"平均: ",min:"最小: ",max:"最大: "},pinning:{pinLeft:"左に固定",pinRight:"右に固定",unpin:"固定解除"},gridMenu:{columns:"列:",importerTitle:"ファイルのインポート",exporterAllAsCsv:"すべてのデータをCSV形式でエクスポート",exporterVisibleAsCsv:"表示中のデータをCSV形式でエクスポート",exporterSelectedAsCsv:"選択したデータをCSV形式でエクスポート",exporterAllAsPdf:"すべてのデータをPDF形式でエクスポート",exporterVisibleAsPdf:"表示中のデータをPDF形式でエクスポート",exporterSelectedAsPdf:"選択したデータをPDF形式でエクスポート",clearAllFilters:"すべてのフィルタを清掃してください"},importer:{noHeaders:"列名を取得できません。ファイルにヘッダが含まれていることを確認してください。",noObjects:"オブジェクトを取得できません。ファイルにヘッダ以外のデータが含まれていることを確認してください。",invalidCsv:"ファイルを処理できません。ファイルが有効なCSV形式であることを確認してください。",invalidJson:"ファイルを処理できません。ファイルが有効なJSON形式であることを確認してください。",jsonNotArray:"インポートしたJSONファイルには配列が含まれている必要があります。処理を中止します。"},pagination:{aria:{pageToFirst:"最初のページ",pageBack:"前のページ",pageSelected:"現在のページ",pageForward:"次のページ",pageToLast:"最後のページ"},sizes:"項目/ページ",totalItems:"項目",through:"から",of:"項目/全"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("ko",{aggregate:{label:"아이템"},groupPanel:{description:"컬럼으로 그룹핑하기 위해서는 컬럼 헤더를 끌어 떨어뜨려 주세요."},search:{placeholder:"검색...",showingItems:"항목 보여주기:",selectedItems:"선택 항목:",totalItems:"전체 항목:",size:"페이지 크기:",first:"첫번째 페이지",next:"다음 페이지",previous:"이전 페이지",last:"마지막 페이지"},menu:{text:"컬럼을 선택하세요:"},sort:{ascending:"오름차순 정렬",descending:"내림차순 정렬",remove:"소팅 제거"},column:{hide:"컬럼 제거"},aggregation:{count:"전체 갯수: ",sum:"전체: ",avg:"평균: ",min:"최소: ",max:"최대: "},pinning:{pinLeft:"왼쪽 핀",pinRight:"오른쪽 핀",unpin:"핀 제거"},gridMenu:{columns:"컬럼:",importerTitle:"파일 가져오기",exporterAllAsCsv:"csv로 모든 데이터 내보내기",exporterVisibleAsCsv:"csv로 보이는 데이터 내보내기",exporterSelectedAsCsv:"csv로 선택된 데이터 내보내기",exporterAllAsPdf:"pdf로 모든 데이터 내보내기",exporterVisibleAsPdf:"pdf로 보이는 데이터 내보내기",exporterSelectedAsPdf:"pdf로 선택 데이터 내보내기",clearAllFilters:"모든 필터를 청소"},importer:{noHeaders:"컬럼명이 지정되어 있지 않습니다. 파일에 헤더가 명시되어 있는지 확인해 주세요.",noObjects:"데이터가 지정되어 있지 않습니다. 데이터가 파일에 있는지 확인해 주세요.",invalidCsv:"파일을 처리할 수 없습니다. 올바른 csv인지 확인해 주세요.",invalidJson:"파일을 처리할 수 없습니다. 올바른 json인지 확인해 주세요.",jsonNotArray:"json 파일은 배열을 포함해야 합니다."},pagination:{sizes:"페이지당 항목",totalItems:"전체 항목"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("nl",{aggregate:{label:"items"},groupPanel:{description:"Sleep hier een kolomnaam heen om op te groeperen."},search:{placeholder:"Zoeken...",showingItems:"Getoonde items:",selectedItems:"Geselecteerde items:",totalItems:"Totaal aantal items:",size:"Items per pagina:",first:"Eerste pagina",next:"Volgende pagina",previous:"Vorige pagina",last:"Laatste pagina"},menu:{text:"Kies kolommen:"},sort:{ascending:"Sorteer oplopend",descending:"Sorteer aflopend",remove:"Verwijder sortering"},column:{hide:"Verberg kolom"},aggregation:{count:"Aantal rijen: ",sum:"Som: ",avg:"Gemiddelde: ",min:"Min: ",max:"Max: "},pinning:{pinLeft:"Zet links vast",pinRight:"Zet rechts vast",unpin:"Maak los"},gridMenu:{columns:"Kolommen:",importerTitle:"Importeer bestand",exporterAllAsCsv:"Exporteer alle data als csv",exporterVisibleAsCsv:"Exporteer zichtbare data als csv",exporterSelectedAsCsv:"Exporteer geselecteerde data als csv",exporterAllAsPdf:"Exporteer alle data als pdf",exporterVisibleAsPdf:"Exporteer zichtbare data als pdf",exporterSelectedAsPdf:"Exporteer geselecteerde data als pdf",clearAllFilters:"Reinig alle filters"},importer:{noHeaders:"Kolomnamen kunnen niet worden afgeleid. Heeft het bestand een header?",noObjects:"Objecten kunnen niet worden afgeleid. Bevat het bestand data naast de headers?",invalidCsv:"Het bestand kan niet verwerkt worden. Is het een valide csv bestand?",invalidJson:"Het bestand kan niet verwerkt worden. Is het valide json?",jsonNotArray:"Het json bestand moet een array bevatten. De actie wordt geannuleerd."},pagination:{sizes:"items per pagina",totalItems:"items",of:"van de"},grouping:{group:"Groepeer",ungroup:"Groepering opheffen",aggregate_count:"Agg: Aantal",aggregate_sum:"Agg: Som",aggregate_max:"Agg: Max",aggregate_min:"Agg: Min",aggregate_avg:"Agg: Gem",aggregate_remove:"Agg: Verwijder"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("pl",{headerCell:{aria:{defaultFilterLabel:"Filter dla kolumny",removeFilter:"Usuń filter",columnMenuButtonLabel:"Menu kolumny"},priority:"Prioritet:",filterLabel:"Filtr dla kolumny: "},aggregate:{label:"pozycji"},groupPanel:{description:"Przeciągnij nagłówek kolumny tutaj, aby pogrupować według niej."},search:{placeholder:"Szukaj...",showingItems:"Widoczne pozycje:",selectedItems:"Zaznaczone pozycje:",totalItems:"Wszystkich pozycji:",size:"Rozmiar strony:",first:"Pierwsza strona",next:"Następna strona",previous:"Poprzednia strona",last:"Ostatnia strona"},menu:{text:"Wybierz kolumny:"},sort:{ascending:"Sortuj rosnąco",descending:"Sortuj malejąco",none:"Brak sortowania",remove:"Wyłącz sortowanie"},column:{hide:"Ukryj kolumne"},aggregation:{count:"Razem pozycji: ",sum:"Razem: ",avg:"Średnia: ",min:"Min: ",max:"Max: "},pinning:{pinLeft:"Przypnij do lewej",pinRight:"Przypnij do prawej",unpin:"Odepnij"},columnMenu:{close:"Zamknij"},gridMenu:{aria:{buttonLabel:"Menu Grida"},columns:"Kolumny:",importerTitle:"Importuj plik",exporterAllAsCsv:"Eksportuj wszystkie dane do csv",exporterVisibleAsCsv:"Eksportuj widoczne dane do csv",exporterSelectedAsCsv:"Eksportuj zaznaczone dane do csv",exporterAllAsPdf:"Eksportuj wszystkie dane do pdf",exporterVisibleAsPdf:"Eksportuj widoczne dane do pdf",exporterSelectedAsPdf:"Eksportuj zaznaczone dane do pdf",clearAllFilters:"Wyczyść filtry"},importer:{noHeaders:"Nie udało się wczytać nazw kolumn. Czy plik posiada nagłówek?",noObjects:"Nie udalo się wczytać pozycji. Czy plik zawiera dane??",invalidCsv:"Nie udało się przetworzyć pliku, jest to prawidlowy plik CSV??",invalidJson:"Nie udało się przetworzyć pliku, jest to prawidlowy plik Json?",jsonNotArray:"Importowany plik json musi zawierać tablicę, importowanie przerwane."},pagination:{aria:{pageToFirst:"Pierwsza strona",pageBack:"Poprzednia strona",pageSelected:"Wybrana strona",pageForward:"Następna strona",pageToLast:"Ostatnia strona"},sizes:"pozycji na stronę",totalItems:"pozycji",through:"do",of:"z"},grouping:{group:"Grupuj",ungroup:"Rozgrupuj",aggregate_count:"Zbiorczo: Razem",aggregate_sum:"Zbiorczo: Suma",aggregate_max:"Zbiorczo: Max",aggregate_min:"Zbiorczo: Min",aggregate_avg:"Zbiorczo: Średnia",aggregate_remove:"Zbiorczo: Usuń"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("pt-br",{headerCell:{aria:{defaultFilterLabel:"Filtro por coluna",removeFilter:"Remover filtro",columnMenuButtonLabel:"Menu coluna"},priority:"Prioridade:",filterLabel:"Filtro por coluna: "},aggregate:{label:"itens"},groupPanel:{description:"Arraste e solte uma coluna aqui para agrupar por essa coluna"},search:{placeholder:"Procurar...",showingItems:"Mostrando os Itens:",selectedItems:"Items Selecionados:",totalItems:"Total de Itens:",size:"Tamanho da Página:",first:"Primeira Página",next:"Próxima Página",previous:"Página Anterior",last:"Última Página"},menu:{text:"Selecione as colunas:"},sort:{ascending:"Ordenar Ascendente",descending:"Ordenar Descendente",none:"Nenhuma Ordem",remove:"Remover Ordenação"},column:{hide:"Esconder coluna"},aggregation:{count:"total de linhas: ",sum:"total: ",avg:"med: ",min:"min: ",max:"max: "},pinning:{pinLeft:"Fixar Esquerda",pinRight:"Fixar Direita",unpin:"Desprender"},columnMenu:{close:"Fechar"},gridMenu:{aria:{buttonLabel:"Menu Grid"},columns:"Colunas:",importerTitle:"Importar arquivo",exporterAllAsCsv:"Exportar todos os dados como csv",exporterVisibleAsCsv:"Exportar dados visíveis como csv",exporterSelectedAsCsv:"Exportar dados selecionados como csv",exporterAllAsPdf:"Exportar todos os dados como pdf",exporterVisibleAsPdf:"Exportar dados visíveis como pdf",exporterSelectedAsPdf:"Exportar dados selecionados como pdf",clearAllFilters:"Limpar todos os filtros"},importer:{noHeaders:"Nomes de colunas não puderam ser derivados. O arquivo tem um cabeçalho?",noObjects:"Objetos não puderam ser derivados. Havia dados no arquivo, além dos cabeçalhos?",invalidCsv:"Arquivo não pode ser processado. É um CSV válido?",invalidJson:"Arquivo não pode ser processado. É um Json válido?",jsonNotArray:"Arquivo json importado tem que conter um array. Abortando."},pagination:{aria:{pageToFirst:"Primeira página",pageBack:"Página anterior",pageSelected:"Página Selecionada",pageForward:"Proxima",pageToLast:"Anterior"},sizes:"itens por página",totalItems:"itens",through:"através dos",of:"de"},grouping:{group:"Agrupar",ungroup:"Desagrupar",aggregate_count:"Agr: Contar",aggregate_sum:"Agr: Soma",aggregate_max:"Agr: Max",aggregate_min:"Agr: Min",aggregate_avg:"Agr: Med",aggregate_remove:"Agr: Remover"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){ -a.decorator("i18nService",["$delegate",function(a){return a.add("pt",{headerCell:{aria:{defaultFilterLabel:"Filtro por coluna",removeFilter:"Remover filtro",columnMenuButtonLabel:"Menu coluna"},priority:"Prioridade:",filterLabel:"Filtro por coluna: "},aggregate:{label:"itens"},groupPanel:{description:"Arraste e solte uma coluna aqui para agrupar por essa coluna"},search:{placeholder:"Procurar...",showingItems:"Mostrando os Itens:",selectedItems:"Itens Selecionados:",totalItems:"Total de Itens:",size:"Tamanho da Página:",first:"Primeira Página",next:"Próxima Página",previous:"Página Anterior",last:"Última Página"},menu:{text:"Selecione as colunas:"},sort:{ascending:"Ordenar Ascendente",descending:"Ordenar Descendente",none:"Nenhuma Ordem",remove:"Remover Ordenação"},column:{hide:"Esconder coluna"},aggregation:{count:"total de linhas: ",sum:"total: ",avg:"med: ",min:"min: ",max:"max: "},pinning:{pinLeft:"Fixar Esquerda",pinRight:"Fixar Direita",unpin:"Desprender"},columnMenu:{close:"Fechar"},gridMenu:{aria:{buttonLabel:"Menu Grid"},columns:"Colunas:",importerTitle:"Importar ficheiro",exporterAllAsCsv:"Exportar todos os dados como csv",exporterVisibleAsCsv:"Exportar dados visíveis como csv",exporterSelectedAsCsv:"Exportar dados selecionados como csv",exporterAllAsPdf:"Exportar todos os dados como pdf",exporterVisibleAsPdf:"Exportar dados visíveis como pdf",exporterSelectedAsPdf:"Exportar dados selecionados como pdf",clearAllFilters:"Limpar todos os filtros"},importer:{noHeaders:"Nomes de colunas não puderam ser derivados. O ficheiro tem um cabeçalho?",noObjects:"Objetos não puderam ser derivados. Havia dados no ficheiro, além dos cabeçalhos?",invalidCsv:"Ficheiro não pode ser processado. É um CSV válido?",invalidJson:"Ficheiro não pode ser processado. É um Json válido?",jsonNotArray:"Ficheiro json importado tem que conter um array. Interrompendo."},pagination:{aria:{pageToFirst:"Primeira página",pageBack:"Página anterior",pageSelected:"Página Selecionada",pageForward:"Próxima",pageToLast:"Anterior"},sizes:"itens por página",totalItems:"itens",through:"através dos",of:"de"},grouping:{group:"Agrupar",ungroup:"Desagrupar",aggregate_count:"Agr: Contar",aggregate_sum:"Agr: Soma",aggregate_max:"Agr: Max",aggregate_min:"Agr: Min",aggregate_avg:"Agr: Med",aggregate_remove:"Agr: Remover"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("ro",{headerCell:{aria:{defaultFilterLabel:"Filtru pentru coloana",removeFilter:"Sterge filtru",columnMenuButtonLabel:"Column Menu"},priority:"Prioritate:",filterLabel:"Filtru pentru coloana:"},aggregate:{label:"Elemente"},groupPanel:{description:"Trage un cap de coloana aici pentru a grupa elementele dupa coloana respectiva"},search:{placeholder:"Cauta...",showingItems:"Arata elementele:",selectedItems:"Elementele selectate:",totalItems:"Total elemente:",size:"Marime pagina:",first:"Prima pagina",next:"Pagina urmatoare",previous:"Pagina anterioara",last:"Ultima pagina"},menu:{text:"Alege coloane:"},sort:{ascending:"Ordoneaza crescator",descending:"Ordoneaza descrescator",none:"Fara ordonare",remove:"Sterge ordonarea"},column:{hide:"Ascunde coloana"},aggregation:{count:"total linii: ",sum:"total: ",avg:"medie: ",min:"min: ",max:"max: "},pinning:{pinLeft:"Pin la stanga",pinRight:"Pin la dreapta",unpin:"Sterge pinul"},columnMenu:{close:"Inchide"},gridMenu:{aria:{buttonLabel:"Grid Menu"},columns:"Coloane:",importerTitle:"Incarca fisier",exporterAllAsCsv:"Exporta toate datele ca csv",exporterVisibleAsCsv:"Exporta datele vizibile ca csv",exporterSelectedAsCsv:"Exporta datele selectate ca csv",exporterAllAsPdf:"Exporta toate datele ca pdf",exporterVisibleAsPdf:"Exporta datele vizibile ca pdf",exporterSelectedAsPdf:"Exporta datele selectate ca csv pdf",clearAllFilters:"Sterge toate filtrele"},importer:{noHeaders:"Numele coloanelor nu a putut fi incarcat, acest fisier are un header?",noObjects:"Datele nu au putut fi incarcate, exista date in fisier in afara numelor de coloane?",invalidCsv:"Fisierul nu a putut fi procesat, ati incarcat un CSV valid ?",invalidJson:"Fisierul nu a putut fi procesat, ati incarcat un Json valid?",jsonNotArray:"Json-ul incarcat trebuie sa contina un array, inchidere."},pagination:{aria:{pageToFirst:"Prima pagina",pageBack:"O pagina inapoi",pageSelected:"Pagina selectata",pageForward:"O pagina inainte",pageToLast:"Ultima pagina"},sizes:"Elemente per pagina",totalItems:"elemente",through:"prin",of:"of"},grouping:{group:"Grupeaza",ungroup:"Opreste gruparea",aggregate_count:"Agg: Count",aggregate_sum:"Agg: Sum",aggregate_max:"Agg: Max",aggregate_min:"Agg: Min",aggregate_avg:"Agg: Avg",aggregate_remove:"Agg: Remove"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("ru",{headerCell:{aria:{defaultFilterLabel:"Фильтр столбца",removeFilter:"Удалить фильтр",columnMenuButtonLabel:"Меню столбца"},priority:"Приоритет:",filterLabel:"Фильтр столбца: "},aggregate:{label:"элементы"},groupPanel:{description:"Для группировки по столбцу перетащите сюда его название."},search:{placeholder:"Поиск...",showingItems:"Показать элементы:",selectedItems:"Выбранные элементы:",totalItems:"Всего элементов:",size:"Размер страницы:",first:"Первая страница",next:"Следующая страница",previous:"Предыдущая страница",last:"Последняя страница"},menu:{text:"Выбрать столбцы:"},sort:{ascending:"По возрастанию",descending:"По убыванию",none:"Без сортировки",remove:"Убрать сортировку"},column:{hide:"Спрятать столбец"},aggregation:{count:"всего строк: ",sum:"итого: ",avg:"среднее: ",min:"мин: ",max:"макс: "},pinning:{pinLeft:"Закрепить слева",pinRight:"Закрепить справа",unpin:"Открепить"},columnMenu:{close:"Закрыть"},gridMenu:{aria:{buttonLabel:"Меню"},columns:"Столбцы:",importerTitle:"Импортировать файл",exporterAllAsCsv:"Экспортировать всё в CSV",exporterVisibleAsCsv:"Экспортировать видимые данные в CSV",exporterSelectedAsCsv:"Экспортировать выбранные данные в CSV",exporterAllAsPdf:"Экспортировать всё в PDF",exporterVisibleAsPdf:"Экспортировать видимые данные в PDF",exporterSelectedAsPdf:"Экспортировать выбранные данные в PDF",clearAllFilters:"Очистите все фильтры"},importer:{noHeaders:"Не удалось получить названия столбцов, есть ли в файле заголовок?",noObjects:"Не удалось получить данные, есть ли в файле строки кроме заголовка?",invalidCsv:"Не удалось обработать файл, это правильный CSV-файл?",invalidJson:"Не удалось обработать файл, это правильный JSON?",jsonNotArray:"Импортируемый JSON-файл должен содержать массив, операция отменена."},pagination:{aria:{pageToFirst:"Первая страница",pageBack:"Предыдущая страница",pageSelected:"Выбранная страница",pageForward:"Следующая страница",pageToLast:"Последняя страница"},sizes:"строк на страницу",totalItems:"строк",through:"по",of:"из"},grouping:{group:"Группировать",ungroup:"Разгруппировать",aggregate_count:"Группировать: Count",aggregate_sum:"Для группы: Сумма",aggregate_max:"Для группы: Максимум",aggregate_min:"Для группы: Минимум",aggregate_avg:"Для группы: Среднее",aggregate_remove:"Для группы: Пусто"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("sk",{aggregate:{label:"items"},groupPanel:{description:"Pretiahni sem názov stĺpca pre zoskupenie podľa toho stĺpca."},search:{placeholder:"Hľadaj...",showingItems:"Zobrazujem položky:",selectedItems:"Vybraté položky:",totalItems:"Počet položiek:",size:"Počet:",first:"Prvá strana",next:"Ďalšia strana",previous:"Predchádzajúca strana",last:"Posledná strana"},menu:{text:"Vyberte stĺpce:"},sort:{ascending:"Zotriediť vzostupne",descending:"Zotriediť zostupne",remove:"Vymazať triedenie"},aggregation:{count:"total rows: ",sum:"total: ",avg:"avg: ",min:"min: ",max:"max: "},gridMenu:{columns:"Columns:",importerTitle:"Import file",exporterAllAsCsv:"Export all data as csv",exporterVisibleAsCsv:"Export visible data as csv",exporterSelectedAsCsv:"Export selected data as csv",exporterAllAsPdf:"Export all data as pdf",exporterVisibleAsPdf:"Export visible data as pdf",exporterSelectedAsPdf:"Export selected data as pdf",clearAllFilters:"Clear all filters"},importer:{noHeaders:"Column names were unable to be derived, does the file have a header?",noObjects:"Objects were not able to be derived, was there data in the file other than headers?",invalidCsv:"File was unable to be processed, is it valid CSV?",invalidJson:"File was unable to be processed, is it valid Json?",jsonNotArray:"Imported json file must contain an array, aborting."}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("sv",{aggregate:{label:"Artiklar"},groupPanel:{description:"Dra en kolumnrubrik hit och släpp den för att gruppera efter den kolumnen."},search:{placeholder:"Sök...",showingItems:"Visar artiklar:",selectedItems:"Valda artiklar:",totalItems:"Antal artiklar:",size:"Sidstorlek:",first:"Första sidan",next:"Nästa sida",previous:"Föregående sida",last:"Sista sidan"},menu:{text:"Välj kolumner:"},sort:{ascending:"Sortera stigande",descending:"Sortera fallande",remove:"Inaktivera sortering"},column:{hide:"Göm kolumn"},aggregation:{count:"Antal rader: ",sum:"Summa: ",avg:"Genomsnitt: ",min:"Min: ",max:"Max: "},pinning:{pinLeft:"Fäst vänster",pinRight:"Fäst höger",unpin:"Lösgör"},gridMenu:{columns:"Kolumner:",importerTitle:"Importera fil",exporterAllAsCsv:"Exportera all data som CSV",exporterVisibleAsCsv:"Exportera synlig data som CSV",exporterSelectedAsCsv:"Exportera markerad data som CSV",exporterAllAsPdf:"Exportera all data som PDF",exporterVisibleAsPdf:"Exportera synlig data som PDF",exporterSelectedAsPdf:"Exportera markerad data som PDF",clearAllFilters:"Rengör alla filter"},importer:{noHeaders:"Kolumnnamn kunde inte härledas. Har filen ett sidhuvud?",noObjects:"Objekt kunde inte härledas. Har filen data undantaget sidhuvud?",invalidCsv:"Filen kunde inte behandlas, är den en giltig CSV?",invalidJson:"Filen kunde inte behandlas, är den en giltig JSON?",jsonNotArray:"Importerad JSON-fil måste innehålla ett fält. Import avbruten."},pagination:{sizes:"Artiklar per sida",totalItems:"Artiklar"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("ta",{aggregate:{label:"உருப்படிகள்"},groupPanel:{description:"ஒரு பத்தியை குழுவாக அமைக்க அப்பத்தியின் தலைப்பை இங்கே இழுத்து வரவும் "},search:{placeholder:"தேடல் ...",showingItems:"உருப்படிகளை காண்பித்தல்:",selectedItems:"தேர்ந்தெடுக்கப்பட்ட உருப்படிகள்:",totalItems:"மொத்த உருப்படிகள்:",size:"பக்க அளவு: ",first:"முதல் பக்கம்",next:"அடுத்த பக்கம்",previous:"முந்தைய பக்கம் ",last:"இறுதி பக்கம்"},menu:{text:"பத்திகளை தேர்ந்தெடு:"},sort:{ascending:"மேலிருந்து கீழாக",descending:"கீழிருந்து மேலாக",remove:"வரிசையை நீக்கு"},column:{hide:"பத்தியை மறைத்து வை "},aggregation:{count:"மொத்த வரிகள்:",sum:"மொத்தம்: ",avg:"சராசரி: ",min:"குறைந்தபட்ச: ",max:"அதிகபட்ச: "},pinning:{pinLeft:"இடதுபுறமாக தைக்க ",pinRight:"வலதுபுறமாக தைக்க",unpin:"பிரி"},gridMenu:{columns:"பத்திகள்:",importerTitle:"கோப்பு : படித்தல்",exporterAllAsCsv:"எல்லா தரவுகளையும் கோப்பாக்கு: csv",exporterVisibleAsCsv:"இருக்கும் தரவுகளை கோப்பாக்கு: csv",exporterSelectedAsCsv:"தேர்ந்தெடுத்த தரவுகளை கோப்பாக்கு: csv",exporterAllAsPdf:"எல்லா தரவுகளையும் கோப்பாக்கு: pdf",exporterVisibleAsPdf:"இருக்கும் தரவுகளை கோப்பாக்கு: pdf",exporterSelectedAsPdf:"தேர்ந்தெடுத்த தரவுகளை கோப்பாக்கு: pdf",clearAllFilters:"Clear all filters"},importer:{noHeaders:"பத்தியின் தலைப்புகளை பெற இயலவில்லை, கோப்பிற்கு தலைப்பு உள்ளதா?",noObjects:"இலக்குகளை உருவாக்க முடியவில்லை, கோப்பில் தலைப்புகளை தவிர தரவு ஏதேனும் உள்ளதா? ",invalidCsv:"சரிவர நடைமுறை படுத்த இயலவில்லை, கோப்பு சரிதானா? - csv",invalidJson:"சரிவர நடைமுறை படுத்த இயலவில்லை, கோப்பு சரிதானா? - json",jsonNotArray:"படித்த கோப்பில் வரிசைகள் உள்ளது, நடைமுறை ரத்து செய் : json"},pagination:{sizes:"உருப்படிகள் / பக்கம்",totalItems:"உருப்படிகள் "},grouping:{group:"குழு",ungroup:"பிரி",aggregate_count:"மதிப்பீட்டு : எண்ணு",aggregate_sum:"மதிப்பீட்டு : கூட்டல்",aggregate_max:"மதிப்பீட்டு : அதிகபட்சம்",aggregate_min:"மதிப்பீட்டு : குறைந்தபட்சம்",aggregate_avg:"மதிப்பீட்டு : சராசரி",aggregate_remove:"மதிப்பீட்டு : நீக்கு"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("tr",{headerCell:{aria:{defaultFilterLabel:"Sütun için filtre",removeFilter:"Filtreyi Kaldır",columnMenuButtonLabel:"Sütun Menüsü"},priority:"Öncelik:",filterLabel:"Sütun için filtre: "},aggregate:{label:"kayıtlar"},groupPanel:{description:"Sütuna göre gruplamak için sütun başlığını buraya sürükleyin ve bırakın."},search:{placeholder:"Arama...",showingItems:"Gösterilen Kayıt:",selectedItems:"Seçili Kayıt:",totalItems:"Toplam Kayıt:",size:"Sayfa Boyutu:",first:"İlk Sayfa",next:"Sonraki Sayfa",previous:"Önceki Sayfa",last:"Son Sayfa"},menu:{text:"Sütunları Seç:"},sort:{ascending:"Artan Sırada Sırala",descending:"Azalan Sırada Sırala",none:"Sıralama Yapma",remove:"Sıralamayı Kaldır"},column:{hide:"Sütunu Gizle"},aggregation:{count:"toplam satır: ",sum:"toplam: ",avg:"ort: ",min:"min: ",max:"maks: "},pinning:{pinLeft:"Sola Sabitle",pinRight:"Sağa Sabitle",unpin:"Sabitlemeyi Kaldır"},columnMenu:{close:"Kapat"},gridMenu:{aria:{buttonLabel:"Tablo Menü"},columns:"Sütunlar:",importerTitle:"Dosya içeri aktar",exporterAllAsCsv:"Bütün veriyi CSV olarak dışarı aktar",exporterVisibleAsCsv:"Görünen veriyi CSV olarak dışarı aktar",exporterSelectedAsCsv:"Seçili veriyi CSV olarak dışarı aktar",exporterAllAsPdf:"Bütün veriyi PDF olarak dışarı aktar",exporterVisibleAsPdf:"Görünen veriyi PDF olarak dışarı aktar",exporterSelectedAsPdf:"Seçili veriyi PDF olarak dışarı aktar",clearAllFilters:"Bütün filtreleri kaldır"},importer:{noHeaders:"Sütun isimleri üretilemiyor, dosyanın bir başlığı var mı?",noObjects:"Nesneler üretilemiyor, dosyada başlıktan başka bir veri var mı?",invalidCsv:"Dosya işlenemedi, geçerli bir CSV dosyası mı?",invalidJson:"Dosya işlenemedi, geçerli bir Json dosyası mı?",jsonNotArray:"Alınan Json dosyasında bir dizi bulunmalıdır, işlem iptal ediliyor."},pagination:{aria:{pageToFirst:"İlk sayfaya",pageBack:"Geri git",pageSelected:"Seçili sayfa",pageForward:"İleri git",pageToLast:"Sona git"},sizes:"Sayfadaki nesne sayısı",totalItems:"kayıtlar",through:"",of:""},grouping:{group:"Grupla",ungroup:"Gruplama",aggregate_count:"Yekun: Sayı",aggregate_sum:"Yekun: Toplam",aggregate_max:"Yekun: Maks",aggregate_min:"Yekun: Min",aggregate_avg:"Yekun: Ort",aggregate_remove:"Yekun: Sil"}}),a}])}])}(),function(){var a=["uiT","uiTranslate"],b=["t","uiTranslate"],c=angular.module("ui.grid.i18n");c.constant("i18nConstants",{MISSING:"[MISSING]",UPDATE_EVENT:"$uiI18n",LOCALE_DIRECTIVE_ALIAS:"uiI18n",DEFAULT_LANG:"en"}),c.service("i18nService",["$log","i18nConstants","$rootScope",function(a,b,c){var d={_langs:{},current:null,get:function(a){return this._langs[a.toLowerCase()]},add:function(a,b){var c=a.toLowerCase();this._langs[c]||(this._langs[c]={}),angular.extend(this._langs[c],b)},getAllLangs:function(){var a=[];if(!this._langs)return a;for(var b in this._langs)a.push(b);return a},setCurrent:function(a){this.current=a.toLowerCase()},getCurrentLang:function(){return this.current}},e={add:function(a,b){"object"==typeof a?angular.forEach(a,function(a){a&&d.add(a,b)}):d.add(a,b)},getAllLangs:function(){return d.getAllLangs()},get:function(a){var b=a?a:e.getCurrentLang();return d.get(b)},getSafeText:function(a,c){var f=c?c:e.getCurrentLang(),g=d.get(f);if(!g)return b.MISSING;for(var h=a.split("."),i=g,j=0;jf?0===g?new d(a,c[h]):new d(e[g-1],c[h]):new d(a,c[h])},f.prototype.getRowColRight=function(a,b){var c=this.getFocusableCols(),e=this.getFocusableRows(),f=c.indexOf(b),g=e.indexOf(a);-1===f&&(f=0);var h=f===c.length-1?0:f+1;return f>h?g===e.length-1?new d(a,c[h]):new d(e[g+1],c[h]):new d(a,c[h])},f.prototype.getRowColDown=function(a,b){var c=this.getFocusableCols(),e=this.getFocusableRows(),f=c.indexOf(b),g=e.indexOf(a);return-1===f&&(f=0),g===e.length-1?new d(a,c[f]):new d(e[g+1],c[f])},f.prototype.getRowColPageDown=function(a,b){var c=this.getFocusableCols(),e=this.getFocusableRows(),f=c.indexOf(b),g=e.indexOf(a);-1===f&&(f=0);var h=this.bodyContainer.minRowsToRender();return g>=e.length-h?new d(e[e.length-1],c[f]):new d(e[g+h],c[f])},f.prototype.getRowColUp=function(a,b){var c=this.getFocusableCols(),e=this.getFocusableRows(),f=c.indexOf(b),g=e.indexOf(a);return-1===f&&(f=0),0===g?new d(a,c[f]):new d(e[g-1],c[f])},f.prototype.getRowColPageUp=function(a,b){var c=this.getFocusableCols(),e=this.getFocusableRows(),f=c.indexOf(b),g=e.indexOf(a);-1===f&&(f=0);var h=this.bodyContainer.minRowsToRender();return 0>g-h?new d(e[0],c[f]):new d(e[g-h],c[f])},f}]),a.service("uiGridCellNavService",["gridUtil","uiGridConstants","uiGridCellNavConstants","$q","uiGridCellNavFactory","GridRowColumn","ScrollEvent",function(a,b,c,d,e,f,g){var h={initializeGrid:function(a){a.registerColumnBuilder(h.cellNavColumnBuilder),a.cellNav={},a.cellNav.lastRowCol=null,a.cellNav.focusedCells=[],h.defaultGridOptions(a.options);var b={events:{cellNav:{navigate:function(a,b){},viewPortKeyDown:function(a,b){},viewPortKeyPress:function(a,b){}}},methods:{cellNav:{scrollToFocus:function(b,c){return h.scrollToFocus(a,b,c)},getFocusedCell:function(){return a.cellNav.lastRowCol},getCurrentSelection:function(){return a.cellNav.focusedCells},rowColSelectIndex:function(b){for(var c=-1,d=0;db&&(c+=a.drawnWidth)});var e=0===d?0:(d+1)/a.renderContainers.body.visibleColumnCache.length;return c+=b.drawnWidth*e}};return h}]),a.directive("uiGridCellnav",["gridUtil","uiGridCellNavService","uiGridCellNavConstants","uiGridConstants","GridRowColumn","$timeout","$compile",function(a,b,c,d,e,f,g){return{replace:!0,priority:-150,require:"^uiGrid",scope:!1,controller:function(){},compile:function(){return{pre:function(a,f,g,h){var i=a,j=h.grid;b.initializeGrid(j),h.cellNav={},h.cellNav.makeRowCol=function(a){return a instanceof e||(a=new e(a.row,a.col)),a},h.cellNav.getActiveCell=function(){var a=f[0].getElementsByClassName("ui-grid-cell-focus");return a.length>0?a[0]:void 0},h.cellNav.broadcastCellNav=j.cellNav.broadcastCellNav=function(a,b,d){b=!(void 0===b||!b),a=h.cellNav.makeRowCol(a),h.cellNav.broadcastFocus(a,b,d),i.$broadcast(c.CELL_NAV_EVENT,a,b,d)},h.cellNav.clearFocus=j.cellNav.clearFocus=function(){j.cellNav.focusedCells=[],i.$broadcast(c.CELL_NAV_EVENT)},h.cellNav.broadcastFocus=function(a,b,c){b=!(void 0===b||!b),a=h.cellNav.makeRowCol(a);var d=a.row,f=a.col,g=h.grid.api.cellNav.rowColSelectIndex(a);if(null===j.cellNav.lastRowCol||-1===g){var i=new e(d,f);null!==j.cellNav.lastRowCol&&j.cellNav.lastRowCol.row===i.row&&j.cellNav.lastRowCol.col===i.col||(j.api.cellNav.raise.navigate(i,j.cellNav.lastRowCol),j.cellNav.lastRowCol=i),h.grid.options.modifierKeysToMultiSelectCells&&b?j.cellNav.focusedCells.push(a):j.cellNav.focusedCells=[a]}else j.options.modifierKeysToMultiSelectCells&&b&&g>=0&&j.cellNav.focusedCells.splice(g,1)},h.cellNav.handleKeyDown=function(a){var e=b.getDirection(a);if(null===e)return null;var f="body";a.uiGridTargetRenderContainerId&&(f=a.uiGridTargetRenderContainerId);var g=h.grid.api.cellNav.getFocusedCell();if(g){var i=h.grid.renderContainers[f].cellNav.getNextRowCol(e,g.row,g.col),k=h.grid.renderContainers[f].cellNav.getFocusableCols(),l=h.grid.api.cellNav.rowColSelectIndex(i);return e===c.direction.LEFT&&i.col===k[k.length-1]&&i.row===g.row&&a.keyCode===d.keymap.TAB&&a.shiftKey?(j.cellNav.focusedCells.splice(l,1),h.cellNav.clearFocus(),!0):e!==c.direction.RIGHT||i.col!==k[0]||i.row!==g.row||a.keyCode!==d.keymap.TAB||a.shiftKey?(j.scrollToIfNecessary(i.row,i.col).then(function(){h.cellNav.broadcastCellNav(i)}),a.stopPropagation(),a.preventDefault(),!1):(j.cellNav.focusedCells.splice(l,1),h.cellNav.clearFocus(),!0)}}},post:function(a,b,d,e){function f(){var d='
             
            ',e=g(d)(a);b.prepend(e),a.$on(c.CELL_NAV_EVENT,function(a,b,c,d){function f(a){a!==e.text()&&(e[0].style.clip="rect(0px,0px,0px,0px)",e[0].innerHTML="",e[0].style.visibility="hidden",e[0].style.visibility="visible",""!==a&&(e[0].style.clip="auto",e[0].appendChild(document.createTextNode(a+" ")),e[0].style.visibility="hidden",e[0].style.visibility="visible"))}if(!d||"focus"!==d.type){for(var g=[],i=h.api.cellNav.getCurrentSelection(),j=0;j
            ')(b);d.append(o),o.on("focus",function(a){a.uiGridTargetRenderContainerId=m;var b=j.grid.api.cellNav.getFocusedCell();null===b&&(b=j.grid.renderContainers[m].cellNav.getNextRowCol(g.direction.DOWN,null,null),b.row&&b.col&&j.cellNav.broadcastCellNav(b))}),l.setAriaActivedescendant=function(a){d.attr("aria-activedescendant",a)},l.removeAriaActivedescendant=function(a){d.attr("aria-activedescendant")===a&&d.attr("aria-activedescendant","")},j.focus=function(){c.focus.byElement(o[0])};var p=null;o.on("keydown",function(a){a.uiGridTargetRenderContainerId=m;var b=j.grid.api.cellNav.getFocusedCell(),c=j.cellNav.handleKeyDown(a);null===c&&(j.grid.api.cellNav.raise.viewPortKeyDown(a,b),p=b)}),o.on("keypress",function(b){p&&(a(function(){j.grid.api.cellNav.raise.viewPortKeyPress(b,p)},4),p=null)}),b.$on("$destroy",function(){o.off()})}}}}}}}]),a.directive("uiGridViewport",["$timeout","$document","gridUtil","uiGridConstants","uiGridCellNavService","uiGridCellNavConstants","$log","$compile",function(a,b,c,d,e,f,g,h){return{replace:!0,priority:-99999,require:["^uiGrid","^uiGridRenderContainer","?^uiGridCellnav"],scope:!1,compile:function(){return{pre:function(a,b,c,d){},post:function(a,b,c,d){var e=d[0],f=d[1];if(e.grid.api.cellNav){var g=f.containerId;if("body"===g){var h=e.grid;h.api.core.on.scrollBegin(a,function(a){var b=e.grid.api.cellNav.getFocusedCell();null!==b&&f.colContainer.containsColumn(b.col)&&e.cellNav.clearFocus()}),h.api.core.on.scrollEnd(a,function(a){var b=e.grid.api.cellNav.getFocusedCell();null!==b&&f.colContainer.containsColumn(b.col)&&e.cellNav.broadcastCellNav(b)}),h.api.cellNav.on.navigate(a,function(){e.focus()})}}}}}}}]),a.directive("uiGridCell",["$timeout","$document","uiGridCellNavService","gridUtil","uiGridCellNavConstants","uiGridConstants","GridRowColumn",function(a,b,c,d,e,f,g){return{priority:-150,restrict:"A",require:["^uiGrid","?^uiGridCellnav"],scope:!1,link:function(a,b,c,d){function f(a){a.preventDefault()}function h(){if(!a.focused){var c=b.find("div");c.addClass("ui-grid-cell-focus"),b.attr("aria-selected",!0),k.setAriaActivedescendant(b.attr("id")),a.focused=!0}}function i(){if(a.focused){var c=b.find("div");c.removeClass("ui-grid-cell-focus"),b.attr("aria-selected",!1),k.removeAriaActivedescendant(b.attr("id")),a.focused=!1}}var j=d[0],k=d[1];if(j.grid.api.cellNav&&a.col.colDef.allowCellFocus){var l=j.grid;a.focused=!1,b.attr("tabindex",-1),b.find("div").on("click",function(b){j.cellNav.broadcastCellNav(new g(a.row,a.col),b.ctrlKey||b.metaKey,b),b.stopPropagation(),a.$apply()}),b.on("mousedown",f), -j.grid.api.edit&&(j.grid.api.edit.on.beginCellEdit(a,function(){b.off("mousedown",f)}),j.grid.api.edit.on.afterCellEdit(a,function(){b.on("mousedown",f)}),j.grid.api.edit.on.cancelCellEdit(a,function(){b.on("mousedown",f)})),b.on("focus",function(b){j.cellNav.broadcastCellNav(new g(a.row,a.col),!1,b),b.stopPropagation(),a.$apply()}),a.$on(e.CELL_NAV_EVENT,function(b,c,d){var e=l.cellNav.focusedCells.some(function(b,c){return b.row===a.row&&b.col===a.col});e?h():i()}),a.$on("$destroy",function(){b.find("div").off(),b.off()})}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.edit",["ui.grid"]);a.constant("uiGridEditConstants",{EDITABLE_CELL_TEMPLATE:/EDITABLE_CELL_TEMPLATE/g,EDITABLE_CELL_DIRECTIVE:/editable_cell_directive/g,events:{BEGIN_CELL_EDIT:"uiGridEventBeginCellEdit",END_CELL_EDIT:"uiGridEventEndCellEdit",CANCEL_CELL_EDIT:"uiGridEventCancelCellEdit"}}),a.service("uiGridEditService",["$q","uiGridConstants","gridUtil",function(a,b,c){var d={initializeGrid:function(a){d.defaultGridOptions(a.options),a.registerColumnBuilder(d.editColumnBuilder),a.edit={};var b={events:{edit:{afterCellEdit:function(a,b,c,d){},beginCellEdit:function(a,b,c){},cancelCellEdit:function(a,b){}}},methods:{edit:{}}};a.api.registerEventsFromObject(b.events)},defaultGridOptions:function(a){a.cellEditableCondition=void 0===a.cellEditableCondition?!0:a.cellEditableCondition,a.enableCellEditOnFocus=void 0===a.enableCellEditOnFocus?!1:a.enableCellEditOnFocus},editColumnBuilder:function(b,d,e){var f=[];return b.enableCellEdit=void 0===b.enableCellEdit?void 0===e.enableCellEdit?"object"!==b.type:e.enableCellEdit:b.enableCellEdit,b.cellEditableCondition=void 0===b.cellEditableCondition?e.cellEditableCondition:b.cellEditableCondition,b.enableCellEdit&&(b.editableCellTemplate=b.editableCellTemplate||e.editableCellTemplate||"ui-grid/cellEditor",f.push(c.getTemplate(b.editableCellTemplate).then(function(a){d.editableCellTemplate=a},function(a){throw new Error("Couldn't fetch/use colDef.editableCellTemplate '"+b.editableCellTemplate+"'")}))),b.enableCellEditOnFocus=void 0===b.enableCellEditOnFocus?e.enableCellEditOnFocus:b.enableCellEditOnFocus,a.all(f)},isStartEditKey:function(a){return!(a.metaKey||a.keyCode===b.keymap.ESC||a.keyCode===b.keymap.SHIFT||a.keyCode===b.keymap.CTRL||a.keyCode===b.keymap.ALT||a.keyCode===b.keymap.WIN||a.keyCode===b.keymap.CAPSLOCK||a.keyCode===b.keymap.LEFT||a.keyCode===b.keymap.TAB&&a.shiftKey||a.keyCode===b.keymap.RIGHT||a.keyCode===b.keymap.TAB||a.keyCode===b.keymap.UP||a.keyCode===b.keymap.ENTER&&a.shiftKey||a.keyCode===b.keymap.DOWN||a.keyCode===b.keymap.ENTER)}};return d}]),a.directive("uiGridEdit",["gridUtil","uiGridEditService",function(a,b){return{replace:!0,priority:0,require:"^uiGrid",scope:!1,compile:function(){return{pre:function(a,c,d,e){b.initializeGrid(e.grid)},post:function(a,b,c,d){}}}}}]),a.directive("uiGridViewport",["uiGridEditConstants",function(a){return{replace:!0,priority:-99998,require:["^uiGrid","^uiGridRenderContainer"],scope:!1,compile:function(){return{post:function(b,c,d,e){var f=e[0];if(f.grid.api.edit&&f.grid.api.cellNav){var g=e[1].containerId;"body"===g&&(b.$on(a.events.CANCEL_CELL_EDIT,function(){f.focus()}),b.$on(a.events.END_CELL_EDIT,function(){f.focus()}))}}}}}}]),a.directive("uiGridCell",["$compile","$injector","$timeout","uiGridConstants","uiGridEditConstants","gridUtil","$parse","uiGridEditService","$rootScope","$q",function(a,b,c,d,e,f,g,h,i,j){var k=500;if(b.has("uiGridCellNavService")){b.get("uiGridCellNavService")}return{priority:-100,restrict:"A",scope:!1,require:"?^uiGrid",link:function(b,l,m,n){function o(){l.on("dblclick",u),l.on("touchstart",p),n&&n.grid.api.cellNav&&(G=n.grid.api.cellNav.on.viewPortKeyDown(b,function(a,c){null!==c&&(c.row!==b.row||c.col!==b.col||b.col.colDef.enableCellEditOnFocus||s(a))}),F=n.grid.api.cellNav.on.navigate(b,function(a,d){b.col.colDef.enableCellEditOnFocus&&(d&&a.row===d.row&&a.col===d.col||a.row!==b.row||a.col!==b.col||c(function(){u()}))})),b.beginEditEventsWired=!0}function p(a){"undefined"!=typeof a.originalEvent&&void 0!==a.originalEvent&&(a=a.originalEvent),l.on("touchend",q),C=c(function(){},k),C.then(function(){setTimeout(u,0),l.off("touchend",q)})}function q(a){c.cancel(C),l.off("touchend",q)}function r(){l.off("dblclick",u),l.off("keydown",s),l.off("touchstart",p),F(),G(),b.beginEditEventsWired=!1}function s(a){h.isStartEditKey(a)&&u(a)}function t(a,c){return!c.isSaving&&(angular.isFunction(a.colDef.cellEditableCondition)?a.colDef.cellEditableCondition(b):a.colDef.cellEditableCondition)}function u(a){b.grid.api.core.scrollToIfNecessary(b.row,b.col).then(function(){v(a)})}function v(h){if(!E&&t(b.col,b.row)){B=g(b.row.getQualifiedColField(b.col)),A=B(b),z=b.col.editableCellTemplate,z=b.col.colDef.editModelField?z.replace(d.MODEL_COL_FIELD,f.preEval("row.entity."+b.col.colDef.editModelField)):z.replace(d.MODEL_COL_FIELD,b.row.getQualifiedColField(b.col)),z=z.replace(d.COL_FIELD,"grid.getCellValue(row, col)");var k=b.col.colDef.editDropdownFilter?"|"+b.col.colDef.editDropdownFilter:"";z=z.replace(d.CUSTOM_FILTERS,k);var m="text";switch(b.col.colDef.type){case"boolean":m="checkbox";break;case"number":m="number";break;case"date":m="date"}z=z.replace("INPUT_TYPE",m);var n=b.col.colDef.editDropdownOptionsFunction;if(n)j.when(n(b.row.entity,b.col.colDef)).then(function(a){b.editDropdownOptionsArray=a});else{var o=b.col.colDef.editDropdownRowEntityOptionsArrayPath;o?b.editDropdownOptionsArray=y(b.row.entity,o):b.editDropdownOptionsArray=b.col.colDef.editDropdownOptionsArray}b.editDropdownIdLabel=b.col.colDef.editDropdownIdLabel?b.col.colDef.editDropdownIdLabel:"id",b.editDropdownValueLabel=b.col.colDef.editDropdownValueLabel?b.col.colDef.editDropdownValueLabel:"value";var p=function(){E=!0,r();var c=angular.element(z);l.append(c),D=b.$new(),a(c)(D);var d=angular.element(l.children()[0]);d.addClass("ui-grid-cell-contents-hidden")};i.$$phase?p():b.$apply(p);var q=b.col.grid.api.core.on.scrollBegin(b,function(){b.grid.disableScrolling||(w(),b.grid.api.edit.raise.afterCellEdit(b.row.entity,b.col.colDef,B(b),A),q(),s(),u())}),s=b.$on(e.events.END_CELL_EDIT,function(){w(),b.grid.api.edit.raise.afterCellEdit(b.row.entity,b.col.colDef,B(b),A),s(),q(),u()}),u=b.$on(e.events.CANCEL_CELL_EDIT,function(){x(),u(),q(),s()});b.$broadcast(e.events.BEGIN_CELL_EDIT,h),c(function(){b.grid.api.edit.raise.beginCellEdit(b.row.entity,b.col.colDef,h)})}}function w(){if(b.grid.disableScrolling=!1,E){n&&n.grid.api.cellNav&&n.focus();var a=angular.element(l.children()[0]);D.$destroy(),angular.element(l.children()[1]).remove(),a.removeClass("ui-grid-cell-contents-hidden"),E=!1,o(),b.grid.api.core.notifyDataChange(d.dataChange.EDIT)}}function x(){b.grid.disableScrolling=!1,E&&(B.assign(b,A),b.$apply(),b.grid.api.edit.raise.cancelCellEdit(b.row.entity,b.col.colDef),w())}function y(a,b){b=b.replace(/\[(\w+)\]/g,".$1"),b=b.replace(/^\./,"");for(var c=b.split(".");c.length;){var d=c.shift();if(!(d in a))return;a=a[d]}return a}var z,A,B,C,D,E=!1;if(b.col.colDef.enableCellEdit){var F=function(){},G=function(){},H=function(){b.col.colDef.enableCellEdit&&b.row.enableCellEdit!==!1?b.beginEditEventsWired||o():b.beginEditEventsWired&&r()};H();var I=b.$watch("row",function(a,b){a!==b&&H()});b.$on("$destroy",I)}}}}]),a.directive("uiGridEditor",["gridUtil","uiGridConstants","uiGridEditConstants","$timeout","uiGridEditService",function(a,b,c,d,e){return{scope:!0,require:["?^uiGrid","?^uiGridRenderContainer","ngModel"],compile:function(){return{pre:function(a,b,c){},post:function(a,f,g,h){var i,j,k;h[0]&&(i=h[0]),h[1]&&(j=h[1]),h[2]&&(k=h[2]),a.$on(c.events.BEGIN_CELL_EDIT,function(b,c){if(d(function(){if(f[0].focus(),f[0].select&&a.col.colDef.enableCellEditOnFocus||!i||!i.grid.api.cellNav)f[0].select();else try{f[0].setSelectionRange(f[0].value.length,f[0].value.length)}catch(b){}}),i&&i.grid.api.cellNav)var g=i.grid.api.cellNav.on.viewPortKeyPress(a,function(a,b){e.isStartEditKey(a)&&(k.$setViewValue(String.fromCharCode("number"==typeof a.which?a.which:a.keyCode),a),k.$render()),g()});f.on("blur",function(b){a.stopEdit(b)})}),a.deepEdit=!1,a.stopEdit=function(b){a.inputForm&&!a.inputForm.$valid?(b.stopPropagation(),a.$emit(c.events.CANCEL_CELL_EDIT)):a.$emit(c.events.END_CELL_EDIT),a.deepEdit=!1},f.on("click",function(b){"checkbox"!==f[0].type&&(a.deepEdit=!0,d(function(){a.grid.disableScrolling=!0}))}),f.on("keydown",function(d){switch(d.keyCode){case b.keymap.ESC:d.stopPropagation(),a.$emit(c.events.CANCEL_CELL_EDIT)}if(!a.deepEdit||d.keyCode!==b.keymap.LEFT&&d.keyCode!==b.keymap.RIGHT&&d.keyCode!==b.keymap.UP&&d.keyCode!==b.keymap.DOWN)if(i&&i.grid.api.cellNav)d.uiGridTargetRenderContainerId=j.containerId,null!==i.cellNav.handleKeyDown(d)&&a.stopEdit(d);else switch(d.keyCode){case b.keymap.ENTER:case b.keymap.TAB:d.stopPropagation(),d.preventDefault(),a.stopEdit(d)}else d.stopPropagation();return!0})}}}}}]),a.directive("uiGridEditor",["$filter",function(a){function b(a){if("undefined"==typeof a||""===a)return null;var b=a.split("-");if(3!==b.length)return null;var c=parseInt(b[0],10),d=parseInt(b[1],10),e=parseInt(b[2],10);return 1>d||1>c||1>e?null:new Date(c,d-1,e)}return{priority:-100,require:"?ngModel",link:function(c,d,e,f){2===angular.version.minor&&e.type&&"date"===e.type&&f&&(f.$formatters.push(function(b){return f.$setValidity(null,!b||!isNaN(b.getTime())),a("date")(b,"yyyy-MM-dd")}),f.$parsers.push(function(a){if(a&&a.length>0){var c=b(a);return f.$setValidity(null,c&&!isNaN(c.getTime())),c}return f.$setValidity(null,!0),null}))}}}]),a.directive("uiGridEditDropdown",["uiGridConstants","uiGridEditConstants",function(a,b){return{require:["?^uiGrid","?^uiGridRenderContainer"],scope:!0,compile:function(){return{pre:function(a,b,c){},post:function(c,d,e,f){var g=f[0],h=f[1];c.$on(b.events.BEGIN_CELL_EDIT,function(){d[0].focus(),d[0].style.width=d[0].parentElement.offsetWidth-1+"px",d.on("blur",function(a){c.stopEdit(a)})}),c.stopEdit=function(a){c.$emit(b.events.END_CELL_EDIT)},d.on("keydown",function(d){switch(d.keyCode){case a.keymap.ESC:d.stopPropagation(),c.$emit(b.events.CANCEL_CELL_EDIT)}if(g&&g.grid.api.cellNav)d.uiGridTargetRenderContainerId=h.containerId,null!==g.cellNav.handleKeyDown(d)&&c.stopEdit(d);else switch(d.keyCode){case a.keymap.ENTER:case a.keymap.TAB:d.stopPropagation(),d.preventDefault(),c.stopEdit(d)}return!0})}}}}}]),a.directive("uiGridEditFileChooser",["gridUtil","uiGridConstants","uiGridEditConstants","$timeout",function(a,b,c,d){return{scope:!0,require:["?^uiGrid","?^uiGridRenderContainer"],compile:function(){return{pre:function(a,b,c){},post:function(b,d,e,f){var g,h;f[0]&&(g=f[0]),f[1]&&(h=f[1]);var i=(g.grid,function(d){var e=d.srcElement||d.target;e&&e.files&&e.files.length>0?("function"==typeof b.col.colDef.editFileChooserCallback?b.col.colDef.editFileChooserCallback(b.row,b.col,e.files):a.logError("You need to set colDef.editFileChooserCallback to use the file chooser"),e.form.reset(),b.$emit(c.events.END_CELL_EDIT)):b.$emit(c.events.CANCEL_CELL_EDIT)});d[0].addEventListener("change",i,!1),b.$on(c.events.BEGIN_CELL_EDIT,function(){d[0].focus(),d[0].select(),d.on("blur",function(a){b.$emit(c.events.END_CELL_EDIT)})})}}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.expandable",["ui.grid"]);a.service("uiGridExpandableService",["gridUtil","$compile",function(a,b){var c={initializeGrid:function(b){b.expandable={},b.expandable.expandedAll=!1,b.options.enableExpandable=b.options.enableExpandable!==!1,b.options.expandableRowHeight=b.options.expandableRowHeight||150,b.options.expandableRowHeaderWidth=b.options.expandableRowHeaderWidth||40,b.options.enableExpandable&&!b.options.expandableRowTemplate&&(a.logError("You have not set the expandableRowTemplate, disabling expandable module"),b.options.enableExpandable=!1);var d={events:{expandable:{rowExpandedBeforeStateChanged:function(a,b){},rowExpandedStateChanged:function(a,b){}}},methods:{expandable:{toggleRowExpansion:function(a){var d=b.getRow(a);null!==d&&c.toggleRowExpansion(b,d)},expandAllRows:function(){c.expandAllRows(b)},collapseAllRows:function(){c.collapseAllRows(b)},toggleAllRows:function(){c.toggleAllRows(b)},expandRow:function(a){var d=b.getRow(a);null===d||d.isExpanded||c.toggleRowExpansion(b,d)},collapseRow:function(a){var d=b.getRow(a);null!==d&&d.isExpanded&&c.toggleRowExpansion(b,d)},getExpandedRows:function(){return c.getExpandedRows(b).map(function(a){return a.entity})}}}};b.api.registerEventsFromObject(d.events),b.api.registerMethodsFromObject(d.methods)},toggleRowExpansion:function(a,b){a.api.expandable.raise.rowExpandedBeforeStateChanged(b),b.isExpanded=!b.isExpanded,angular.isUndefined(b.expandedRowHeight)&&(b.expandedRowHeight=a.options.expandableRowHeight),b.isExpanded?b.height=b.grid.options.rowHeight+b.expandedRowHeight:(b.height=b.grid.options.rowHeight,a.expandable.expandedAll=!1),a.api.expandable.raise.rowExpandedStateChanged(b)},expandAllRows:function(a,b){a.renderContainers.body.visibleRowCache.forEach(function(b){b.isExpanded||c.toggleRowExpansion(a,b)}),a.expandable.expandedAll=!0,a.queueGridRefresh()},collapseAllRows:function(a){a.renderContainers.body.visibleRowCache.forEach(function(b){b.isExpanded&&c.toggleRowExpansion(a,b)}),a.expandable.expandedAll=!1,a.queueGridRefresh()},toggleAllRows:function(a){a.expandable.expandedAll?c.collapseAllRows(a):c.expandAllRows(a)},getExpandedRows:function(a){return a.rows.filter(function(a){return a.isExpanded})}};return c}]),a.directive("uiGridExpandable",["uiGridExpandableService","$templateCache",function(a,b){return{replace:!0,priority:0,require:"^uiGrid",scope:!1,compile:function(){return{pre:function(c,d,e,f){if(f.grid.options.enableExpandableRowHeader!==!1){var g={name:"expandableButtons",displayName:"",exporterSuppressExport:!0,enableColumnResizing:!1,enableColumnMenu:!1,width:f.grid.options.expandableRowHeaderWidth||40};g.cellTemplate=b.get("ui-grid/expandableRowHeader"),g.headerCellTemplate=b.get("ui-grid/expandableTopRowHeader"),f.grid.addRowHeaderColumn(g)}a.initializeGrid(f.grid)},post:function(a,b,c,d){}}}}}]),a.directive("uiGrid",["uiGridExpandableService","$templateCache",function(a,b){return{replace:!0,priority:599,require:"^uiGrid",scope:!1,compile:function(){return{pre:function(a,b,c,d){d.grid.api.core.on.renderingComplete(a,function(){a.row&&a.row.grid&&a.row.grid.options&&a.row.grid.options.enableExpandable&&(d.grid.parentRow=a.row)})},post:function(a,b,c,d){}}}}}]),a.directive("uiGridExpandableRow",["uiGridExpandableService","$timeout","$compile","uiGridConstants","gridUtil","$interval","$log",function(a,b,c,d,e,f,g){return{replace:!1,priority:0,scope:!1,compile:function(){return{pre:function(a,b,d,f){e.getTemplate(a.grid.options.expandableRowTemplate).then(function(d){if(a.grid.options.expandableRowScope){var e=a.grid.options.expandableRowScope;for(var f in e)e.hasOwnProperty(f)&&(a[f]=e[f])}var g=c(d)(a);b.append(g),a.row.expandedRendered=!0})},post:function(a,b,c,d){a.$on("$destroy",function(){a.row.expandedRendered=!1})}}}}}]),a.directive("uiGridRow",["$compile","gridUtil","$templateCache",function(a,b,c){return{priority:-200,scope:!1,compile:function(a,b){return{pre:function(a,b,c,d){a.expandableRow={},a.expandableRow.shouldRenderExpand=function(){var b="body"===a.colContainer.name&&a.grid.options.enableExpandable!==!1&&a.row.isExpanded&&(!a.grid.isScrollingVertically||a.row.expandedRendered);return b},a.expandableRow.shouldRenderFiller=function(){var b=a.row.isExpanded&&("body"!==a.colContainer.name||a.grid.isScrollingVertically&&!a.row.expandedRendered);return b}},post:function(a,b,c,d){}}}}}]),a.directive("uiGridViewport",["$compile","gridUtil","$templateCache",function(a,b,c){return{priority:-200,scope:!1,compile:function(a,b){var d=angular.element(a.children().children()[0]),e=c.get("ui-grid/expandableScrollFiller"),f=c.get("ui-grid/expandableRow");return d.append(f),d.append(e),{pre:function(a,b,c,d){},post:function(a,b,c,d){}}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.exporter",["ui.grid"]);a.constant("uiGridExporterConstants",{featureName:"exporter",ALL:"all",VISIBLE:"visible",SELECTED:"selected",CSV_CONTENT:"CSV_CONTENT",BUTTON_LABEL:"BUTTON_LABEL",FILE_NAME:"FILE_NAME"}),a.service("uiGridExporterService",["$q","uiGridExporterConstants","gridUtil","$compile","$interval","i18nService",function(a,b,c,d,e,f){var g={delay:100,initializeGrid:function(a){a.exporter={},this.defaultGridOptions(a.options);var b={events:{exporter:{}},methods:{exporter:{csvExport:function(b,c){g.csvExport(a,b,c)},pdfExport:function(b,c){g.pdfExport(a,b,c)}}}};a.api.registerEventsFromObject(b.events),a.api.registerMethodsFromObject(b.methods),a.api.core.addToGridMenu?g.addToMenu(a):e(function(){a.api.core.addToGridMenu&&g.addToMenu(a)},this.delay,1)},defaultGridOptions:function(a){a.exporterSuppressMenu=a.exporterSuppressMenu===!0,a.exporterMenuLabel=a.exporterMenuLabel?a.exporterMenuLabel:"Export",a.exporterSuppressColumns=a.exporterSuppressColumns?a.exporterSuppressColumns:[],a.exporterCsvColumnSeparator=a.exporterCsvColumnSeparator?a.exporterCsvColumnSeparator:",",a.exporterCsvFilename=a.exporterCsvFilename?a.exporterCsvFilename:"download.csv",a.exporterPdfFilename=a.exporterPdfFilename?a.exporterPdfFilename:"download.pdf",a.exporterOlderExcelCompatibility=a.exporterOlderExcelCompatibility===!0,a.exporterPdfDefaultStyle=a.exporterPdfDefaultStyle?a.exporterPdfDefaultStyle:{fontSize:11},a.exporterPdfTableStyle=a.exporterPdfTableStyle?a.exporterPdfTableStyle:{margin:[0,5,0,15]},a.exporterPdfTableHeaderStyle=a.exporterPdfTableHeaderStyle?a.exporterPdfTableHeaderStyle:{bold:!0,fontSize:12,color:"black"},a.exporterPdfHeader=a.exporterPdfHeader?a.exporterPdfHeader:null,a.exporterPdfFooter=a.exporterPdfFooter?a.exporterPdfFooter:null,a.exporterPdfOrientation=a.exporterPdfOrientation?a.exporterPdfOrientation:"landscape",a.exporterPdfPageSize=a.exporterPdfPageSize?a.exporterPdfPageSize:"A4",a.exporterPdfMaxGridWidth=a.exporterPdfMaxGridWidth?a.exporterPdfMaxGridWidth:720,a.exporterMenuAllData=void 0!==a.exporterMenuAllData?a.exporterMenuAllData:!0,a.exporterMenuVisibleData=void 0!==a.exporterMenuVisibleData?a.exporterMenuVisibleData:!0,a.exporterMenuSelectedData=void 0!==a.exporterMenuSelectedData?a.exporterMenuSelectedData:!0,a.exporterMenuCsv=void 0!==a.exporterMenuCsv?a.exporterMenuCsv:!0,a.exporterMenuPdf=void 0!==a.exporterMenuPdf?a.exporterMenuPdf:!0,a.exporterPdfCustomFormatter=a.exporterPdfCustomFormatter&&"function"==typeof a.exporterPdfCustomFormatter?a.exporterPdfCustomFormatter:function(a){return a},a.exporterHeaderFilterUseName=a.exporterHeaderFilterUseName===!0,a.exporterFieldCallback=a.exporterFieldCallback?a.exporterFieldCallback:function(a,b,c,d){return d},a.exporterAllDataFn=a.exporterAllDataFn?a.exporterAllDataFn:null,null==a.exporterAllDataFn&&a.exporterAllDataPromise&&(a.exporterAllDataFn=a.exporterAllDataPromise)},addToMenu:function(a){a.api.core.addToGridMenu(a,[{title:f.getSafeText("gridMenu.exporterAllAsCsv"),action:function(a){this.grid.api.exporter.csvExport(b.ALL,b.ALL)},shown:function(){return this.grid.options.exporterMenuCsv&&this.grid.options.exporterMenuAllData},order:200},{title:f.getSafeText("gridMenu.exporterVisibleAsCsv"),action:function(a){this.grid.api.exporter.csvExport(b.VISIBLE,b.VISIBLE)},shown:function(){return this.grid.options.exporterMenuCsv&&this.grid.options.exporterMenuVisibleData},order:201},{title:f.getSafeText("gridMenu.exporterSelectedAsCsv"),action:function(a){this.grid.api.exporter.csvExport(b.SELECTED,b.VISIBLE)},shown:function(){return this.grid.options.exporterMenuCsv&&this.grid.options.exporterMenuSelectedData&&this.grid.api.selection&&this.grid.api.selection.getSelectedRows().length>0},order:202},{title:f.getSafeText("gridMenu.exporterAllAsPdf"),action:function(a){this.grid.api.exporter.pdfExport(b.ALL,b.ALL)},shown:function(){return this.grid.options.exporterMenuPdf&&this.grid.options.exporterMenuAllData},order:203},{title:f.getSafeText("gridMenu.exporterVisibleAsPdf"),action:function(a){this.grid.api.exporter.pdfExport(b.VISIBLE,b.VISIBLE)},shown:function(){return this.grid.options.exporterMenuPdf&&this.grid.options.exporterMenuVisibleData},order:204},{title:f.getSafeText("gridMenu.exporterSelectedAsPdf"),action:function(a){this.grid.api.exporter.pdfExport(b.SELECTED,b.VISIBLE)},shown:function(){return this.grid.options.exporterMenuPdf&&this.grid.options.exporterMenuSelectedData&&this.grid.api.selection&&this.grid.api.selection.getSelectedRows().length>0},order:205}])},csvExport:function(a,b,c){var d=this;this.loadAllDataIfNeeded(a,b,c).then(function(){var e=a.options.showHeader?d.getColumnHeaders(a,c):[],f=d.getData(a,b,c),g=d.formatAsCsv(e,f,a.options.exporterCsvColumnSeparator);d.downloadFile(a.options.exporterCsvFilename,g,a.options.exporterOlderExcelCompatibility)})},loadAllDataIfNeeded:function(c,d,e){if(d===b.ALL&&c.rows.length!==c.options.totalItems&&c.options.exporterAllDataFn)return c.options.exporterAllDataFn().then(function(){c.modifyRows(c.options.data)});var f=a.defer();return f.resolve(),f.promise},getColumnHeaders:function(a,c){var d,e=[];if(c===b.ALL)d=a.columns;else{var f=a.renderContainers.left?a.renderContainers.left.visibleColumnCache.filter(function(a){return a.visible}):[],g=a.renderContainers.body?a.renderContainers.body.visibleColumnCache.filter(function(a){return a.visible}):[],h=a.renderContainers.right?a.renderContainers.right.visibleColumnCache.filter(function(a){return a.visible}):[];d=f.concat(g,h)}return d.forEach(function(b,c){b.colDef.exporterSuppressExport!==!0&&-1===a.options.exporterSuppressColumns.indexOf(b.name)&&e.push({name:b.field,displayName:a.options.exporterHeaderFilter?a.options.exporterHeaderFilterUseName?a.options.exporterHeaderFilter(b.name):a.options.exporterHeaderFilter(b.displayName):b.displayName,width:b.drawnWidth?b.drawnWidth:b.width,align:"number"===b.colDef.type?"right":"left"})}),e},getData:function(a,d,e,f){var g,h,i=[];switch(d){case b.ALL:g=a.rows;break;case b.VISIBLE:g=a.getVisibleRows();break;case b.SELECTED:a.api.selection?g=a.api.selection.getSelectedGridRows():c.logError("selection feature must be enabled to allow selected rows to be exported")}if(e===b.ALL)h=a.columns;else{var j=a.renderContainers.left?a.renderContainers.left.visibleColumnCache.filter(function(a){return a.visible}):[],k=a.renderContainers.body?a.renderContainers.body.visibleColumnCache.filter(function(a){return a.visible}):[],l=a.renderContainers.right?a.renderContainers.right.visibleColumnCache.filter(function(a){return a.visible}):[];h=j.concat(k,l)}return g.forEach(function(c,d){if(c.exporterEnableExporting!==!1){var g=[];h.forEach(function(d,h){if((d.visible||e===b.ALL)&&d.colDef.exporterSuppressExport!==!0&&-1===a.options.exporterSuppressColumns.indexOf(d.name)){var i=f?a.getCellDisplayValue(c,d):a.getCellValue(c,d),j={value:a.options.exporterFieldCallback(a,c,d,i)};d.colDef.exporterPdfAlign&&(j.alignment=d.colDef.exporterPdfAlign),g.push(j)}}),i.push(g)}}),i},formatAsCsv:function(a,b,c){var d=this,e=a.map(function(a){return{value:a.displayName}}),f=e.length>0?d.formatRowAsCsv(this,c)(e)+"\n":"";return f+=b.map(this.formatRowAsCsv(this,c)).join("\n")},formatRowAsCsv:function(a,b){return function(c){return c.map(a.formatFieldAsCsv).join(b)}},formatFieldAsCsv:function(a){return null==a.value?"":"number"==typeof a.value?a.value:"boolean"==typeof a.value?a.value?"TRUE":"FALSE":"string"==typeof a.value?'"'+a.value.replace(/"/g,'""')+'"':JSON.stringify(a.value)},isIE:function(){var a=navigator.userAgent.search(/(?:Edge|MSIE|Trident\/.*; rv:)/),b=!1;return-1!==a&&(b=!0),b},downloadFile:function(a,b,c){var d,e,f=document,g=f.createElement("a"),h="application/octet-stream;charset=utf-8";if(e=this.isIE(),e&&10>e){var i=f.createElement("iframe");return document.body.appendChild(i),i.contentWindow.document.open("text/html","replace"),i.contentWindow.document.write("sep=,\r\n"+b),i.contentWindow.document.close(),i.contentWindow.focus(),i.contentWindow.document.execCommand("SaveAs",!0,a),document.body.removeChild(i),!0}if(navigator.msSaveBlob)return navigator.msSaveOrOpenBlob(new Blob([c?"\ufeff":"",b],{type:h}),a);if("download"in g){var j=new Blob([c?"\ufeff":"",b],{type:h});d=URL.createObjectURL(j),g.setAttribute("download",a)}else d="data:"+h+","+encodeURIComponent(b),g.setAttribute("target","_blank");g.href=d,g.setAttribute("style","display:none;"),f.body.appendChild(g),setTimeout(function(){if(g.click)g.click();else if(document.createEvent){var a=document.createEvent("MouseEvents");a.initEvent("click",!0,!0),g.dispatchEvent(a)}f.body.removeChild(g)},this.delay)},pdfExport:function(a,b,c){var d=this;this.loadAllDataIfNeeded(a,b,c).then(function(){var e=d.getColumnHeaders(a,c),f=d.getData(a,b,c),g=d.prepareAsPdf(a,e,f);d.isIE()||-1!==navigator.appVersion.indexOf("Edge")?d.downloadPDF(a.options.exporterPdfFilename,g):pdfMake.createPdf(g).open()})},downloadPDF:function(a,b){var c,d=document;d.createElement("a");c=this.isIE();var e,f=pdfMake.createPdf(b);f.getBuffer(function(b){if(e=new Blob([b]),navigator.msSaveBlob)return navigator.msSaveBlob(e,a);if(c){var f=d.createElement("iframe");return document.body.appendChild(f),f.contentWindow.document.open("text/html","replace"),f.contentWindow.document.write(e),f.contentWindow.document.close(),f.contentWindow.focus(),f.contentWindow.document.execCommand("SaveAs",!0,a),document.body.removeChild(f),!0}})},prepareAsPdf:function(a,b,c){var d=this.calculatePdfHeaderWidths(a,b),e=b.map(function(a){return{text:a.displayName,style:"tableHeader"}}),f=c.map(this.formatRowAsPdf(this)),g=[e].concat(f),h={pageOrientation:a.options.exporterPdfOrientation,pageSize:a.options.exporterPdfPageSize,content:[{style:"tableStyle",table:{headerRows:1,widths:d,body:g}}],styles:{tableStyle:a.options.exporterPdfTableStyle,tableHeader:a.options.exporterPdfTableHeaderStyle},defaultStyle:a.options.exporterPdfDefaultStyle};return a.options.exporterPdfLayout&&(h.layout=a.options.exporterPdfLayout),a.options.exporterPdfHeader&&(h.header=a.options.exporterPdfHeader),a.options.exporterPdfFooter&&(h.footer=a.options.exporterPdfFooter),a.options.exporterPdfCustomFormatter&&(h=a.options.exporterPdfCustomFormatter(h)),h},calculatePdfHeaderWidths:function(a,b){var c=0;b.forEach(function(a){"number"==typeof a.width&&(c+=a.width)});var d=0;b.forEach(function(a){if("*"===a.width&&(d+=100),"string"==typeof a.width&&a.width.match(/(\d)*%/)){var b=parseInt(a.width.match(/(\d)*%/)[0]);a.width=c*b/100,d+=a.width}});var e=c+d;return b.map(function(b){return"*"===b.width?b.width:b.width*a.options.exporterPdfMaxGridWidth/e})},formatRowAsPdf:function(a){return function(b){return b.map(a.formatFieldAsPdfString)}},formatFieldAsPdfString:function(a){var b;return b=null==a.value?"":"number"==typeof a.value?a.value.toString():"boolean"==typeof a.value?a.value?"TRUE":"FALSE":"string"==typeof a.value?a.value.replace(/"/g,'""'):JSON.stringify(a.value).replace(/^"/,"").replace(/"$/,""),a.alignment&&"string"==typeof a.alignment&&(b={text:b,alignment:a.alignment}),b}};return g}]),a.directive("uiGridExporter",["uiGridExporterConstants","uiGridExporterService","gridUtil","$compile",function(a,b,c,d){return{replace:!0,priority:0,require:"^uiGrid",scope:!1,link:function(a,c,d,e){b.initializeGrid(e.grid),e.grid.exporter.$scope=a}}}])}(),function(){"use strict";var a=angular.module("ui.grid.grouping",["ui.grid","ui.grid.treeBase"]);a.constant("uiGridGroupingConstants",{featureName:"grouping",rowHeaderColName:"treeBaseRowHeaderCol",EXPANDED:"expanded",COLLAPSED:"collapsed",aggregation:{COUNT:"count",SUM:"sum",MAX:"max",MIN:"min",AVG:"avg"}}),a.service("uiGridGroupingService",["$q","uiGridGroupingConstants","gridUtil","rowSorter","GridRow","gridClassFactory","i18nService","uiGridConstants","uiGridTreeBaseService",function(a,b,c,d,e,f,g,h,i){var j={initializeGrid:function(a,b){i.initializeGrid(a,b),a.grouping={},a.grouping.groupHeaderCache={},j.defaultGridOptions(a.options),a.registerRowsProcessor(j.groupRows,400),a.registerColumnBuilder(j.groupingColumnBuilder),a.registerColumnsProcessor(j.groupingColumnProcessor,400);var c={events:{grouping:{aggregationChanged:{},groupingChanged:{}}},methods:{grouping:{getGrouping:function(b){var c=j.getGrouping(a);return c.grouping.forEach(function(a){a.colName=a.col.name,delete a.col}),c.aggregations.forEach(function(a){a.colName=a.col.name,delete a.col}),c.aggregations=c.aggregations.filter(function(a){return!a.aggregation.source||"grouping"!==a.aggregation.source}),b&&(c.rowExpandedStates=j.getRowExpandedStates(a.grouping.groupingHeaderCache)),c},setGrouping:function(b){j.setGrouping(a,b)},groupColumn:function(b){var c=a.getColumn(b);j.groupColumn(a,c)},ungroupColumn:function(b){var c=a.getColumn(b);j.ungroupColumn(a,c)},clearGrouping:function(){j.clearGrouping(a)},aggregateColumn:function(b,c,d){var e=a.getColumn(b);j.aggregateColumn(a,e,c,d)}}}};a.api.registerEventsFromObject(c.events),a.api.registerMethodsFromObject(c.methods),a.api.core.on.sortChanged(b,j.tidyPriorities)},defaultGridOptions:function(a){a.enableGrouping=a.enableGrouping!==!1,a.groupingShowCounts=a.groupingShowCounts!==!1,a.groupingNullLabel="undefined"==typeof a.groupingNullLabel?"Null":a.groupingNullLabel,a.enableGroupHeaderSelection=a.enableGroupHeaderSelection===!0},groupingColumnBuilder:function(a,d,e){if(a.enableGrouping!==!1){"undefined"==typeof d.grouping&&"undefined"!=typeof a.grouping?(d.grouping=angular.copy(a.grouping),"undefined"!=typeof d.grouping.groupPriority&&d.grouping.groupPriority>-1&&(d.treeAggregationFn=i.nativeAggregations()[b.aggregation.COUNT].aggregationFn,d.treeAggregationFinalizerFn=j.groupedFinalizerFn)):"undefined"==typeof d.grouping&&(d.grouping={}),"undefined"!=typeof d.grouping&&"undefined"!=typeof d.grouping.groupPriority&&d.grouping.groupPriority>=0&&(d.suppressRemoveSort=!0);var f={name:"ui.grid.grouping.group",title:g.get().grouping.group,icon:"ui-grid-icon-indent-right",shown:function(){return"undefined"==typeof this.context.col.grouping||"undefined"==typeof this.context.col.grouping.groupPriority||this.context.col.grouping.groupPriority<0},action:function(){j.groupColumn(this.context.col.grid,this.context.col)}},h={name:"ui.grid.grouping.ungroup",title:g.get().grouping.ungroup,icon:"ui-grid-icon-indent-left",shown:function(){return"undefined"!=typeof this.context.col.grouping&&"undefined"!=typeof this.context.col.grouping.groupPriority&&this.context.col.grouping.groupPriority>=0},action:function(){j.ungroupColumn(this.context.col.grid,this.context.col)}},k={name:"ui.grid.grouping.aggregateRemove",title:g.get().grouping.aggregate_remove,shown:function(){return"undefined"!=typeof this.context.col.treeAggregationFn},action:function(){j.aggregateColumn(this.context.col.grid,this.context.col,null)}},l=function(a,b){b=b||g.get().grouping["aggregate_"+a]||a;var e={name:"ui.grid.grouping.aggregate"+a,title:b,shown:function(){return"undefined"==typeof this.context.col.treeAggregation||"undefined"==typeof this.context.col.treeAggregation.type||this.context.col.treeAggregation.type!==a},action:function(){j.aggregateColumn(this.context.col.grid,this.context.col,a)}};c.arrayContainsObjectWithProperty(d.menuItems,"name","ui.grid.grouping.aggregate"+a)||d.menuItems.push(e)};d.colDef.groupingShowGroupingMenu!==!1&&(c.arrayContainsObjectWithProperty(d.menuItems,"name","ui.grid.grouping.group")||d.menuItems.push(f),c.arrayContainsObjectWithProperty(d.menuItems,"name","ui.grid.grouping.ungroup")||d.menuItems.push(h)),d.colDef.groupingShowAggregationMenu!==!1&&(angular.forEach(i.nativeAggregations(),function(a,b){l(b)}),angular.forEach(e.treeCustomAggregations,function(a,b){l(b,a.menuTitle)}),c.arrayContainsObjectWithProperty(d.menuItems,"name","ui.grid.grouping.aggregateRemove")||d.menuItems.push(k))}},groupingColumnProcessor:function(a,b){return a=j.moveGroupColumns(this,a,b)},groupedFinalizerFn:function(a){var b=this;"undefined"!=typeof a.groupVal?(a.rendered=a.groupVal, -b.grid.options.groupingShowCounts&&"date"!==b.colDef.type&&(a.rendered+=" ("+a.value+")")):a.rendered=null},moveGroupColumns:function(a,b,c){return a.options.moveGroupColumns===!1?b:(b.forEach(function(a,b){a.groupingPosition=b}),b.sort(function(a,b){var c,d;return c=a.isRowHeader?-1e3:"undefined"==typeof a.grouping||"undefined"==typeof a.grouping.groupPriority||a.grouping.groupPriority<0?null:a.grouping.groupPriority,d=b.isRowHeader?-1e3:"undefined"==typeof b.grouping||"undefined"==typeof b.grouping.groupPriority||b.grouping.groupPriority<0?null:b.grouping.groupPriority,null!==c&&null===d?-1:null!==d&&null===c?1:null!==c&&null!==d?c-d:a.groupingPosition-b.groupingPosition}),b.forEach(function(a,b){delete a.groupingPosition}),b)},groupColumn:function(a,c){"undefined"==typeof c.grouping&&(c.grouping={});var d=j.getGrouping(a);c.grouping.groupPriority=d.grouping.length,c.sort?"undefined"!=typeof c.sort.direction&&null!==c.sort.direction||(c.sort.direction=h.ASC):c.sort={direction:h.ASC},c.treeAggregation={type:b.aggregation.COUNT,source:"grouping"},c.treeAggregationFn=i.nativeAggregations()[b.aggregation.COUNT].aggregationFn,c.treeAggregationFinalizerFn=j.groupedFinalizerFn,a.api.grouping.raise.groupingChanged(c),a.api.core.raise.sortChanged(a,a.getColumnSorting()),a.queueGridRefresh()},ungroupColumn:function(a,b){"undefined"!=typeof b.grouping&&(delete b.grouping.groupPriority,delete b.treeAggregation,delete b.customTreeAggregationFinalizer,j.tidyPriorities(a),a.api.grouping.raise.groupingChanged(b),a.queueGridRefresh())},aggregateColumn:function(a,b,c){"undefined"!=typeof b.grouping&&"undefined"!=typeof b.grouping.groupPriority&&b.grouping.groupPriority>=0&&j.ungroupColumn(a,b);var d={};"undefined"!=typeof a.options.treeCustomAggregations[c]?d=a.options.treeCustomAggregations[c]:"undefined"!=typeof i.nativeAggregations()[c]&&(d=i.nativeAggregations()[c]),b.treeAggregation={type:c,label:g.get().aggregation[d.label]||d.label},b.treeAggregationFn=d.aggregationFn,b.treeAggregationFinalizerFn=d.finalizerFn,a.api.grouping.raise.aggregationChanged(b),a.queueGridRefresh()},setGrouping:function(a,b){"undefined"!=typeof b&&(j.clearGrouping(a),b.grouping&&b.grouping.length&&b.grouping.length>0&&b.grouping.forEach(function(b){var c=a.getColumn(b.colName);c&&j.groupColumn(a,c)}),b.aggregations&&b.aggregations.length&&b.aggregations.forEach(function(b){var c=a.getColumn(b.colName);c&&j.aggregateColumn(a,c,b.aggregation.type)}),b.rowExpandedStates&&j.applyRowExpandedStates(a.grouping.groupingHeaderCache,b.rowExpandedStates))},clearGrouping:function(a){var b=j.getGrouping(a);b.grouping.length>0&&b.grouping.forEach(function(b){b.col||(b.col=a.getColumn(b.colName)),j.ungroupColumn(a,b.col)}),b.aggregations.length>0&&b.aggregations.forEach(function(b){b.col||(b.col=a.getColumn(b.colName)),j.aggregateColumn(a,b.col,null)})},tidyPriorities:function(a){"undefined"!=typeof a&&"undefined"==typeof a.grid||"undefined"==typeof this.grid||(a=this.grid);var b=[],c=[];a.columns.forEach(function(a,d){"undefined"!=typeof a.grouping&&"undefined"!=typeof a.grouping.groupPriority&&a.grouping.groupPriority>=0?b.push(a):"undefined"!=typeof a.sort&&"undefined"!=typeof a.sort.priority&&a.sort.priority>=0&&c.push(a)}),b.sort(function(a,b){return a.grouping.groupPriority-b.grouping.groupPriority}),b.forEach(function(a,b){a.grouping.groupPriority=b,a.suppressRemoveSort=!0,"undefined"==typeof a.sort&&(a.sort={}),a.sort.priority=b});var d=b.length;c.sort(function(a,b){return a.sort.priority-b.sort.priority}),c.forEach(function(a,b){a.sort.priority=d,a.suppressRemoveSort=a.colDef.suppressRemoveSort,d++})},groupRows:function(a){if(0===a.length)return a;var b=this;b.grouping.oldGroupingHeaderCache=b.grouping.groupingHeaderCache||{},b.grouping.groupingHeaderCache={};for(var c=j.initialiseProcessingState(b),e=function(e,h){var i=b.getCellValue(g,e.col);e.initialised&&0===d.getSortFn(b,e.col,a)(i,e.currentValue)||(j.insertGroupHeader(b,a,f,c,h),f++)},f=0;f=0&&b.push({field:a.field,col:a,groupPriority:a.grouping.groupPriority,grouping:a.grouping}),a.treeAggregation&&a.treeAggregation.type&&c.push({field:a.field,col:a,aggregation:a.treeAggregation})}),b.sort(function(a,b){return a.groupPriority-b.groupPriority}),b.forEach(function(a,b){a.grouping.groupPriority=b,a.groupPriority=b,delete a.grouping}),{grouping:b,aggregations:c}},insertGroupHeader:function(a,b,c,d,g){var h=(d[g].fieldName,d[g].col),i=a.getCellValue(b[c],h),k=i;"undefined"!=typeof i&&null!==i||(k=a.options.groupingNullLabel);for(var l=function(a){return angular.isObject(a)?JSON.stringify(a):a},m=a.grouping.oldGroupingHeaderCache,n=0;g>n;n++)m&&m[l(d[n].currentValue)]&&(m=m[l(d[n].currentValue)].children);var o;for(m&&m[l(i)]?(o=m[l(i)].row,o.entity={}):(o=new e({},null,a),f.rowTemplateAssigner.call(a,o)),o.entity["$$"+d[g].col.uid]={groupVal:k},o.treeLevel=g,o.groupHeader=!0,o.internalRow=!0,o.enableCellEdit=!1,o.enableSelection=a.options.enableGroupHeaderSelection,d[g].initialised=!0,d[g].currentValue=i,d[g].currentRow=o,j.finaliseProcessingState(d,g+1),b.splice(c,0,o),m=a.grouping.groupingHeaderCache,n=0;g>n;n++)m=m[l(d[n].currentValue)].children;m[l(i)]={row:o,children:{}}},finaliseProcessingState:function(a,b){for(var c=b;c 1 or < 1 file choosers within the menu item, error, cannot continue"):h[0].addEventListener("change",g,!1)}}}])}(),function(){"use strict";var a=angular.module("ui.grid.infiniteScroll",["ui.grid"]);a.service("uiGridInfiniteScrollService",["gridUtil","$compile","$timeout","uiGridConstants","ScrollEvent","$q",function(a,b,c,d,e,f){var g={initializeGrid:function(a,b){if(g.defaultGridOptions(a.options),a.options.enableInfiniteScroll){a.infiniteScroll={dataLoading:!1},g.setScrollDirections(a,a.options.infiniteScrollUp,a.options.infiniteScrollDown),a.api.core.on.scrollEnd(b,g.handleScroll);var c={events:{infiniteScroll:{needLoadMoreData:function(a,b){},needLoadMoreDataTop:function(a,b){}}},methods:{infiniteScroll:{dataLoaded:function(b,c){g.setScrollDirections(a,b,c);var d=g.adjustScroll(a).then(function(){a.infiniteScroll.dataLoading=!1});return d},resetScroll:function(b,c){return g.setScrollDirections(a,b,c),g.adjustInfiniteScrollPosition(a,0)},saveScrollPercentage:function(){a.infiniteScroll.prevScrollTop=a.renderContainers.body.prevScrollTop,a.infiniteScroll.previousVisibleRows=a.getVisibleRowCount()},dataRemovedTop:function(b,c){g.dataRemovedTop(a,b,c)},dataRemovedBottom:function(b,c){g.dataRemovedBottom(a,b,c)},setScrollDirections:function(b,c){g.setScrollDirections(a,b,c)}}}};a.api.registerEventsFromObject(c.events),a.api.registerMethodsFromObject(c.methods)}},defaultGridOptions:function(a){a.enableInfiniteScroll=a.enableInfiniteScroll!==!1,a.infiniteScrollRowsFromEnd=a.infiniteScrollRowsFromEnd||20,a.infiniteScrollUp=a.infiniteScrollUp===!0,a.infiniteScrollDown=a.infiniteScrollDown!==!1},setScrollDirections:function(a,b,c){a.infiniteScroll.scrollUp=b===!0,a.suppressParentScrollUp=b===!0,a.infiniteScroll.scrollDown=c!==!1,a.suppressParentScrollDown=c!==!1},handleScroll:function(a){if(!(a.grid.infiniteScroll&&a.grid.infiniteScroll.dataLoading||"ui.grid.adjustInfiniteScrollPosition"===a.source)&&a.y){var b,c=a.grid.options.infiniteScrollRowsFromEnd/a.grid.renderContainers.body.visibleRowCache.length;a.grid.scrollDirection===d.scrollDirection.UP?(b=a.y.percentage,c>=b&&g.loadData(a.grid)):a.grid.scrollDirection===d.scrollDirection.DOWN&&(b=1-a.y.percentage,c>=b&&g.loadData(a.grid))}},loadData:function(a){a.infiniteScroll.previousVisibleRows=a.renderContainers.body.visibleRowCache.length,a.infiniteScroll.direction=a.scrollDirection,delete a.infiniteScroll.prevScrollTop,a.scrollDirection===d.scrollDirection.UP&&a.infiniteScroll.scrollUp?(a.infiniteScroll.dataLoading=!0,a.api.infiniteScroll.raise.needLoadMoreDataTop()):a.scrollDirection===d.scrollDirection.DOWN&&a.infiniteScroll.scrollDown&&(a.infiniteScroll.dataLoading=!0,a.api.infiniteScroll.raise.needLoadMoreData())},adjustScroll:function(a){var b=f.defer();return c(function(){var e,f,h,i,j;e=a.getViewportHeight()+a.headerHeight-a.renderContainers.body.headerHeight-a.scrollbarHeight,f=a.options.rowHeight,void 0===a.infiniteScroll.direction&&g.adjustInfiniteScrollPosition(a,0),h=a.getVisibleRowCount();var k=f*h;a.infiniteScroll.scrollDown&&e>k&&a.api.infiniteScroll.raise.needLoadMoreData(),a.infiniteScroll.direction===d.scrollDirection.UP&&(i=a.infiniteScroll.prevScrollTop||0,j=i+(h-a.infiniteScroll.previousVisibleRows)*f,g.adjustInfiniteScrollPosition(a,j),c(function(){b.resolve()})),a.infiniteScroll.direction===d.scrollDirection.DOWN&&(j=a.infiniteScroll.prevScrollTop||a.infiniteScroll.previousVisibleRows*f-e,g.adjustInfiniteScrollPosition(a,j),c(function(){b.resolve()}))},0),b.promise},adjustInfiniteScrollPosition:function(a,b){var c=new e(a,null,null,"ui.grid.adjustInfiniteScrollPosition"),d=a.getVisibleRowCount(),f=a.getViewportHeight()+a.headerHeight-a.renderContainers.body.headerHeight-a.scrollbarHeight,g=a.options.rowHeight,h=d*g-f;0===b&&a.infiniteScroll.scrollUp?c.y={percentage:1/h}:c.y={percentage:b/h},a.scrollContainers("",c)},dataRemovedTop:function(a,b,c){var d,e,f,h;return g.setScrollDirections(a,b,c),d=a.renderContainers.body.visibleRowCache.length,e=a.infiniteScroll.prevScrollTop,h=a.options.rowHeight,f=e-(a.infiniteScroll.previousVisibleRows-d)*h,g.adjustInfiniteScrollPosition(a,f)},dataRemovedBottom:function(a,b,c){var d;return g.setScrollDirections(a,b,c),d=a.infiniteScroll.prevScrollTop,g.adjustInfiniteScrollPosition(a,d)}};return g}]),a.directive("uiGridInfiniteScroll",["uiGridInfiniteScrollService",function(a){return{priority:-200,scope:!1,require:"^uiGrid",compile:function(b,c,d){return{pre:function(b,c,d,e){a.initializeGrid(e.grid,b)},post:function(a,b,c){}}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.moveColumns",["ui.grid"]);a.service("uiGridMoveColumnService",["$q","$timeout","$log","ScrollEvent","uiGridConstants","gridUtil",function(a,b,c,d,e,f){var g={initializeGrid:function(a){var b=this;this.registerPublicApi(a),this.defaultGridOptions(a.options),a.moveColumns={orderCache:[]},a.registerColumnBuilder(b.movableColumnBuilder),a.registerDataChangeCallback(b.verifyColumnOrder,[e.dataChange.COLUMN])},registerPublicApi:function(a){var b=this,c={events:{colMovable:{columnPositionChanged:function(a,b,c){}}},methods:{colMovable:{moveColumn:function(c,d){var e=a.columns;if(!angular.isNumber(c)||!angular.isNumber(d))return void f.logError("MoveColumn: Please provide valid values for originalPosition and finalPosition");for(var g=0,h=0;h=e.length-g||d>=e.length-g)return void f.logError("MoveColumn: Invalid values for originalPosition, finalPosition");var i=function(a){for(var b=a,c=0;b>=c;c++)angular.isDefined(e[c])&&(angular.isDefined(e[c].colDef.visible)&&e[c].colDef.visible===!1||e[c].isRowHeader===!0)&&b++;return b};b.redrawColumnAtPosition(a,i(c),i(d))}}}};a.api.registerEventsFromObject(c.events),a.api.registerMethodsFromObject(c.methods)},defaultGridOptions:function(a){a.enableColumnMoving=a.enableColumnMoving!==!1},movableColumnBuilder:function(b,c,d){var e=[];return b.enableColumnMoving=void 0===b.enableColumnMoving?d.enableColumnMoving:b.enableColumnMoving,a.all(e)},updateColumnCache:function(a){a.moveColumns.orderCache=a.getOnlyDataColumns()},verifyColumnOrder:function(a){var b,c=a.rowHeaderColumns.length;angular.forEach(a.moveColumns.orderCache,function(d,e){if(b=a.columns.indexOf(d),-1!==b&&b-c!==e){var f=a.columns.splice(b,1)[0];a.columns.splice(e+c,0,f)}})},redrawColumnAtPosition:function(a,c,d){if(c!==d){var f=a.columns,h=f[c];if(h.colDef.enableColumnMoving){if(c>d)for(var i=c;i>d;i--)f[i]=f[i-1];else if(d>c)for(var j=c;d>j;j++)f[j]=f[j+1];f[d]=h,g.updateColumnCache(a),a.queueGridRefresh(),b(function(){a.api.core.notifyDataChange(e.dataChange.COLUMN),a.api.colMovable.raise.columnPositionChanged(h.colDef,c,d)})}}}};return g}]),a.directive("uiGridMoveColumns",["uiGridMoveColumnService",function(a){return{replace:!0,priority:0,require:"^uiGrid",scope:!1,compile:function(){return{pre:function(b,c,d,e){a.initializeGrid(e.grid)},post:function(a,b,c,d){}}}}}]),a.directive("uiGridHeaderCell",["$q","gridUtil","uiGridMoveColumnService","$document","$log","uiGridConstants","ScrollEvent",function(a,b,c,d,e,f,g){return{priority:-10,require:"^uiGrid",compile:function(){return{post:function(a,b,e,f){if(a.col.colDef.enableColumnMoving){var h,i,j,k,l,m,n=angular.element(b[0].querySelectorAll(".ui-grid-cell-contents")),o=!1,p=!1,q=function(b){h=a.grid.element[0].getBoundingClientRect().left,a.grid.hasLeftContainer()&&(h+=a.grid.renderContainers.left.header[0].getBoundingClientRect().width),i=b.pageX,j=0,k=h+a.grid.getViewportWidth(),"mousedown"===b.type?(d.on("mousemove",r),d.on("mouseup",s)):"touchstart"===b.type&&(d.on("touchmove",r),d.on("touchend",s))},r=function(a){var b=a.pageX-i;0!==b&&(document.onselectstart=function(){return!1},p=!0,o?o&&(w(b),i=a.pageX):v())},s=function(b){if(document.onselectstart=null,l&&(l.remove(),o=!1),u(),t(),p){for(var d=a.grid.columns,e=0,f=0;fj){var h,i=0;if(a.grid.isRTL()){for(h=e+1;hMath.abs(j))){c.redrawColumnAtPosition(a.grid,e,h-1);break}}else for(h=e-1;h>=0;h--)if((angular.isUndefined(d[h].colDef.visible)||d[h].colDef.visible===!0)&&(i+=d[h].drawnWidth||d[h].width||d[h].colDef.width,i>Math.abs(j))){c.redrawColumnAtPosition(a.grid,e,h+1);break}i0){var k,m=0;if(a.grid.isRTL()){for(k=e-1;k>0;k--)if((angular.isUndefined(d[k].colDef.visible)||d[k].colDef.visible===!0)&&(m+=d[k].drawnWidth||d[k].width||d[k].colDef.width,m>j)){c.redrawColumnAtPosition(a.grid,e,k);break}}else for(k=e+1;kj)){c.redrawColumnAtPosition(a.grid,e,k-1);break}j>m&&(g=d.length-1,a.grid.isRTL()&&(g=0),c.redrawColumnAtPosition(a.grid,e,g))}}},t=function(){n.on("touchstart",q),n.on("mousedown",q)},u=function(){n.off("touchstart",q),n.off("mousedown",q),d.off("mousemove",r),d.off("touchmove",r),d.off("mouseup",s),d.off("touchend",s)};t();var v=function(){o=!0,l=b.clone(),b.parent().append(l),l.addClass("movingColumn");var c={};c.left=b[0].offsetLeft+"px";var d=a.grid.element[0].getBoundingClientRect().right,e=b[0].getBoundingClientRect().right;e>d&&(m=a.col.drawnWidth+(d-e),c.width=m+"px"),l.css(c)},w=function(b){for(var c=a.grid.columns,d=0,e=0;ei?i:k,(n>=h||b>0)&&(k>=o||0>b))l.css({visibility:"visible",left:l[0].offsetLeft+(k>i?b:k-n)+"px"});else if(d>Math.ceil(f.grid.gridWidth)){b*=8;var p=new g(a.col.grid,null,null,"uiGridHeaderCell.moveElement");p.x={pixels:b},p.grid.scrollContainers("",p)}for(var q=0,r=0;r0?a.options.paginationCurrentPage=Math.min(a.options.paginationCurrentPage+1,c.methods.pagination.getTotalPages()):a.options.paginationCurrentPage++)},previousPage:function(){a.options.enablePagination&&(a.options.paginationCurrentPage=Math.max(a.options.paginationCurrentPage-1,1))},seek:function(b){if(a.options.enablePagination){if(!angular.isNumber(b)||1>b)throw"Invalid page number: "+b;a.options.paginationCurrentPage=Math.min(b,c.methods.pagination.getTotalPages())}}}}};a.api.registerEventsFromObject(c.events),a.api.registerMethodsFromObject(c.methods);var d=function(b){if(a.options.useExternalPagination||!a.options.enablePagination)return b;var c=parseInt(a.options.paginationPageSize,10),d=parseInt(a.options.paginationCurrentPage,10),e=b.filter(function(a){return a.visible});a.options.totalItems=e.length;var f=(d-1)*c;return f>e.length&&(d=a.options.paginationCurrentPage=1,f=(d-1)*c),e.slice(f,f+c)};a.registerRowsProcessor(d,900)},defaultGridOptions:function(b){b.enablePagination=b.enablePagination!==!1,b.enablePaginationControls=b.enablePaginationControls!==!1,b.useExternalPagination=b.useExternalPagination===!0,a.isNullOrUndefined(b.totalItems)&&(b.totalItems=0),a.isNullOrUndefined(b.paginationPageSizes)&&(b.paginationPageSizes=[250,500,1e3]),a.isNullOrUndefined(b.paginationPageSize)&&(b.paginationPageSizes.length>0?b.paginationPageSize=b.paginationPageSizes[0]:b.paginationPageSize=0),a.isNullOrUndefined(b.paginationCurrentPage)&&(b.paginationCurrentPage=1),a.isNullOrUndefined(b.paginationTemplate)&&(b.paginationTemplate="ui-grid/pagination")},onPaginationChanged:function(a,b,c){a.api.pagination.raise.paginationChanged(b,c),a.options.useExternalPagination||a.queueGridRefresh()}};return b}]),a.directive("uiGridPagination",["gridUtil","uiGridPaginationService",function(a,b){return{priority:-200,scope:!1,require:"uiGrid",link:{pre:function(c,d,e,f){b.initializeGrid(f.grid),a.getTemplate(f.grid.options.paginationTemplate).then(function(a){var b=angular.element(a);d.append(b),f.innerCompile(b)})}}}}]),a.directive("uiGridPager",["uiGridPaginationService","uiGridConstants","gridUtil","i18nService",function(a,b,c,d){return{priority:-200,scope:!0,require:"^uiGrid",link:function(e,f,g,h){var i=".ui-grid-pager-control-input";e.aria=d.getSafeText("pagination.aria"),e.paginationApi=h.grid.api.pagination,e.sizesLabel=d.getSafeText("pagination.sizes"),e.totalItemsLabel=d.getSafeText("pagination.totalItems"),e.paginationOf=d.getSafeText("pagination.of"),e.paginationThrough=d.getSafeText("pagination.through");var j=h.grid.options;h.grid.renderContainers.body.registerViewportAdjuster(function(a){return a.height=a.height-c.elementHeight(f,"padding"),a});var k=h.grid.registerDataChangeCallback(function(a){a.options.useExternalPagination||(a.options.totalItems=a.rows.length)},[b.dataChange.ROW]);e.$on("$destroy",k);var l=function(){e.showingLow=(j.paginationCurrentPage-1)*j.paginationPageSize+1,e.showingHigh=Math.min(j.paginationCurrentPage*j.paginationPageSize,j.totalItems)},m=e.$watch("grid.options.totalItems + grid.options.paginationPageSize",l),n=e.$watch("grid.options.paginationCurrentPage + grid.options.paginationPageSize",function(b,c){if(b!==c&&void 0!==c){if(!angular.isNumber(j.paginationCurrentPage)||j.paginationCurrentPage<1)return void(j.paginationCurrentPage=1);if(j.totalItems>0&&j.paginationCurrentPage>e.paginationApi.getTotalPages())return void(j.paginationCurrentPage=e.paginationApi.getTotalPages());l(),a.onPaginationChanged(e.grid,j.paginationCurrentPage,j.paginationPageSize)}});e.$on("$destroy",function(){m(),n()}),e.cantPageForward=function(){return j.totalItems>0?j.paginationCurrentPage>=e.paginationApi.getTotalPages():j.data.length<1},e.cantPageToLast=function(){return j.totalItems>0?e.cantPageForward():!0},e.cantPageBackward=function(){return j.paginationCurrentPage<=1};var o=function(a){a&&c.focus.bySelector(f,i)};e.pageFirstPageClick=function(){e.paginationApi.seek(1),o(e.cantPageBackward())},e.pagePreviousPageClick=function(){e.paginationApi.previousPage(),o(e.cantPageBackward())},e.pageNextPageClick=function(){e.paginationApi.nextPage(),o(e.cantPageForward())},e.pageLastPageClick=function(){e.paginationApi.seek(e.paginationApi.getTotalPages()),o(e.cantPageToLast())}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.pinning",["ui.grid"]);a.constant("uiGridPinningConstants",{container:{LEFT:"left",RIGHT:"right",NONE:""}}),a.service("uiGridPinningService",["gridUtil","GridRenderContainer","i18nService","uiGridPinningConstants",function(a,b,c,d){var e={initializeGrid:function(a){e.defaultGridOptions(a.options),a.registerColumnBuilder(e.pinningColumnBuilder);var b={events:{pinning:{columnPinned:function(a,b){}}},methods:{pinning:{pinColumn:function(b,c){e.pinColumn(a,b,c)}}}};a.api.registerEventsFromObject(b.events),a.api.registerMethodsFromObject(b.methods)},defaultGridOptions:function(a){a.enablePinning=a.enablePinning!==!1},pinningColumnBuilder:function(b,f,g){if(b.enablePinning=void 0===b.enablePinning?g.enablePinning:b.enablePinning,b.pinnedLeft?(f.renderContainer="left",f.grid.createLeftContainer()):b.pinnedRight&&(f.renderContainer="right",f.grid.createRightContainer()),b.enablePinning){var h={name:"ui.grid.pinning.pinLeft",title:c.get().pinning.pinLeft,icon:"ui-grid-icon-left-open",shown:function(){return"undefined"==typeof this.context.col.renderContainer||!this.context.col.renderContainer||"left"!==this.context.col.renderContainer},action:function(){e.pinColumn(this.context.col.grid,this.context.col,d.container.LEFT)}},i={name:"ui.grid.pinning.pinRight",title:c.get().pinning.pinRight,icon:"ui-grid-icon-right-open",shown:function(){return"undefined"==typeof this.context.col.renderContainer||!this.context.col.renderContainer||"right"!==this.context.col.renderContainer},action:function(){e.pinColumn(this.context.col.grid,this.context.col,d.container.RIGHT)}},j={name:"ui.grid.pinning.unpin",title:c.get().pinning.unpin,icon:"ui-grid-icon-cancel",shown:function(){return"undefined"!=typeof this.context.col.renderContainer&&null!==this.context.col.renderContainer&&"body"!==this.context.col.renderContainer},action:function(){e.pinColumn(this.context.col.grid,this.context.col,d.container.NONE)}};a.arrayContainsObjectWithProperty(f.menuItems,"name","ui.grid.pinning.pinLeft")||f.menuItems.push(h),a.arrayContainsObjectWithProperty(f.menuItems,"name","ui.grid.pinning.pinRight")||f.menuItems.push(i),a.arrayContainsObjectWithProperty(f.menuItems,"name","ui.grid.pinning.unpin")||f.menuItems.push(j)}},pinColumn:function(a,b,c){c===d.container.NONE?(b.renderContainer=null,b.colDef.pinnedLeft=b.colDef.pinnedRight=!1):(b.renderContainer=c,c===d.container.LEFT?a.createLeftContainer():c===d.container.RIGHT&&a.createRightContainer()),a.refresh().then(function(){a.api.pinning.raise.columnPinned(b.colDef,c)})}};return e}]),a.directive("uiGridPinning",["gridUtil","uiGridPinningService",function(a,b){return{require:"uiGrid",scope:!1,compile:function(){return{pre:function(a,c,d,e){b.initializeGrid(e.grid)},post:function(a,b,c,d){}}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.resizeColumns",["ui.grid"]);a.service("uiGridResizeColumnsService",["gridUtil","$q","$timeout",function(a,b,c){var d={defaultGridOptions:function(a){a.enableColumnResizing=a.enableColumnResizing!==!1,a.enableColumnResize===!1&&(a.enableColumnResizing=!1)},colResizerColumnBuilder:function(a,c,d){var e=[];return a.enableColumnResizing=void 0===a.enableColumnResizing?d.enableColumnResizing:a.enableColumnResizing,a.enableColumnResize===!1&&(a.enableColumnResizing=!1),b.all(e)},registerPublicApi:function(a){var b={events:{colResizable:{columnSizeChanged:function(a,b){}}}};a.api.registerEventsFromObject(b.events)},fireColumnSizeChanged:function(b,d,e){c(function(){b.api.colResizable?b.api.colResizable.raise.columnSizeChanged(d,e):a.logError("The resizeable api is not registered, this may indicate that you've included the module but not added the 'ui-grid-resize-columns' directive to your grid definition. Cannot raise any events.")})},findTargetCol:function(a,b,c){var d=a.getRenderContainer();if("left"===b){var e=d.visibleColumnCache.indexOf(a);return d.visibleColumnCache[e-1*c]}return a}};return d}]),a.directive("uiGridResizeColumns",["gridUtil","uiGridResizeColumnsService",function(a,b){return{replace:!0,priority:0,require:"^uiGrid",scope:!1,compile:function(){return{pre:function(a,c,d,e){b.defaultGridOptions(e.grid.options),e.grid.registerColumnBuilder(b.colResizerColumnBuilder),b.registerPublicApi(e.grid)},post:function(a,b,c,d){}}}}}]),a.directive("uiGridHeaderCell",["gridUtil","$templateCache","$compile","$q","uiGridResizeColumnsService","uiGridConstants","$timeout",function(a,b,c,d,e,f,g){return{priority:-10,require:"^uiGrid",compile:function(){return{post:function(a,d,h,i){ -var j=i.grid;if(j.options.enableColumnResizing){var k=b.get("ui-grid/columnResizer"),l=1;j.isRTL()&&(a.position="left",l=-1);var m=function(){for(var b=d[0].getElementsByClassName("ui-grid-column-resizer"),f=0;f
            '),f={priority:0,scope:{col:"=",position:"@",renderIndex:"="},require:"?^uiGrid",link:function(f,g,h,i){function j(a){i.grid.refreshCanvas(!0).then(function(){i.grid.queueGridRefresh()})}function k(a,b){var c=b;return a.minWidth&&ca.maxWidth&&(c=a.maxWidth),c}function l(a,b){a.originalEvent&&(a=a.originalEvent),a.preventDefault(),o=(a.targetTouches?a.targetTouches[0]:a).clientX-p,0>o?o=0:o>i.grid.gridWidth&&(o=i.grid.gridWidth);var g=d.findTargetCol(f.col,f.position,q);if(g.colDef.enableColumnResizing!==!1){i.grid.element.hasClass("column-resizing")||i.grid.element.addClass("column-resizing");var h=o-n,j=parseInt(g.drawnWidth+h*q,10);o+=(k(g,j)-j)*q,e.css({left:o+"px"}),i.fireEvent(c.events.ITEM_DRAGGING)}}function m(a,b){a.originalEvent&&(a=a.originalEvent),a.preventDefault(),i.grid.element.removeClass("column-resizing"),e.remove(),o=(a.changedTouches?a.changedTouches[0]:a).clientX-p;var c=o-n;if(0===c)return t(),void s();var g=d.findTargetCol(f.col,f.position,q);if(g.colDef.enableColumnResizing!==!1){var h=parseInt(g.drawnWidth+c*q,10);g.width=k(g,h),g.hasCustomWidth=!0,j(c),d.fireColumnSizeChanged(i.grid,g.colDef,c),t(),s()}}var n=0,o=0,p=0,q=1;i.grid.isRTL()&&(f.position="left",q=-1),"left"===f.position?g.addClass("left"):"right"===f.position&&g.addClass("right");var r=function(b,c){b.originalEvent&&(b=b.originalEvent),b.stopPropagation(),p=i.grid.element[0].getBoundingClientRect().left,n=(b.targetTouches?b.targetTouches[0]:b).clientX-p,i.grid.element.append(e),e.css({left:n}),"touchstart"===b.type?(a.on("touchend",m),a.on("touchmove",l),g.off("mousedown",r)):(a.on("mouseup",m),a.on("mousemove",l),g.off("touchstart",r))},s=function(){g.on("mousedown",r),g.on("touchstart",r)},t=function(){a.off("mouseup",m),a.off("touchend",m),a.off("mousemove",l),a.off("touchmove",l),g.off("mousedown",r),g.off("touchstart",r)};s();var u=function(a,e){a.stopPropagation();var h=d.findTargetCol(f.col,f.position,q);if(h.colDef.enableColumnResizing!==!1){var l=0,m=0,n=b.closestElm(g,".ui-grid-render-container"),o=n.querySelectorAll("."+c.COL_CLASS_PREFIX+h.uid+" .ui-grid-cell-contents");Array.prototype.forEach.call(o,function(a){var c;angular.element(a).parent().hasClass("ui-grid-header-cell")&&(c=angular.element(a).parent()[0].querySelectorAll(".ui-grid-column-menu-button")),b.fakeElement(a,{},function(a){var d=angular.element(a);d.attr("style","float: left");var e=b.elementWidth(d);if(c){var f=b.elementWidth(c);e+=f}e>l&&(l=e,m=l-e)})}),h.width=k(h,l),h.hasCustomWidth=!0,j(m),d.fireColumnSizeChanged(i.grid,h.colDef,m)}};g.on("dblclick",u),g.on("$destroy",function(){g.off("dblclick",u),t()})}};return f}])}(),function(){"use strict";var a=angular.module("ui.grid.rowEdit",["ui.grid","ui.grid.edit","ui.grid.cellNav"]);a.constant("uiGridRowEditConstants",{}),a.service("uiGridRowEditService",["$interval","$q","uiGridConstants","uiGridRowEditConstants","gridUtil",function(a,b,c,d,e){var f={initializeGrid:function(a,b){b.rowEdit={};var c={events:{rowEdit:{saveRow:function(a){}}},methods:{rowEdit:{setSavePromise:function(a,c){f.setSavePromise(b,a,c)},getDirtyRows:function(){return b.rowEdit.dirtyRows?b.rowEdit.dirtyRows:[]},getErrorRows:function(){return b.rowEdit.errorRows?b.rowEdit.errorRows:[]},flushDirtyRows:function(){return f.flushDirtyRows(b)},setRowsDirty:function(a){f.setRowsDirty(b,a)},setRowsClean:function(a){f.setRowsClean(b,a)}}}};b.api.registerEventsFromObject(c.events),b.api.registerMethodsFromObject(c.methods),b.api.core.on.renderingComplete(a,function(c){b.api.edit.on.afterCellEdit(a,f.endEditCell),b.api.edit.on.beginCellEdit(a,f.beginEditCell),b.api.edit.on.cancelCellEdit(a,f.cancelEditCell),b.api.cellNav&&b.api.cellNav.on.navigate(a,f.navigate)})},defaultGridOptions:function(a){},saveRow:function(a,b){var c=this;return function(){if(b.isSaving=!0,b.rowEditSavePromise)return b.rowEditSavePromise;var d=a.api.rowEdit.raise.saveRow(b.entity);return b.rowEditSavePromise?b.rowEditSavePromise.then(c.processSuccessPromise(a,b),c.processErrorPromise(a,b)):e.logError("A promise was not returned when saveRow event was raised, either nobody is listening to event, or event handler did not return a promise"),d}},setSavePromise:function(a,b,c){var d=a.getRow(b);d.rowEditSavePromise=c},processSuccessPromise:function(a,b){var c=this;return function(){delete b.isSaving,delete b.isDirty,delete b.isError,delete b.rowEditSaveTimer,delete b.rowEditSavePromise,c.removeRow(a.rowEdit.errorRows,b),c.removeRow(a.rowEdit.dirtyRows,b)}},processErrorPromise:function(a,b){return function(){delete b.isSaving,delete b.rowEditSaveTimer,delete b.rowEditSavePromise,b.isError=!0,a.rowEdit.errorRows||(a.rowEdit.errorRows=[]),f.isRowPresent(a.rowEdit.errorRows,b)||a.rowEdit.errorRows.push(b)}},removeRow:function(a,b){"undefined"!=typeof a&&null!==a&&a.forEach(function(c,d){c.uid===b.uid&&a.splice(d,1)})},isRowPresent:function(a,b){var c=!1;return a.forEach(function(a,d){a.uid===b.uid&&(c=!0)}),c},flushDirtyRows:function(a){var c=[];return a.api.rowEdit.getDirtyRows().forEach(function(b){f.saveRow(a,b)(),c.push(b.rowEditSavePromise)}),b.all(c)},endEditCell:function(a,b,c,d){var g=this.grid,h=g.getRow(a);return h?void((c!==d||h.isDirty)&&(g.rowEdit.dirtyRows||(g.rowEdit.dirtyRows=[]),h.isDirty||(h.isDirty=!0,g.rowEdit.dirtyRows.push(h)),delete h.isError,f.considerSetTimer(g,h))):void e.logError("Unable to find rowEntity in grid data, dirty flag cannot be set")},beginEditCell:function(a,b){var c=this.grid,d=c.getRow(a);return d?void f.cancelTimer(c,d):void e.logError("Unable to find rowEntity in grid data, timer cannot be cancelled")},cancelEditCell:function(a,b){var c=this.grid,d=c.getRow(a);return d?void f.considerSetTimer(c,d):void e.logError("Unable to find rowEntity in grid data, timer cannot be set")},navigate:function(a,b){var c=this.grid;a.row.rowEditSaveTimer&&f.cancelTimer(c,a.row),b&&b.row&&b.row!==a.row&&f.considerSetTimer(c,b.row)},considerSetTimer:function(b,c){if(f.cancelTimer(b,c),c.isDirty&&!c.isSaving&&-1!==b.options.rowEditWaitInterval){var d=b.options.rowEditWaitInterval?b.options.rowEditWaitInterval:2e3;c.rowEditSaveTimer=a(f.saveRow(b,c),d,1)}},cancelTimer:function(b,c){c.rowEditSaveTimer&&!c.isSaving&&(a.cancel(c.rowEditSaveTimer),delete c.rowEditSaveTimer)},setRowsDirty:function(a,b){var c;b.forEach(function(b,d){c=a.getRow(b),c?(a.rowEdit.dirtyRows||(a.rowEdit.dirtyRows=[]),c.isDirty||(c.isDirty=!0,a.rowEdit.dirtyRows.push(c)),delete c.isError,f.considerSetTimer(a,c)):e.logError("requested row not found in rowEdit.setRowsDirty, row was: "+b)})},setRowsClean:function(a,b){var c;b.forEach(function(b,d){c=a.getRow(b),c?(delete c.isDirty,f.removeRow(a.rowEdit.dirtyRows,c),f.cancelTimer(a,c),delete c.isError,f.removeRow(a.rowEdit.errorRows,c)):e.logError("requested row not found in rowEdit.setRowsClean, row was: "+b)})}};return f}]),a.directive("uiGridRowEdit",["gridUtil","uiGridRowEditService","uiGridEditConstants",function(a,b,c){return{replace:!0,priority:0,require:"^uiGrid",scope:!1,compile:function(){return{pre:function(a,c,d,e){b.initializeGrid(a,e.grid)},post:function(a,b,c,d){}}}}}]),a.directive("uiGridViewport",["$compile","uiGridConstants","gridUtil","$parse",function(a,b,c,d){return{priority:-200,scope:!1,compile:function(a,b){var c=angular.element(a.children().children()[0]),d=c.attr("ng-class"),e="";return e=d?d.slice(0,-1)+", 'ui-grid-row-dirty': row.isDirty, 'ui-grid-row-saving': row.isSaving, 'ui-grid-row-error': row.isError}":"{'ui-grid-row-dirty': row.isDirty, 'ui-grid-row-saving': row.isSaving, 'ui-grid-row-error': row.isError}",c.attr("ng-class",e),{pre:function(a,b,c,d){},post:function(a,b,c,d){}}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.saveState",["ui.grid","ui.grid.selection","ui.grid.cellNav","ui.grid.grouping","ui.grid.pinning","ui.grid.treeView"]);a.constant("uiGridSaveStateConstants",{featureName:"saveState"}),a.service("uiGridSaveStateService",["$q","uiGridSaveStateConstants","gridUtil","$compile","$interval","uiGridConstants",function(a,b,c,d,e,f){var g={initializeGrid:function(a){a.saveState={},this.defaultGridOptions(a.options);var b={events:{saveState:{}},methods:{saveState:{save:function(){return g.save(a)},restore:function(b,c){g.restore(a,b,c)}}}};a.api.registerEventsFromObject(b.events),a.api.registerMethodsFromObject(b.methods)},defaultGridOptions:function(a){a.saveWidths=a.saveWidths!==!1,a.saveOrder=a.saveOrder!==!1,a.saveScroll=a.saveScroll===!0,a.saveFocus=a.saveScroll!==!0&&a.saveFocus!==!1,a.saveVisible=a.saveVisible!==!1,a.saveSort=a.saveSort!==!1,a.saveFilter=a.saveFilter!==!1,a.saveSelection=a.saveSelection!==!1,a.saveGrouping=a.saveGrouping!==!1,a.saveGroupingExpandedStates=a.saveGroupingExpandedStates===!0,a.savePinning=a.savePinning!==!1,a.saveTreeView=a.saveTreeView!==!1},save:function(a){var b={};return b.columns=g.saveColumns(a),b.scrollFocus=g.saveScrollFocus(a),b.selection=g.saveSelection(a),b.grouping=g.saveGrouping(a),b.treeView=g.saveTreeView(a),b.pagination=g.savePagination(a),b},restore:function(a,b,c){c.columns&&g.restoreColumns(a,c.columns),c.scrollFocus&&g.restoreScrollFocus(a,b,c.scrollFocus),c.selection&&g.restoreSelection(a,c.selection),c.grouping&&g.restoreGrouping(a,c.grouping),c.treeView&&g.restoreTreeView(a,c.treeView),c.pagination&&g.restorePagination(a,c.pagination),a.refresh()},saveColumns:function(a){var b=[];return a.getOnlyDataColumns().forEach(function(c){var d={};d.name=c.name,a.options.saveVisible&&(d.visible=c.visible),a.options.saveWidths&&(d.width=c.width),a.options.saveSort&&(d.sort=angular.copy(c.sort)),a.options.saveFilter&&(d.filters=[],c.filters.forEach(function(a){var b={};angular.forEach(a,function(a,c){"condition"!==c&&"$$hashKey"!==c&&"placeholder"!==c&&(b[c]=a)}),d.filters.push(b)})),a.api.pinning&&a.options.savePinning&&(d.pinned=c.renderContainer?c.renderContainer:""),b.push(d)}),b},saveScrollFocus:function(a){if(!a.api.cellNav)return{};var b={};if(a.options.saveFocus){b.focus=!0;var c=a.api.cellNav.getFocusedCell();null!==c&&(null!==c.col&&(b.colName=c.col.colDef.name),null!==c.row&&(b.rowVal=g.getRowVal(a,c.row)))}return(a.options.saveScroll||a.options.saveFocus&&!b.colName&&!b.rowVal)&&(b.focus=!1,a.renderContainers.body.prevRowScrollIndex&&(b.rowVal=g.getRowVal(a,a.renderContainers.body.visibleRowCache[a.renderContainers.body.prevRowScrollIndex])),a.renderContainers.body.prevColScrollIndex&&(b.colName=a.renderContainers.body.visibleColumnCache[a.renderContainers.body.prevColScrollIndex].name)),b},saveSelection:function(a){if(!a.api.selection||!a.options.saveSelection)return[];var b=a.api.selection.getSelectedGridRows().map(function(b){return g.getRowVal(a,b)});return b},saveGrouping:function(a){return a.api.grouping&&a.options.saveGrouping?a.api.grouping.getGrouping(a.options.saveGroupingExpandedStates):{}},savePagination:function(a){return a.api.pagination&&a.options.paginationPageSize?{paginationCurrentPage:a.options.paginationCurrentPage,paginationPageSize:a.options.paginationPageSize}:{}},saveTreeView:function(a){return a.api.treeView&&a.options.saveTreeView?a.api.treeView.getTreeView():{}},getRowVal:function(a,b){if(!b)return null;var c={};return a.options.saveRowIdentity?(c.identity=!0,c.row=a.options.saveRowIdentity(b.entity)):(c.identity=!1,c.row=a.renderContainers.body.visibleRowCache.indexOf(b)),c},restoreColumns:function(a,b){var c=!1;b.forEach(function(b,d){var e=a.getColumn(b.name);if(e&&!a.isRowHeaderColumn(e)){!a.options.saveVisible||e.visible===b.visible&&e.colDef.visible===b.visible||(e.visible=b.visible,e.colDef.visible=b.visible,a.api.core.raise.columnVisibilityChanged(e)),a.options.saveWidths&&e.width!==b.width&&(e.width=b.width,e.hasCustomWidth=!0),!a.options.saveSort||angular.equals(e.sort,b.sort)||void 0===e.sort&&angular.isEmpty(b.sort)||(e.sort=angular.copy(b.sort),c=!0),a.options.saveFilter&&!angular.equals(e.filters,b.filters)&&(b.filters.forEach(function(a,b){angular.extend(e.filters[b],a),"undefined"!=typeof a.term&&null!==a.term||delete e.filters[b].term}),a.api.core.raise.filterChanged()),a.api.pinning&&a.options.savePinning&&e.renderContainer!==b.pinned&&a.api.pinning.pinColumn(e,b.pinned);var f=a.getOnlyDataColumns().indexOf(e);if(-1!==f&&a.options.saveOrder&&f!==d){var g=a.columns.splice(f+a.rowHeaderColumns.length,1)[0];a.columns.splice(d+a.rowHeaderColumns.length,0,g)}}}),c&&a.api.core.raise.sortChanged(a,a.getColumnSorting())},restoreScrollFocus:function(a,b,c){if(a.api.cellNav){var d,e;if(c.colName){var f=a.options.columnDefs.filter(function(a){return a.name===c.colName});f.length>0&&(d=f[0])}c.rowVal&&c.rowVal.row&&(e=c.rowVal.identity?g.findRowByIdentity(a,c.rowVal):a.renderContainers.body.visibleRowCache[c.rowVal.row]);var h=e&&e.entity?e.entity:null;(d||h)&&(c.focus?a.api.cellNav.scrollToFocus(h,d):a.scrollTo(h,d))}},restoreSelection:function(a,b){a.api.selection&&(a.api.selection.clearSelectedRows(),b.forEach(function(b){if(b.identity){var c=g.findRowByIdentity(a,b);c&&a.api.selection.selectRow(c.entity)}else a.api.selection.selectRowByVisibleIndex(b.row)}))},restoreGrouping:function(a,b){a.api.grouping&&"undefined"!=typeof b&&null!==b&&!angular.equals(b,{})&&a.api.grouping.setGrouping(b)},restoreTreeView:function(a,b){a.api.treeView&&"undefined"!=typeof b&&null!==b&&!angular.equals(b,{})&&a.api.treeView.setTreeView(b)},restorePagination:function(a,b){a.api.pagination&&a.options.paginationPageSize&&(a.options.paginationCurrentPage=b.paginationCurrentPage,a.options.paginationPageSize=b.paginationPageSize)},findRowByIdentity:function(a,b){if(!a.options.saveRowIdentity)return null;var c=a.rows.filter(function(c){return a.options.saveRowIdentity(c.entity)===b.row});return c.length>0?c[0]:null}};return g}]),a.directive("uiGridSaveState",["uiGridSaveStateConstants","uiGridSaveStateService","gridUtil","$compile",function(a,b,c,d){return{replace:!0,priority:0,require:"^uiGrid",scope:!1,link:function(a,c,d,e){b.initializeGrid(e.grid)}}}])}(),function(){"use strict";var a=angular.module("ui.grid.selection",["ui.grid"]);a.constant("uiGridSelectionConstants",{featureName:"selection",selectionRowHeaderColName:"selectionRowHeaderCol"}),angular.module("ui.grid").config(["$provide",function(a){a.decorator("GridRow",["$delegate",function(a){return a.prototype.setSelected=function(a){this.isSelected=a,a?this.grid.selection.selectedCount++:this.grid.selection.selectedCount--},a}])}]),a.service("uiGridSelectionService",["$q","$templateCache","uiGridSelectionConstants","gridUtil",function(a,b,c,d){var e={initializeGrid:function(a){a.selection={},a.selection.lastSelectedRow=null,a.selection.selectAll=!1,a.selection.selectedCount=0,e.defaultGridOptions(a.options);var b={events:{selection:{rowSelectionChanged:function(a,b,c){},rowSelectionChangedBatch:function(a,b,c){}}},methods:{selection:{toggleRowSelection:function(b,c){var d=a.getRow(b);null!==d&&e.toggleRowSelection(a,d,c,a.options.multiSelect,a.options.noUnselect)},selectRow:function(b,c){var d=a.getRow(b);null===d||d.isSelected||e.toggleRowSelection(a,d,c,a.options.multiSelect,a.options.noUnselect)},selectRowByVisibleIndex:function(b,c){var d=a.renderContainers.body.visibleRowCache[b];null===d||"undefined"==typeof d||d.isSelected||e.toggleRowSelection(a,d,c,a.options.multiSelect,a.options.noUnselect)},unSelectRow:function(b,c){var d=a.getRow(b);null!==d&&d.isSelected&&e.toggleRowSelection(a,d,c,a.options.multiSelect,a.options.noUnselect)},selectAllRows:function(b){if(a.options.multiSelect!==!1){var c=[];a.rows.forEach(function(d){d.isSelected||d.enableSelection===!1||(d.setSelected(!0),e.decideRaiseSelectionEvent(a,d,c,b))}),e.decideRaiseSelectionBatchEvent(a,c,b),a.selection.selectAll=!0}},selectAllVisibleRows:function(b){if(a.options.multiSelect!==!1){var c=[];a.rows.forEach(function(d){d.visible?d.isSelected||d.enableSelection===!1||(d.setSelected(!0),e.decideRaiseSelectionEvent(a,d,c,b)):d.isSelected&&(d.setSelected(!1),e.decideRaiseSelectionEvent(a,d,c,b))}),e.decideRaiseSelectionBatchEvent(a,c,b),a.selection.selectAll=!0}},clearSelectedRows:function(b){e.clearSelectedRows(a,b)},getSelectedRows:function(){return e.getSelectedRows(a).map(function(a){return a.entity})},getSelectedGridRows:function(){return e.getSelectedRows(a)},getSelectedCount:function(){return a.selection.selectedCount},setMultiSelect:function(b){a.options.multiSelect=b},setModifierKeysToMultiSelect:function(b){a.options.modifierKeysToMultiSelect=b},getSelectAllState:function(){return a.selection.selectAll}}}};a.api.registerEventsFromObject(b.events),a.api.registerMethodsFromObject(b.methods)},defaultGridOptions:function(a){a.enableRowSelection=a.enableRowSelection!==!1,a.multiSelect=a.multiSelect!==!1,a.noUnselect=a.noUnselect===!0,a.modifierKeysToMultiSelect=a.modifierKeysToMultiSelect===!0,a.enableRowHeaderSelection=a.enableRowHeaderSelection!==!1,"undefined"==typeof a.enableFullRowSelection&&(a.enableFullRowSelection=!a.enableRowHeaderSelection),a.enableSelectAll=a.enableSelectAll!==!1,a.enableSelectionBatchEvent=a.enableSelectionBatchEvent!==!1,a.selectionRowHeaderWidth=angular.isDefined(a.selectionRowHeaderWidth)?a.selectionRowHeaderWidth:30,a.enableFooterTotalSelected=a.enableFooterTotalSelected!==!1,a.isRowSelectable=angular.isDefined(a.isRowSelectable)?a.isRowSelectable:angular.noop},toggleRowSelection:function(a,b,c,d,f){var g=b.isSelected;if(b.enableSelection!==!1||g){var h;d||g?!d&&g&&(h=e.getSelectedRows(a),h.length>1&&(g=!1,e.clearSelectedRows(a,c))):e.clearSelectedRows(a,c),g&&f||(b.setSelected(!g),b.isSelected===!0&&(a.selection.lastSelectedRow=b),h=e.getSelectedRows(a),a.selection.selectAll=a.rows.length===h.length,a.api.selection.raise.rowSelectionChanged(b,c))}},shiftSelect:function(a,b,c,d){if(d){var f=e.getSelectedRows(a),g=f.length>0?a.renderContainers.body.visibleRowCache.indexOf(a.selection.lastSelectedRow):0,h=a.renderContainers.body.visibleRowCache.indexOf(b);if(g>h){var i=g;g=h,h=i}for(var j=[],k=g;h>=k;k++){var l=a.renderContainers.body.visibleRowCache[k];l&&(l.isSelected||l.enableSelection===!1||(l.setSelected(!0),a.selection.lastSelectedRow=l,e.decideRaiseSelectionEvent(a,l,j,c)))}e.decideRaiseSelectionBatchEvent(a,j,c)}},getSelectedRows:function(a){return a.rows.filter(function(a){return a.isSelected})},clearSelectedRows:function(a,b){var c=[];e.getSelectedRows(a).forEach(function(d){d.isSelected&&(d.setSelected(!1),e.decideRaiseSelectionEvent(a,d,c,b))}),e.decideRaiseSelectionBatchEvent(a,c,b),a.selection.selectAll=!1,a.selection.selectedCount=0},decideRaiseSelectionEvent:function(a,b,c,d){a.options.enableSelectionBatchEvent?c.push(b):a.api.selection.raise.rowSelectionChanged(b,d)},decideRaiseSelectionBatchEvent:function(a,b,c){b.length>0&&a.api.selection.raise.rowSelectionChangedBatch(b,c)}};return e}]),a.directive("uiGridSelection",["uiGridSelectionConstants","uiGridSelectionService","$templateCache","uiGridConstants",function(a,b,c,d){return{replace:!0,priority:0,require:"^uiGrid",scope:!1,compile:function(){return{pre:function(c,e,f,g){if(b.initializeGrid(g.grid),g.grid.options.enableRowHeaderSelection){var h={name:a.selectionRowHeaderColName,displayName:"",width:g.grid.options.selectionRowHeaderWidth,minWidth:10,cellTemplate:"ui-grid/selectionRowHeader",headerCellTemplate:"ui-grid/selectionHeaderCell",enableColumnResizing:!1,enableColumnMenu:!1,exporterSuppressExport:!0,allowCellFocus:!0};g.grid.addRowHeaderColumn(h)}var i=!1,j=function(a){return a.forEach(function(a){a.enableSelection=g.grid.options.isRowSelectable(a)}),a},k=function(){g.grid.options.isRowSelectable!==angular.noop&&i!==!0&&(g.grid.registerRowsProcessor(j,500),i=!0)};k();var l=g.grid.registerDataChangeCallback(k,[d.dataChange.OPTIONS]);c.$on("$destroy",l)},post:function(a,b,c,d){}}}}}]),a.directive("uiGridSelectionRowHeaderButtons",["$templateCache","uiGridSelectionService","gridUtil",function(a,b,c){return{replace:!0,restrict:"E",template:a.get("ui-grid/selectionRowHeaderButtons"),scope:!0,require:"^uiGrid",link:function(a,d,e,f){function g(a,c){c.stopPropagation(),c.shiftKey?b.shiftSelect(i,a,c,i.options.multiSelect):c.ctrlKey||c.metaKey?b.toggleRowSelection(i,a,c,i.options.multiSelect,i.options.noUnselect):b.toggleRowSelection(i,a,c,i.options.multiSelect&&!i.options.modifierKeysToMultiSelect,i.options.noUnselect)}function h(a){(a.ctrlKey||a.shiftKey)&&(a.target.onselectstart=function(){return!1},window.setTimeout(function(){a.target.onselectstart=null},0))}var i=f.grid;a.selectButtonClick=g,"ie"===c.detectBrowser()&&d.on("mousedown",h)}}}]),a.directive("uiGridSelectionSelectAllButtons",["$templateCache","uiGridSelectionService",function(a,b){return{replace:!0,restrict:"E",template:a.get("ui-grid/selectionSelectAllButtons"),scope:!1,link:function(a,c,d,e){var f=a.col.grid;a.headerButtonClick=function(a,c){f.selection.selectAll?(b.clearSelectedRows(f,c),f.options.noUnselect&&f.api.selection.selectRowByVisibleIndex(0,c),f.selection.selectAll=!1):f.options.multiSelect&&(f.api.selection.selectAllVisibleRows(c),f.selection.selectAll=!0)}}}}]),a.directive("uiGridViewport",["$compile","uiGridConstants","uiGridSelectionConstants","gridUtil","$parse","uiGridSelectionService",function(a,b,c,d,e,f){return{priority:-200,scope:!1,compile:function(a,b){var c=angular.element(a.children().children()[0]),d=c.attr("ng-class"),e="";return e=d?d.slice(0,-1)+",'ui-grid-row-selected': row.isSelected}":"{'ui-grid-row-selected': row.isSelected}",c.attr("ng-class",e),{pre:function(a,b,c,d){},post:function(a,b,c,d){}}}}}]),a.directive("uiGridCell",["$compile","uiGridConstants","uiGridSelectionConstants","gridUtil","$parse","uiGridSelectionService","$timeout",function(a,b,c,d,e,f,g){return{priority:-200,restrict:"A",require:"?^uiGrid",scope:!1,link:function(a,c,d,e){function h(){a.grid.options.enableRowSelection&&a.grid.options.enableFullRowSelection&&(c.addClass("ui-grid-disable-selection"),c.on("touchstart",m),c.on("touchend",n),c.on("click",l),a.registered=!0)}function i(){a.registered&&(c.removeClass("ui-grid-disable-selection"),c.off("touchstart",m),c.off("touchend",n),c.off("click",l),a.registered=!1)}var j=0,k=300;e.grid.api.cellNav&&e.grid.api.cellNav.on.viewPortKeyDown(a,function(b,c){null!==c&&c.row===a.row&&c.col===a.col&&32===b.keyCode&&"selectionRowHeaderCol"===a.col.colDef.name&&(f.toggleRowSelection(a.grid,a.row,b,a.grid.options.multiSelect&&!a.grid.options.modifierKeysToMultiSelect,a.grid.options.noUnselect),a.$apply())});var l=function(b){c.off("touchend",n),b.shiftKey?f.shiftSelect(a.grid,a.row,b,a.grid.options.multiSelect):b.ctrlKey||b.metaKey?f.toggleRowSelection(a.grid,a.row,b,a.grid.options.multiSelect,a.grid.options.noUnselect):f.toggleRowSelection(a.grid,a.row,b,a.grid.options.multiSelect&&!a.grid.options.modifierKeysToMultiSelect,a.grid.options.noUnselect),a.$apply(),g(function(){c.on("touchend",n)},k)},m=function(a){j=(new Date).getTime(),c.off("click",l)},n=function(a){var b=(new Date).getTime(),d=b-j;k>d&&l(a),g(function(){c.on("click",l)},k)};h();var o=a.grid.registerDataChangeCallback(function(){a.grid.options.enableRowSelection&&a.grid.options.enableFullRowSelection&&!a.registered?h():a.grid.options.enableRowSelection&&a.grid.options.enableFullRowSelection||!a.registered||i()},[b.dataChange.OPTIONS]);c.on("$destroy",o)}}}]),a.directive("uiGridGridFooter",["$compile","uiGridConstants","gridUtil",function(a,b,c){return{restrict:"EA",replace:!0,priority:-1e3,require:"^uiGrid",scope:!0,compile:function(b,d){return{pre:function(b,d,e,f){f.grid.options.showGridFooter&&c.getTemplate("ui-grid/gridFooterSelectedItems").then(function(c){var e=angular.element(c),f=a(e)(b);angular.element(d[0].getElementsByClassName("ui-grid-grid-footer")[0]).append(f)})},post:function(a,b,c,d){}}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.treeBase",["ui.grid"]);a.constant("uiGridTreeBaseConstants",{featureName:"treeBase",rowHeaderColName:"treeBaseRowHeaderCol",EXPANDED:"expanded",COLLAPSED:"collapsed",aggregation:{COUNT:"count",SUM:"sum",MAX:"max",MIN:"min",AVG:"avg"}}),a.service("uiGridTreeBaseService",["$q","uiGridTreeBaseConstants","gridUtil","GridRow","gridClassFactory","i18nService","uiGridConstants","rowSorter",function(a,b,c,d,e,f,g,h){var i={initializeGrid:function(a,b){a.treeBase={},a.treeBase.numberLevels=0,a.treeBase.expandAll=!1,a.treeBase.tree=[],i.defaultGridOptions(a.options),a.registerRowsProcessor(i.treeRows,410),a.registerColumnBuilder(i.treeBaseColumnBuilder),i.createRowHeader(a);var c={events:{treeBase:{rowExpanded:{},rowCollapsed:{}}},methods:{treeBase:{expandAllRows:function(){i.expandAllRows(a)},collapseAllRows:function(){i.collapseAllRows(a)},toggleRowTreeState:function(b){i.toggleRowTreeState(a,b)},expandRow:function(b){i.expandRow(a,b)},expandRowChildren:function(b){i.expandRowChildren(a,b)},collapseRow:function(b){i.collapseRow(a,b)},collapseRowChildren:function(b){i.collapseRowChildren(a,b)},getTreeExpandedState:function(){return{expandedState:i.getTreeState(a)}},setTreeState:function(b){i.setTreeState(a,b)},getRowChildren:function(a){return a.treeNode.children.map(function(a){return a.row})}}}};a.api.registerEventsFromObject(c.events),a.api.registerMethodsFromObject(c.methods)},defaultGridOptions:function(a){a.treeRowHeaderBaseWidth=a.treeRowHeaderBaseWidth||30,a.treeIndent=a.treeIndent||10,a.showTreeRowHeader=a.showTreeRowHeader!==!1,a.showTreeExpandNoChildren=a.showTreeExpandNoChildren!==!1,a.treeRowHeaderAlwaysVisible=a.treeRowHeaderAlwaysVisible!==!1,a.treeCustomAggregations=a.treeCustomAggregations||{},a.enableExpandAll=a.enableExpandAll!==!1},treeBaseColumnBuilder:function(a,b,c){"undefined"!=typeof a.customTreeAggregationFn&&(b.treeAggregationFn=a.customTreeAggregationFn),"undefined"!=typeof a.treeAggregationType&&(b.treeAggregation={type:a.treeAggregationType},"undefined"!=typeof c.treeCustomAggregations[a.treeAggregationType]?(b.treeAggregationFn=c.treeCustomAggregations[a.treeAggregationType].aggregationFn,b.treeAggregationFinalizerFn=c.treeCustomAggregations[a.treeAggregationType].finalizerFn,b.treeAggregation.label=c.treeCustomAggregations[a.treeAggregationType].label):"undefined"!=typeof i.nativeAggregations()[a.treeAggregationType]&&(b.treeAggregationFn=i.nativeAggregations()[a.treeAggregationType].aggregationFn,b.treeAggregation.label=i.nativeAggregations()[a.treeAggregationType].label)),"undefined"!=typeof a.treeAggregationLabel&&("undefined"==typeof b.treeAggregation&&(b.treeAggregation={}),b.treeAggregation.label=a.treeAggregationLabel),b.treeAggregationUpdateEntity=a.treeAggregationUpdateEntity!==!1,"undefined"==typeof b.customTreeAggregationFinalizerFn&&(b.customTreeAggregationFinalizerFn=a.customTreeAggregationFinalizerFn)},createRowHeader:function(a){var c={name:b.rowHeaderColName,displayName:"",width:a.options.treeRowHeaderBaseWidth,minWidth:10,cellTemplate:"ui-grid/treeBaseRowHeader",headerCellTemplate:"ui-grid/treeBaseHeaderCell",enableColumnResizing:!1,enableColumnMenu:!1,exporterSuppressExport:!0,allowCellFocus:!0};c.visible=a.options.treeRowHeaderAlwaysVisible,a.addRowHeaderColumn(c)},expandAllRows:function(a){a.treeBase.tree.forEach(function(c){i.setAllNodes(a,c,b.EXPANDED)}),a.treeBase.expandAll=!0,a.queueGridRefresh()},collapseAllRows:function(a){a.treeBase.tree.forEach(function(c){i.setAllNodes(a,c,b.COLLAPSED)}),a.treeBase.expandAll=!1,a.queueGridRefresh()},setAllNodes:function(a,c,d){"undefined"!=typeof c.state&&c.state!==d&&(c.state=d,d===b.EXPANDED?a.api.treeBase.raise.rowExpanded(c.row):a.api.treeBase.raise.rowCollapsed(c.row)),c.children&&c.children.forEach(function(b){i.setAllNodes(a,b,d)})},toggleRowTreeState:function(a,c){"undefined"==typeof c.treeLevel||null===c.treeLevel||c.treeLevel<0||(c.treeNode.state===b.EXPANDED?i.collapseRow(a,c):i.expandRow(a,c),a.queueGridRefresh())},expandRow:function(a,c){"undefined"==typeof c.treeLevel||null===c.treeLevel||c.treeLevel<0||c.treeNode.state!==b.EXPANDED&&(c.treeNode.state=b.EXPANDED,a.api.treeBase.raise.rowExpanded(c),a.treeBase.expandAll=i.allExpanded(a.treeBase.tree),a.queueGridRefresh())},expandRowChildren:function(a,c){"undefined"==typeof c.treeLevel||null===c.treeLevel||c.treeLevel<0||(i.setAllNodes(a,c.treeNode,b.EXPANDED),a.treeBase.expandAll=i.allExpanded(a.treeBase.tree),a.queueGridRefresh())},collapseRow:function(a,c){"undefined"==typeof c.treeLevel||null===c.treeLevel||c.treeLevel<0||c.treeNode.state!==b.COLLAPSED&&(c.treeNode.state=b.COLLAPSED,a.treeBase.expandAll=!1,a.api.treeBase.raise.rowCollapsed(c),a.queueGridRefresh())},collapseRowChildren:function(a,c){"undefined"==typeof c.treeLevel||null===c.treeLevel||c.treeLevel<0||(i.setAllNodes(a,c.treeNode,b.COLLAPSED),a.treeBase.expandAll=!1,a.queueGridRefresh())},allExpanded:function(a){var b=!0;return a.forEach(function(a){i.allExpandedInternal(a)||(b=!1)}),b},allExpandedInternal:function(a){if(a.children&&a.children.length>0){if(a.state===b.COLLAPSED)return!1;var c=!0;return a.children.forEach(function(a){i.allExpandedInternal(a)||(c=!1)}),c}return!0},treeRows:function(a){if(0===a.length)return a;var c=this;b.EXPANDED;return c.treeBase.tree=i.createTree(c,a),i.updateRowHeaderWidth(c),i.sortTree(c),i.fixFilter(c),i.renderTree(c.treeBase.tree)},updateRowHeaderWidth:function(a){var c=a.getColumn(b.rowHeaderColName),d=a.options.treeRowHeaderBaseWidth+a.options.treeIndent*Math.max(a.treeBase.numberLevels-1,0);c&&d!==c.width&&(c.width=d,a.queueRefresh());var e=!0;a.options.showTreeRowHeader===!1&&(e=!1),a.options.treeRowHeaderAlwaysVisible===!1&&a.treeBase.numberLevels<=0&&(e=!1),c.visible!==e&&(c.visible=e,c.colDef.visible=e,a.queueGridRefresh())},renderTree:function(a){var c=[];return a.forEach(function(a){a.row.visible&&c.push(a.row),a.state===b.EXPANDED&&a.children&&a.children.length>0&&(c=c.concat(i.renderTree(a.children)))}),c},createTree:function(a,c){var d,e=-1,f=[];a.treeBase.tree=[],a.treeBase.numberLevels=0;var g=i.getAggregations(a),h=function(c){if("undefined"!=typeof c.entity.$$treeLevel&&c.treeLevel!==c.entity.$$treeLevel&&(c.treeLevel=c.entity.$$treeLevel),c.treeLevel<=e){for(;c.treeLevel<=e;){var h=f.pop();i.finaliseAggregations(h),e--}d=f.length>0?i.setCurrentState(f):b.EXPANDED}("undefined"==typeof c.treeLevel||null===c.treeLevel||c.treeLevel<0)&&c.visible&&i.aggregate(a,c,f),i.addOrUseNode(a,c,f,g),"undefined"!=typeof c.treeLevel&&null!==c.treeLevel&&c.treeLevel>=0&&(f.push(c),e++,d=i.setCurrentState(f)),a.treeBase.numberLevels0;){var j=f.pop();i.finaliseAggregations(j)}return a.treeBase.tree},addOrUseNode:function(a,c,d,e){var f=[];e.forEach(function(a){f.push(i.buildAggregationObject(a.col))});var g={state:b.COLLAPSED,row:c,parentRow:null,aggregations:f,children:[]};c.treeNode&&(g.state=c.treeNode.state),d.length>0&&(g.parentRow=d[d.length-1]),c.treeNode=g,0===d.length?a.treeBase.tree.push(g):d[d.length-1].treeNode.children.push(g)},setCurrentState:function(a){var c=b.EXPANDED;return a.forEach(function(a){a.treeNode.state===b.COLLAPSED&&(c=b.COLLAPSED)}),c},sortTree:function(a){a.columns.forEach(function(a){a.sort&&a.sort.ignoreSort&&delete a.sort.ignoreSort; -}),a.treeBase.tree=i.sortInternal(a,a.treeBase.tree)},sortInternal:function(a,c){var d=c.map(function(a){return a.row});d=h.sort(a,d,a.columns);var e=d.map(function(a){return a.treeNode});return e.forEach(function(c){c.state===b.EXPANDED&&c.children&&c.children.length>0&&(c.children=i.sortInternal(a,c.children))}),e},fixFilter:function(a){var b;a.treeBase.tree.forEach(function(a){a.children&&a.children.length>0&&(b=a.row.visible,i.fixFilterInternal(a.children,b))})},fixFilterInternal:function(a,b){return a.forEach(function(a){a.row.visible&&!b&&(i.setParentsVisible(a),b=!0),a.children&&a.children.length>0&&i.fixFilterInternal(a.children,b&&a.row.visible)&&(b=!0)}),b},setParentsVisible:function(a){for(;a.parentRow;)a.parentRow.visible=!0,a=a.parentRow.treeNode},buildAggregationObject:function(a){var b={col:a};return a.treeAggregation&&a.treeAggregation.type&&(b.type=a.treeAggregation.type),a.treeAggregation&&a.treeAggregation.label&&(b.label=a.treeAggregation.label),b},getAggregations:function(a){var b=[];return a.columns.forEach(function(c){"undefined"!=typeof c.treeAggregationFn&&(b.push(i.buildAggregationObject(c)),a.options.showColumnFooter&&"undefined"==typeof c.colDef.aggregationType&&c.treeAggregation&&(c.treeFooterAggregation=i.buildAggregationObject(c),c.aggregationType=i.treeFooterAggregationType))}),b},aggregate:function(a,b,c){0===c.length&&b.treeNode&&b.treeNode.aggregations&&b.treeNode.aggregations.forEach(function(c){if("undefined"!=typeof c.col.treeFooterAggregation){var d=a.getCellValue(b,c.col),e=Number(d);c.col.treeAggregationFn(c.col.treeFooterAggregation,d,e,b)}}),c.forEach(function(c,d){c.treeNode.aggregations&&c.treeNode.aggregations.forEach(function(c){var e=a.getCellValue(b,c.col),f=Number(e);c.col.treeAggregationFn(c,e,f,b),0===d&&"undefined"!=typeof c.col.treeFooterAggregation&&c.col.treeAggregationFn(c.col.treeFooterAggregation,e,f,b)})})},nativeAggregations:function(){var a={count:{label:f.get().aggregation.count,menuTitle:f.get().grouping.aggregate_count,aggregationFn:function(a,b,c){"undefined"==typeof a.value?a.value=1:a.value++}},sum:{label:f.get().aggregation.sum,menuTitle:f.get().grouping.aggregate_sum,aggregationFn:function(a,b,c){isNaN(c)||("undefined"==typeof a.value?a.value=c:a.value+=c)}},min:{label:f.get().aggregation.min,menuTitle:f.get().grouping.aggregate_min,aggregationFn:function(a,b,c){"undefined"==typeof a.value?a.value=b:"undefined"!=typeof b&&null!==b&&(ba.value||null===a.value)&&(a.value=b)}},avg:{label:f.get().aggregation.avg,menuTitle:f.get().grouping.aggregate_avg,aggregationFn:function(a,b,c){"undefined"==typeof a.count?a.count=1:a.count++,isNaN(c)||("undefined"==typeof a.value||"undefined"==typeof a.sum?(a.value=c,a.sum=c):(a.sum+=c,a.value=a.sum/a.count))}}};return a},finaliseAggregation:function(a,b){b.col.treeAggregationUpdateEntity&&"undefined"!=typeof a&&"undefined"!=typeof a.entity["$$"+b.col.uid]&&angular.extend(b,a.entity["$$"+b.col.uid]),"function"==typeof b.col.treeAggregationFinalizerFn&&b.col.treeAggregationFinalizerFn(b),"function"==typeof b.col.customTreeAggregationFinalizerFn&&b.col.customTreeAggregationFinalizerFn(b),"undefined"==typeof b.rendered&&(b.rendered=b.label?b.label+b.value:b.value)},finaliseAggregations:function(a){"undefined"!=typeof a.treeNode.aggregations&&a.treeNode.aggregations.forEach(function(b){if(i.finaliseAggregation(a,b),b.col.treeAggregationUpdateEntity){var c={};angular.forEach(b,function(a,d){b.hasOwnProperty(d)&&"col"!==d&&(c[d]=a)}),a.entity["$$"+b.col.uid]=c}})},treeFooterAggregationType:function(a,b){return i.finaliseAggregation(void 0,b.treeFooterAggregation),"undefined"==typeof b.treeFooterAggregation.value||null===b.treeFooterAggregation.rendered?"":b.treeFooterAggregation.rendered}};return i}]),a.directive("uiGridTreeBaseRowHeaderButtons",["$templateCache","uiGridTreeBaseService",function(a,b){return{replace:!0,restrict:"E",template:a.get("ui-grid/treeBaseRowHeaderButtons"),scope:!0,require:"^uiGrid",link:function(a,c,d,e){var f=e.grid;a.treeButtonClick=function(a,c){b.toggleRowTreeState(f,a,c)}}}}]),a.directive("uiGridTreeBaseExpandAllButtons",["$templateCache","uiGridTreeBaseService",function(a,b){return{replace:!0,restrict:"E",template:a.get("ui-grid/treeBaseExpandAllButtons"),scope:!1,link:function(a,c,d,e){var f=a.col.grid;a.headerButtonClick=function(a,c){f.treeBase.expandAll?b.collapseAllRows(f,c):b.expandAllRows(f,c)}}}}]),a.directive("uiGridViewport",["$compile","uiGridConstants","gridUtil","$parse",function(a,b,c,d){return{priority:-200,scope:!1,compile:function(a,b){var c=angular.element(a.children().children()[0]),d=c.attr("ng-class"),e="";return e=d?d.slice(0,-1)+",'ui-grid-tree-header-row': row.treeLevel > -1}":"{'ui-grid-tree-header-row': row.treeLevel > -1}",c.attr("ng-class",e),{pre:function(a,b,c,d){},post:function(a,b,c,d){}}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.treeView",["ui.grid","ui.grid.treeBase"]);a.constant("uiGridTreeViewConstants",{featureName:"treeView",rowHeaderColName:"treeBaseRowHeaderCol",EXPANDED:"expanded",COLLAPSED:"collapsed",aggregation:{COUNT:"count",SUM:"sum",MAX:"max",MIN:"min",AVG:"avg"}}),a.service("uiGridTreeViewService",["$q","uiGridTreeViewConstants","uiGridTreeBaseConstants","uiGridTreeBaseService","gridUtil","GridRow","gridClassFactory","i18nService","uiGridConstants",function(a,b,c,d,e,f,g,h,i){var j={initializeGrid:function(a,b){d.initializeGrid(a,b),a.treeView={},a.registerRowsProcessor(j.adjustSorting,60);var c={events:{treeView:{}},methods:{treeView:{}}};a.api.registerEventsFromObject(c.events),a.api.registerMethodsFromObject(c.methods)},defaultGridOptions:function(a){a.enableTreeView=a.enableTreeView!==!1},adjustSorting:function(a){var b=this;return b.columns.forEach(function(a){a.sort&&(a.sort.ignoreSort=!0)}),a}};return j}]),a.directive("uiGridTreeView",["uiGridTreeViewConstants","uiGridTreeViewService","$templateCache",function(a,b,c){return{replace:!0,priority:0,require:"^uiGrid",scope:!1,compile:function(){return{pre:function(a,c,d,e){e.grid.options.enableTreeView!==!1&&b.initializeGrid(e.grid,a)},post:function(a,b,c,d){}}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.validate",["ui.grid"]);a.service("uiGridValidateService",["$sce","$q","$http","i18nService","uiGridConstants",function(a,b,c,d,e){var f={validatorFactories:{},setExternalFactoryFunction:function(a){f.externalFactoryFunction=a},clearExternalFactory:function(){delete f.externalFactoryFunction},getValidatorFromExternalFactory:function(a,b){return f.externalFactoryFunction(a,b).validatorFactory(b)},getMessageFromExternalFactory:function(a,b){return f.externalFactoryFunction(a,b).messageFunction(b)},setValidator:function(a,b,c){f.validatorFactories[a]={validatorFactory:b,messageFunction:c}},getValidator:function(a,b){if(f.externalFactoryFunction){var c=f.getValidatorFromExternalFactory(a,b);if(c)return c}if(!f.validatorFactories[a])throw"Invalid validator name: "+a;return f.validatorFactories[a].validatorFactory(b)},getMessage:function(a,b){if(f.externalFactoryFunction){var c=f.getMessageFromExternalFactory(a,b);if(c)return c}return f.validatorFactories[a].messageFunction(b)},isInvalid:function(a,b){return a["$$invalid"+b.name]},setInvalid:function(a,b){a["$$invalid"+b.name]=!0},setValid:function(a,b){delete a["$$invalid"+b.name]},setError:function(a,b,c){a["$$errors"+b.name]||(a["$$errors"+b.name]={}),a["$$errors"+b.name][c]=!0},clearError:function(a,b,c){a["$$errors"+b.name]&&c in a["$$errors"+b.name]&&delete a["$$errors"+b.name][c]},getErrorMessages:function(a,b){var c=[];return a["$$errors"+b.name]&&0!==Object.keys(a["$$errors"+b.name]).length?(Object.keys(a["$$errors"+b.name]).sort().forEach(function(a){c.push(f.getMessage(a,b.validators[a]))}),c):c},getFormattedErrors:function(b,c){var e="",g=f.getErrorMessages(b,c);return g.length?(g.forEach(function(a){e+=a+"
            "}),a.trustAsHtml("

            "+d.getSafeText("validate.error")+"

            "+e)):void 0},getTitleFormattedErrors:function(b,c){var e="\n",g="",h=f.getErrorMessages(b,c);return h.length?(h.forEach(function(a){g+=a+e}),a.trustAsHtml(d.getSafeText("validate.error")+e+g)):void 0},runValidators:function(a,c,d,e,g){if(d!==e){if("undefined"==typeof c.name||!c.name)throw new Error("colDef.name is required to perform validation");f.setValid(a,c);var h=function(a,b,c){return function(h){h||(f.setInvalid(a,b),f.setError(a,b,c),g&&g.api.validate.raise.validationFailed(a,b,d,e))}};for(var i in c.validators){f.clearError(a,c,i);var j=f.getValidator(i,c.validators[i]);b.when(j(e,d,a,c)).then(h(a,c,i))}}},createDefaultValidators:function(){f.setValidator("minLength",function(a){return function(b,c,d,e){return void 0===c||null===c||""===c?!0:c.length>=a}},function(a){return d.getSafeText("validate.minLength").replace("THRESHOLD",a)}),f.setValidator("maxLength",function(a){return function(b,c,d,e){return void 0===c||null===c||""===c?!0:c.length<=a}},function(a){return d.getSafeText("validate.maxLength").replace("THRESHOLD",a)}),f.setValidator("required",function(a){return function(b,c,d,e){return a?!(void 0===c||null===c||""===c):!0}},function(a){return d.getSafeText("validate.required")})},initializeGrid:function(a,b){b.validate={isInvalid:f.isInvalid,getFormattedErrors:f.getFormattedErrors,getTitleFormattedErrors:f.getTitleFormattedErrors,runValidators:f.runValidators};var c={events:{validate:{validationFailed:function(a,b,c,d){}}},methods:{validate:{isInvalid:function(a,c){return b.validate.isInvalid(a,c)},getErrorMessages:function(a,c){return b.validate.getErrorMessages(a,c)},getFormattedErrors:function(a,c){return b.validate.getFormattedErrors(a,c)},getTitleFormattedErrors:function(a,c){return b.validate.getTitleFormattedErrors(a,c)}}}};b.api.registerEventsFromObject(c.events),b.api.registerMethodsFromObject(c.methods),b.edit&&b.api.edit.on.afterCellEdit(a,function(a,c,d,e){b.validate.runValidators(a,c,d,e,b)}),f.createDefaultValidators()}};return f}]),a.directive("uiGridValidate",["gridUtil","uiGridValidateService",function(a,b){return{priority:0,replace:!0,require:"^uiGrid",scope:!1,compile:function(){return{pre:function(a,c,d,e){b.initializeGrid(a,e.grid)},post:function(a,b,c,d){}}}}}])}(),angular.module("ui.grid").run(["$templateCache",function(a){"use strict";a.put("ui-grid/ui-grid-filter",'
             
             
            '),a.put("ui-grid/ui-grid-footer",''),a.put("ui-grid/ui-grid-grid-footer",''),a.put("ui-grid/ui-grid-group-panel",'
            • {{group.displayName}} x
            '),a.put("ui-grid/ui-grid-header",'
            '),a.put("ui-grid/ui-grid-menu-button",'
             
            '),a.put("ui-grid/ui-grid-no-header",'
            '),a.put("ui-grid/ui-grid-row","
            "),a.put("ui-grid/ui-grid",'
            '),a.put("ui-grid/uiGridCell",'
            {{COL_FIELD CUSTOM_FILTERS}}
            '),a.put("ui-grid/uiGridColumnMenu",'
            '),a.put("ui-grid/uiGridFooterCell",'
            {{ col.getAggregationText() + ( col.getAggregationValue() CUSTOM_FILTERS ) }}
            '),a.put("ui-grid/uiGridHeaderCell",'
            {{ col.displayName CUSTOM_FILTERS }} {{col.sort.priority + 1}}
            '),a.put("ui-grid/uiGridMenu",'
            '),a.put("ui-grid/uiGridMenuItem",''),a.put("ui-grid/uiGridRenderContainer","
            "),a.put("ui-grid/uiGridViewport",'
            '),a.put("ui-grid/cellEditor",'
            '),a.put("ui-grid/dropdownEditor",'
            '),a.put("ui-grid/fileChooserEditor",'
            '),a.put("ui-grid/expandableRow",'
            '),a.put("ui-grid/expandableRowHeader",'
            '),a.put("ui-grid/expandableScrollFiller","
            "),a.put("ui-grid/expandableTopRowHeader",'
            '),a.put("ui-grid/csvLink",'LINK_LABEL'),a.put("ui-grid/importerMenuItem",'
          • '),a.put("ui-grid/importerMenuItemContainer","
            "),a.put("ui-grid/pagination",''),a.put("ui-grid/columnResizer",'
            '),a.put("ui-grid/gridFooterSelectedItems",'({{"search.selectedItems" | t}} {{grid.selection.selectedCount}})'),a.put("ui-grid/selectionHeaderCell",'
            '),a.put("ui-grid/selectionRowHeader",'
            '),a.put("ui-grid/selectionRowHeaderButtons",'
             
            '),a.put("ui-grid/selectionSelectAllButtons",'
            '),a.put("ui-grid/treeBaseExpandAllButtons",'
            '),a.put("ui-grid/treeBaseHeaderCell",'
            '),a.put("ui-grid/treeBaseRowHeader",'
            '),a.put("ui-grid/treeBaseRowHeaderButtons","
            -1 }\" ng-click=\"treeButtonClick(row, $event)\"> -1 ) || ( row.treeNode.children && row.treeNode.children.length > 0 ) ) && row.treeNode.state === 'expanded', 'ui-grid-icon-plus-squared': ( ( grid.options.showTreeExpandNoChildren && row.treeLevel > -1 ) || ( row.treeNode.children && row.treeNode.children.length > 0 ) ) && row.treeNode.state === 'collapsed'}\" ng-style=\"{'padding-left': grid.options.treeIndent * row.treeLevel + 'px'}\">  
            "),a.put("ui-grid/cellTitleValidator",'
            {{COL_FIELD CUSTOM_FILTERS}}
            '),a.put("ui-grid/cellTooltipValidator",'
            {{COL_FIELD CUSTOM_FILTERS}}
            ')}]),function(a){function b(d){if(c[d])return c[d].exports;var e=c[d]={exports:{},id:d,loaded:!1};return a[d].call(e.exports,e,e.exports,b),e.loaded=!0,e.exports}var c={};return b.m=a,b.c=c,b.p="",b(0)}([function(a,b,c){(function(b){a.exports=b.pdfMake=c(1)}).call(b,function(){return this}())},function(a,b,c){(function(b){"use strict";function d(a,b,c){this.docDefinition=a,this.fonts=b||h,this.vfs=c}var e=c(6),f=c(105),g=f.saveAs,h={Roboto:{normal:"Roboto-Regular.ttf",bold:"Roboto-Medium.ttf",italics:"Roboto-Italic.ttf",bolditalics:"Roboto-Italic.ttf"}};d.prototype._createDoc=function(a,c){var d=new e(this.fonts);d.fs.bindFS(this.vfs);var f,g=d.createPdfKitDocument(this.docDefinition,a),h=[];g.on("data",function(a){h.push(a)}),g.on("end",function(){f=b.concat(h),c(f,g._pdfMakePages)}),g.end()},d.prototype._getPages=function(a,b){if(!b)throw"getBuffer is an async method and needs a callback argument";this._createDoc(a,function(a,c){b(c)})},d.prototype.open=function(a){var b=window.open("","_blank");try{this.getDataUrl(function(a){b.location.href=a})}catch(c){throw b.close(),c}},d.prototype.print=function(){this.getDataUrl(function(a){var b=document.createElement("iframe");b.style.position="absolute",b.style.left="-99999px",b.src=a,b.onload=function(){function a(){document.body.removeChild(b),document.removeEventListener("click",a)}document.addEventListener("click",a,!1)},document.body.appendChild(b)},{autoPrint:!0})},d.prototype.download=function(a,b){"function"==typeof a&&(b=a,a=null),a=a||"file.pdf",this.getBuffer(function(c){var d;try{d=new Blob([c],{type:"application/pdf" -})}catch(e){if("InvalidStateError"==e.name){var f=new Uint8Array(c);d=new Blob([f.buffer],{type:"application/pdf"})}}if(!d)throw"Could not generate blob";g(d,a),"function"==typeof b&&b()})},d.prototype.getBase64=function(a,b){if(!a)throw"getBase64 is an async method and needs a callback argument";this._createDoc(b,function(b){a(b.toString("base64"))})},d.prototype.getDataUrl=function(a,b){if(!a)throw"getDataUrl is an async method and needs a callback argument";this._createDoc(b,function(b){a("data:application/pdf;base64,"+b.toString("base64"))})},d.prototype.getBuffer=function(a,b){if(!a)throw"getBuffer is an async method and needs a callback argument";this._createDoc(b,function(b){a(b)})},a.exports={createPdf:function(a){return new d(a,window.pdfMake.fonts,window.pdfMake.vfs)}}}).call(b,c(2).Buffer)},function(a,b,c){(function(a,d){function e(){function a(){}try{var b=new Uint8Array(1);return b.foo=function(){return 42},b.constructor=a,42===b.foo()&&b.constructor===a&&"function"==typeof b.subarray&&0===b.subarray(1,1).byteLength}catch(c){return!1}}function f(){return a.TYPED_ARRAY_SUPPORT?2147483647:1073741823}function a(b){return this instanceof a?(this.length=0,this.parent=void 0,"number"==typeof b?g(this,b):"string"==typeof b?h(this,b,arguments.length>1?arguments[1]:"utf8"):i(this,b)):arguments.length>1?new a(b,arguments[1]):new a(b)}function g(b,c){if(b=p(b,0>c?0:0|q(c)),!a.TYPED_ARRAY_SUPPORT)for(var d=0;c>d;d++)b[d]=0;return b}function h(a,b,c){"string"==typeof c&&""!==c||(c="utf8");var d=0|s(b,c);return a=p(a,d),a.write(b,c),a}function i(b,c){if(a.isBuffer(c))return j(b,c);if(Y(c))return k(b,c);if(null==c)throw new TypeError("must start with number, buffer, array or string");if("undefined"!=typeof ArrayBuffer){if(c.buffer instanceof ArrayBuffer)return l(b,c);if(c instanceof ArrayBuffer)return m(b,c)}return c.length?n(b,c):o(b,c)}function j(a,b){var c=0|q(b.length);return a=p(a,c),b.copy(a,0,0,c),a}function k(a,b){var c=0|q(b.length);a=p(a,c);for(var d=0;c>d;d+=1)a[d]=255&b[d];return a}function l(a,b){var c=0|q(b.length);a=p(a,c);for(var d=0;c>d;d+=1)a[d]=255&b[d];return a}function m(b,c){return a.TYPED_ARRAY_SUPPORT?(c.byteLength,b=a._augment(new Uint8Array(c))):b=l(b,new Uint8Array(c)),b}function n(a,b){var c=0|q(b.length);a=p(a,c);for(var d=0;c>d;d+=1)a[d]=255&b[d];return a}function o(a,b){var c,d=0;"Buffer"===b.type&&Y(b.data)&&(c=b.data,d=0|q(c.length)),a=p(a,d);for(var e=0;d>e;e+=1)a[e]=255&c[e];return a}function p(b,c){a.TYPED_ARRAY_SUPPORT?(b=a._augment(new Uint8Array(c)),b.__proto__=a.prototype):(b.length=c,b._isBuffer=!0);var d=0!==c&&c<=a.poolSize>>>1;return d&&(b.parent=Z),b}function q(a){if(a>=f())throw new RangeError("Attempt to allocate Buffer larger than maximum size: 0x"+f().toString(16)+" bytes");return 0|a}function r(b,c){if(!(this instanceof r))return new r(b,c);var d=new a(b,c);return delete d.parent,d}function s(a,b){"string"!=typeof a&&(a=""+a);var c=a.length;if(0===c)return 0;for(var d=!1;;)switch(b){case"ascii":case"binary":case"raw":case"raws":return c;case"utf8":case"utf-8":return R(a).length;case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return 2*c;case"hex":return c>>>1;case"base64":return U(a).length;default:if(d)return R(a).length;b=(""+b).toLowerCase(),d=!0}}function t(a,b,c){var d=!1;if(b=0|b,c=void 0===c||c===1/0?this.length:0|c,a||(a="utf8"),0>b&&(b=0),c>this.length&&(c=this.length),b>=c)return"";for(;;)switch(a){case"hex":return F(this,b,c);case"utf8":case"utf-8":return B(this,b,c);case"ascii":return D(this,b,c);case"binary":return E(this,b,c);case"base64":return A(this,b,c);case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return G(this,b,c);default:if(d)throw new TypeError("Unknown encoding: "+a);a=(a+"").toLowerCase(),d=!0}}function u(a,b,c,d){c=Number(c)||0;var e=a.length-c;d?(d=Number(d),d>e&&(d=e)):d=e;var f=b.length;if(f%2!==0)throw new Error("Invalid hex string");d>f/2&&(d=f/2);for(var g=0;d>g;g++){var h=parseInt(b.substr(2*g,2),16);if(isNaN(h))throw new Error("Invalid hex string");a[c+g]=h}return g}function v(a,b,c,d){return V(R(b,a.length-c),a,c,d)}function w(a,b,c,d){return V(S(b),a,c,d)}function x(a,b,c,d){return w(a,b,c,d)}function y(a,b,c,d){return V(U(b),a,c,d)}function z(a,b,c,d){return V(T(b,a.length-c),a,c,d)}function A(a,b,c){return 0===b&&c===a.length?W.fromByteArray(a):W.fromByteArray(a.slice(b,c))}function B(a,b,c){c=Math.min(a.length,c);for(var d=[],e=b;c>e;){var f=a[e],g=null,h=f>239?4:f>223?3:f>191?2:1;if(c>=e+h){var i,j,k,l;switch(h){case 1:128>f&&(g=f);break;case 2:i=a[e+1],128===(192&i)&&(l=(31&f)<<6|63&i,l>127&&(g=l));break;case 3:i=a[e+1],j=a[e+2],128===(192&i)&&128===(192&j)&&(l=(15&f)<<12|(63&i)<<6|63&j,l>2047&&(55296>l||l>57343)&&(g=l));break;case 4:i=a[e+1],j=a[e+2],k=a[e+3],128===(192&i)&&128===(192&j)&&128===(192&k)&&(l=(15&f)<<18|(63&i)<<12|(63&j)<<6|63&k,l>65535&&1114112>l&&(g=l))}}null===g?(g=65533,h=1):g>65535&&(g-=65536,d.push(g>>>10&1023|55296),g=56320|1023&g),d.push(g),e+=h}return C(d)}function C(a){var b=a.length;if($>=b)return String.fromCharCode.apply(String,a);for(var c="",d=0;b>d;)c+=String.fromCharCode.apply(String,a.slice(d,d+=$));return c}function D(a,b,c){var d="";c=Math.min(a.length,c);for(var e=b;c>e;e++)d+=String.fromCharCode(127&a[e]);return d}function E(a,b,c){var d="";c=Math.min(a.length,c);for(var e=b;c>e;e++)d+=String.fromCharCode(a[e]);return d}function F(a,b,c){var d=a.length;(!b||0>b)&&(b=0),(!c||0>c||c>d)&&(c=d);for(var e="",f=b;c>f;f++)e+=Q(a[f]);return e}function G(a,b,c){for(var d=a.slice(b,c),e="",f=0;fa)throw new RangeError("offset is not uint");if(a+b>c)throw new RangeError("Trying to access beyond buffer length")}function I(b,c,d,e,f,g){if(!a.isBuffer(b))throw new TypeError("buffer must be a Buffer instance");if(c>f||g>c)throw new RangeError("value is out of bounds");if(d+e>b.length)throw new RangeError("index out of range")}function J(a,b,c,d){0>b&&(b=65535+b+1);for(var e=0,f=Math.min(a.length-c,2);f>e;e++)a[c+e]=(b&255<<8*(d?e:1-e))>>>8*(d?e:1-e)}function K(a,b,c,d){0>b&&(b=4294967295+b+1);for(var e=0,f=Math.min(a.length-c,4);f>e;e++)a[c+e]=b>>>8*(d?e:3-e)&255}function L(a,b,c,d,e,f){if(b>e||f>b)throw new RangeError("value is out of bounds");if(c+d>a.length)throw new RangeError("index out of range");if(0>c)throw new RangeError("index out of range")}function M(a,b,c,d,e){return e||L(a,b,c,4,3.4028234663852886e38,-3.4028234663852886e38),X.write(a,b,c,d,23,4),c+4}function N(a,b,c,d,e){return e||L(a,b,c,8,1.7976931348623157e308,-1.7976931348623157e308),X.write(a,b,c,d,52,8),c+8}function O(a){if(a=P(a).replace(aa,""),a.length<2)return"";for(;a.length%4!==0;)a+="=";return a}function P(a){return a.trim?a.trim():a.replace(/^\s+|\s+$/g,"")}function Q(a){return 16>a?"0"+a.toString(16):a.toString(16)}function R(a,b){b=b||1/0;for(var c,d=a.length,e=null,f=[],g=0;d>g;g++){if(c=a.charCodeAt(g),c>55295&&57344>c){if(!e){if(c>56319){(b-=3)>-1&&f.push(239,191,189);continue}if(g+1===d){(b-=3)>-1&&f.push(239,191,189);continue}e=c;continue}if(56320>c){(b-=3)>-1&&f.push(239,191,189),e=c;continue}c=e-55296<<10|c-56320|65536}else e&&(b-=3)>-1&&f.push(239,191,189);if(e=null,128>c){if((b-=1)<0)break;f.push(c)}else if(2048>c){if((b-=2)<0)break;f.push(c>>6|192,63&c|128)}else if(65536>c){if((b-=3)<0)break;f.push(c>>12|224,c>>6&63|128,63&c|128)}else{if(!(1114112>c))throw new Error("Invalid code point");if((b-=4)<0)break;f.push(c>>18|240,c>>12&63|128,c>>6&63|128,63&c|128)}}return f}function S(a){for(var b=[],c=0;c>8,e=c%256,f.push(e),f.push(d);return f}function U(a){return W.toByteArray(O(a))}function V(a,b,c,d){for(var e=0;d>e&&!(e+c>=b.length||e>=a.length);e++)b[e+c]=a[e];return e}var W=c(3),X=c(4),Y=c(5);b.Buffer=a,b.SlowBuffer=r,b.INSPECT_MAX_BYTES=50,a.poolSize=8192;var Z={};a.TYPED_ARRAY_SUPPORT=void 0!==d.TYPED_ARRAY_SUPPORT?d.TYPED_ARRAY_SUPPORT:e(),a.TYPED_ARRAY_SUPPORT&&(a.prototype.__proto__=Uint8Array.prototype,a.__proto__=Uint8Array),a.isBuffer=function(a){return!(null==a||!a._isBuffer)},a.compare=function(b,c){if(!a.isBuffer(b)||!a.isBuffer(c))throw new TypeError("Arguments must be Buffers");if(b===c)return 0;for(var d=b.length,e=c.length,f=0,g=Math.min(d,e);g>f&&b[f]===c[f];)++f;return f!==g&&(d=b[f],e=c[f]),e>d?-1:d>e?1:0},a.isEncoding=function(a){switch(String(a).toLowerCase()){case"hex":case"utf8":case"utf-8":case"ascii":case"binary":case"base64":case"raw":case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return!0;default:return!1}},a.concat=function(b,c){if(!Y(b))throw new TypeError("list argument must be an Array of Buffers.");if(0===b.length)return new a(0);var d;if(void 0===c)for(c=0,d=0;d0&&(a=this.toString("hex",0,c).match(/.{2}/g).join(" "),this.length>c&&(a+=" ... ")),""},a.prototype.compare=function(b){if(!a.isBuffer(b))throw new TypeError("Argument must be a Buffer");return this===b?0:a.compare(this,b)},a.prototype.indexOf=function(b,c){function d(a,b,c){for(var d=-1,e=0;c+e2147483647?c=2147483647:-2147483648>c&&(c=-2147483648),c>>=0,0===this.length)return-1;if(c>=this.length)return-1;if(0>c&&(c=Math.max(this.length+c,0)),"string"==typeof b)return 0===b.length?-1:String.prototype.indexOf.call(this,b,c);if(a.isBuffer(b))return d(this,b,c);if("number"==typeof b)return a.TYPED_ARRAY_SUPPORT&&"function"===Uint8Array.prototype.indexOf?Uint8Array.prototype.indexOf.call(this,b,c):d(this,[b],c);throw new TypeError("val must be string, number or Buffer")},a.prototype.get=function(a){return console.log(".get() is deprecated. Access using array indexes instead."),this.readUInt8(a)},a.prototype.set=function(a,b){return console.log(".set() is deprecated. Access using array indexes instead."),this.writeUInt8(a,b)},a.prototype.write=function(a,b,c,d){if(void 0===b)d="utf8",c=this.length,b=0;else if(void 0===c&&"string"==typeof b)d=b,c=this.length,b=0;else if(isFinite(b))b=0|b,isFinite(c)?(c=0|c,void 0===d&&(d="utf8")):(d=c,c=void 0);else{var e=d;d=b,b=0|c,c=e}var f=this.length-b;if((void 0===c||c>f)&&(c=f),a.length>0&&(0>c||0>b)||b>this.length)throw new RangeError("attempt to write outside buffer bounds");d||(d="utf8");for(var g=!1;;)switch(d){case"hex":return u(this,a,b,c);case"utf8":case"utf-8":return v(this,a,b,c);case"ascii":return w(this,a,b,c);case"binary":return x(this,a,b,c);case"base64":return y(this,a,b,c);case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return z(this,a,b,c);default:if(g)throw new TypeError("Unknown encoding: "+d);d=(""+d).toLowerCase(),g=!0}},a.prototype.toJSON=function(){return{type:"Buffer",data:Array.prototype.slice.call(this._arr||this,0)}};var $=4096;a.prototype.slice=function(b,c){var d=this.length;b=~~b,c=void 0===c?d:~~c,0>b?(b+=d,0>b&&(b=0)):b>d&&(b=d),0>c?(c+=d,0>c&&(c=0)):c>d&&(c=d),b>c&&(c=b);var e;if(a.TYPED_ARRAY_SUPPORT)e=a._augment(this.subarray(b,c));else{var f=c-b;e=new a(f,void 0);for(var g=0;f>g;g++)e[g]=this[g+b]}return e.length&&(e.parent=this.parent||this),e},a.prototype.readUIntLE=function(a,b,c){a=0|a,b=0|b,c||H(a,b,this.length);for(var d=this[a],e=1,f=0;++f0&&(e*=256);)d+=this[a+--b]*e;return d},a.prototype.readUInt8=function(a,b){return b||H(a,1,this.length),this[a]},a.prototype.readUInt16LE=function(a,b){return b||H(a,2,this.length),this[a]|this[a+1]<<8},a.prototype.readUInt16BE=function(a,b){return b||H(a,2,this.length),this[a]<<8|this[a+1]},a.prototype.readUInt32LE=function(a,b){return b||H(a,4,this.length),(this[a]|this[a+1]<<8|this[a+2]<<16)+16777216*this[a+3]},a.prototype.readUInt32BE=function(a,b){return b||H(a,4,this.length),16777216*this[a]+(this[a+1]<<16|this[a+2]<<8|this[a+3])},a.prototype.readIntLE=function(a,b,c){a=0|a,b=0|b,c||H(a,b,this.length);for(var d=this[a],e=1,f=0;++f=e&&(d-=Math.pow(2,8*b)),d},a.prototype.readIntBE=function(a,b,c){a=0|a,b=0|b,c||H(a,b,this.length);for(var d=b,e=1,f=this[a+--d];d>0&&(e*=256);)f+=this[a+--d]*e;return e*=128,f>=e&&(f-=Math.pow(2,8*b)),f},a.prototype.readInt8=function(a,b){return b||H(a,1,this.length),128&this[a]?-1*(255-this[a]+1):this[a]},a.prototype.readInt16LE=function(a,b){b||H(a,2,this.length);var c=this[a]|this[a+1]<<8;return 32768&c?4294901760|c:c},a.prototype.readInt16BE=function(a,b){b||H(a,2,this.length);var c=this[a+1]|this[a]<<8;return 32768&c?4294901760|c:c},a.prototype.readInt32LE=function(a,b){return b||H(a,4,this.length),this[a]|this[a+1]<<8|this[a+2]<<16|this[a+3]<<24},a.prototype.readInt32BE=function(a,b){return b||H(a,4,this.length),this[a]<<24|this[a+1]<<16|this[a+2]<<8|this[a+3]},a.prototype.readFloatLE=function(a,b){return b||H(a,4,this.length),X.read(this,a,!0,23,4)},a.prototype.readFloatBE=function(a,b){return b||H(a,4,this.length),X.read(this,a,!1,23,4)},a.prototype.readDoubleLE=function(a,b){return b||H(a,8,this.length),X.read(this,a,!0,52,8)},a.prototype.readDoubleBE=function(a,b){return b||H(a,8,this.length),X.read(this,a,!1,52,8)},a.prototype.writeUIntLE=function(a,b,c,d){a=+a,b=0|b,c=0|c,d||I(this,a,b,c,Math.pow(2,8*c),0);var e=1,f=0;for(this[b]=255&a;++f=0&&(f*=256);)this[b+e]=a/f&255;return b+c},a.prototype.writeUInt8=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,1,255,0),a.TYPED_ARRAY_SUPPORT||(b=Math.floor(b)),this[c]=255&b,c+1},a.prototype.writeUInt16LE=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,2,65535,0),a.TYPED_ARRAY_SUPPORT?(this[c]=255&b,this[c+1]=b>>>8):J(this,b,c,!0),c+2},a.prototype.writeUInt16BE=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,2,65535,0),a.TYPED_ARRAY_SUPPORT?(this[c]=b>>>8,this[c+1]=255&b):J(this,b,c,!1),c+2},a.prototype.writeUInt32LE=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,4,4294967295,0),a.TYPED_ARRAY_SUPPORT?(this[c+3]=b>>>24,this[c+2]=b>>>16,this[c+1]=b>>>8,this[c]=255&b):K(this,b,c,!0),c+4},a.prototype.writeUInt32BE=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,4,4294967295,0),a.TYPED_ARRAY_SUPPORT?(this[c]=b>>>24,this[c+1]=b>>>16,this[c+2]=b>>>8,this[c+3]=255&b):K(this,b,c,!1),c+4},a.prototype.writeIntLE=function(a,b,c,d){if(a=+a,b=0|b,!d){var e=Math.pow(2,8*c-1);I(this,a,b,c,e-1,-e)}var f=0,g=1,h=0>a?1:0;for(this[b]=255&a;++f>0)-h&255;return b+c},a.prototype.writeIntBE=function(a,b,c,d){if(a=+a,b=0|b,!d){var e=Math.pow(2,8*c-1);I(this,a,b,c,e-1,-e)}var f=c-1,g=1,h=0>a?1:0;for(this[b+f]=255&a;--f>=0&&(g*=256);)this[b+f]=(a/g>>0)-h&255;return b+c},a.prototype.writeInt8=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,1,127,-128),a.TYPED_ARRAY_SUPPORT||(b=Math.floor(b)),0>b&&(b=255+b+1),this[c]=255&b,c+1},a.prototype.writeInt16LE=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,2,32767,-32768),a.TYPED_ARRAY_SUPPORT?(this[c]=255&b,this[c+1]=b>>>8):J(this,b,c,!0),c+2},a.prototype.writeInt16BE=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,2,32767,-32768),a.TYPED_ARRAY_SUPPORT?(this[c]=b>>>8,this[c+1]=255&b):J(this,b,c,!1),c+2},a.prototype.writeInt32LE=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,4,2147483647,-2147483648),a.TYPED_ARRAY_SUPPORT?(this[c]=255&b,this[c+1]=b>>>8,this[c+2]=b>>>16,this[c+3]=b>>>24):K(this,b,c,!0),c+4},a.prototype.writeInt32BE=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,4,2147483647,-2147483648),0>b&&(b=4294967295+b+1),a.TYPED_ARRAY_SUPPORT?(this[c]=b>>>24,this[c+1]=b>>>16,this[c+2]=b>>>8,this[c+3]=255&b):K(this,b,c,!1),c+4},a.prototype.writeFloatLE=function(a,b,c){return M(this,a,b,!0,c)},a.prototype.writeFloatBE=function(a,b,c){return M(this,a,b,!1,c)},a.prototype.writeDoubleLE=function(a,b,c){return N(this,a,b,!0,c)},a.prototype.writeDoubleBE=function(a,b,c){return N(this,a,b,!1,c)},a.prototype.copy=function(b,c,d,e){if(d||(d=0),e||0===e||(e=this.length),c>=b.length&&(c=b.length),c||(c=0),e>0&&d>e&&(e=d),e===d)return 0;if(0===b.length||0===this.length)return 0;if(0>c)throw new RangeError("targetStart out of bounds");if(0>d||d>=this.length)throw new RangeError("sourceStart out of bounds");if(0>e)throw new RangeError("sourceEnd out of bounds");e>this.length&&(e=this.length),b.length-cd&&e>c)for(f=g-1;f>=0;f--)b[f+c]=this[f+d];else if(1e3>g||!a.TYPED_ARRAY_SUPPORT)for(f=0;g>f;f++)b[f+c]=this[f+d];else b._set(this.subarray(d,d+g),c);return g},a.prototype.fill=function(a,b,c){if(a||(a=0),b||(b=0),c||(c=this.length),b>c)throw new RangeError("end < start");if(c!==b&&0!==this.length){if(0>b||b>=this.length)throw new RangeError("start out of bounds");if(0>c||c>this.length)throw new RangeError("end out of bounds");var d;if("number"==typeof a)for(d=b;c>d;d++)this[d]=a;else{var e=R(a.toString()),f=e.length;for(d=b;c>d;d++)this[d]=e[d%f]}return this}},a.prototype.toArrayBuffer=function(){if("undefined"!=typeof Uint8Array){if(a.TYPED_ARRAY_SUPPORT)return new a(this).buffer;for(var b=new Uint8Array(this.length),c=0,d=b.length;d>c;c+=1)b[c]=this[c];return b.buffer}throw new TypeError("Buffer.toArrayBuffer not supported in this browser")};var _=a.prototype;a._augment=function(b){return b.constructor=a,b._isBuffer=!0,b._set=b.set,b.get=_.get,b.set=_.set,b.write=_.write,b.toString=_.toString,b.toLocaleString=_.toString,b.toJSON=_.toJSON,b.equals=_.equals,b.compare=_.compare,b.indexOf=_.indexOf,b.copy=_.copy,b.slice=_.slice,b.readUIntLE=_.readUIntLE,b.readUIntBE=_.readUIntBE,b.readUInt8=_.readUInt8,b.readUInt16LE=_.readUInt16LE,b.readUInt16BE=_.readUInt16BE,b.readUInt32LE=_.readUInt32LE,b.readUInt32BE=_.readUInt32BE,b.readIntLE=_.readIntLE,b.readIntBE=_.readIntBE,b.readInt8=_.readInt8,b.readInt16LE=_.readInt16LE,b.readInt16BE=_.readInt16BE,b.readInt32LE=_.readInt32LE,b.readInt32BE=_.readInt32BE,b.readFloatLE=_.readFloatLE,b.readFloatBE=_.readFloatBE,b.readDoubleLE=_.readDoubleLE,b.readDoubleBE=_.readDoubleBE,b.writeUInt8=_.writeUInt8,b.writeUIntLE=_.writeUIntLE,b.writeUIntBE=_.writeUIntBE,b.writeUInt16LE=_.writeUInt16LE,b.writeUInt16BE=_.writeUInt16BE,b.writeUInt32LE=_.writeUInt32LE,b.writeUInt32BE=_.writeUInt32BE,b.writeIntLE=_.writeIntLE,b.writeIntBE=_.writeIntBE,b.writeInt8=_.writeInt8,b.writeInt16LE=_.writeInt16LE,b.writeInt16BE=_.writeInt16BE,b.writeInt32LE=_.writeInt32LE,b.writeInt32BE=_.writeInt32BE,b.writeFloatLE=_.writeFloatLE,b.writeFloatBE=_.writeFloatBE,b.writeDoubleLE=_.writeDoubleLE,b.writeDoubleBE=_.writeDoubleBE,b.fill=_.fill,b.inspect=_.inspect,b.toArrayBuffer=_.toArrayBuffer,b};var aa=/[^+\/0-9A-Za-z-_]/g}).call(b,c(2).Buffer,function(){return this}())},function(a,b,c){var d="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/";!function(a){"use strict";function b(a){var b=a.charCodeAt(0);return b===g||b===l?62:b===h||b===m?63:i>b?-1:i+10>b?b-i+26+26:k+26>b?b-k:j+26>b?b-j+26:void 0}function c(a){function c(a){j[l++]=a}var d,e,g,h,i,j;if(a.length%4>0)throw new Error("Invalid string. Length must be a multiple of 4");var k=a.length;i="="===a.charAt(k-2)?2:"="===a.charAt(k-1)?1:0,j=new f(3*a.length/4-i),g=i>0?a.length-4:a.length;var l=0;for(d=0,e=0;g>d;d+=4,e+=3)h=b(a.charAt(d))<<18|b(a.charAt(d+1))<<12|b(a.charAt(d+2))<<6|b(a.charAt(d+3)),c((16711680&h)>>16),c((65280&h)>>8),c(255&h);return 2===i?(h=b(a.charAt(d))<<2|b(a.charAt(d+1))>>4,c(255&h)):1===i&&(h=b(a.charAt(d))<<10|b(a.charAt(d+1))<<4|b(a.charAt(d+2))>>2,c(h>>8&255),c(255&h)),j}function e(a){function b(a){return d.charAt(a)}function c(a){return b(a>>18&63)+b(a>>12&63)+b(a>>6&63)+b(63&a)}var e,f,g,h=a.length%3,i="";for(e=0,g=a.length-h;g>e;e+=3)f=(a[e]<<16)+(a[e+1]<<8)+a[e+2],i+=c(f);switch(h){case 1:f=a[a.length-1],i+=b(f>>2),i+=b(f<<4&63),i+="==";break;case 2:f=(a[a.length-2]<<8)+a[a.length-1],i+=b(f>>10),i+=b(f>>4&63),i+=b(f<<2&63),i+="="}return i}var f="undefined"!=typeof Uint8Array?Uint8Array:Array,g="+".charCodeAt(0),h="/".charCodeAt(0),i="0".charCodeAt(0),j="a".charCodeAt(0),k="A".charCodeAt(0),l="-".charCodeAt(0),m="_".charCodeAt(0);a.toByteArray=c,a.fromByteArray=e}(b)},function(a,b){b.read=function(a,b,c,d,e){var f,g,h=8*e-d-1,i=(1<>1,k=-7,l=c?e-1:0,m=c?-1:1,n=a[b+l];for(l+=m,f=n&(1<<-k)-1,n>>=-k,k+=h;k>0;f=256*f+a[b+l],l+=m,k-=8);for(g=f&(1<<-k)-1,f>>=-k,k+=d;k>0;g=256*g+a[b+l],l+=m,k-=8);if(0===f)f=1-j;else{if(f===i)return g?NaN:(n?-1:1)*(1/0);g+=Math.pow(2,d),f-=j}return(n?-1:1)*g*Math.pow(2,f-d)},b.write=function(a,b,c,d,e,f){var g,h,i,j=8*f-e-1,k=(1<>1,m=23===e?Math.pow(2,-24)-Math.pow(2,-77):0,n=d?0:f-1,o=d?1:-1,p=0>b||0===b&&0>1/b?1:0;for(b=Math.abs(b),isNaN(b)||b===1/0?(h=isNaN(b)?1:0,g=k):(g=Math.floor(Math.log(b)/Math.LN2),b*(i=Math.pow(2,-g))<1&&(g--,i*=2),b+=g+l>=1?m/i:m*Math.pow(2,1-l),b*i>=2&&(g++,i/=2),g+l>=k?(h=0,g=k):g+l>=1?(h=(b*i-1)*Math.pow(2,e),g+=l):(h=b*Math.pow(2,l-1)*Math.pow(2,e),g=0));e>=8;a[c+n]=255&h,n+=o,h/=256,e-=8);for(g=g<0;a[c+n]=255&g,n+=o,g/=256,j-=8);a[c+n-o]|=128*p}},function(a,b){var c=Array.isArray,d=Object.prototype.toString;a.exports=c||function(a){return!!a&&"[object Array]"==d.call(a)}},function(a,b,c){"use strict";function d(a){this.fontDescriptors=a}function e(a){if(!a)return null;if("number"==typeof a||a instanceof Number)a={left:a,right:a,top:a,bottom:a};else if(a instanceof Array)if(2===a.length)a={left:a[0],top:a[1],right:a[0],bottom:a[1]};else{if(4!==a.length)throw"Invalid pageMargins definition";a={left:a[0],top:a[1],right:a[2],bottom:a[3]}}return a}function f(a){a.registerTableLayouts({noBorders:{hLineWidth:function(a){return 0},vLineWidth:function(a){return 0},paddingLeft:function(a){return a&&4||0},paddingRight:function(a,b){return ab.options.size[1]?"landscape":"portrait";if(a.pageSize.orientation!==c){var d=b.options.size[0],e=b.options.size[1];b.options.size=[e,d]}}function i(a,b,c){c._pdfMakePages=a;for(var d=0;d0&&(h(a[d],c),c.addPage(c.options));for(var e=a[d],f=0,g=e.items.length;g>f;f++){var i=e.items[f];switch(i.type){case"vector":l(i.item,c);break;case"line":j(i.item,i.item.x,i.item.y,c);break;case"image":m(i.item,i.item.x,i.item.y,c)}}e.watermark&&k(e,c),b.setFontRefsToPdfDoc()}}function j(a,b,c,d){b=b||0,c=c||0;var e=a.getHeight(),f=a.getAscenderHeight();s.drawBackground(a,b,c,d);for(var g=0,h=a.inlines.length;h>g;g++){var i=a.inlines[g];d.fill(i.color||"black"),d.save(),d.transform(1,0,0,-1,0,d.page.height);var j=i.font.encode(i.text);d.addContent("BT"),d.addContent(""+(b+i.x)+" "+(d.page.height-c-f)+" Td"),d.addContent("/"+j.fontId+" "+i.fontSize+" Tf"),d.addContent("<"+j.encodedText+"> Tj"),d.addContent("ET"),i.link&&d.link(b+i.x,d.page.height-c-e,i.width,e,i.link),d.restore()}s.drawDecorations(a,b,c,d)}function k(a,b){var c=a.watermark;b.fill("black"),b.opacity(.6),b.save(),b.transform(1,0,0,-1,0,b.page.height);var d=180*Math.atan2(b.page.height,b.page.width)/Math.PI;b.rotate(d,{origin:[b.page.width/2,b.page.height/2]});var e=c.font.encode(c.text);b.addContent("BT"),b.addContent(""+(b.page.width/2-c.size.size.width/2)+" "+(b.page.height/2-c.size.size.height/4)+" Td"),b.addContent("/"+e.fontId+" "+c.size.fontSize+" Tf"),b.addContent("<"+e.encodedText+"> Tj"),b.addContent("ET"),b.restore()}function l(a,b){switch(b.lineWidth(a.lineWidth||1),a.dash?b.dash(a.dash.length,{space:a.dash.space||a.dash.length}):b.undash(),b.fillOpacity(a.fillOpacity||1),b.strokeOpacity(a.strokeOpacity||1),b.lineJoin(a.lineJoin||"miter"),a.type){case"ellipse":b.ellipse(a.x,a.y,a.r1,a.r2);break;case"rect":a.r?b.roundedRect(a.x,a.y,a.w,a.h,a.r):b.rect(a.x,a.y,a.w,a.h);break;case"line":b.moveTo(a.x1,a.y1),b.lineTo(a.x2,a.y2);break;case"polyline":if(0===a.points.length)break;b.moveTo(a.points[0].x,a.points[0].y);for(var c=1,d=a.points.length;d>c;c++)b.lineTo(a.points[c].x,a.points[c].y);if(a.points.length>1){var e=a.points[0],f=a.points[a.points.length-1];(a.closePath||e.x===f.x&&e.y===f.y)&&b.closePath()}}a.color&&a.lineColor?b.fillAndStroke(a.color,a.lineColor):a.color?b.fill(a.color):b.stroke(a.lineColor||"black")}function m(a,b,c,d){d.image(a.image,a.x,a.y,{width:a._width,height:a._height})}var n=(c(7),c(9)),o=c(11),p=c(24),q=(c(46),c(102)),r=c(103),s=c(104),n=c(9);d.prototype.createPdfKitDocument=function(a,b){b=b||{};var c=g(a.pageSize||"a4");if("landscape"===a.pageOrientation&&(c={width:c.height,height:c.width}),c.orientation="landscape"===a.pageOrientation?a.pageOrientation:"portrait",this.pdfKitDoc=new p({size:[c.width,c.height],compress:!1}),this.pdfKitDoc.info.Producer="pdfmake",this.pdfKitDoc.info.Creator="pdfmake",a.info){a.info;this.pdfKitDoc.info.Title=a.info.title?a.info.title:null,this.pdfKitDoc.info.Author=a.info.author?a.info.author:null,this.pdfKitDoc.info.Subject=a.info.subject?a.info.subject:null,this.pdfKitDoc.info.Keywords=a.info.keywords?a.info.keywords:null}this.fontProvider=new n(this.fontDescriptors,this.pdfKitDoc),a.images=a.images||{};var d=new o(c,e(a.pageMargins||40),new r(this.pdfKitDoc,a.images));f(d),b.tableLayouts&&d.registerTableLayouts(b.tableLayouts);var h=d.layoutDocument(a.content,this.fontProvider,a.styles||{},a.defaultStyle||{fontSize:12,font:"Roboto"},a.background,a.header,a.footer,a.images,a.watermark,a.pageBreakBefore);if(i(h,this.fontProvider,this.pdfKitDoc),b.autoPrint){var j=this.pdfKitDoc.ref({Type:"Action",S:"Named",N:"Print"});this.pdfKitDoc._root.data.OpenAction=j,j.end()}return this.pdfKitDoc};a.exports=d,d.prototype.fs=c(44)},function(a,b,c){var d;(function(a,e){(function(){function f(a,b){if(a!==b){var c=null===a,d=a===B,e=a===a,f=null===b,g=b===B,h=b===b;if(a>b&&!f||!e||c&&!g&&h||d&&h)return 1;if(b>a&&!c||!h||f&&!d&&e||g&&e)return-1}return 0}function g(a,b,c){for(var d=a.length,e=c?d:-1;c?e--:++e-1;);return c}function l(a,b){for(var c=a.length;c--&&b.indexOf(a.charAt(c))>-1;);return c}function m(a,b){return f(a.criteria,b.criteria)||a.index-b.index}function n(a,b,c){for(var d=-1,e=a.criteria,g=b.criteria,h=e.length,i=c.length;++d=i)return j;var k=c[d];return j*("asc"===k||k===!0?1:-1)}}return a.index-b.index}function o(a){return Ua[a]}function p(a){return Va[a]}function q(a,b,c){return b?a=Ya[a]:c&&(a=Za[a]),"\\"+a}function r(a){return"\\"+Za[a]}function s(a,b,c){for(var d=a.length,e=b+(c?0:-1);c?e--:++e=a&&a>=9&&13>=a||32==a||160==a||5760==a||6158==a||a>=8192&&(8202>=a||8232==a||8233==a||8239==a||8287==a||12288==a||65279==a)}function v(a,b){for(var c=-1,d=a.length,e=-1,f=[];++cb,e=c?a.length:0,f=Tc(0,e,this.__views__),g=f.start,h=f.end,i=h-g,j=d?h:g-1,k=this.__iteratees__,l=k.length,m=0,n=wg(i,this.__takeCount__);if(!c||Q>e||e==i&&n==i)return cc(d&&c?a.reverse():a,this.__actions__);var o=[];a:for(;i--&&n>m;){j+=b;for(var p=-1,q=a[j];++p=Q?oc(b):null,j=b.length;i&&(f=Za,g=!1,b=i);a:for(;++ec&&(c=-c>e?0:e+c),d=d===B||d>e?e:+d||0,0>d&&(d+=e),e=c>d?0:d>>>0,c>>>=0;e>c;)a[c++]=b;return a}function Bb(a,b){var c=[];return Jg(a,function(a,d,e){b(a,d,e)&&c.push(a)}),c}function Cb(a,b,c,d){var e;return c(a,function(a,c,f){return b(a,c,f)?(e=d?c:a,!1):void 0}),e}function Db(a,b,c,d){d||(d=[]);for(var e=-1,f=a.length;++ed;)a=a[b[d++]];return d&&d==e?a:B}}function Jb(a,b,c,d,e,f){return a===b?!0:null==a||null==b||!He(a)&&!t(b)?a!==a&&b!==b:Kb(a,b,Jb,c,d,e,f)}function Kb(a,b,c,d,e,f,g){var h=Ch(a),i=Ch(b),j=W,k=W;h||(j=cg.call(a),j==V?j=ba:j!=ba&&(h=Qe(a))),i||(k=cg.call(b),k==V?k=ba:k!=ba&&(i=Qe(b)));var l=j==ba,m=k==ba,n=j==k;if(n&&!h&&!l)return Mc(a,b,j);if(!e){var o=l&&ag.call(a,"__wrapped__"),p=m&&ag.call(b,"__wrapped__");if(o||p)return c(o?a.value():a,p?b.value():b,d,e,f,g)}if(!n)return!1;f||(f=[]),g||(g=[]);for(var q=f.length;q--;)if(f[q]==a)return g[q]==b;f.push(a),g.push(b);var r=(h?Lc:Nc)(a,b,c,d,e,f,g);return f.pop(),g.pop(),r}function Lb(a,b,c){var d=b.length,e=d,f=!c;if(null==a)return!e;for(a=kd(a);d--;){var g=b[d];if(f&&g[2]?g[1]!==a[g[0]]:!(g[0]in a))return!1}for(;++db&&(b=-b>e?0:e+b),c=c===B||c>e?e:+c||0,0>c&&(c+=e),e=b>c?0:c-b>>>0,b>>>=0;for(var f=Of(e);++d=Q,i=g?oc():null,j=[];i?(d=Za,f=!1):(g=!1,i=b?[]:j);a:for(;++c=e){for(;e>d;){var f=d+e>>>1,g=a[f];(c?b>=g:b>g)&&null!==g?d=f+1:e=f}return e}return ec(a,b,Bf,c)}function ec(a,b,c,d){b=c(b);for(var e=0,f=a?a.length:0,g=b!==b,h=null===b,i=b===B;f>e;){var j=rg((e+f)/2),k=c(a[j]),l=k!==B,m=k===k;if(g)var n=m||d;else n=h?m&&l&&(d||null!=k):i?m&&(d||l):null==k?!1:d?b>=k:b>k;n?e=j+1:f=j}return wg(f,Dg)}function fc(a,b,c){if("function"!=typeof a)return Bf;if(b===B)return a;switch(c){case 1:return function(c){return a.call(b,c)};case 3:return function(c,d,e){return a.call(b,c,d,e)};case 4:return function(c,d,e,f){return a.call(b,c,d,e,f)};case 5:return function(c,d,e,f,g){return a.call(b,c,d,e,f,g)}}return function(){return a.apply(b,arguments)}}function gc(a){var b=new fg(a.byteLength),c=new ng(b);return c.set(new ng(a)),b}function hc(a,b,c){for(var d=c.length,e=-1,f=vg(a.length-d,0),g=-1,h=b.length,i=Of(h+f);++g2?c[e-2]:B,g=e>2?c[2]:B,h=e>1?c[e-1]:B;for("function"==typeof f?(f=fc(f,h,5),e-=2):(f="function"==typeof h?h:B,e-=f?1:0),g&&$c(c[0],c[1],g)&&(f=3>e?B:f,e=1);++d-1?c[f]:B}return Cb(c,d,a)}}function vc(a){return function(b,c,d){return b&&b.length?(c=Oc(c,d,3),g(b,c,a)):-1}}function wc(a){return function(b,c,d){return c=Oc(c,d,3),Cb(b,c,a,!0)}}function xc(a){return function(){for(var b,c=arguments.length,e=a?c:-1,f=0,g=Of(c);a?e--:++e=Q)return b.plant(d).value();for(var e=0,f=c?g[e].apply(this,a):d;++es){var y=h?ab(h):B,z=vg(j-s,0),A=o?x:B,C=o?B:x,F=o?u:B,G=o?B:u;b|=o?I:J,b&=~(o?J:I),p||(b&=~(D|E));var H=[a,b,c,F,A,G,C,y,i,z],K=Fc.apply(B,H);return ad(a)&&Qg(K,H),K.placeholder=w,K}}var L=m?c:this,M=n?L[a]:a;return h&&(u=hd(u,h)),l&&i=b||!tg(b))return"";var e=b-d;return c=null==c?" ":c+"",qf(c,pg(e/c.length)).slice(0,e)}function Hc(a,b,c,d){function e(){for(var b=-1,h=arguments.length,i=-1,j=d.length,k=Of(j+h);++ii))return!1;for(;++h-1&&a%1==0&&b>a}function $c(a,b,c){if(!He(c))return!1;var d=typeof b;if("number"==d?Yc(c)&&Zc(b,c.length):"string"==d&&b in c){var e=c[b];return a===a?a===e:e!==e}return!1}function _c(a,b){var c=typeof a;if("string"==c&&Ba.test(a)||"number"==c)return!0;if(Ch(a))return!1;var d=!Aa.test(a);return d||null!=b&&a in kd(b)}function ad(a){var c=Pc(a);if(!(c in e.prototype))return!1;var d=b[c];if(a===d)return!0;var f=Og(d);return!!f&&a===f[0]}function bd(a){return"number"==typeof a&&a>-1&&a%1==0&&Fg>=a}function cd(a){return a===a&&!He(a)}function dd(a,b){var c=a[1],d=b[1],e=c|d,f=K>e,g=d==K&&c==G||d==K&&c==L&&a[7].length<=b[8]||d==(K|L)&&c==G;if(!f&&!g)return a;d&D&&(a[2]=b[2],e|=c&D?0:F);var h=b[3];if(h){var i=a[3];a[3]=i?hc(i,h,b[4]):ab(h),a[4]=i?v(a[3],U):ab(b[4])}return h=b[5],h&&(i=a[5],a[5]=i?ic(i,h,b[6]):ab(h),a[6]=i?v(a[5],U):ab(b[6])),h=b[7],h&&(a[7]=ab(h)),d&K&&(a[8]=null==a[8]?b[8]:wg(a[8],b[8])),null==a[9]&&(a[9]=b[9]),a[0]=b[0],a[1]=e,a}function ed(a,b){return a===B?b:Dh(a,b,ed)}function fd(a,b){a=kd(a);for(var c=-1,d=b.length,e={};++cd;)g[++f]=Wb(a,d,d+=b);return g}function od(a){for(var b=-1,c=a?a.length:0,d=-1,e=[];++bb?0:b)):[]}function qd(a,b,c){var d=a?a.length:0;return d?((c?$c(a,b,c):null==b)&&(b=1),b=d-(+b||0),Wb(a,0,0>b?0:b)):[]}function rd(a,b,c){return a&&a.length?bc(a,Oc(b,c,3),!0,!0):[]}function sd(a,b,c){return a&&a.length?bc(a,Oc(b,c,3),!0):[]}function td(a,b,c,d){var e=a?a.length:0;return e?(c&&"number"!=typeof c&&$c(a,b,c)&&(c=0,d=e),Ab(a,b,c,d)):[]}function ud(a){return a?a[0]:B}function vd(a,b,c){var d=a?a.length:0;return c&&$c(a,b,c)&&(b=!1),d?Db(a,b):[]}function wd(a){var b=a?a.length:0;return b?Db(a,!0):[]}function xd(a,b,c){var d=a?a.length:0;if(!d)return-1;if("number"==typeof c)c=0>c?vg(d+c,0):c;else if(c){var e=dc(a,b);return d>e&&(b===b?b===a[e]:a[e]!==a[e])?e:-1}return h(a,b,c||0)}function yd(a){return qd(a,1)}function zd(a){var b=a?a.length:0;return b?a[b-1]:B}function Ad(a,b,c){var d=a?a.length:0;if(!d)return-1;var e=d;if("number"==typeof c)e=(0>c?vg(d+c,0):wg(c||0,d-1))+1;else if(c){e=dc(a,b,!0)-1;var f=a[e];return(b===b?b===f:f!==f)?e:-1}if(b!==b)return s(a,e,!0);for(;e--;)if(a[e]===b)return e;return-1}function Bd(){var a=arguments,b=a[0];if(!b||!b.length)return b;for(var c=0,d=Qc(),e=a.length;++c-1;)mg.call(b,f,1);return b}function Cd(a,b,c){var d=[];if(!a||!a.length)return d;var e=-1,f=[],g=a.length;for(b=Oc(b,c,3);++eb?0:b)):[]}function Gd(a,b,c){var d=a?a.length:0;return d?((c?$c(a,b,c):null==b)&&(b=1),b=d-(+b||0),Wb(a,0>b?0:b)):[]}function Hd(a,b,c){return a&&a.length?bc(a,Oc(b,c,3),!1,!0):[]}function Id(a,b,c){return a&&a.length?bc(a,Oc(b,c,3)):[]}function Jd(a,b,c,d){var e=a?a.length:0;if(!e)return[];null!=b&&"boolean"!=typeof b&&(d=c,c=$c(a,b,d)?B:b,b=!1);var f=Oc();return null==c&&f===ub||(c=f(c,d,3)),b&&Qc()==h?w(a,c):_b(a,c)}function Kd(a){if(!a||!a.length)return[];var b=-1,c=0;a=hb(a,function(a){return Yc(a)?(c=vg(a.length,c),!0):void 0});for(var d=Of(c);++bc?vg(e+c,0):c||0,"string"==typeof a||!Ch(a)&&Pe(a)?e>=c&&a.indexOf(b,c)>-1:!!e&&Qc(a,b,c)>-1}function _d(a,b,c){var d=Ch(a)?ib:Mb;return b=Oc(b,c,3),d(a,b)}function ae(a,b){return _d(a,Hf(b))}function be(a,b,c){var d=Ch(a)?hb:Bb;return b=Oc(b,c,3),d(a,function(a,c,d){return!b(a,c,d)})}function ce(a,b,c){if(c?$c(a,b,c):null==b){a=jd(a);var d=a.length;return d>0?a[Ub(0,d-1)]:B}var e=-1,f=Ue(a),d=f.length,g=d-1;for(b=wg(0>b?0:+b||0,d);++e0&&(c=b.apply(this,arguments)),1>=a&&(b=B),c}}function me(a,b,c){function d(){n&&gg(n),j&&gg(j),p=0,j=n=o=B}function e(b,c){c&&gg(c),j=n=o=B,b&&(p=oh(),k=a.apply(m,i),n||j||(i=m=B))}function f(){var a=b-(oh()-l);0>=a||a>b?e(o,j):n=lg(f,a)}function g(){e(r,n)}function h(){if(i=arguments,l=oh(),m=this,o=r&&(n||!s),q===!1)var c=s&&!n;else{j||s||(p=l);var d=q-(l-p),e=0>=d||d>q;e?(j&&(j=gg(j)),p=l,k=a.apply(m,i)):j||(j=lg(g,d))}return e&&n?n=gg(n):n||b===q||(n=lg(f,b)),c&&(e=!0,k=a.apply(m,i)),!e||n||j||(i=m=B),k}var i,j,k,l,m,n,o,p=0,q=!1,r=!0;if("function"!=typeof a)throw new Xf(T);if(b=0>b?0:+b||0,c===!0){var s=!0;r=!1}else He(c)&&(s=!!c.leading,q="maxWait"in c&&vg(+c.maxWait||0,b),r="trailing"in c?!!c.trailing:r);return h.cancel=d,h}function ne(a,b){if("function"!=typeof a||b&&"function"!=typeof b)throw new Xf(T);var c=function(){var d=arguments,e=b?b.apply(this,d):d[0],f=c.cache;if(f.has(e))return f.get(e);var g=a.apply(this,d);return c.cache=f.set(e,g),g};return c.cache=new ne.Cache,c}function oe(a){if("function"!=typeof a)throw new Xf(T);return function(){return!a.apply(this,arguments)}}function pe(a){return le(2,a)}function qe(a,b){if("function"!=typeof a)throw new Xf(T);return b=vg(b===B?a.length-1:+b||0,0),function(){for(var c=arguments,d=-1,e=vg(c.length-b,0),f=Of(e);++db}function xe(a,b){return a>=b}function ye(a){return t(a)&&Yc(a)&&ag.call(a,"callee")&&!jg.call(a,"callee")}function ze(a){return a===!0||a===!1||t(a)&&cg.call(a)==X}function Ae(a){return t(a)&&cg.call(a)==Y}function Be(a){return!!a&&1===a.nodeType&&t(a)&&!Ne(a)}function Ce(a){return null==a?!0:Yc(a)&&(Ch(a)||Pe(a)||ye(a)||t(a)&&Ge(a.splice))?!a.length:!Nh(a).length}function De(a,b,c,d){c="function"==typeof c?fc(c,d,3):B;var e=c?c(a,b):B;return e===B?Jb(a,b,c):!!e}function Ee(a){return t(a)&&"string"==typeof a.message&&cg.call(a)==Z}function Fe(a){return"number"==typeof a&&tg(a)}function Ge(a){return He(a)&&cg.call(a)==$}function He(a){var b=typeof a;return!!a&&("object"==b||"function"==b)}function Ie(a,b,c,d){return c="function"==typeof c?fc(c,d,3):B,Lb(a,Rc(b),c)}function Je(a){return Me(a)&&a!=+a}function Ke(a){return null==a?!1:Ge(a)?eg.test(_f.call(a)):t(a)&&Ka.test(a)}function Le(a){return null===a}function Me(a){return"number"==typeof a||t(a)&&cg.call(a)==aa}function Ne(a){var b;if(!t(a)||cg.call(a)!=ba||ye(a)||!ag.call(a,"constructor")&&(b=a.constructor,"function"==typeof b&&!(b instanceof b)))return!1;var c;return Eb(a,function(a,b){c=b}),c===B||ag.call(a,c)}function Oe(a){return He(a)&&cg.call(a)==ca}function Pe(a){return"string"==typeof a||t(a)&&cg.call(a)==ea}function Qe(a){return t(a)&&bd(a.length)&&!!Sa[cg.call(a)]}function Re(a){return a===B}function Se(a,b){return b>a}function Te(a,b){return b>=a}function Ue(a){var b=a?Pg(a):0;return bd(b)?b?ab(a):[]:ef(a)}function Ve(a){return tb(a,_e(a))}function We(a,b,c){var d=Ig(a);return c&&$c(a,b,c)&&(b=B),b?rb(d,b):d}function Xe(a){return Hb(a,_e(a))}function Ye(a,b,c){var d=null==a?B:Ib(a,ld(b),b+"");return d===B?c:d}function Ze(a,b){if(null==a)return!1;var c=ag.call(a,b);if(!c&&!_c(b)){if(b=ld(b),a=1==b.length?a:Ib(a,Wb(b,0,-1)),null==a)return!1;b=zd(b),c=ag.call(a,b)}return c||bd(a.length)&&Zc(b,a.length)&&(Ch(a)||ye(a))}function $e(a,b,c){c&&$c(a,b,c)&&(b=B);for(var d=-1,e=Nh(a),f=e.length,g={};++d0;++d=wg(b,c)&&ac?0:+c||0,d),c-=b.length,c>=0&&a.indexOf(b,c)==c}function mf(a){return a=j(a),a&&wa.test(a)?a.replace(ua,p):a}function nf(a){return a=j(a),a&&Ea.test(a)?a.replace(Da,q):a||"(?:)"}function of(a,b,c){a=j(a),b=+b;var d=a.length;if(d>=b||!tg(b))return a;var e=(b-d)/2,f=rg(e),g=pg(e);return c=Gc("",g,c),c.slice(0,f)+a+c}function pf(a,b,c){return(c?$c(a,b,c):null==b)?b=0:b&&(b=+b),a=tf(a),yg(a,b||(Ja.test(a)?16:10))}function qf(a,b){var c="";if(a=j(a),b=+b,1>b||!a||!tg(b))return c;do b%2&&(c+=a),b=rg(b/2),a+=a;while(b);return c}function rf(a,b,c){return a=j(a),c=null==c?0:wg(0>c?0:+c||0,a.length),a.lastIndexOf(b,c)==c}function sf(a,c,d){var e=b.templateSettings;d&&$c(a,c,d)&&(c=d=B),a=j(a),c=qb(rb({},d||c),e,pb);var f,g,h=qb(rb({},c.imports),e.imports,pb),i=Nh(h),k=ac(h,i),l=0,m=c.interpolate||Na,n="__p += '",o=Vf((c.escape||Na).source+"|"+m.source+"|"+(m===za?Ha:Na).source+"|"+(c.evaluate||Na).source+"|$","g"),p="//# sourceURL="+("sourceURL"in c?c.sourceURL:"lodash.templateSources["+ ++Ra+"]")+"\n";a.replace(o,function(b,c,d,e,h,i){return d||(d=e),n+=a.slice(l,i).replace(Oa,r),c&&(f=!0,n+="' +\n__e("+c+") +\n'"),h&&(g=!0,n+="';\n"+h+";\n__p += '"),d&&(n+="' +\n((__t = ("+d+")) == null ? '' : __t) +\n'"),l=i+b.length,b}),n+="';\n";var q=c.variable;q||(n="with (obj) {\n"+n+"\n}\n"),n=(g?n.replace(qa,""):n).replace(ra,"$1").replace(sa,"$1;"),n="function("+(q||"obj")+") {\n"+(q?"":"obj || (obj = {});\n")+"var __t, __p = ''"+(f?", __e = _.escape":"")+(g?", __j = Array.prototype.join;\nfunction print() { __p += __j.call(arguments, '') }\n":";\n")+n+"return __p\n}";var s=Yh(function(){return Rf(i,p+"return "+n).apply(B,k)});if(s.source=n,Ee(s))throw s;return s}function tf(a,b,c){var d=a;return(a=j(a))?(c?$c(d,b,c):null==b)?a.slice(x(a),y(a)+1):(b+="",a.slice(k(a,b),l(a,b)+1)):a}function uf(a,b,c){var d=a;return a=j(a),a?(c?$c(d,b,c):null==b)?a.slice(x(a)):a.slice(k(a,b+"")):a}function vf(a,b,c){var d=a;return a=j(a),a?(c?$c(d,b,c):null==b)?a.slice(0,y(a)+1):a.slice(0,l(a,b+"")+1):a}function wf(a,b,c){c&&$c(a,b,c)&&(b=B);var d=M,e=N;if(null!=b)if(He(b)){var f="separator"in b?b.separator:f;d="length"in b?+b.length||0:d,e="omission"in b?j(b.omission):e}else d=+b||0;if(a=j(a),d>=a.length)return a;var g=d-e.length;if(1>g)return e;var h=a.slice(0,g);if(null==f)return h+e;if(Oe(f)){if(a.slice(g).search(f)){var i,k,l=a.slice(0,g);for(f.global||(f=Vf(f.source,(Ia.exec(f)||"")+"g")),f.lastIndex=0;i=f.exec(l);)k=i.index;h=h.slice(0,null==k?g:k)}}else if(a.indexOf(f,g)!=g){var m=h.lastIndexOf(f);m>-1&&(h=h.slice(0,m))}return h+e}function xf(a){return a=j(a),a&&va.test(a)?a.replace(ta,z):a}function yf(a,b,c){return c&&$c(a,b,c)&&(b=B),a=j(a),a.match(b||Pa)||[]}function zf(a,b,c){return c&&$c(a,b,c)&&(b=B),t(a)?Cf(a):ub(a,b)}function Af(a){return function(){return a}}function Bf(a){return a}function Cf(a){return Nb(vb(a,!0))}function Df(a,b){return Ob(a,vb(b,!0))}function Ef(a,b,c){if(null==c){var d=He(b),e=d?Nh(b):B,f=e&&e.length?Hb(b,e):B;(f?f.length:d)||(f=!1,c=b,b=a,a=this)}f||(f=Hb(b,Nh(b)));var g=!0,h=-1,i=Ge(a),j=f.length;c===!1?g=!1:He(c)&&"chain"in c&&(g=c.chain);for(;++ha||!tg(a))return[];var d=-1,e=Of(wg(a,Cg));for(b=fc(b,c,1);++dd?e[d]=b(d):b(d);return e}function Lf(a){var b=++bg;return j(a)+b}function Mf(a,b){return(+a||0)+(+b||0)}function Nf(a,b,c){return c&&$c(a,b,c)&&(b=B),b=Oc(b,c,3),1==b.length?nb(Ch(a)?a:jd(a),b):$b(a,b)}a=a?eb.defaults(db.Object(),a,eb.pick(db,Qa)):db;var Of=a.Array,Pf=a.Date,Qf=a.Error,Rf=a.Function,Sf=a.Math,Tf=a.Number,Uf=a.Object,Vf=a.RegExp,Wf=a.String,Xf=a.TypeError,Yf=Of.prototype,Zf=Uf.prototype,$f=Wf.prototype,_f=Rf.prototype.toString,ag=Zf.hasOwnProperty,bg=0,cg=Zf.toString,dg=db._,eg=Vf("^"+_f.call(ag).replace(/[\\^$.*+?()[\]{}|]/g,"\\$&").replace(/hasOwnProperty|(function).*?(?=\\\()| for .+?(?=\\\])/g,"$1.*?")+"$"),fg=a.ArrayBuffer,gg=a.clearTimeout,hg=a.parseFloat,ig=Sf.pow,jg=Zf.propertyIsEnumerable,kg=Sc(a,"Set"),lg=a.setTimeout,mg=Yf.splice,ng=a.Uint8Array,og=Sc(a,"WeakMap"),pg=Sf.ceil,qg=Sc(Uf,"create"),rg=Sf.floor,sg=Sc(Of,"isArray"),tg=a.isFinite,ug=Sc(Uf,"keys"),vg=Sf.max,wg=Sf.min,xg=Sc(Pf,"now"),yg=a.parseInt,zg=Sf.random,Ag=Tf.NEGATIVE_INFINITY,Bg=Tf.POSITIVE_INFINITY,Cg=4294967295,Dg=Cg-1,Eg=Cg>>>1,Fg=9007199254740991,Gg=og&&new og,Hg={};b.support={};b.templateSettings={escape:xa,evaluate:ya,interpolate:za,variable:"",imports:{_:b}};var Ig=function(){ -function a(){}return function(b){if(He(b)){a.prototype=b;var c=new a;a.prototype=B}return c||{}}}(),Jg=lc(Fb),Kg=lc(Gb,!0),Lg=mc(),Mg=mc(!0),Ng=Gg?function(a,b){return Gg.set(a,b),a}:Bf,Og=Gg?function(a){return Gg.get(a)}:Gf,Pg=Rb("length"),Qg=function(){var a=0,b=0;return function(c,d){var e=oh(),f=P-(e-b);if(b=e,f>0){if(++a>=O)return c}else a=0;return Ng(c,d)}}(),Rg=qe(function(a,b){return t(a)&&Yc(a)?xb(a,Db(b,!1,!0)):[]}),Sg=vc(),Tg=vc(!0),Ug=qe(function(a){for(var b=a.length,c=b,d=Of(l),e=Qc(),f=e==h,g=[];c--;){var i=a[c]=Yc(i=a[c])?i:[];d[c]=f&&i.length>=120?oc(c&&i):null}var j=a[0],k=-1,l=j?j.length:0,m=d[0];a:for(;++k2?a[b-2]:B,d=b>1?a[b-1]:B;return b>2&&"function"==typeof c?b-=2:(c=b>1&&"function"==typeof d?(--b,d):B,d=B),a.length=b,Ld(a,c,d)}),ah=qe(function(a){return a=Db(a),this.thru(function(b){return _a(Ch(b)?b:[kd(b)],a)})}),bh=qe(function(a,b){return sb(a,Db(b))}),ch=jc(function(a,b,c){ag.call(a,c)?++a[c]:a[c]=1}),dh=uc(Jg),eh=uc(Kg,!0),fh=yc(bb,Jg),gh=yc(cb,Kg),hh=jc(function(a,b,c){ag.call(a,c)?a[c].push(b):a[c]=[b]}),ih=jc(function(a,b,c){a[c]=b}),jh=qe(function(a,b,c){var d=-1,e="function"==typeof b,f=_c(b),g=Yc(a)?Of(a.length):[];return Jg(a,function(a){var h=e?b:f&&null!=a?a[b]:B;g[++d]=h?h.apply(a,c):Xc(a,b,c)}),g}),kh=jc(function(a,b,c){a[c?0:1].push(b)},function(){return[[],[]]}),lh=Ec(kb,Jg),mh=Ec(lb,Kg),nh=qe(function(a,b){if(null==a)return[];var c=b[2];return c&&$c(b[0],b[1],c)&&(b.length=1),Zb(a,Db(b),[])}),oh=xg||function(){return(new Pf).getTime()},ph=qe(function(a,b,c){var d=D;if(c.length){var e=v(c,ph.placeholder);d|=I}return Kc(a,d,b,c,e)}),qh=qe(function(a,b){b=b.length?Db(b):Xe(a);for(var c=-1,d=b.length;++c0||0>b)?new e(c):(0>a?c=c.takeRight(-a):a&&(c=c.drop(a)),b!==B&&(b=+b||0,c=0>b?c.dropRight(-b):c.take(b-a)),c)},e.prototype.takeRightWhile=function(a,b){return this.reverse().takeWhile(a,b).reverse()},e.prototype.toArray=function(){return this.take(Bg)},Fb(e.prototype,function(a,c){var f=/^(?:filter|map|reject)|While$/.test(c),g=/^(?:first|last)$/.test(c),h=b[g?"take"+("last"==c?"Right":""):c];h&&(b.prototype[c]=function(){var b=g?[1]:arguments,c=this.__chain__,i=this.__wrapped__,j=!!this.__actions__.length,k=i instanceof e,l=b[0],m=k||Ch(i);m&&f&&"function"==typeof l&&1!=l.length&&(k=m=!1);var n=function(a){return g&&c?h(a,1)[0]:h.apply(B,jb([a],b))},o={func:Qd,args:[n],thisArg:B},p=k&&!j;if(g&&!c)return p?(i=i.clone(),i.__actions__.push(o),a.call(i)):h.call(B,this.value())[0];if(!g&&m){i=p?i:new e(this);var q=a.apply(i,b);return q.__actions__.push(o),new d(q,c)}return this.thru(n)})}),bb(["join","pop","push","replace","shift","sort","splice","split","unshift"],function(a){var c=(/^(?:replace|split)$/.test(a)?$f:Yf)[a],d=/^(?:push|sort|unshift)$/.test(a)?"tap":"thru",e=/^(?:join|pop|replace|shift)$/.test(a);b.prototype[a]=function(){var a=arguments;return e&&!this.__chain__?c.apply(this.value(),a):this[d](function(b){return c.apply(b,a)})}}),Fb(e.prototype,function(a,c){var d=b[c];if(d){var e=d.name,f=Hg[e]||(Hg[e]=[]);f.push({name:c,func:d})}}),Hg[Fc(B,E).name]=[{name:"wrapper",func:B}],e.prototype.clone=u,e.prototype.reverse=_,e.prototype.value=da,b.prototype.chain=Rd,b.prototype.commit=Sd,b.prototype.concat=ah,b.prototype.plant=Td,b.prototype.reverse=Ud,b.prototype.toString=Vd,b.prototype.run=b.prototype.toJSON=b.prototype.valueOf=b.prototype.value=Wd,b.prototype.collect=b.prototype.map,b.prototype.head=b.prototype.first,b.prototype.select=b.prototype.filter,b.prototype.tail=b.prototype.rest,b}var B,C="3.10.1",D=1,E=2,F=4,G=8,H=16,I=32,J=64,K=128,L=256,M=30,N="...",O=150,P=16,Q=200,R=1,S=2,T="Expected a function",U="__lodash_placeholder__",V="[object Arguments]",W="[object Array]",X="[object Boolean]",Y="[object Date]",Z="[object Error]",$="[object Function]",_="[object Map]",aa="[object Number]",ba="[object Object]",ca="[object RegExp]",da="[object Set]",ea="[object String]",fa="[object WeakMap]",ga="[object ArrayBuffer]",ha="[object Float32Array]",ia="[object Float64Array]",ja="[object Int8Array]",ka="[object Int16Array]",la="[object Int32Array]",ma="[object Uint8Array]",na="[object Uint8ClampedArray]",oa="[object Uint16Array]",pa="[object Uint32Array]",qa=/\b__p \+= '';/g,ra=/\b(__p \+=) '' \+/g,sa=/(__e\(.*?\)|\b__t\)) \+\n'';/g,ta=/&(?:amp|lt|gt|quot|#39|#96);/g,ua=/[&<>"'`]/g,va=RegExp(ta.source),wa=RegExp(ua.source),xa=/<%-([\s\S]+?)%>/g,ya=/<%([\s\S]+?)%>/g,za=/<%=([\s\S]+?)%>/g,Aa=/\.|\[(?:[^[\]]*|(["'])(?:(?!\1)[^\n\\]|\\.)*?\1)\]/,Ba=/^\w*$/,Ca=/[^.[\]]+|\[(?:(-?\d+(?:\.\d+)?)|(["'])((?:(?!\2)[^\n\\]|\\.)*?)\2)\]/g,Da=/^[:!,]|[\\^$.*+?()[\]{}|\/]|(^[0-9a-fA-Fnrtuvx])|([\n\r\u2028\u2029])/g,Ea=RegExp(Da.source),Fa=/[\u0300-\u036f\ufe20-\ufe23]/g,Ga=/\\(\\)?/g,Ha=/\$\{([^\\}]*(?:\\.[^\\}]*)*)\}/g,Ia=/\w*$/,Ja=/^0[xX]/,Ka=/^\[object .+?Constructor\]$/,La=/^\d+$/,Ma=/[\xc0-\xd6\xd8-\xde\xdf-\xf6\xf8-\xff]/g,Na=/($^)/,Oa=/['\n\r\u2028\u2029\\]/g,Pa=function(){var a="[A-Z\\xc0-\\xd6\\xd8-\\xde]",b="[a-z\\xdf-\\xf6\\xf8-\\xff]+";return RegExp(a+"+(?="+a+b+")|"+a+"?"+b+"|"+a+"+|[0-9]+","g")}(),Qa=["Array","ArrayBuffer","Date","Error","Float32Array","Float64Array","Function","Int8Array","Int16Array","Int32Array","Math","Number","Object","RegExp","Set","String","_","clearTimeout","isFinite","parseFloat","parseInt","setTimeout","TypeError","Uint8Array","Uint8ClampedArray","Uint16Array","Uint32Array","WeakMap"],Ra=-1,Sa={};Sa[ha]=Sa[ia]=Sa[ja]=Sa[ka]=Sa[la]=Sa[ma]=Sa[na]=Sa[oa]=Sa[pa]=!0,Sa[V]=Sa[W]=Sa[ga]=Sa[X]=Sa[Y]=Sa[Z]=Sa[$]=Sa[_]=Sa[aa]=Sa[ba]=Sa[ca]=Sa[da]=Sa[ea]=Sa[fa]=!1;var Ta={};Ta[V]=Ta[W]=Ta[ga]=Ta[X]=Ta[Y]=Ta[ha]=Ta[ia]=Ta[ja]=Ta[ka]=Ta[la]=Ta[aa]=Ta[ba]=Ta[ca]=Ta[ea]=Ta[ma]=Ta[na]=Ta[oa]=Ta[pa]=!0,Ta[Z]=Ta[$]=Ta[_]=Ta[da]=Ta[fa]=!1;var Ua={"À":"A","Á":"A","Â":"A","Ã":"A","Ä":"A","Å":"A","à":"a","á":"a","â":"a","ã":"a","ä":"a","å":"a","Ç":"C","ç":"c","Ð":"D","ð":"d","È":"E","É":"E","Ê":"E","Ë":"E","è":"e","é":"e","ê":"e","ë":"e","Ì":"I","Í":"I","Î":"I","Ï":"I","ì":"i","í":"i","î":"i","ï":"i","Ñ":"N","ñ":"n","Ò":"O","Ó":"O","Ô":"O","Õ":"O","Ö":"O","Ø":"O","ò":"o","ó":"o","ô":"o","õ":"o","ö":"o","ø":"o","Ù":"U","Ú":"U","Û":"U","Ü":"U","ù":"u","ú":"u","û":"u","ü":"u","Ý":"Y","ý":"y","ÿ":"y","Æ":"Ae","æ":"ae","Þ":"Th","þ":"th","ß":"ss"},Va={"&":"&","<":"<",">":">",'"':""","'":"'","`":"`"},Wa={"&":"&","<":"<",">":">",""":'"',"'":"'","`":"`"},Xa={"function":!0,object:!0},Ya={0:"x30",1:"x31",2:"x32",3:"x33",4:"x34",5:"x35",6:"x36",7:"x37",8:"x38",9:"x39",A:"x41",B:"x42",C:"x43",D:"x44",E:"x45",F:"x46",a:"x61",b:"x62",c:"x63",d:"x64",e:"x65",f:"x66",n:"x6e",r:"x72",t:"x74",u:"x75",v:"x76",x:"x78"},Za={"\\":"\\","'":"'","\n":"n","\r":"r","\u2028":"u2028","\u2029":"u2029"},$a=Xa[typeof b]&&b&&!b.nodeType&&b,_a=Xa[typeof a]&&a&&!a.nodeType&&a,ab=$a&&_a&&"object"==typeof e&&e&&e.Object&&e,bb=Xa[typeof self]&&self&&self.Object&&self,cb=Xa[typeof window]&&window&&window.Object&&window,db=(_a&&_a.exports===$a&&$a,ab||cb!==(this&&this.window)&&cb||bb||this),eb=A();db._=eb,d=function(){return eb}.call(b,c,b,a),!(d!==B&&(a.exports=d))}).call(this)}).call(b,c(8)(a),function(){return this}())},function(a,b){a.exports=function(a){return a.webpackPolyfill||(a.deprecate=function(){},a.paths=[],a.children=[],a.webpackPolyfill=1),a}},function(a,b,c){"use strict";function d(a,b){var c="normal";return a&&b?c="bolditalics":a?c="bold":b&&(c="italics"),c}function e(a,b){this.fonts={},this.pdfDoc=b,this.fontWrappers={};for(var c in a)if(a.hasOwnProperty(c)){var d=a[c];this.fonts[c]={normal:d.normal,bold:d.bold,italics:d.italics,bolditalics:d.bolditalics}}}var f=c(7),g=c(10);e.prototype.provideFont=function(a,b,c){var e=d(b,c);if(!this.fonts[a]||!this.fonts[a][e])throw new Error("Font '"+a+"' in style '"+e+"' is not defined in the font section of the document definition.");return this.fontWrappers[a]=this.fontWrappers[a]||{},this.fontWrappers[a][e]||(this.fontWrappers[a][e]=new g(this.pdfDoc,this.fonts[a][e],a+"("+e+")")),this.fontWrappers[a][e]},e.prototype.setFontRefsToPdfDoc=function(){var a=this;f.each(a.fontWrappers,function(b){f.each(b,function(b){f.each(b.pdfFonts,function(b){a.pdfDoc.page.fonts[b.id]||(a.pdfDoc.page.fonts[b.id]=b.ref())})})})},a.exports=e},function(a,b,c){"use strict";function d(a,b,c){this.MAX_CHAR_TYPES=92,this.pdfkitDoc=a,this.path=b,this.pdfFonts=[],this.charCatalogue=[],this.name=c,Object.defineProperty(this,"ascender",{get:function(){var a=this.getFont(0);return a.ascender}}),Object.defineProperty(this,"decender",{get:function(){var a=this.getFont(0);return a.decender}})}var e=c(7);d.prototype.getFont=function(a){if(!this.pdfFonts[a]){var b=this.name+a;this.postscriptName&&delete this.pdfkitDoc._fontFamilies[this.postscriptName],this.pdfFonts[a]=this.pdfkitDoc.font(this.path,b)._font,this.postscriptName||(this.postscriptName=this.pdfFonts[a].name)}return this.pdfFonts[a]},d.prototype.widthOfString=function(){var a=this.getFont(0);return a.widthOfString.apply(a,arguments)},d.prototype.lineHeight=function(){var a=this.getFont(0);return a.lineHeight.apply(a,arguments)},d.prototype.ref=function(){var a=this.getFont(0);return a.ref.apply(a,arguments)};var f=function(a){return a.charCodeAt(0)};d.prototype.encode=function(a){var b=this,c=e.chain(a.split("")).map(f).uniq().value();if(c.length>b.MAX_CHAR_TYPES)throw new Error("Inline has more than "+b.MAX_CHAR_TYPES+": "+a+" different character types and therefore cannot be properly embedded into pdf.");var d=function(a){return e.uniq(a.concat(c)).length<=b.MAX_CHAR_TYPES},g=e.findIndex(b.charCatalogue,d);0>g&&(g=b.charCatalogue.length,b.charCatalogue[g]=[]);var h=b.getFont(g);h.use(a),e.each(c,function(a){e.includes(b.charCatalogue[g],a)||b.charCatalogue[g].push(a)});var i=e.map(h.encode(a),function(a){return a.charCodeAt(0).toString(16)}).join("");return{encodedText:i,fontId:h.id}},a.exports=d},function(a,b,c){"use strict";function d(a,b){g.each(b,function(b){a.push(b)})}function e(a,b,c){this.pageSize=a,this.pageMargins=b,this.tracker=new h,this.imageMeasure=c,this.tableLayouts={}}function f(a){var b=a.x,c=a.y;a.positions=[],g.each(a.canvas,function(a){var b=a.x,c=a.y,d=a.x1,e=a.y1,f=a.x2,g=a.y2;a.resetXY=function(){a.x=b,a.y=c,a.x1=d,a.y1=e,a.x2=f,a.y2=g}}),a.resetXY=function(){a.x=b,a.y=c,g.each(a.canvas,function(a){a.resetXY()})}}var g=c(7),h=c(12),i=c(13),j=c(19),k=c(20),l=c(16),m=c(23),n=c(22),o=c(17).pack,p=c(17).offsetVector,q=c(17).fontStringify,r=c(17).isFunction,s=c(14),t=c(15);e.prototype.registerTableLayouts=function(a){this.tableLayouts=o(this.tableLayouts,a)},e.prototype.layoutDocument=function(a,b,c,d,e,f,h,j,k,l){function m(a,b){return r(l)?(a=g.reject(a,function(a){return g.isEmpty(a.positions)}),g.each(a,function(a){var c=g.pick(a,["id","text","ul","ol","table","image","qr","canvas","columns","headlineLevel","style","pageBreak","pageOrientation","width","height"]);c.startPosition=g.first(a.positions),c.pageNumbers=g.chain(a.positions).map("pageNumber").uniq().value(),c.pages=b.length,c.stack=g.isArray(a.stack),a.nodeInfo=c}),g.any(a,function(a,b,c){if("before"!==a.pageBreak&&!a.pageBreakCalculated){a.pageBreakCalculated=!0;var d=g.first(a.nodeInfo.pageNumbers),e=g.chain(c).drop(b+1).filter(function(a){return g.contains(a.nodeInfo.pageNumbers,d)}).value(),f=g.chain(c).drop(b+1).filter(function(a){return g.contains(a.nodeInfo.pageNumbers,d+1)}).value(),h=g.chain(c).take(b).filter(function(a){return g.contains(a.nodeInfo.pageNumbers,d)}).value();if(l(a.nodeInfo,g.map(e,"nodeInfo"),g.map(f,"nodeInfo"),g.map(h,"nodeInfo")))return a.pageBreak="before",!0}})):!1}function n(a){g.each(a.linearNodeList,function(a){a.resetXY()})}this.docMeasure=new i(b,c,d,this.imageMeasure,this.tableLayouts,j);for(var o=this.tryLayoutDocument(a,b,c,d,e,f,h,j,k);m(o.linearNodeList,o.pages);)n(o),o=this.tryLayoutDocument(a,b,c,d,e,f,h,j,k);return o.pages},e.prototype.tryLayoutDocument=function(a,b,c,d,e,f,g,h,i,l){this.linearNodeList=[],a=this.docMeasure.measureDocument(a),this.writer=new k(new j(this.pageSize,this.pageMargins),this.tracker);var m=this;return this.writer.context().tracker.startTracking("pageAdded",function(){m.addBackground(e)}),this.addBackground(e),this.processNode(a),this.addHeadersAndFooters(f,g),null!=i&&this.addWatermark(i,b),{pages:this.writer.context().pages,linearNodeList:this.linearNodeList}},e.prototype.addBackground=function(a){var b=r(a)?a:function(){return a},c=b(this.writer.context().page+1);if(c){var d=this.writer.context().getCurrentPage().pageSize;this.writer.beginUnbreakableBlock(d.width,d.height),this.processNode(this.docMeasure.measureDocument(c)),this.writer.commitUnbreakableBlock(0,0)}},e.prototype.addStaticRepeatable=function(a,b){this.addDynamicRepeatable(function(){return a},b)},e.prototype.addDynamicRepeatable=function(a,b){for(var c=this.writer.context().pages,d=0,e=c.length;e>d;d++){this.writer.context().page=d;var f=a(d+1,e);if(f){var g=b(this.writer.context().getCurrentPage().pageSize,this.pageMargins);this.writer.beginUnbreakableBlock(g.width,g.height),this.processNode(this.docMeasure.measureDocument(f)),this.writer.commitUnbreakableBlock(g.x,g.y)}}},e.prototype.addHeadersAndFooters=function(a,b){var c=function(a,b){return{x:0,y:0,width:a.width,height:b.top}},d=function(a,b){return{x:0,y:a.height-b.bottom,width:a.width,height:b.bottom}};r(a)?this.addDynamicRepeatable(a,c):a&&this.addStaticRepeatable(a,c),r(b)?this.addDynamicRepeatable(b,d):b&&this.addStaticRepeatable(b,d)},e.prototype.addWatermark=function(a,b){function c(a,b,c){for(var d,e=a.width,f=a.height,g=.8*Math.sqrt(e*e+f*f),h=new s(c),i=new t,j=0,k=1e3,l=(j+k)/2;Math.abs(j-k)>1;)i.push({fontSize:l}),d=h.sizeOfString(b,i),d.width>g?(k=l,l=(j+k)/2):d.widthg;g++)f[g].watermark=e},e.prototype.processNode=function(a){function b(b){var d=a._margin;"before"===a.pageBreak&&c.writer.moveToNextPage(a.pageOrientation),d&&(c.writer.context().moveDown(d[1]),c.writer.context().addMargin(d[0],d[2])),b(),d&&(c.writer.context().addMargin(-d[0],-d[2]),c.writer.context().moveDown(d[3])),"after"===a.pageBreak&&c.writer.moveToNextPage(a.pageOrientation)}var c=this;this.linearNodeList.push(a),f(a),b(function(){var b=a.absolutePosition;if(b&&(c.writer.context().beginDetachedBlock(),c.writer.context().moveTo(b.x||0,b.y||0)),a.stack)c.processVerticalContainer(a);else if(a.columns)c.processColumns(a);else if(a.ul)c.processList(!1,a);else if(a.ol)c.processList(!0,a);else if(a.table)c.processTable(a);else if(void 0!==a.text)c.processLeaf(a);else if(a.image)c.processImage(a);else if(a.canvas)c.processCanvas(a);else if(a.qr)c.processQr(a);else if(!a._span)throw"Unrecognized document structure: "+JSON.stringify(a,q);b&&c.writer.context().endDetachedBlock()})},e.prototype.processVerticalContainer=function(a){var b=this;a.stack.forEach(function(c){b.processNode(c),d(a.positions,c.positions)})},e.prototype.processColumns=function(a){function b(a){if(!a)return null;var b=[];b.push(0);for(var d=c.length-1;d>0;d--)b.push(a);return b}var c=a.columns,e=this.writer.context().availableWidth,f=b(a._gap);f&&(e-=(f.length-1)*a._gap),l.buildColumnWidths(c,e);var g=this.processRow(c,c,f);d(a.positions,g.positions)},e.prototype.processRow=function(a,b,c,e,f){function g(a){for(var b,c=0,d=k.length;d>c;c++){var e=k[c];if(e.prevPage===a.prevPage){b=e;break}}b||(b=a,k.push(b)),b.prevY=Math.max(b.prevY,a.prevY),b.y=Math.min(b.y,a.y)}function h(a){return c&&c.length>a?c[a]:0}function i(a,b){if(a.rowSpan&&a.rowSpan>1){var c=f+a.rowSpan-1;if(c>=e.length)throw"Row span for column "+b+" (with indexes starting from 0) exceeded row count";return e[c][b]}return null}var j=this,k=[],l=[];return this.tracker.auto("pageChanged",g,function(){b=b||a,j.writer.context().beginColumnGroup();for(var e=0,f=a.length;f>e;e++){var g=a[e],k=b[e]._calcWidth,m=h(e);if(g.colSpan&&g.colSpan>1)for(var n=1;nc;c++){b.beginRow(c,this.writer);var f=this.processRow(a.table.body[c],a.table.widths,a._offsets.offsets,a.table.body,c);d(a.positions,f.positions),b.endRow(c,this.writer,f.pageBreaks)}b.endTable(this.writer)},e.prototype.processLeaf=function(a){for(var b=this.buildNextLine(a),c=b?b.getHeight():0,d=a.maxHeight||-1;b&&(-1===d||d>c);){var e=this.writer.addLine(b);a.positions.push(e),b=this.buildNextLine(a),b&&(c+=b.getHeight())}},e.prototype.buildNextLine=function(a){if(!a._inlines||0===a._inlines.length)return null;for(var b=new n(this.writer.context().availableWidth);a._inlines&&a._inlines.length>0&&b.hasEnoughSpaceForInline(a._inlines[0]);)b.addInline(a._inlines.shift());return b.lastLineInParagraph=0===a._inlines.length,b},e.prototype.processImage=function(a){var b=this.writer.addImage(a);a.positions.push(b)},e.prototype.processCanvas=function(a){var b=a._minHeight;this.writer.context().availableHeight=0&&c.splice(d,1)}},c.prototype.emit=function(a){var b=Array.prototype.slice.call(arguments,1),c=this.events[a];c&&c.forEach(function(a){a.apply(this,b)})},c.prototype.auto=function(a,b,c){this.startTracking(a,b),c(),this.stopTracking(a,b)},a.exports=c},function(a,b,c){"use strict";function d(a,b,c,d,g,h){this.textTools=new e(a),this.styleStack=new f(b,c),this.imageMeasure=d,this.tableLayouts=g,this.images=h,this.autoImageIndex=1}var e=c(14),f=c(15),g=c(16),h=c(17).fontStringify,i=c(17).pack,j=c(18);d.prototype.measureDocument=function(a){return this.measureNode(a)},d.prototype.measureNode=function(a){function b(a){var b=a._margin;return b&&(a._minWidth+=b[0]+b[2],a._maxWidth+=b[0]+b[2]),a}function c(){function b(a,b){return a.marginLeft||a.marginTop||a.marginRight||a.marginBottom?[a.marginLeft||b[0]||0,a.marginTop||b[1]||0,a.marginRight||b[2]||0,a.marginBottom||b[3]||0]:b}function c(a){for(var b={},c=a.length-1;c>=0;c--){var e=a[c],f=d.styleStack.styleDictionary[e];for(var g in f)f.hasOwnProperty(g)&&(b[g]=f[g])}return b}function e(a){return"number"==typeof a||a instanceof Number?a=[a,a,a,a]:a instanceof Array&&2===a.length&&(a=[a[0],a[1],a[0],a[1]]),a}var f=[void 0,void 0,void 0,void 0];if(a.style){var g=a.style instanceof Array?a.style:[a.style],h=c(g);h&&(f=b(h,f)),h.margin&&(f=e(h.margin))}return f=b(a,f),a.margin&&(f=e(a.margin)),void 0===f[0]&&void 0===f[1]&&void 0===f[2]&&void 0===f[3]?null:f}a instanceof Array?a={stack:a}:("string"==typeof a||a instanceof String)&&(a={text:a}),0===Object.keys(a).length&&(a={text:""});var d=this;return this.styleStack.auto(a,function(){if(a._margin=c(a),a.columns)return b(d.measureColumns(a));if(a.stack)return b(d.measureVerticalContainer(a));if(a.ul)return b(d.measureList(!1,a));if(a.ol)return b(d.measureList(!0,a));if(a.table)return b(d.measureTable(a));if(void 0!==a.text)return b(d.measureLeaf(a));if(a.image)return b(d.measureImage(a));if(a.canvas)return b(d.measureCanvas(a));if(a.qr)return b(d.measureQr(a));throw"Unrecognized document structure: "+JSON.stringify(a,h)})},d.prototype.convertIfBase64Image=function(a){if(/^data:image\/(jpeg|jpg|png);base64,/.test(a.image)){var b="$$pdfmake$$"+this.autoImageIndex++;this.images[b]=a.image,a.image=b}},d.prototype.measureImage=function(a){this.images&&this.convertIfBase64Image(a);var b=this.imageMeasure.measureImage(a.image);if(a.fit){var c=b.width/b.height>a.fit[0]/a.fit[1]?a.fit[0]/b.width:a.fit[1]/b.height;a._width=a._minWidth=a._maxWidth=b.width*c,a._height=b.height*c}else a._width=a._minWidth=a._maxWidth=a.width||b.width,a._height=a.height||b.height*a._width/b.width;return a._alignment=this.styleStack.getProperty("alignment"),a},d.prototype.measureLeaf=function(a){var b=this.styleStack.clone();b.push(a);var c=this.textTools.buildInlines(a.text,b);return a._inlines=c.items,a._minWidth=c.minWidth,a._maxWidth=c.maxWidth,a},d.prototype.measureVerticalContainer=function(a){var b=a.stack;a._minWidth=0,a._maxWidth=0;for(var c=0,d=b.length;d>c;c++)b[c]=this.measureNode(b[c]),a._minWidth=Math.max(a._minWidth,b[c]._minWidth),a._maxWidth=Math.max(a._maxWidth,b[c]._maxWidth);return a},d.prototype.gapSizeForList=function(a,b){if(a){var c=b.length.toString().replace(/./g,"9");return this.textTools.sizeOfString(c+". ",this.styleStack)}return this.textTools.sizeOfString("9. ",this.styleStack)},d.prototype.buildMarker=function(a,b,c,d){var e;if(a)e={_inlines:this.textTools.buildInlines(b,c).items};else{var f=d.fontSize/6;e={canvas:[{x:f,y:d.height/d.lineHeight+d.decender-d.fontSize/3,r1:f,r2:f,type:"ellipse",color:"black"}]}}return e._minWidth=e._maxWidth=d.width,e._minHeight=e._maxHeight=d.height,e},d.prototype.measureList=function(a,b){var c=this.styleStack.clone(),d=a?b.ol:b.ul;b._gapSize=this.gapSizeForList(a,d),b._minWidth=0,b._maxWidth=0;for(var e=1,f=0,g=d.length;g>f;f++){var h=d[f]=this.measureNode(d[f]),i=e++ +". ";h.ol||h.ul||(h.listMarker=this.buildMarker(a,h.counter||i,c,b._gapSize)),b._minWidth=Math.max(b._minWidth,d[f]._minWidth+b._gapSize.width),b._maxWidth=Math.max(b._maxWidth,d[f]._maxWidth+b._gapSize.width)}return b},d.prototype.measureColumns=function(a){var b=a.columns;a._gap=this.styleStack.getProperty("columnGap")||0;for(var c=0,d=b.length;d>c;c++)b[c]=this.measureNode(b[c]);var e=g.measureMinMax(b);return a._minWidth=e.min+a._gap*(b.length-1),a._maxWidth=e.max+a._gap*(b.length-1),a},d.prototype.measureTable=function(a){function b(a,b){return function(){return null!==b&&"object"==typeof b&&(b.fillColor=a.styleStack.getProperty("fillColor")),a.measureNode(b)}}function c(b){var c=a.layout;("string"==typeof a.layout||a instanceof String)&&(c=b[c]);var d={hLineWidth:function(a,b){return 1},vLineWidth:function(a,b){return 1},hLineColor:function(a,b){return"black"},vLineColor:function(a,b){return"black"},paddingLeft:function(a,b){return 4},paddingRight:function(a,b){return 4},paddingTop:function(a,b){return 2},paddingBottom:function(a,b){return 2}};return i(d,c)}function d(b){for(var c=[],d=0,e=0,f=0,g=a.table.widths.length;g>f;f++){var h=e+b.vLineWidth(f,a)+b.paddingLeft(f,a);c.push(h),d+=h,e=b.paddingRight(f,a)}return d+=e+b.vLineWidth(a.table.widths.length,a),{total:d,offsets:c}}function e(){for(var b,c,d=0,e=p.length;e>d;d++){var g=p[d],h=f(g.col,g.span,a._offsets),i=g.minWidth-h.minWidth,j=g.maxWidth-h.maxWidth;if(i>0)for(b=i/g.span,c=0;c0)for(b=j/g.span,c=0;cf;f++)e.minWidth+=a.table.widths[b+f]._minWidth+(f?d.offsets[b+f]:0),e.maxWidth+=a.table.widths[b+f]._maxWidth+(f?d.offsets[b+f]:0);return e}function h(a,b,c){for(var d=1;c>d;d++)a[b+d]={_span:!0,_minWidth:0,_maxWidth:0,rowSpan:a[b].rowSpan}}function j(a,b,c,d){for(var e=1;d>e;e++)a.body[b+e][c]={_span:!0,_minWidth:0,_maxWidth:0,fillColor:a.body[b][c].fillColor}}function k(a){if(a.table.widths||(a.table.widths="auto"),"string"==typeof a.table.widths||a.table.widths instanceof String)for(a.table.widths=[a.table.widths];a.table.widths.lengthb;b++){var d=a.table.widths[b];("number"==typeof d||d instanceof Number||"string"==typeof d||d instanceof String)&&(a.table.widths[b]={width:d})}}k(a),a._layout=c(this.tableLayouts),a._offsets=d(a._layout);var l,m,n,o,p=[];for(l=0,n=a.table.body[0].length;n>l;l++){var q=a.table.widths[l];for(q._minWidth=0,q._maxWidth=0,m=0,o=a.table.body.length;o>m;m++){var r=a.table.body[m],s=r[l];if(!s._span){s=r[l]=this.styleStack.auto(s,b(this,s)),s.colSpan&&s.colSpan>1?(h(r,l,s.colSpan),p.push({col:l,span:s.colSpan,minWidth:s._minWidth,maxWidth:s._maxWidth})):(q._minWidth=Math.max(q._minWidth,s._minWidth),q._maxWidth=Math.max(q._maxWidth,s._maxWidth))}s.rowSpan&&s.rowSpan>1&&j(a.table,m,l,s.rowSpan)}}e();var t=g.measureMinMax(a.table.widths);return a._minWidth=t.min+a._offsets.total,a._maxWidth=t.max+a._offsets.total, -a},d.prototype.measureCanvas=function(a){for(var b=0,c=0,d=0,e=a.canvas.length;e>d;d++){var f=a.canvas[d];switch(f.type){case"ellipse":b=Math.max(b,f.x+f.r1),c=Math.max(c,f.y+f.r2);break;case"rect":b=Math.max(b,f.x+f.w),c=Math.max(c,f.y+f.h);break;case"line":b=Math.max(b,f.x1,f.x2),c=Math.max(c,f.y1,f.y2);break;case"polyline":for(var g=0,h=f.points.length;h>g;g++)b=Math.max(b,f.points[g].x),c=Math.max(c,f.points[g].y)}}return a._minWidth=a._maxWidth=b,a._minHeight=a._maxHeight=c,a},d.prototype.measureQr=function(a){return a=j.measure(a),a._alignment=this.styleStack.getProperty("alignment"),a},a.exports=d},function(a,b){"use strict";function c(a){this.fontProvider=a}function d(a,b){var c=[];a=a.replace(" "," ");var d;d=b?[a,""]:a.match(j);for(var e=0,f=d.length;f-1>e;e++){var g=d[e],h=0===g.length;if(h){var i=0===c.length||c[c.length-1].lineEnd;i?c.push({text:"",lineEnd:!0}):c[c.length-1].lineEnd=!0}else c.push({text:g})}return c}function e(a,b){b=b||{},a=a||{};for(var c in a)"text"!=c&&a.hasOwnProperty(c)&&(b[c]=a[c]);return b}function f(a){var b=[];("string"==typeof a||a instanceof String)&&(a=[a]);for(var c=0,f=a.length;f>c;c++){var g,h=a[c],i=null;"string"==typeof h||h instanceof String?g=d(h):(g=d(h.text,h.noWrap),i=e(h));for(var j=0,k=g.length;k>j;j++){var l={text:g[j].text};g[j].lineEnd&&(l.lineEnd=!0),e(i,l),b.push(l)}}return b}function g(a){return a.replace(/[^A-Za-z0-9\[\] ]/g,function(a){return m[a]||a})}function h(a,b,c,d){var e;return void 0!==a[c]&&null!==a[c]?a[c]:b?(b.auto(a,function(){e=b.getProperty(c)}),null!==e&&void 0!==e?e:d):d}function i(a,b,c){var d=f(b);return d.forEach(function(b){var d=h(b,c,"font","Roboto"),e=h(b,c,"fontSize",12),f=h(b,c,"bold",!1),i=h(b,c,"italics",!1),j=h(b,c,"color","black"),m=h(b,c,"decoration",null),n=h(b,c,"decorationColor",null),o=h(b,c,"decorationStyle",null),p=h(b,c,"background",null),q=h(b,c,"lineHeight",1),r=a.provideFont(d,f,i);b.width=r.widthOfString(g(b.text),e),b.height=r.lineHeight(e)*q;var s=b.text.match(k),t=b.text.match(l);s?b.leadingCut=r.widthOfString(s[0],e):b.leadingCut=0,t?b.trailingCut=r.widthOfString(t[0],e):b.trailingCut=0,b.alignment=h(b,c,"alignment","left"),b.font=r,b.fontSize=e,b.color=j,b.decoration=m,b.decorationColor=n,b.decorationStyle=o,b.background=p}),d}var j=/([^ ,\/!.?:;\-\n]*[ ,\/!.?:;\-]*)|\n/g,k=/^(\s)+/g,l=/(\s)+$/g;c.prototype.buildInlines=function(a,b){function c(a){return Math.max(0,a.width-a.leadingCut-a.trailingCut)}var d,e=i(this.fontProvider,a,b),f=0,g=0;return e.forEach(function(a){f=Math.max(f,a.width-a.leadingCut-a.trailingCut),d||(d={width:0,leadingCut:a.leadingCut,trailingCut:0}),d.width+=a.width,d.trailingCut=a.trailingCut,g=Math.max(g,c(d)),a.lineEnd&&(d=null)}),h({},b,"noWrap",!1)&&(f=g),{items:e,minWidth:f,maxWidth:g}},c.prototype.sizeOfString=function(a,b){a=a.replace(" "," ");var c=h({},b,"font","Roboto"),d=h({},b,"fontSize",12),e=h({},b,"bold",!1),f=h({},b,"italics",!1),i=h({},b,"lineHeight",1),j=this.fontProvider.provideFont(c,e,f);return{width:j.widthOfString(g(a),d),height:j.lineHeight(d)*i,fontSize:d,lineHeight:i,ascender:j.ascender/1e3*d,decender:j.decender/1e3*d}};var m={"Ą":"A","Ć":"C","Ę":"E","Ł":"L","Ń":"N","Ó":"O","Ś":"S","Ź":"Z","Ż":"Z","ą":"a","ć":"c","ę":"e","ł":"l","ń":"n","ó":"o","ś":"s","ź":"z","ż":"z"};a.exports=c},function(a,b){"use strict";function c(a,b){this.defaultStyle=b||{},this.styleDictionary=a,this.styleOverrides=[]}c.prototype.clone=function(){var a=new c(this.styleDictionary,this.defaultStyle);return this.styleOverrides.forEach(function(b){a.styleOverrides.push(b)}),a},c.prototype.push=function(a){this.styleOverrides.push(a)},c.prototype.pop=function(a){for(a=a||1;a-- >0;)this.styleOverrides.pop()},c.prototype.autopush=function(a){if("string"==typeof a||a instanceof String)return 0;var b=[];a.style&&(b=a.style instanceof Array?a.style:[a.style]);for(var c=0,d=b.length;d>c;c++)this.push(b[c]);var e={},f=!1;return["font","fontSize","bold","italics","alignment","color","columnGap","fillColor","decoration","decorationStyle","decorationColor","background","lineHeight","noWrap"].forEach(function(b){void 0!==a[b]&&null!==a[b]&&(e[b]=a[b],f=!0)}),f&&this.push(e),b.length+(f?1:0)},c.prototype.auto=function(a,b){var c=this.autopush(a),d=b();return c>0&&this.pop(c),d},c.prototype.getProperty=function(a){if(this.styleOverrides)for(var b=this.styleOverrides.length-1;b>=0;b--){var c=this.styleOverrides[b];if("string"==typeof c||c instanceof String){var d=this.styleDictionary[c];if(d&&null!==d[a]&&void 0!==d[a])return d[a]}else if(void 0!==c[a]&&null!==c[a])return c[a]}return this.defaultStyle&&this.defaultStyle[a]},a.exports=c},function(a,b){"use strict";function c(a,b){var c=[],f=0,g=0,h=[],i=0,j=0,k=[],l=b;a.forEach(function(a){d(a)?(c.push(a),f+=a._minWidth,g+=a._maxWidth):e(a)?(h.push(a),i=Math.max(i,a._minWidth),j=Math.max(j,a._maxWidth)):k.push(a)}),k.forEach(function(a){"string"==typeof a.width&&/\d+%/.test(a.width)&&(a.width=parseFloat(a.width)*l/100),a.width=b)c.forEach(function(a){a._calcWidth=a._minWidth}),h.forEach(function(a){a._calcWidth=i});else{if(b>n)c.forEach(function(a){a._calcWidth=a._maxWidth,b-=a._calcWidth});else{var o=b-m,p=n-m;c.forEach(function(a){var c=a._maxWidth-a._minWidth;a._calcWidth=a._minWidth+c*o/p,b-=a._calcWidth})}if(h.length>0){var q=b/h.length;h.forEach(function(a){a._calcWidth=q})}}}function d(a){return"auto"===a.width}function e(a){return null===a.width||void 0===a.width||"*"===a.width||"star"===a.width}function f(a){for(var b={min:0,max:0},c={min:0,max:0},f=0,g=0,h=a.length;h>g;g++){var i=a[g];e(i)?(c.min=Math.max(c.min,i._minWidth),c.max=Math.max(c.max,i._maxWidth),f++):d(i)?(b.min+=i._minWidth,b.max+=i._maxWidth):(b.min+=void 0!==i.width&&i.width||i._minWidth,b.max+=void 0!==i.width&&i.width||i._maxWidth)}return f&&(b.min+=f*c.min,b.max+=f*c.max),b}a.exports={buildColumnWidths:c,measureMinMax:f,isAutoColumn:d,isStarColumn:e}},function(a,b){"use strict";function c(){for(var a={},b=0,c=arguments.length;c>b;b++){var d=arguments[b];if(d)for(var e in d)d.hasOwnProperty(e)&&(a[e]=d[e])}return a}function d(a,b,c){switch(a.type){case"ellipse":case"rect":a.x+=b,a.y+=c;break;case"line":a.x1+=b,a.x2+=b,a.y1+=c,a.y2+=c;break;case"polyline":for(var d=0,e=a.points.length;e>d;d++)a.points[d].x+=b,a.points[d].y+=c}}function e(a,b){return"font"===a?"font":b}function f(a){var b={};return a&&"[object Function]"===b.toString.call(a)}a.exports={pack:c,fontStringify:e,offsetVector:d,isFunction:f}},function(a,b){"use strict";function c(a,b){var c={numeric:h,alphanumeric:i,octet:j},d={L:o,M:p,Q:q,H:r};b=b||{};var e=b.version||-1,f=d[(b.eccLevel||"L").toUpperCase()],g=b.mode?c[b.mode.toLowerCase()]:-1,k="mask"in b?b.mask:-1;if(0>g)g="string"==typeof a?a.match(l)?h:a.match(n)?i:j:j;else if(g!=h&&g!=i&&g!=j)throw"invalid or unsupported mode";if(a=K(g,a),null===a)throw"invalid data format";if(0>f||f>3)throw"invalid ECC level";if(0>e){for(e=1;40>=e&&!(a.length<=J(e,g,f));++e);if(e>40)throw"too large data for the Qr format"}else if(1>e||e>40)throw"invalid Qr version! should be between 1 and 40";if(-1!=k&&(0>k||k>8))throw"invalid mask";return U(a,e,g,f,k)}function d(a,b){var d=[],e=a.background||"#fff",f=a.foreground||"#000",g=c(a,b),h=g.length,i=Math.floor(b.fit?b.fit/h:5),j=h*i;d.push({type:"rect",x:0,y:0,w:j,h:j,lineWidth:0,color:e});for(var k=0;h>k;++k)for(var l=0;h>l;++l)g[k][l]&&d.push({type:"rect",x:i*k,y:i*l,w:i,h:i,lineWidth:0,color:f});return{canvas:d,size:j}}function e(a){var b=d(a.qr,a);return a._canvas=b.canvas,a._width=a._height=a._minWidth=a._maxWidth=a._minHeight=a._maxHeight=b.size,a}for(var f=[null,[[10,7,17,13],[1,1,1,1],[]],[[16,10,28,22],[1,1,1,1],[4,16]],[[26,15,22,18],[1,1,2,2],[4,20]],[[18,20,16,26],[2,1,4,2],[4,24]],[[24,26,22,18],[2,1,4,4],[4,28]],[[16,18,28,24],[4,2,4,4],[4,32]],[[18,20,26,18],[4,2,5,6],[4,20,36]],[[22,24,26,22],[4,2,6,6],[4,22,40]],[[22,30,24,20],[5,2,8,8],[4,24,44]],[[26,18,28,24],[5,4,8,8],[4,26,48]],[[30,20,24,28],[5,4,11,8],[4,28,52]],[[22,24,28,26],[8,4,11,10],[4,30,56]],[[22,26,22,24],[9,4,16,12],[4,32,60]],[[24,30,24,20],[9,4,16,16],[4,24,44,64]],[[24,22,24,30],[10,6,18,12],[4,24,46,68]],[[28,24,30,24],[10,6,16,17],[4,24,48,72]],[[28,28,28,28],[11,6,19,16],[4,28,52,76]],[[26,30,28,28],[13,6,21,18],[4,28,54,80]],[[26,28,26,26],[14,7,25,21],[4,28,56,84]],[[26,28,28,30],[16,8,25,20],[4,32,60,88]],[[26,28,30,28],[17,8,25,23],[4,26,48,70,92]],[[28,28,24,30],[17,9,34,23],[4,24,48,72,96]],[[28,30,30,30],[18,9,30,25],[4,28,52,76,100]],[[28,30,30,30],[20,10,32,27],[4,26,52,78,104]],[[28,26,30,30],[21,12,35,29],[4,30,56,82,108]],[[28,28,30,28],[23,12,37,34],[4,28,56,84,112]],[[28,30,30,30],[25,12,40,34],[4,32,60,88,116]],[[28,30,30,30],[26,13,42,35],[4,24,48,72,96,120]],[[28,30,30,30],[28,14,45,38],[4,28,52,76,100,124]],[[28,30,30,30],[29,15,48,40],[4,24,50,76,102,128]],[[28,30,30,30],[31,16,51,43],[4,28,54,80,106,132]],[[28,30,30,30],[33,17,54,45],[4,32,58,84,110,136]],[[28,30,30,30],[35,18,57,48],[4,28,56,84,112,140]],[[28,30,30,30],[37,19,60,51],[4,32,60,88,116,144]],[[28,30,30,30],[38,19,63,53],[4,28,52,76,100,124,148]],[[28,30,30,30],[40,20,66,56],[4,22,48,74,100,126,152]],[[28,30,30,30],[43,21,70,59],[4,26,52,78,104,130,156]],[[28,30,30,30],[45,22,74,62],[4,30,56,82,108,134,160]],[[28,30,30,30],[47,24,77,65],[4,24,52,80,108,136,164]],[[28,30,30,30],[49,25,81,68],[4,28,56,84,112,140,168]]],g=0,h=1,i=2,j=4,k=8,l=/^\d*$/,m=/^[A-Za-z0-9 $%*+\-.\/:]*$/,n=/^[A-Z0-9 $%*+\-.\/:]*$/,o=1,p=0,q=3,r=2,s=[],t=[-1],u=0,v=1;255>u;++u)s.push(v),t[v]=u,v=2*v^(v>=128?285:0);for(var w=[[]],u=0;30>u;++u){for(var x=w[u],y=[],z=0;u>=z;++z){var A=u>z?s[x[z]]:0,B=s[(u+(x[z-1]||0))%255];y.push(t[A^B])}w.push(y)}for(var C={},u=0;45>u;++u)C["0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ $%*+-./:".charAt(u)]=u;var D=[function(a,b){return(a+b)%2===0},function(a,b){return a%2===0},function(a,b){return b%3===0},function(a,b){return(a+b)%3===0},function(a,b){return((a/2|0)+(b/3|0))%2===0},function(a,b){return a*b%2+a*b%3===0},function(a,b){return(a*b%2+a*b%3)%2===0},function(a,b){return((a+b)%2+a*b%3)%2===0}],E=function(a){return a>6},F=function(a){return 4*a+17},G=function(a){var b=f[a],c=16*a*a+128*a+64;return E(a)&&(c-=36),b[2].length&&(c-=25*b[2].length*b[2].length-10*b[2].length-55),c},H=function(a,b){var c=-8&G(a),d=f[a];return c-=8*d[0][b]*d[1][b]},I=function(a,b){switch(b){case h:return 10>a?10:27>a?12:14;case i:return 10>a?9:27>a?11:13;case j:return 10>a?8:16;case k:return 10>a?8:27>a?10:12}},J=function(a,b,c){var d=H(a,c)-4-I(a,b);switch(b){case h:return 3*(d/10|0)+(4>d%10?0:7>d%10?1:2);case i:return 2*(d/11|0)+(6>d%11?0:1);case j:return d/8|0;case k:return d/13|0}},K=function(a,b){switch(a){case h:return b.match(l)?b:null;case i:return b.match(m)?b.toUpperCase():null;case j:if("string"==typeof b){for(var c=[],d=0;de?c.push(e):2048>e?c.push(192|e>>6,128|63&e):65536>e?c.push(224|e>>12,128|e>>6&63,128|63&e):c.push(240|e>>18,128|e>>12&63,128|e>>6&63,128|63&e)}return c}return b}},L=function(a,b,c,d){var e=[],f=0,k=8,l=c.length,m=function(a,b){if(b>=k){for(e.push(f|a>>(b-=k));b>=8;)e.push(a>>(b-=8)&255);f=0,k=8}b>0&&(f|=(a&(1<o;o+=3)m(parseInt(c.substring(o-2,o+1),10),10);m(parseInt(c.substring(o-2),10),[0,4,7][l%3]);break;case i:for(var o=1;l>o;o+=2)m(45*C[c.charAt(o-1)]+C[c.charAt(o)],11);l%2==1&&m(C[c.charAt(o-1)],6);break;case j:for(var o=0;l>o;++o)m(c[o],8)}for(m(g,4),8>k&&e.push(f);e.length+1f;++f)c.push(0);for(var f=0;d>f;){var g=t[c[f++]];if(g>=0)for(var h=0;e>h;++h)c[f+h]^=s[(g+b[h])%255]}return c.slice(d)},N=function(a,b,c){for(var d=[],e=a.length/b|0,f=0,g=b-a.length%b,h=0;g>h;++h)d.push(f),f+=e;for(var h=g;b>h;++h)d.push(f),f+=e+1;d.push(f);for(var i=[],h=0;b>h;++h)i.push(M(a.slice(d[h],d[h+1]),c));for(var j=[],k=a.length/b|0,h=0;k>h;++h)for(var l=0;b>l;++l)j.push(a[d[l]+h]);for(var l=g;b>l;++l)j.push(a[d[l+1]-1]);for(var h=0;hl;++l)j.push(i[l][h]);return j},O=function(a,b,c,d){for(var e=a<=0;--f)e>>d+f&1&&(e^=c<g;++g)d.push([]),e.push([]);var h=function(a,b,c,f,g){for(var h=0;c>h;++h)for(var i=0;f>i;++i)d[a+h][b+i]=g[h]>>i&1,e[a+h][b+i]=1};h(0,0,9,9,[127,65,93,93,93,65,383,0,64]),h(c-8,0,8,9,[256,127,65,93,93,93,65,127]),h(0,c-8,9,8,[254,130,186,186,186,130,254,0,0]);for(var g=9;c-8>g;++g)d[6][g]=d[g][6]=1&~g,e[6][g]=e[g][6]=1;for(var i=b[2],j=i.length,g=0;j>g;++g)for(var k=0===g||g===j-1?1:0,l=0===g?j-1:j,m=k;l>m;++m)h(i[g],i[m],5,5,[31,17,21,17,31]);if(E(a))for(var n=O(a,6,7973,12),o=0,g=0;6>g;++g)for(var m=0;3>m;++m)d[g][c-11+m]=d[c-11+m][g]=n>>o++&1,e[g][c-11+m]=e[c-11+m][g]=1;return{matrix:d,reserved:e}},Q=function(a,b,c){for(var d=a.length,e=0,f=-1,g=d-1;g>=0;g-=2){6==g&&--g;for(var h=0>f?d-1:0,i=0;d>i;++i){for(var j=g;j>g-2;--j)b[h][j]||(a[h][j]=c[e>>3]>>(7&~e)&1,++e);h+=f}f=-f}return a},R=function(a,b,c){for(var d=D[c],e=a.length,f=0;e>f;++f)for(var g=0;e>g;++g)b[f][g]||(a[f][g]^=d(f,g));return a},S=function(a,b,c,d){for(var e=a.length,f=21522^O(c<<3|d,5,1335,10),g=0;15>g;++g){var h=[0,1,2,3,4,5,7,8,e-7,e-6,e-5,e-4,e-3,e-2,e-1][g],i=[e-1,e-2,e-3,e-4,e-5,e-6,e-7,e-8,7,5,4,3,2,1,0][g];a[h][8]=a[8][i]=f>>g&1}return a},T=function(a){for(var b=3,c=3,d=40,e=10,f=function(a){for(var c=0,e=0;e=5&&(c+=b+(a[e]-5));for(var e=5;e=4*f||a[e+1]>=4*f)&&(c+=d)}return c},g=a.length,h=0,i=0,j=0;g>j;++j){var k,l=a[j];k=[0];for(var m=0;g>m;){var n;for(n=0;g>m&&l[m];++n)++m;for(k.push(n),n=0;g>m&&!l[m];++n)++m;k.push(n)}h+=f(k),k=[0];for(var m=0;g>m;){var n;for(n=0;g>m&&a[m][j];++n)++m;for(k.push(n),n=0;g>m&&!a[m][j];++n)++m;k.push(n)}h+=f(k);var o=a[j+1]||[];i+=l[0];for(var m=1;g>m;++m){var p=l[m];i+=p,l[m-1]==p&&o[m]===p&&o[m-1]===p&&(h+=c)}}return h+=e*(Math.abs(i/g/g-.5)/.05|0)},U=function(a,b,c,d,e){var g=f[b],h=L(b,c,a,H(b,d)>>3);h=N(h,g[1][d],w[g[0][d]]);var i=P(b),j=i.matrix,k=i.reserved;if(Q(j,k,h),0>e){R(j,k,0),S(j,k,d,0);var l=0,m=T(j);for(R(j,k,0),e=1;8>e;++e){R(j,k,e),S(j,k,d,e);var n=T(j);m>n&&(m=n,l=e),R(j,k,e)}e=l}return R(j,k,e),S(j,k,d,e),j};a.exports={measure:e}},function(a,b,c){"use strict";function d(a,b){this.pages=[],this.pageMargins=b,this.x=b.left,this.availableWidth=a.width-b.left-b.right,this.availableHeight=0,this.page=-1,this.snapshots=[],this.endingCell=null,this.tracker=new g,this.addPage(a)}function e(a,b){return void 0===a?b:"landscape"===a?"landscape":"portrait"}function f(a,b){var c;return c=a.page>b.page?a:b.page>a.page?b:a.y>b.y?a:b,{page:c.page,x:c.x,y:c.y,availableHeight:c.availableHeight,availableWidth:c.availableWidth}}var g=c(12);d.prototype.beginColumnGroup=function(){this.snapshots.push({x:this.x,y:this.y,availableHeight:this.availableHeight,availableWidth:this.availableWidth,page:this.page,bottomMost:{y:this.y,page:this.page},endingCell:this.endingCell,lastColumnWidth:this.lastColumnWidth}),this.lastColumnWidth=0},d.prototype.beginColumn=function(a,b,c){var d=this.snapshots[this.snapshots.length-1];this.calculateBottomMost(d),this.endingCell=c,this.page=d.page,this.x=this.x+this.lastColumnWidth+(b||0),this.y=d.y,this.availableWidth=a,this.availableHeight=d.availableHeight,this.lastColumnWidth=a},d.prototype.calculateBottomMost=function(a){this.endingCell?(this.saveContextInEndingCell(this.endingCell),this.endingCell=null):a.bottomMost=f(this,a.bottomMost)},d.prototype.markEnding=function(a){this.page=a._columnEndingContext.page,this.x=a._columnEndingContext.x,this.y=a._columnEndingContext.y,this.availableWidth=a._columnEndingContext.availableWidth,this.availableHeight=a._columnEndingContext.availableHeight,this.lastColumnWidth=a._columnEndingContext.lastColumnWidth},d.prototype.saveContextInEndingCell=function(a){a._columnEndingContext={page:this.page,x:this.x,y:this.y,availableHeight:this.availableHeight,availableWidth:this.availableWidth,lastColumnWidth:this.lastColumnWidth}},d.prototype.completeColumnGroup=function(){var a=this.snapshots.pop();this.calculateBottomMost(a),this.endingCell=null,this.x=a.x,this.y=a.bottomMost.y,this.page=a.bottomMost.page,this.availableWidth=a.availableWidth,this.availableHeight=a.bottomMost.availableHeight,this.lastColumnWidth=a.lastColumnWidth},d.prototype.addMargin=function(a,b){this.x+=a,this.availableWidth-=a+(b||0)},d.prototype.moveDown=function(a){return this.y+=a,this.availableHeight-=a,this.availableHeight>0},d.prototype.initializePage=function(){this.y=this.pageMargins.top,this.availableHeight=this.getCurrentPage().pageSize.height-this.pageMargins.top-this.pageMargins.bottom,this.pageSnapshot().availableWidth=this.getCurrentPage().pageSize.width-this.pageMargins.left-this.pageMargins.right},d.prototype.pageSnapshot=function(){return this.snapshots[0]?this.snapshots[0]:this},d.prototype.moveTo=function(a,b){void 0!==a&&null!==a&&(this.x=a,this.availableWidth=this.getCurrentPage().pageSize.width-this.x-this.pageMargins.right),void 0!==b&&null!==b&&(this.y=b,this.availableHeight=this.getCurrentPage().pageSize.height-this.y-this.pageMargins.bottom)},d.prototype.beginDetachedBlock=function(){this.snapshots.push({x:this.x,y:this.y,availableHeight:this.availableHeight,availableWidth:this.availableWidth,page:this.page,endingCell:this.endingCell,lastColumnWidth:this.lastColumnWidth})},d.prototype.endDetachedBlock=function(){var a=this.snapshots.pop();this.x=a.x,this.y=a.y,this.availableWidth=a.availableWidth,this.availableHeight=a.availableHeight,this.page=a.page,this.endingCell=a.endingCell,this.lastColumnWidth=a.lastColumnWidth};var h=function(a,b){return b=e(b,a.pageSize.orientation),b!==a.pageSize.orientation?{orientation:b,width:a.pageSize.height,height:a.pageSize.width}:{orientation:a.pageSize.orientation,width:a.pageSize.width,height:a.pageSize.height}};d.prototype.moveToNextPage=function(a){var b=this.page+1,c=this.page,d=this.y,e=b>=this.pages.length;return e?this.addPage(h(this.getCurrentPage(),a)):(this.page=b,this.initializePage()),{newPageCreated:e,prevPage:c,prevY:d,y:this.y}},d.prototype.addPage=function(a){var b={items:[],pageSize:a};return this.pages.push(b),this.page=this.pages.length-1,this.initializePage(),this.tracker.emit("pageAdded"),b},d.prototype.getCurrentPage=function(){return this.page<0||this.page>=this.pages.length?null:this.pages[this.page]},d.prototype.getCurrentPosition=function(){var a=this.getCurrentPage().pageSize,b=a.height-this.pageMargins.top-this.pageMargins.bottom,c=a.width-this.pageMargins.left-this.pageMargins.right;return{pageNumber:this.page+1,pageOrientation:a.orientation,pageInnerHeight:b,pageInnerWidth:c,left:this.x,top:this.y,verticalRatio:(this.y-this.pageMargins.top)/b,horizontalRatio:(this.x-this.pageMargins.left)/c}},a.exports=d},function(a,b,c){"use strict";function d(a,b){this.transactionLevel=0,this.repeatables=[],this.tracker=b,this.writer=new f(a,b)}function e(a,b){var c=b(a);return c||(a.moveToNextPage(),c=b(a)),c}var f=c(21);d.prototype.addLine=function(a,b,c){return e(this,function(d){return d.writer.addLine(a,b,c)})},d.prototype.addImage=function(a,b){return e(this,function(c){return c.writer.addImage(a,b)})},d.prototype.addQr=function(a,b){return e(this,function(c){return c.writer.addQr(a,b)})},d.prototype.addVector=function(a,b,c,d){return this.writer.addVector(a,b,c,d)},d.prototype.addFragment=function(a,b,c,d){this.writer.addFragment(a,b,c,d)||(this.moveToNextPage(),this.writer.addFragment(a,b,c,d))},d.prototype.moveToNextPage=function(a){var b=this.writer.context.moveToNextPage(a);b.newPageCreated?this.repeatables.forEach(function(a){this.writer.addFragment(a,!0)},this):this.repeatables.forEach(function(a){this.writer.context.moveDown(a.height)},this),this.writer.tracker.emit("pageChanged",{prevPage:b.prevPage,prevY:b.prevY,y:b.y})},d.prototype.beginUnbreakableBlock=function(a,b){0===this.transactionLevel++&&(this.originalX=this.writer.context.x,this.writer.pushContext(a,b))},d.prototype.commitUnbreakableBlock=function(a,b){if(0===--this.transactionLevel){var c=this.writer.context;this.writer.popContext();var d=c.pages.length;if(d>0){var e=c.pages[0];if(e.xOffset=a,e.yOffset=b,d>1)if(void 0!==a||void 0!==b)e.height=c.getCurrentPage().pageSize.height-c.pageMargins.top-c.pageMargins.bottom;else{e.height=this.writer.context.getCurrentPage().pageSize.height-this.writer.context.pageMargins.top-this.writer.context.pageMargins.bottom;for(var f=0,g=this.repeatables.length;g>f;f++)e.height-=this.repeatables[f].height}else e.height=c.y;void 0!==a||void 0!==b?this.writer.addFragment(e,!0,!0,!0):this.addFragment(e)}}},d.prototype.currentBlockToRepeatable=function(){var a=this.writer.context,b={items:[]};return a.pages[0].items.forEach(function(a){b.items.push(a)}),b.xOffset=this.originalX,b.height=a.y,b},d.prototype.pushToRepeatables=function(a){this.repeatables.push(a)},d.prototype.popFromRepeatables=function(){this.repeatables.pop()},d.prototype.context=function(){return this.writer.context},a.exports=d},function(a,b,c){"use strict";function d(a,b){this.context=a,this.contextStack=[],this.tracker=b}function e(a,b,c){null===c||void 0===c||0>c||c>a.items.length?a.items.push(b):a.items.splice(c,0,b)}function f(a){var b=new g(a.maxWidth);for(var c in a)a.hasOwnProperty(c)&&(b[c]=a[c]);return b}var g=c(22),h=c(17).pack,i=c(17).offsetVector,j=c(19);d.prototype.addLine=function(a,b,c){var d=a.getHeight(),f=this.context,g=f.getCurrentPage(),h=this.getCurrentPositionOnPage();return f.availableHeight0&&a.inlines[0].alignment,e=0;switch(d){case"right":e=b-c;break;case"center":e=(b-c)/2}if(e&&(a.x=(a.x||0)+e),"justify"===d&&!a.newLineForced&&!a.lastLineInParagraph&&a.inlines.length>1)for(var f=(b-c)/(a.inlines.length-1),g=1,h=a.inlines.length;h>g;g++)e=g*f,a.inlines[g].x+=e},d.prototype.addImage=function(a,b){var c=this.context,d=c.getCurrentPage(),f=this.getCurrentPositionOnPage();return c.availableHeightf;f++){var h=a._canvas[f];h.x+=a.x,h.y+=a.y,this.addVector(h,!0,!0,b)}return c.moveDown(a._height),e},d.prototype.alignImage=function(a){var b=this.context.availableWidth,c=a._minWidth,d=0;switch(a._alignment){case"right":d=b-c;break;case"center":d=(b-c)/2}d&&(a.x=(a.x||0)+d)},d.prototype.addVector=function(a,b,c,d){var f=this.context,g=f.getCurrentPage(),h=this.getCurrentPositionOnPage();return g?(i(a,b?0:f.x,c?0:f.y),e(g,{type:"vector",item:a},d),h):void 0},d.prototype.addFragment=function(a,b,c,d){var e=this.context,g=e.getCurrentPage();return!b&&a.height>e.availableHeight?!1:(a.items.forEach(function(d){switch(d.type){case"line":var j=f(d.item);j.x=(j.x||0)+(b?a.xOffset||0:e.x),j.y=(j.y||0)+(c?a.yOffset||0:e.y),g.items.push({type:"line",item:j});break;case"vector":var k=h(d.item);i(k,b?a.xOffset||0:e.x,c?a.yOffset||0:e.y),g.items.push({type:"vector",item:k});break;case"image":var l=h(d.item);l.x=(l.x||0)+(b?a.xOffset||0:e.x),l.y=(l.y||0)+(c?a.yOffset||0:e.y),g.items.push({type:"image",item:l})}}),d||e.moveDown(a.height),!0)},d.prototype.pushContext=function(a,b){void 0===a&&(b=this.context.getCurrentPage().height-this.context.pageMargins.top-this.context.pageMargins.bottom,a=this.context.availableWidth),("number"==typeof a||a instanceof Number)&&(a=new j({width:a,height:b},{left:0,right:0,top:0,bottom:0})),this.contextStack.push(this.context),this.context=a},d.prototype.popContext=function(){this.context=this.contextStack.pop()},d.prototype.getCurrentPositionOnPage=function(){return(this.contextStack[0]||this.context).getCurrentPosition()},a.exports=d},function(a,b){"use strict";function c(a){this.maxWidth=a,this.leadingCut=0,this.trailingCut=0,this.inlineWidths=0,this.inlines=[]}c.prototype.getAscenderHeight=function(){var a=0;return this.inlines.forEach(function(b){a=Math.max(a,b.font.ascender/1e3*b.fontSize)}),a},c.prototype.hasEnoughSpaceForInline=function(a){return 0===this.inlines.length?!0:this.newLineForced?!1:this.inlineWidths+a.width-this.leadingCut-(a.trailingCut||0)<=this.maxWidth},c.prototype.addInline=function(a){0===this.inlines.length&&(this.leadingCut=a.leadingCut||0),this.trailingCut=a.trailingCut||0,a.x=this.inlineWidths-this.leadingCut,this.inlines.push(a),this.inlineWidths+=a.width,a.lineEnd&&(this.newLineForced=!0)},c.prototype.getWidth=function(){return this.inlineWidths-this.leadingCut-this.trailingCut},c.prototype.getHeight=function(){var a=0;return this.inlines.forEach(function(b){a=Math.max(a,b.height||0)}),a},a.exports=c},function(a,b,c){"use strict";function d(a){this.tableNode=a}var e=c(16);d.prototype.beginTable=function(a){function b(){var a=0;return d.table.widths.forEach(function(b){a+=b._calcWidth}),a}function c(){var a=[],b=0,c=0;a.push({left:0,rowSpan:0});for(var d=0,e=g.tableNode.table.body[0].length;e>d;d++){var f=g.layout.paddingLeft(d,g.tableNode)+g.layout.paddingRight(d,g.tableNode),h=g.layout.vLineWidth(d,g.tableNode);c=f+h+g.tableNode.table.widths[d]._calcWidth,a[a.length-1].width=c,b+=c,a.push({left:b,rowSpan:0,width:0})}return a}var d,f,g=this;d=this.tableNode,this.offsets=d._offsets,this.layout=d._layout,f=a.context().availableWidth-this.offsets.total,e.buildColumnWidths(d.table.widths,f),this.tableWidth=d._offsets.total+b(),this.rowSpanData=c(),this.cleanUpRepeatables=!1,this.headerRows=d.table.headerRows||0,this.rowsWithoutPageBreak=this.headerRows+(d.table.keepWithHeaderRows||0),this.dontBreakRows=d.table.dontBreakRows||!1,this.rowsWithoutPageBreak&&a.beginUnbreakableBlock(),this.drawHorizontalLine(0,a)},d.prototype.onRowBreak=function(a,b){var c=this;return function(){var a=c.rowPaddingTop+(c.headerRows?0:c.topLineWidth);b.context().moveDown(a)}},d.prototype.beginRow=function(a,b){this.topLineWidth=this.layout.hLineWidth(a,this.tableNode),this.rowPaddingTop=this.layout.paddingTop(a,this.tableNode),this.bottomLineWidth=this.layout.hLineWidth(a+1,this.tableNode),this.rowPaddingBottom=this.layout.paddingBottom(a,this.tableNode),this.rowCallback=this.onRowBreak(a,b),b.tracker.startTracking("pageChanged",this.rowCallback),this.dontBreakRows&&b.beginUnbreakableBlock(),this.rowTopY=b.context().y,this.reservedAtBottom=this.bottomLineWidth+this.rowPaddingBottom,b.context().availableHeight-=this.reservedAtBottom,b.context().moveDown(this.rowPaddingTop)},d.prototype.drawHorizontalLine=function(a,b,c){var d=this.layout.hLineWidth(a,this.tableNode);if(d){for(var e=d/2,f=null,g=0,h=this.rowSpanData.length;h>g;g++){var i=this.rowSpanData[g],j=!i.rowSpan;!f&&j&&(f={left:i.left,width:0}),j&&(f.width+=i.width||0);var k=(c||0)+e;j&&g!==h-1||f&&(b.addVector({type:"line",x1:f.left,x2:f.left+f.width,y1:k,y2:k,lineWidth:d,lineColor:"function"==typeof this.layout.hLineColor?this.layout.hLineColor(a,this.tableNode):this.layout.hLineColor},!1,c),f=null)}b.context().moveDown(d)}},d.prototype.drawVerticalLine=function(a,b,c,d,e){var f=this.layout.vLineWidth(d,this.tableNode);0!==f&&e.addVector({type:"line",x1:a+f/2,x2:a+f/2,y1:b,y2:c,lineWidth:f,lineColor:"function"==typeof this.layout.vLineColor?this.layout.vLineColor(d,this.tableNode):this.layout.vLineColor},!1,!0)},d.prototype.endTable=function(a){this.cleanUpRepeatables&&a.popFromRepeatables()},d.prototype.endRow=function(a,b,c){function d(){for(var b=[],c=0,d=0,e=g.tableNode.table.body[a].length;e>d;d++){if(!c){b.push({x:g.rowSpanData[d].left,index:d});var f=g.tableNode.table.body[a][d];c=f._colSpan||f.colSpan||0}c>0&&c--}return b.push({x:g.rowSpanData[g.rowSpanData.length-1].left,index:g.rowSpanData.length-1}),b}var e,f,g=this;b.tracker.stopTracking("pageChanged",this.rowCallback),b.context().moveDown(this.layout.paddingBottom(a,this.tableNode)),b.context().availableHeight+=this.reservedAtBottom;var h=b.context().page,i=b.context().y,j=d(),k=[],l=c&&c.length>0;if(k.push({y0:this.rowTopY,page:l?c[0].prevPage:h}),l)for(f=0,e=c.length;e>f;f++){var m=c[f];k[k.length-1].y1=m.prevY,k.push({y0:m.y,page:m.prevPage+1})}k[k.length-1].y1=i;for(var n=k[0].y1-k[0].y0===this.rowPaddingTop,o=n?1:0,p=k.length;p>o;o++){var q=o0&&!this.headerRows,s=r?0:this.topLineWidth,t=k[o].y0,u=k[o].y1;for(q&&(u+=this.rowPaddingBottom),b.context().page!=k[o].page&&(b.context().page=k[o].page,this.reservedAtBottom=0),f=0,e=j.length;e>f;f++)if(this.drawVerticalLine(j[f].x,t-s,u+this.bottomLineWidth,j[f].index,b),e-1>f){var v=j[f].index,w=this.tableNode.table.body[a][v].fillColor;if(w){var x=this.layout.vLineWidth(v,this.tableNode),y=j[f].x+x,z=t-s;b.addVector({type:"rect",x:y,y:z,w:j[f+1].x-y,h:u+this.bottomLineWidth-z,lineWidth:0,color:w},!1,!0,0)}}q&&this.layout.hLineWhenBroken!==!1&&this.drawHorizontalLine(a+1,b,u),r&&this.layout.hLineWhenBroken!==!1&&this.drawHorizontalLine(a,b,t)}b.context().page=h,b.context().y=i;var A=this.tableNode.table.body[a];for(f=0,e=A.length;e>f;f++){if(A[f].rowSpan&&(this.rowSpanData[f].rowSpan=A[f].rowSpan,A[f].colSpan&&A[f].colSpan>1))for(var B=1;B0&&this.rowSpanData[f].rowSpan--}this.drawHorizontalLine(a+1,b),this.headerRows&&a===this.headerRows-1&&(this.headerRepeatable=b.currentBlockToRepeatable()),this.dontBreakRows&&b.tracker.auto("pageChanged",function(){g.drawHorizontalLine(a,b)},function(){b.commitUnbreakableBlock(),g.drawHorizontalLine(a,b)}),!this.headerRepeatable||a!==this.rowsWithoutPageBreak-1&&a!==this.tableNode.table.body.length-1||(b.commitUnbreakableBlock(),b.pushToRepeatables(this.headerRepeatable),this.cleanUpRepeatables=!0,this.headerRepeatable=null)},a.exports=d},function(a,b,c){(function(b){(function(){var d,e,f,g,h,i,j={}.hasOwnProperty,k=function(a,b){function c(){this.constructor=a}for(var d in b)j.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};i=c(25),h=c(44),e=c(45),g=c(46),f=c(64),d=function(a){function d(a){var b,c,e,f;if(this.options=null!=a?a:{},d.__super__.constructor.apply(this,arguments),this.version=1.3,this.compress=null!=(e=this.options.compress)?e:!0,this._pageBuffer=[],this._pageBufferStart=0,this._offsets=[],this._waiting=0,this._ended=!1,this._offset=0,this._root=this.ref({Type:"Catalog",Pages:this.ref({Type:"Pages",Count:0,Kids:[]})}),this.page=null,this.initColor(),this.initVector(),this.initFonts(),this.initText(),this.initImages(),this.info={Producer:"PDFKit",Creator:"PDFKit",CreationDate:new Date},this.options.info){f=this.options.info;for(b in f)c=f[b],this.info[b]=c}this._write("%PDF-"+this.version),this._write("%ÿÿÿÿ"),this.addPage()}var i;return k(d,a),i=function(a){var b,c,e;e=[];for(c in a)b=a[c],e.push(d.prototype[c]=b);return e},i(c(65)),i(c(67)),i(c(69)),i(c(89)),i(c(96)),i(c(101)),d.prototype.addPage=function(a){var b;return null==a&&(a=this.options),this.options.bufferPages||this.flushPages(),this.page=new f(this,a),this._pageBuffer.push(this.page),b=this._root.data.Pages.data,b.Kids.push(this.page.dictionary),b.Count++,this.x=this.page.margins.left,this.y=this.page.margins.top,this._ctm=[1,0,0,1,0,0],this.transform(1,0,0,-1,0,this.page.height),this},d.prototype.bufferedPageRange=function(){return{start:this._pageBufferStart,count:this._pageBuffer.length}},d.prototype.switchToPage=function(a){var b;if(!(b=this._pageBuffer[a-this._pageBufferStart]))throw new Error("switchToPage("+a+") out of bounds, current buffer covers pages "+this._pageBufferStart+" to "+(this._pageBufferStart+this._pageBuffer.length-1)); -return this.page=b},d.prototype.flushPages=function(){var a,b,c,d;for(b=this._pageBuffer,this._pageBuffer=[],this._pageBufferStart+=b.length,c=0,d=b.length;d>c;c++)a=b[c],a.end()},d.prototype.ref=function(a){var b;return b=new g(this,this._offsets.length+1,a),this._offsets.push(null),this._waiting++,b},d.prototype._read=function(){},d.prototype._write=function(a){return b.isBuffer(a)||(a=new b(a+"\n","binary")),this.push(a),this._offset+=a.length},d.prototype.addContent=function(a){return this.page.write(a),this},d.prototype._refEnd=function(a){return this._offsets[a.id-1]=a.offset,0===--this._waiting&&this._ended?(this._finalize(),this._ended=!1):void 0},d.prototype.write=function(a,b){var c;return c=new Error("PDFDocument#write is deprecated, and will be removed in a future version of PDFKit. Please pipe the document into a Node stream."),console.warn(c.stack),this.pipe(h.createWriteStream(a)),this.end(),this.once("end",b)},d.prototype.output=function(a){throw new Error("PDFDocument#output is deprecated, and has been removed from PDFKit. Please pipe the document into a Node stream.")},d.prototype.end=function(){var a,b,c,d,e,f;this.flushPages(),this._info=this.ref(),e=this.info;for(b in e)d=e[b],"string"==typeof d&&(d=new String(d)),this._info.data[b]=d;this._info.end(),f=this._fontFamilies;for(c in f)a=f[c],a.embed();return this._root.end(),this._root.data.Pages.end(),0===this._waiting?this._finalize():this._ended=!0},d.prototype._finalize=function(a){var b,c,d,f,g;for(c=this._offset,this._write("xref"),this._write("0 "+(this._offsets.length+1)),this._write("0000000000 65535 f "),g=this._offsets,d=0,f=g.length;f>d;d++)b=g[d],b=("0000000000"+b).slice(-10),this._write(b+" 00000 n ");return this._write("trailer"),this._write(e.convert({Size:this._offsets.length+1,Root:this._root,Info:this._info})),this._write("startxref"),this._write(""+c),this._write("%%EOF"),this.push(null)},d.prototype.toString=function(){return"[object PDFDocument]"},d}(i.Readable),a.exports=d}).call(this)}).call(b,c(2).Buffer)},function(a,b,c){function d(){e.call(this)}a.exports=d;var e=c(26).EventEmitter,f=c(27);f(d,e),d.Readable=c(28),d.Writable=c(40),d.Duplex=c(41),d.Transform=c(42),d.PassThrough=c(43),d.Stream=d,d.prototype.pipe=function(a,b){function c(b){a.writable&&!1===a.write(b)&&j.pause&&j.pause()}function d(){j.readable&&j.resume&&j.resume()}function f(){k||(k=!0,a.end())}function g(){k||(k=!0,"function"==typeof a.destroy&&a.destroy())}function h(a){if(i(),0===e.listenerCount(this,"error"))throw a}function i(){j.removeListener("data",c),a.removeListener("drain",d),j.removeListener("end",f),j.removeListener("close",g),j.removeListener("error",h),a.removeListener("error",h),j.removeListener("end",i),j.removeListener("close",i),a.removeListener("close",i)}var j=this;j.on("data",c),a.on("drain",d),a._isStdio||b&&b.end===!1||(j.on("end",f),j.on("close",g));var k=!1;return j.on("error",h),a.on("error",h),j.on("end",i),j.on("close",i),a.on("close",i),a.emit("pipe",j),a}},function(a,b){function c(){this._events=this._events||{},this._maxListeners=this._maxListeners||void 0}function d(a){return"function"==typeof a}function e(a){return"number"==typeof a}function f(a){return"object"==typeof a&&null!==a}function g(a){return void 0===a}a.exports=c,c.EventEmitter=c,c.prototype._events=void 0,c.prototype._maxListeners=void 0,c.defaultMaxListeners=10,c.prototype.setMaxListeners=function(a){if(!e(a)||0>a||isNaN(a))throw TypeError("n must be a positive number");return this._maxListeners=a,this},c.prototype.emit=function(a){var b,c,e,h,i,j;if(this._events||(this._events={}),"error"===a&&(!this._events.error||f(this._events.error)&&!this._events.error.length)){if(b=arguments[1],b instanceof Error)throw b;throw TypeError('Uncaught, unspecified "error" event.')}if(c=this._events[a],g(c))return!1;if(d(c))switch(arguments.length){case 1:c.call(this);break;case 2:c.call(this,arguments[1]);break;case 3:c.call(this,arguments[1],arguments[2]);break;default:h=Array.prototype.slice.call(arguments,1),c.apply(this,h)}else if(f(c))for(h=Array.prototype.slice.call(arguments,1),j=c.slice(),e=j.length,i=0;e>i;i++)j[i].apply(this,h);return!0},c.prototype.addListener=function(a,b){var e;if(!d(b))throw TypeError("listener must be a function");return this._events||(this._events={}),this._events.newListener&&this.emit("newListener",a,d(b.listener)?b.listener:b),this._events[a]?f(this._events[a])?this._events[a].push(b):this._events[a]=[this._events[a],b]:this._events[a]=b,f(this._events[a])&&!this._events[a].warned&&(e=g(this._maxListeners)?c.defaultMaxListeners:this._maxListeners,e&&e>0&&this._events[a].length>e&&(this._events[a].warned=!0,console.error("(node) warning: possible EventEmitter memory leak detected. %d listeners added. Use emitter.setMaxListeners() to increase limit.",this._events[a].length),"function"==typeof console.trace&&console.trace())),this},c.prototype.on=c.prototype.addListener,c.prototype.once=function(a,b){function c(){this.removeListener(a,c),e||(e=!0,b.apply(this,arguments))}if(!d(b))throw TypeError("listener must be a function");var e=!1;return c.listener=b,this.on(a,c),this},c.prototype.removeListener=function(a,b){var c,e,g,h;if(!d(b))throw TypeError("listener must be a function");if(!this._events||!this._events[a])return this;if(c=this._events[a],g=c.length,e=-1,c===b||d(c.listener)&&c.listener===b)delete this._events[a],this._events.removeListener&&this.emit("removeListener",a,b);else if(f(c)){for(h=g;h-- >0;)if(c[h]===b||c[h].listener&&c[h].listener===b){e=h;break}if(0>e)return this;1===c.length?(c.length=0,delete this._events[a]):c.splice(e,1),this._events.removeListener&&this.emit("removeListener",a,b)}return this},c.prototype.removeAllListeners=function(a){var b,c;if(!this._events)return this;if(!this._events.removeListener)return 0===arguments.length?this._events={}:this._events[a]&&delete this._events[a],this;if(0===arguments.length){for(b in this._events)"removeListener"!==b&&this.removeAllListeners(b);return this.removeAllListeners("removeListener"),this._events={},this}if(c=this._events[a],d(c))this.removeListener(a,c);else if(c)for(;c.length;)this.removeListener(a,c[c.length-1]);return delete this._events[a],this},c.prototype.listeners=function(a){var b;return b=this._events&&this._events[a]?d(this._events[a])?[this._events[a]]:this._events[a].slice():[]},c.prototype.listenerCount=function(a){if(this._events){var b=this._events[a];if(d(b))return 1;if(b)return b.length}return 0},c.listenerCount=function(a,b){return a.listenerCount(b)}},function(a,b){"function"==typeof Object.create?a.exports=function(a,b){a.super_=b,a.prototype=Object.create(b.prototype,{constructor:{value:a,enumerable:!1,writable:!0,configurable:!0}})}:a.exports=function(a,b){a.super_=b;var c=function(){};c.prototype=b.prototype,a.prototype=new c,a.prototype.constructor=a}},function(a,b,c){b=a.exports=c(29),b.Stream=c(25),b.Readable=b,b.Writable=c(36),b.Duplex=c(35),b.Transform=c(38),b.PassThrough=c(39)},function(a,b,c){(function(b){function d(a,b){var d=c(35);a=a||{};var e=a.highWaterMark,f=a.objectMode?16:16384;this.highWaterMark=e||0===e?e:f,this.highWaterMark=~~this.highWaterMark,this.buffer=[],this.length=0,this.pipes=null,this.pipesCount=0,this.flowing=null,this.ended=!1,this.endEmitted=!1,this.reading=!1,this.sync=!0,this.needReadable=!1,this.emittedReadable=!1,this.readableListening=!1,this.objectMode=!!a.objectMode,b instanceof d&&(this.objectMode=this.objectMode||!!a.readableObjectMode),this.defaultEncoding=a.defaultEncoding||"utf8",this.ranOut=!1,this.awaitDrain=0,this.readingMore=!1,this.decoder=null,this.encoding=null,a.encoding&&(C||(C=c(37).StringDecoder),this.decoder=new C(a.encoding),this.encoding=a.encoding)}function e(a){c(35);return this instanceof e?(this._readableState=new d(a,this),this.readable=!0,void A.call(this)):new e(a)}function f(a,b,c,d,e){var f=j(b,c);if(f)a.emit("error",f);else if(B.isNullOrUndefined(c))b.reading=!1,b.ended||k(a,b);else if(b.objectMode||c&&c.length>0)if(b.ended&&!e){var h=new Error("stream.push() after EOF");a.emit("error",h)}else if(b.endEmitted&&e){var h=new Error("stream.unshift() after end event");a.emit("error",h)}else!b.decoder||e||d||(c=b.decoder.write(c)),e||(b.reading=!1),b.flowing&&0===b.length&&!b.sync?(a.emit("data",c),a.read(0)):(b.length+=b.objectMode?1:c.length,e?b.buffer.unshift(c):b.buffer.push(c),b.needReadable&&l(a)),n(a,b);else e||(b.reading=!1);return g(b)}function g(a){return!a.ended&&(a.needReadable||a.length=E)a=E;else{a--;for(var b=1;32>b;b<<=1)a|=a>>b;a++}return a}function i(a,b){return 0===b.length&&b.ended?0:b.objectMode?0===a?0:1:isNaN(a)||B.isNull(a)?b.flowing&&b.buffer.length?b.buffer[0].length:b.length:0>=a?0:(a>b.highWaterMark&&(b.highWaterMark=h(a)),a>b.length?b.ended?b.length:(b.needReadable=!0,0):a)}function j(a,b){var c=null;return B.isBuffer(b)||B.isString(b)||B.isNullOrUndefined(b)||a.objectMode||(c=new TypeError("Invalid non-string/buffer chunk")),c}function k(a,b){if(b.decoder&&!b.ended){var c=b.decoder.end();c&&c.length&&(b.buffer.push(c),b.length+=b.objectMode?1:c.length)}b.ended=!0,l(a)}function l(a){var c=a._readableState;c.needReadable=!1,c.emittedReadable||(D("emitReadable",c.flowing),c.emittedReadable=!0,c.sync?b.nextTick(function(){m(a)}):m(a))}function m(a){D("emit readable"),a.emit("readable"),s(a)}function n(a,c){c.readingMore||(c.readingMore=!0,b.nextTick(function(){o(a,c)}))}function o(a,b){for(var c=b.length;!b.reading&&!b.flowing&&!b.ended&&b.length=e)c=f?d.join(""):y.concat(d,e),d.length=0;else if(aj&&a>i;j++){var h=d[0],l=Math.min(a-i,h.length);f?c+=h.slice(0,l):h.copy(c,i,0,l),l0)throw new Error("endReadable called on non-empty stream");c.endEmitted||(c.ended=!0,b.nextTick(function(){c.endEmitted||0!==c.length||(c.endEmitted=!0,a.readable=!1,a.emit("end"))}))}function v(a,b){for(var c=0,d=a.length;d>c;c++)b(a[c],c)}function w(a,b){for(var c=0,d=a.length;d>c;c++)if(a[c]===b)return c;return-1}a.exports=e;var x=c(31),y=c(2).Buffer;e.ReadableState=d;var z=c(26).EventEmitter;z.listenerCount||(z.listenerCount=function(a,b){return a.listeners(b).length});var A=c(25),B=c(32);B.inherits=c(33);var C,D=c(34);D=D&&D.debuglog?D.debuglog("stream"):function(){},B.inherits(e,A),e.prototype.push=function(a,b){var c=this._readableState;return B.isString(a)&&!c.objectMode&&(b=b||c.defaultEncoding,b!==c.encoding&&(a=new y(a,b),b="")),f(this,c,a,b,!1)},e.prototype.unshift=function(a){var b=this._readableState;return f(this,b,a,"",!0)},e.prototype.setEncoding=function(a){return C||(C=c(37).StringDecoder),this._readableState.decoder=new C(a),this._readableState.encoding=a,this};var E=8388608;e.prototype.read=function(a){D("read",a);var b=this._readableState,c=a;if((!B.isNumber(a)||a>0)&&(b.emittedReadable=!1),0===a&&b.needReadable&&(b.length>=b.highWaterMark||b.ended))return D("read: emitReadable",b.length,b.ended),0===b.length&&b.ended?u(this):l(this),null;if(a=i(a,b),0===a&&b.ended)return 0===b.length&&u(this),null;var d=b.needReadable;D("need readable",d),(0===b.length||b.length-a0?t(a,b):null,B.isNull(e)&&(b.needReadable=!0,a=0),b.length-=a,0!==b.length||b.ended||(b.needReadable=!0),c!==a&&b.ended&&0===b.length&&u(this),B.isNull(e)||this.emit("data",e),e},e.prototype._read=function(a){this.emit("error",new Error("not implemented"))},e.prototype.pipe=function(a,c){function d(a){D("onunpipe"),a===l&&f()}function e(){D("onend"),a.end()}function f(){D("cleanup"),a.removeListener("close",i),a.removeListener("finish",j),a.removeListener("drain",q),a.removeListener("error",h),a.removeListener("unpipe",d),l.removeListener("end",e),l.removeListener("end",f),l.removeListener("data",g),!m.awaitDrain||a._writableState&&!a._writableState.needDrain||q()}function g(b){D("ondata");var c=a.write(b);!1===c&&(D("false write response, pause",l._readableState.awaitDrain),l._readableState.awaitDrain++,l.pause())}function h(b){D("onerror",b),k(),a.removeListener("error",h),0===z.listenerCount(a,"error")&&a.emit("error",b)}function i(){a.removeListener("finish",j),k()}function j(){D("onfinish"),a.removeListener("close",i),k()}function k(){D("unpipe"),l.unpipe(a)}var l=this,m=this._readableState;switch(m.pipesCount){case 0:m.pipes=a;break;case 1:m.pipes=[m.pipes,a];break;default:m.pipes.push(a)}m.pipesCount+=1,D("pipe count=%d opts=%j",m.pipesCount,c);var n=(!c||c.end!==!1)&&a!==b.stdout&&a!==b.stderr,o=n?e:f;m.endEmitted?b.nextTick(o):l.once("end",o),a.on("unpipe",d);var q=p(l);return a.on("drain",q),l.on("data",g),a._events&&a._events.error?x(a._events.error)?a._events.error.unshift(h):a._events.error=[h,a._events.error]:a.on("error",h),a.once("close",i),a.once("finish",j),a.emit("pipe",l),m.flowing||(D("pipe resume"),l.resume()),a},e.prototype.unpipe=function(a){var b=this._readableState;if(0===b.pipesCount)return this;if(1===b.pipesCount)return a&&a!==b.pipes?this:(a||(a=b.pipes),b.pipes=null,b.pipesCount=0,b.flowing=!1,a&&a.emit("unpipe",this),this);if(!a){var c=b.pipes,d=b.pipesCount;b.pipes=null,b.pipesCount=0,b.flowing=!1;for(var e=0;d>e;e++)c[e].emit("unpipe",this);return this}var e=w(b.pipes,a);return-1===e?this:(b.pipes.splice(e,1),b.pipesCount-=1,1===b.pipesCount&&(b.pipes=b.pipes[0]),a.emit("unpipe",this),this)},e.prototype.on=function(a,c){var d=A.prototype.on.call(this,a,c);if("data"===a&&!1!==this._readableState.flowing&&this.resume(),"readable"===a&&this.readable){var e=this._readableState;if(!e.readableListening)if(e.readableListening=!0,e.emittedReadable=!1,e.needReadable=!0,e.reading)e.length&&l(this,e);else{var f=this;b.nextTick(function(){D("readable nexttick read 0"),f.read(0)})}}return d},e.prototype.addListener=e.prototype.on,e.prototype.resume=function(){var a=this._readableState;return a.flowing||(D("resume"),a.flowing=!0,a.reading||(D("resume read 0"),this.read(0)),q(this,a)),this},e.prototype.pause=function(){return D("call pause flowing=%j",this._readableState.flowing),!1!==this._readableState.flowing&&(D("pause"),this._readableState.flowing=!1,this.emit("pause")),this},e.prototype.wrap=function(a){var b=this._readableState,c=!1,d=this;a.on("end",function(){if(D("wrapped end"),b.decoder&&!b.ended){var a=b.decoder.end();a&&a.length&&d.push(a)}d.push(null)}),a.on("data",function(e){if(D("wrapped data"),b.decoder&&(e=b.decoder.write(e)),e&&(b.objectMode||e.length)){var f=d.push(e);f||(c=!0,a.pause())}});for(var e in a)B.isFunction(a[e])&&B.isUndefined(this[e])&&(this[e]=function(b){return function(){return a[b].apply(a,arguments)}}(e));var f=["error","close","destroy","pause","resume"];return v(f,function(b){a.on(b,d.emit.bind(d,b))}),d._read=function(b){D("wrapped _read",b),c&&(c=!1,a.resume())},d},e._fromList=t}).call(b,c(30))},function(a,b){function c(){j=!1,g.length?i=g.concat(i):k=-1,i.length&&d()}function d(){if(!j){var a=setTimeout(c);j=!0;for(var b=i.length;b;){for(g=i,i=[];++k1)for(var c=1;cc;c++)b(a[c],c)}a.exports=d;var g=Object.keys||function(a){var b=[];for(var c in a)b.push(c);return b},h=c(32);h.inherits=c(33);var i=c(29),j=c(36);h.inherits(d,i),f(g(j.prototype),function(a){d.prototype[a]||(d.prototype[a]=j.prototype[a])})}).call(b,c(30))},function(a,b,c){(function(b){function d(a,b,c){this.chunk=a,this.encoding=b,this.callback=c}function e(a,b){var d=c(35);a=a||{};var e=a.highWaterMark,f=a.objectMode?16:16384;this.highWaterMark=e||0===e?e:f,this.objectMode=!!a.objectMode,b instanceof d&&(this.objectMode=this.objectMode||!!a.writableObjectMode),this.highWaterMark=~~this.highWaterMark,this.needDrain=!1,this.ending=!1,this.ended=!1,this.finished=!1;var g=a.decodeStrings===!1;this.decodeStrings=!g,this.defaultEncoding=a.defaultEncoding||"utf8",this.length=0,this.writing=!1,this.corked=0,this.sync=!0,this.bufferProcessing=!1,this.onwrite=function(a){n(b,a)},this.writecb=null,this.writelen=0,this.buffer=[],this.pendingcb=0,this.prefinished=!1,this.errorEmitted=!1}function f(a){var b=c(35);return this instanceof f||this instanceof b?(this._writableState=new e(a,this),this.writable=!0,void x.call(this)):new f(a)}function g(a,c,d){var e=new Error("write after end");a.emit("error",e),b.nextTick(function(){d(e)})}function h(a,c,d,e){var f=!0;if(!(w.isBuffer(d)||w.isString(d)||w.isNullOrUndefined(d)||c.objectMode)){var g=new TypeError("Invalid non-string/buffer chunk");a.emit("error",g),b.nextTick(function(){e(g)}),f=!1}return f}function i(a,b,c){return!a.objectMode&&a.decodeStrings!==!1&&w.isString(b)&&(b=new v(b,c)),b}function j(a,b,c,e,f){c=i(b,c,e),w.isBuffer(c)&&(e="buffer");var g=b.objectMode?1:c.length;b.length+=g;var h=b.length1){for(var c=[],d=0;d=this.charLength-this.charReceived?this.charLength-this.charReceived:a.length;if(a.copy(this.charBuffer,this.charReceived,0,c),this.charReceived+=c,this.charReceived=55296&&56319>=d)){if(this.charReceived=this.charLength=0,0===a.length)return b;break}this.charLength+=this.surrogateSize,b=""}this.detectIncompleteChar(a);var e=a.length;this.charLength&&(a.copy(this.charBuffer,0,a.length-this.charReceived,e),e-=this.charReceived),b+=a.toString(this.encoding,0,e);var e=b.length-1,d=b.charCodeAt(e);if(d>=55296&&56319>=d){var f=this.surrogateSize;return this.charLength+=f,this.charReceived+=f,this.charBuffer.copy(this.charBuffer,f,0,f),a.copy(this.charBuffer,0,0,f),b.substring(0,e)}return b},j.prototype.detectIncompleteChar=function(a){for(var b=a.length>=3?3:a.length;b>0;b--){var c=a[a.length-b];if(1==b&&c>>5==6){this.charLength=2;break}if(2>=b&&c>>4==14){this.charLength=3;break}if(3>=b&&c>>3==30){this.charLength=4;break}}this.charReceived=b},j.prototype.end=function(a){var b="";if(a&&a.length&&(b=this.write(a)),this.charReceived){var c=this.charReceived,d=this.charBuffer,e=this.encoding;b+=d.slice(0,c).toString(e)}return b}},function(a,b,c){function d(a,b){this.afterTransform=function(a,c){return e(b,a,c)},this.needTransform=!1,this.transforming=!1,this.writecb=null,this.writechunk=null}function e(a,b,c){var d=a._transformState;d.transforming=!1;var e=d.writecb;if(!e)return a.emit("error",new Error("no writecb in Transform class"));d.writechunk=null,d.writecb=null,i.isNullOrUndefined(c)||a.push(c),e&&e(b);var f=a._readableState;f.reading=!1,(f.needReadable||f.lengthe;c=e+=2)b=a[c],a[c]=a[c+1],a[c+1]=b;return a},a.convert=function(h){var i,j,k,l,m,n,o,p,q,r;if("string"==typeof h)return"/"+h;if(h instanceof String){for(o=h.replace(d,function(a){return c[a]}),k=!1,j=q=0,r=o.length;r>q;j=q+=1)if(o.charCodeAt(j)>127){k=!0;break}return k&&(o=g(new b("\ufeff"+o,"utf16le")).toString("binary")),"("+o+")"}if(b.isBuffer(h))return"<"+h.toString("hex")+">";if(h instanceof e)return h.toString();if(h instanceof Date)return"(D:"+f(h.getUTCFullYear(),4)+f(h.getUTCMonth(),2)+f(h.getUTCDate(),2)+f(h.getUTCHours(),2)+f(h.getUTCMinutes(),2)+f(h.getUTCSeconds(),2)+"Z)";if(Array.isArray(h))return l=function(){var b,c,d;for(d=[],b=0,c=h.length;c>b;b++)i=h[b],d.push(a.convert(i));return d}().join(" "),"["+l+"]";if("[object Object]"==={}.toString.call(h)){n=["<<"];for(m in h)p=h[m],n.push("/"+m+" "+a.convert(p));return n.push(">>"),n.join("\n")}return""+h},a}(),a.exports=d,e=c(46)}).call(this)}).call(b,c(2).Buffer)},function(a,b,c){(function(b){(function(){var d,e,f,g=function(a,b){return function(){return a.apply(b,arguments)}};f=c(47),e=function(){function a(a,b,c){this.document=a,this.id=b,this.data=null!=c?c:{},this.finalize=g(this.finalize,this),this.gen=0,this.deflate=null,this.compress=this.document.compress&&!this.data.Filter,this.uncompressedLength=0,this.chunks=[]}return a.prototype.initDeflate=function(){return this.data.Filter="FlateDecode",this.deflate=f.createDeflate(),this.deflate.on("data",function(a){return function(b){return a.chunks.push(b),a.data.Length+=b.length}}(this)),this.deflate.on("end",this.finalize)},a.prototype.write=function(a){var c;return b.isBuffer(a)||(a=new b(a+"\n","binary")),this.uncompressedLength+=a.length,null==(c=this.data).Length&&(c.Length=0),this.compress?(this.deflate||this.initDeflate(),this.deflate.write(a)):(this.chunks.push(a),this.data.Length+=a.length)},a.prototype.end=function(a){return("string"==typeof a||b.isBuffer(a))&&this.write(a),this.deflate?this.deflate.end():this.finalize()},a.prototype.finalize=function(){var a,b,c,e;if(this.offset=this.document._offset,this.document._write(""+this.id+" "+this.gen+" obj"),this.document._write(d.convert(this.data)),this.chunks.length){for(this.document._write("stream"),e=this.chunks,b=0,c=e.length;c>b;b++)a=e[b],this.document._write(a);this.chunks.length=0,this.document._write("\nendstream")}return this.document._write("endobj"),this.document._refEnd(this)},a.prototype.toString=function(){return""+this.id+" "+this.gen+" R"},a}(),a.exports=e,d=c(45)}).call(this)}).call(b,c(2).Buffer)},function(a,b,c){(function(a,d){function e(b,c,d){function e(){for(var a;null!==(a=b.read());)h.push(a),i+=a.length;b.once("readable",e)}function f(a){b.removeListener("end",g),b.removeListener("readable",e),d(a)}function g(){var c=a.concat(h,i);h=[],d(null,c),b.close()}var h=[],i=0;b.on("error",f),b.on("end",g),b.end(c),e()}function f(b,c){if("string"==typeof c&&(c=new a(c)),!a.isBuffer(c))throw new TypeError("Not a string or buffer");var d=p.Z_FINISH;return b._processChunk(c,d)}function g(a){return this instanceof g?void n.call(this,a,p.DEFLATE):new g(a)}function h(a){return this instanceof h?void n.call(this,a,p.INFLATE):new h(a)}function i(a){return this instanceof i?void n.call(this,a,p.GZIP):new i(a)}function j(a){return this instanceof j?void n.call(this,a,p.GUNZIP):new j(a)}function k(a){return this instanceof k?void n.call(this,a,p.DEFLATERAW):new k(a)}function l(a){return this instanceof l?void n.call(this,a,p.INFLATERAW):new l(a)}function m(a){return this instanceof m?void n.call(this,a,p.UNZIP):new m(a)}function n(c,d){if(this._opts=c=c||{},this._chunkSize=c.chunkSize||b.Z_DEFAULT_CHUNK,o.call(this,c),c.flush&&c.flush!==p.Z_NO_FLUSH&&c.flush!==p.Z_PARTIAL_FLUSH&&c.flush!==p.Z_SYNC_FLUSH&&c.flush!==p.Z_FULL_FLUSH&&c.flush!==p.Z_FINISH&&c.flush!==p.Z_BLOCK)throw new Error("Invalid flush flag: "+c.flush); -if(this._flushFlag=c.flush||p.Z_NO_FLUSH,c.chunkSize&&(c.chunkSizeb.Z_MAX_CHUNK))throw new Error("Invalid chunk size: "+c.chunkSize);if(c.windowBits&&(c.windowBitsb.Z_MAX_WINDOWBITS))throw new Error("Invalid windowBits: "+c.windowBits);if(c.level&&(c.levelb.Z_MAX_LEVEL))throw new Error("Invalid compression level: "+c.level);if(c.memLevel&&(c.memLevelb.Z_MAX_MEMLEVEL))throw new Error("Invalid memLevel: "+c.memLevel);if(c.strategy&&c.strategy!=b.Z_FILTERED&&c.strategy!=b.Z_HUFFMAN_ONLY&&c.strategy!=b.Z_RLE&&c.strategy!=b.Z_FIXED&&c.strategy!=b.Z_DEFAULT_STRATEGY)throw new Error("Invalid strategy: "+c.strategy);if(c.dictionary&&!a.isBuffer(c.dictionary))throw new Error("Invalid dictionary: it should be a Buffer instance");this._binding=new p.Zlib(d);var e=this;this._hadError=!1,this._binding.onerror=function(a,c){e._binding=null,e._hadError=!0;var d=new Error(a);d.errno=c,d.code=b.codes[c],e.emit("error",d)};var f=b.Z_DEFAULT_COMPRESSION;"number"==typeof c.level&&(f=c.level);var g=b.Z_DEFAULT_STRATEGY;"number"==typeof c.strategy&&(g=c.strategy),this._binding.init(c.windowBits||b.Z_DEFAULT_WINDOWBITS,f,c.memLevel||b.Z_DEFAULT_MEMLEVEL,g,c.dictionary),this._buffer=new a(this._chunkSize),this._offset=0,this._closed=!1,this._level=f,this._strategy=g,this.once("end",this.close)}var o=c(42),p=c(48),q=c(60),r=c(63).ok;p.Z_MIN_WINDOWBITS=8,p.Z_MAX_WINDOWBITS=15,p.Z_DEFAULT_WINDOWBITS=15,p.Z_MIN_CHUNK=64,p.Z_MAX_CHUNK=1/0,p.Z_DEFAULT_CHUNK=16384,p.Z_MIN_MEMLEVEL=1,p.Z_MAX_MEMLEVEL=9,p.Z_DEFAULT_MEMLEVEL=8,p.Z_MIN_LEVEL=-1,p.Z_MAX_LEVEL=9,p.Z_DEFAULT_LEVEL=p.Z_DEFAULT_COMPRESSION,Object.keys(p).forEach(function(a){a.match(/^Z/)&&(b[a]=p[a])}),b.codes={Z_OK:p.Z_OK,Z_STREAM_END:p.Z_STREAM_END,Z_NEED_DICT:p.Z_NEED_DICT,Z_ERRNO:p.Z_ERRNO,Z_STREAM_ERROR:p.Z_STREAM_ERROR,Z_DATA_ERROR:p.Z_DATA_ERROR,Z_MEM_ERROR:p.Z_MEM_ERROR,Z_BUF_ERROR:p.Z_BUF_ERROR,Z_VERSION_ERROR:p.Z_VERSION_ERROR},Object.keys(b.codes).forEach(function(a){b.codes[b.codes[a]]=a}),b.Deflate=g,b.Inflate=h,b.Gzip=i,b.Gunzip=j,b.DeflateRaw=k,b.InflateRaw=l,b.Unzip=m,b.createDeflate=function(a){return new g(a)},b.createInflate=function(a){return new h(a)},b.createDeflateRaw=function(a){return new k(a)},b.createInflateRaw=function(a){return new l(a)},b.createGzip=function(a){return new i(a)},b.createGunzip=function(a){return new j(a)},b.createUnzip=function(a){return new m(a)},b.deflate=function(a,b,c){return"function"==typeof b&&(c=b,b={}),e(new g(b),a,c)},b.deflateSync=function(a,b){return f(new g(b),a)},b.gzip=function(a,b,c){return"function"==typeof b&&(c=b,b={}),e(new i(b),a,c)},b.gzipSync=function(a,b){return f(new i(b),a)},b.deflateRaw=function(a,b,c){return"function"==typeof b&&(c=b,b={}),e(new k(b),a,c)},b.deflateRawSync=function(a,b){return f(new k(b),a)},b.unzip=function(a,b,c){return"function"==typeof b&&(c=b,b={}),e(new m(b),a,c)},b.unzipSync=function(a,b){return f(new m(b),a)},b.inflate=function(a,b,c){return"function"==typeof b&&(c=b,b={}),e(new h(b),a,c)},b.inflateSync=function(a,b){return f(new h(b),a)},b.gunzip=function(a,b,c){return"function"==typeof b&&(c=b,b={}),e(new j(b),a,c)},b.gunzipSync=function(a,b){return f(new j(b),a)},b.inflateRaw=function(a,b,c){return"function"==typeof b&&(c=b,b={}),e(new l(b),a,c)},b.inflateRawSync=function(a,b){return f(new l(b),a)},q.inherits(n,o),n.prototype.params=function(a,c,e){if(ab.Z_MAX_LEVEL)throw new RangeError("Invalid compression level: "+a);if(c!=b.Z_FILTERED&&c!=b.Z_HUFFMAN_ONLY&&c!=b.Z_RLE&&c!=b.Z_FIXED&&c!=b.Z_DEFAULT_STRATEGY)throw new TypeError("Invalid strategy: "+c);if(this._level!==a||this._strategy!==c){var f=this;this.flush(p.Z_SYNC_FLUSH,function(){f._binding.params(a,c),f._hadError||(f._level=a,f._strategy=c,e&&e())})}else d.nextTick(e)},n.prototype.reset=function(){return this._binding.reset()},n.prototype._flush=function(b){this._transform(new a(0),"",b)},n.prototype.flush=function(b,c){var e=this._writableState;if(("function"==typeof b||void 0===b&&!c)&&(c=b,b=p.Z_FULL_FLUSH),e.ended)c&&d.nextTick(c);else if(e.ending)c&&this.once("end",c);else if(e.needDrain){var f=this;this.once("drain",function(){f.flush(c)})}else this._flushFlag=b,this.write(new a(0),"",c)},n.prototype.close=function(a){if(a&&d.nextTick(a),!this._closed){this._closed=!0,this._binding.close();var b=this;d.nextTick(function(){b.emit("close")})}},n.prototype._transform=function(b,c,d){var e,f=this._writableState,g=f.ending||f.ended,h=g&&(!b||f.length===b.length);if(null===!b&&!a.isBuffer(b))return d(new Error("invalid input"));h?e=p.Z_FINISH:(e=this._flushFlag,b.length>=f.length&&(this._flushFlag=this._opts.flush||p.Z_NO_FLUSH));this._processChunk(b,e,d)},n.prototype._processChunk=function(b,c,d){function e(k,n){if(!i._hadError){var o=g-n;if(r(o>=0,"have should not go down"),o>0){var p=i._buffer.slice(i._offset,i._offset+o);i._offset+=o,j?i.push(p):(l.push(p),m+=p.length)}if((0===n||i._offset>=i._chunkSize)&&(g=i._chunkSize,i._offset=0,i._buffer=new a(i._chunkSize)),0===n){if(h+=f-k,f=k,!j)return!0;var q=i._binding.write(c,b,h,f,i._buffer,i._offset,i._chunkSize);return q.callback=e,void(q.buffer=b)}return j?void d():!1}}var f=b&&b.length,g=this._chunkSize-this._offset,h=0,i=this,j="function"==typeof d;if(!j){var k,l=[],m=0;this.on("error",function(a){k=a});do var n=this._binding.writeSync(c,b,h,f,this._buffer,this._offset,g);while(!this._hadError&&e(n[0],n[1]));if(this._hadError)throw k;var o=a.concat(l,m);return this.close(),o}var p=this._binding.write(c,b,h,f,this._buffer,this._offset,g);p.buffer=b,p.callback=e},q.inherits(g,n),q.inherits(h,n),q.inherits(i,n),q.inherits(j,n),q.inherits(k,n),q.inherits(l,n),q.inherits(m,n)}).call(b,c(2).Buffer,c(30))},function(a,b,c){(function(a,d){function e(a){if(ab.UNZIP)throw new TypeError("Bad argument");this.mode=a,this.init_done=!1,this.write_in_progress=!1,this.pending_close=!1,this.windowBits=0,this.level=0,this.memLevel=0,this.strategy=0,this.dictionary=null}function f(a,b){for(var c=0;c4?9:0)}function f(a){for(var b=a.length;--b>=0;)a[b]=0}function g(a){var b=a.state,c=b.pending;c>a.avail_out&&(c=a.avail_out),0!==c&&(C.arraySet(a.output,b.pending_buf,b.pending_out,c,a.next_out),a.next_out+=c,b.pending_out+=c,a.total_out+=c,a.avail_out-=c,b.pending-=c,0===b.pending&&(b.pending_out=0))}function h(a,b){D._tr_flush_block(a,a.block_start>=0?a.block_start:-1,a.strstart-a.block_start,b),a.block_start=a.strstart,g(a.strm)}function i(a,b){a.pending_buf[a.pending++]=b}function j(a,b){a.pending_buf[a.pending++]=b>>>8&255,a.pending_buf[a.pending++]=255&b}function k(a,b,c,d){var e=a.avail_in;return e>d&&(e=d),0===e?0:(a.avail_in-=e,C.arraySet(b,a.input,a.next_in,e,c),1===a.state.wrap?a.adler=E(a.adler,b,e,c):2===a.state.wrap&&(a.adler=F(a.adler,b,e,c)),a.next_in+=e,a.total_in+=e,e)}function l(a,b){var c,d,e=a.max_chain_length,f=a.strstart,g=a.prev_length,h=a.nice_match,i=a.strstart>a.w_size-ja?a.strstart-(a.w_size-ja):0,j=a.window,k=a.w_mask,l=a.prev,m=a.strstart+ia,n=j[f+g-1],o=j[f+g];a.prev_length>=a.good_match&&(e>>=2),h>a.lookahead&&(h=a.lookahead);do if(c=b,j[c+g]===o&&j[c+g-1]===n&&j[c]===j[f]&&j[++c]===j[f+1]){f+=2,c++;do;while(j[++f]===j[++c]&&j[++f]===j[++c]&&j[++f]===j[++c]&&j[++f]===j[++c]&&j[++f]===j[++c]&&j[++f]===j[++c]&&j[++f]===j[++c]&&j[++f]===j[++c]&&m>f);if(d=ia-(m-f),f=m-ia,d>g){if(a.match_start=b,g=d,d>=h)break;n=j[f+g-1],o=j[f+g]}}while((b=l[b&k])>i&&0!==--e);return g<=a.lookahead?g:a.lookahead}function m(a){var b,c,d,e,f,g=a.w_size;do{if(e=a.window_size-a.lookahead-a.strstart,a.strstart>=g+(g-ja)){C.arraySet(a.window,a.window,g,g,0),a.match_start-=g,a.strstart-=g,a.block_start-=g,c=a.hash_size,b=c;do d=a.head[--b],a.head[b]=d>=g?d-g:0;while(--c);c=g,b=c;do d=a.prev[--b],a.prev[b]=d>=g?d-g:0;while(--c);e+=g}if(0===a.strm.avail_in)break;if(c=k(a.strm,a.window,a.strstart+a.lookahead,e),a.lookahead+=c,a.lookahead+a.insert>=ha)for(f=a.strstart-a.insert,a.ins_h=a.window[f],a.ins_h=(a.ins_h<a.pending_buf_size-5&&(c=a.pending_buf_size-5);;){if(a.lookahead<=1){if(m(a),0===a.lookahead&&b===H)return sa;if(0===a.lookahead)break}a.strstart+=a.lookahead,a.lookahead=0;var d=a.block_start+c;if((0===a.strstart||a.strstart>=d)&&(a.lookahead=a.strstart-d,a.strstart=d,h(a,!1),0===a.strm.avail_out))return sa;if(a.strstart-a.block_start>=a.w_size-ja&&(h(a,!1),0===a.strm.avail_out))return sa}return a.insert=0,b===K?(h(a,!0),0===a.strm.avail_out?ua:va):a.strstart>a.block_start&&(h(a,!1),0===a.strm.avail_out)?sa:sa}function o(a,b){for(var c,d;;){if(a.lookahead=ha&&(a.ins_h=(a.ins_h<=ha)if(d=D._tr_tally(a,a.strstart-a.match_start,a.match_length-ha),a.lookahead-=a.match_length,a.match_length<=a.max_lazy_match&&a.lookahead>=ha){a.match_length--;do a.strstart++,a.ins_h=(a.ins_h<=ha&&(a.ins_h=(a.ins_h<4096)&&(a.match_length=ha-1)),a.prev_length>=ha&&a.match_length<=a.prev_length){e=a.strstart+a.lookahead-ha,d=D._tr_tally(a,a.strstart-1-a.prev_match,a.prev_length-ha),a.lookahead-=a.prev_length-1,a.prev_length-=2;do++a.strstart<=e&&(a.ins_h=(a.ins_h<=ha&&a.strstart>0&&(e=a.strstart-1,d=g[e],d===g[++e]&&d===g[++e]&&d===g[++e])){f=a.strstart+ia;do;while(d===g[++e]&&d===g[++e]&&d===g[++e]&&d===g[++e]&&d===g[++e]&&d===g[++e]&&d===g[++e]&&d===g[++e]&&f>e);a.match_length=ia-(f-e),a.match_length>a.lookahead&&(a.match_length=a.lookahead)}if(a.match_length>=ha?(c=D._tr_tally(a,1,a.match_length-ha),a.lookahead-=a.match_length,a.strstart+=a.match_length,a.match_length=0):(c=D._tr_tally(a,0,a.window[a.strstart]),a.lookahead--,a.strstart++),c&&(h(a,!1),0===a.strm.avail_out))return sa}return a.insert=0,b===K?(h(a,!0),0===a.strm.avail_out?ua:va):a.last_lit&&(h(a,!1),0===a.strm.avail_out)?sa:ta}function r(a,b){for(var c;;){if(0===a.lookahead&&(m(a),0===a.lookahead)){if(b===H)return sa;break}if(a.match_length=0,c=D._tr_tally(a,0,a.window[a.strstart]),a.lookahead--,a.strstart++,c&&(h(a,!1),0===a.strm.avail_out))return sa}return a.insert=0,b===K?(h(a,!0),0===a.strm.avail_out?ua:va):a.last_lit&&(h(a,!1),0===a.strm.avail_out)?sa:ta}function s(a){a.window_size=2*a.w_size,f(a.head),a.max_lazy_match=B[a.level].max_lazy,a.good_match=B[a.level].good_length,a.nice_match=B[a.level].nice_length,a.max_chain_length=B[a.level].max_chain,a.strstart=0,a.block_start=0,a.lookahead=0,a.insert=0,a.match_length=a.prev_length=ha-1,a.match_available=0,a.ins_h=0}function t(){this.strm=null,this.status=0,this.pending_buf=null,this.pending_buf_size=0,this.pending_out=0,this.pending=0,this.wrap=0,this.gzhead=null,this.gzindex=0,this.method=Y,this.last_flush=-1,this.w_size=0,this.w_bits=0,this.w_mask=0,this.window=null,this.window_size=0,this.prev=null,this.head=null,this.ins_h=0,this.hash_size=0,this.hash_bits=0,this.hash_mask=0,this.hash_shift=0,this.block_start=0,this.match_length=0,this.prev_match=0,this.match_available=0,this.strstart=0,this.match_start=0,this.lookahead=0,this.prev_length=0,this.max_chain_length=0,this.max_lazy_match=0,this.level=0,this.strategy=0,this.good_match=0,this.nice_match=0,this.dyn_ltree=new C.Buf16(2*fa),this.dyn_dtree=new C.Buf16(2*(2*da+1)),this.bl_tree=new C.Buf16(2*(2*ea+1)),f(this.dyn_ltree),f(this.dyn_dtree),f(this.bl_tree),this.l_desc=null,this.d_desc=null,this.bl_desc=null,this.bl_count=new C.Buf16(ga+1),this.heap=new C.Buf16(2*ca+1),f(this.heap),this.heap_len=0,this.heap_max=0,this.depth=new C.Buf16(2*ca+1),f(this.depth),this.l_buf=0,this.lit_bufsize=0,this.last_lit=0,this.d_buf=0,this.opt_len=0,this.static_len=0,this.matches=0,this.insert=0,this.bi_buf=0,this.bi_valid=0}function u(a){var b;return a&&a.state?(a.total_in=a.total_out=0,a.data_type=X,b=a.state,b.pending=0,b.pending_out=0,b.wrap<0&&(b.wrap=-b.wrap),b.status=b.wrap?la:qa,a.adler=2===b.wrap?0:1,b.last_flush=H,D._tr_init(b),M):d(a,O)}function v(a){var b=u(a);return b===M&&s(a.state),b}function w(a,b){return a&&a.state?2!==a.state.wrap?O:(a.state.gzhead=b,M):O}function x(a,b,c,e,f,g){if(!a)return O;var h=1;if(b===R&&(b=6),0>e?(h=0,e=-e):e>15&&(h=2,e-=16),1>f||f>Z||c!==Y||8>e||e>15||0>b||b>9||0>g||g>V)return d(a,O);8===e&&(e=9);var i=new t;return a.state=i,i.strm=a,i.wrap=h,i.gzhead=null,i.w_bits=e,i.w_size=1<>1,i.l_buf=3*i.lit_bufsize,i.level=b,i.strategy=g,i.method=c,v(a)}function y(a,b){return x(a,b,Y,$,_,W)}function z(a,b){var c,h,k,l;if(!a||!a.state||b>L||0>b)return a?d(a,O):O;if(h=a.state,!a.output||!a.input&&0!==a.avail_in||h.status===ra&&b!==K)return d(a,0===a.avail_out?Q:O);if(h.strm=a,c=h.last_flush,h.last_flush=b,h.status===la)if(2===h.wrap)a.adler=0,i(h,31),i(h,139),i(h,8),h.gzhead?(i(h,(h.gzhead.text?1:0)+(h.gzhead.hcrc?2:0)+(h.gzhead.extra?4:0)+(h.gzhead.name?8:0)+(h.gzhead.comment?16:0)),i(h,255&h.gzhead.time),i(h,h.gzhead.time>>8&255),i(h,h.gzhead.time>>16&255),i(h,h.gzhead.time>>24&255),i(h,9===h.level?2:h.strategy>=T||h.level<2?4:0),i(h,255&h.gzhead.os),h.gzhead.extra&&h.gzhead.extra.length&&(i(h,255&h.gzhead.extra.length),i(h,h.gzhead.extra.length>>8&255)),h.gzhead.hcrc&&(a.adler=F(a.adler,h.pending_buf,h.pending,0)),h.gzindex=0,h.status=ma):(i(h,0),i(h,0),i(h,0),i(h,0),i(h,0),i(h,9===h.level?2:h.strategy>=T||h.level<2?4:0),i(h,wa),h.status=qa);else{var m=Y+(h.w_bits-8<<4)<<8,n=-1;n=h.strategy>=T||h.level<2?0:h.level<6?1:6===h.level?2:3,m|=n<<6,0!==h.strstart&&(m|=ka),m+=31-m%31,h.status=qa,j(h,m),0!==h.strstart&&(j(h,a.adler>>>16),j(h,65535&a.adler)),a.adler=1}if(h.status===ma)if(h.gzhead.extra){for(k=h.pending;h.gzindex<(65535&h.gzhead.extra.length)&&(h.pending!==h.pending_buf_size||(h.gzhead.hcrc&&h.pending>k&&(a.adler=F(a.adler,h.pending_buf,h.pending-k,k)),g(a),k=h.pending,h.pending!==h.pending_buf_size));)i(h,255&h.gzhead.extra[h.gzindex]),h.gzindex++;h.gzhead.hcrc&&h.pending>k&&(a.adler=F(a.adler,h.pending_buf,h.pending-k,k)),h.gzindex===h.gzhead.extra.length&&(h.gzindex=0,h.status=na)}else h.status=na;if(h.status===na)if(h.gzhead.name){k=h.pending;do{if(h.pending===h.pending_buf_size&&(h.gzhead.hcrc&&h.pending>k&&(a.adler=F(a.adler,h.pending_buf,h.pending-k,k)),g(a),k=h.pending,h.pending===h.pending_buf_size)){l=1;break}l=h.gzindexk&&(a.adler=F(a.adler,h.pending_buf,h.pending-k,k)),0===l&&(h.gzindex=0,h.status=oa)}else h.status=oa;if(h.status===oa)if(h.gzhead.comment){k=h.pending;do{if(h.pending===h.pending_buf_size&&(h.gzhead.hcrc&&h.pending>k&&(a.adler=F(a.adler,h.pending_buf,h.pending-k,k)),g(a),k=h.pending,h.pending===h.pending_buf_size)){l=1;break}l=h.gzindexk&&(a.adler=F(a.adler,h.pending_buf,h.pending-k,k)),0===l&&(h.status=pa)}else h.status=pa;if(h.status===pa&&(h.gzhead.hcrc?(h.pending+2>h.pending_buf_size&&g(a),h.pending+2<=h.pending_buf_size&&(i(h,255&a.adler),i(h,a.adler>>8&255),a.adler=0,h.status=qa)):h.status=qa),0!==h.pending){if(g(a),0===a.avail_out)return h.last_flush=-1,M}else if(0===a.avail_in&&e(b)<=e(c)&&b!==K)return d(a,Q);if(h.status===ra&&0!==a.avail_in)return d(a,Q);if(0!==a.avail_in||0!==h.lookahead||b!==H&&h.status!==ra){var o=h.strategy===T?r(h,b):h.strategy===U?q(h,b):B[h.level].func(h,b);if(o!==ua&&o!==va||(h.status=ra),o===sa||o===ua)return 0===a.avail_out&&(h.last_flush=-1),M;if(o===ta&&(b===I?D._tr_align(h):b!==L&&(D._tr_stored_block(h,0,0,!1),b===J&&(f(h.head),0===h.lookahead&&(h.strstart=0,h.block_start=0,h.insert=0))),g(a),0===a.avail_out))return h.last_flush=-1,M}return b!==K?M:h.wrap<=0?N:(2===h.wrap?(i(h,255&a.adler),i(h,a.adler>>8&255),i(h,a.adler>>16&255),i(h,a.adler>>24&255),i(h,255&a.total_in),i(h,a.total_in>>8&255),i(h,a.total_in>>16&255),i(h,a.total_in>>24&255)):(j(h,a.adler>>>16),j(h,65535&a.adler)),g(a),h.wrap>0&&(h.wrap=-h.wrap),0!==h.pending?M:N)}function A(a){var b;return a&&a.state?(b=a.state.status,b!==la&&b!==ma&&b!==na&&b!==oa&&b!==pa&&b!==qa&&b!==ra?d(a,O):(a.state=null,b===qa?d(a,P):M)):O}var B,C=c(52),D=c(53),E=c(54),F=c(55),G=c(49),H=0,I=1,J=3,K=4,L=5,M=0,N=1,O=-2,P=-3,Q=-5,R=-1,S=1,T=2,U=3,V=4,W=0,X=2,Y=8,Z=9,$=15,_=8,aa=29,ba=256,ca=ba+1+aa,da=30,ea=19,fa=2*ca+1,ga=15,ha=3,ia=258,ja=ia+ha+1,ka=32,la=42,ma=69,na=73,oa=91,pa=103,qa=113,ra=666,sa=1,ta=2,ua=3,va=4,wa=3,xa=function(a,b,c,d,e){this.good_length=a,this.max_lazy=b,this.nice_length=c,this.max_chain=d,this.func=e};B=[new xa(0,0,0,0,n),new xa(4,4,8,4,o),new xa(4,5,16,8,o),new xa(4,6,32,32,o),new xa(4,4,16,16,p),new xa(8,16,32,32,p),new xa(8,16,128,128,p),new xa(8,32,128,256,p),new xa(32,128,258,1024,p),new xa(32,258,258,4096,p)],b.deflateInit=y,b.deflateInit2=x,b.deflateReset=v,b.deflateResetKeep=u,b.deflateSetHeader=w,b.deflate=z,b.deflateEnd=A,b.deflateInfo="pako deflate (from Nodeca project)"},function(a,b){"use strict";var c="undefined"!=typeof Uint8Array&&"undefined"!=typeof Uint16Array&&"undefined"!=typeof Int32Array;b.assign=function(a){for(var b=Array.prototype.slice.call(arguments,1);b.length;){var c=b.shift();if(c){if("object"!=typeof c)throw new TypeError(c+"must be non-object");for(var d in c)c.hasOwnProperty(d)&&(a[d]=c[d])}}return a},b.shrinkBuf=function(a,b){return a.length===b?a:a.subarray?a.subarray(0,b):(a.length=b,a)};var d={arraySet:function(a,b,c,d,e){if(b.subarray&&a.subarray)return void a.set(b.subarray(c,c+d),e);for(var f=0;d>f;f++)a[e+f]=b[c+f]},flattenChunks:function(a){var b,c,d,e,f,g;for(d=0,b=0,c=a.length;c>b;b++)d+=a[b].length;for(g=new Uint8Array(d),e=0,b=0,c=a.length;c>b;b++)f=a[b],g.set(f,e),e+=f.length;return g}},e={arraySet:function(a,b,c,d,e){for(var f=0;d>f;f++)a[e+f]=b[c+f]},flattenChunks:function(a){return[].concat.apply([],a)}};b.setTyped=function(a){a?(b.Buf8=Uint8Array,b.Buf16=Uint16Array,b.Buf32=Int32Array,b.assign(b,d)):(b.Buf8=Array,b.Buf16=Array,b.Buf32=Array,b.assign(b,e))},b.setTyped(c)},function(a,b,c){"use strict";function d(a){for(var b=a.length;--b>=0;)a[b]=0}function e(a){return 256>a?ga[a]:ga[256+(a>>>7)]}function f(a,b){a.pending_buf[a.pending++]=255&b,a.pending_buf[a.pending++]=b>>>8&255}function g(a,b,c){a.bi_valid>V-c?(a.bi_buf|=b<>V-a.bi_valid,a.bi_valid+=c-V):(a.bi_buf|=b<>>=1,c<<=1;while(--b>0);return c>>>1}function j(a){16===a.bi_valid?(f(a,a.bi_buf),a.bi_buf=0,a.bi_valid=0):a.bi_valid>=8&&(a.pending_buf[a.pending++]=255&a.bi_buf,a.bi_buf>>=8,a.bi_valid-=8)}function k(a,b){var c,d,e,f,g,h,i=b.dyn_tree,j=b.max_code,k=b.stat_desc.static_tree,l=b.stat_desc.has_stree,m=b.stat_desc.extra_bits,n=b.stat_desc.extra_base,o=b.stat_desc.max_length,p=0;for(f=0;U>=f;f++)a.bl_count[f]=0;for(i[2*a.heap[a.heap_max]+1]=0,c=a.heap_max+1;T>c;c++)d=a.heap[c],f=i[2*i[2*d+1]+1]+1,f>o&&(f=o,p++),i[2*d+1]=f,d>j||(a.bl_count[f]++,g=0,d>=n&&(g=m[d-n]),h=i[2*d],a.opt_len+=h*(f+g),l&&(a.static_len+=h*(k[2*d+1]+g)));if(0!==p){do{for(f=o-1;0===a.bl_count[f];)f--;a.bl_count[f]--,a.bl_count[f+1]+=2,a.bl_count[o]--,p-=2}while(p>0);for(f=o;0!==f;f--)for(d=a.bl_count[f];0!==d;)e=a.heap[--c],e>j||(i[2*e+1]!==f&&(a.opt_len+=(f-i[2*e+1])*i[2*e],i[2*e+1]=f),d--)}}function l(a,b,c){var d,e,f=new Array(U+1),g=0;for(d=1;U>=d;d++)f[d]=g=g+c[d-1]<<1;for(e=0;b>=e;e++){var h=a[2*e+1];0!==h&&(a[2*e]=i(f[h]++,h))}}function m(){var a,b,c,d,e,f=new Array(U+1);for(c=0,d=0;O-1>d;d++)for(ia[d]=c,a=0;a<1<<_[d];a++)ha[c++]=d;for(ha[c-1]=d,e=0,d=0;16>d;d++)for(ja[d]=e,a=0;a<1<>=7;R>d;d++)for(ja[d]=e<<7,a=0;a<1<=b;b++)f[b]=0;for(a=0;143>=a;)ea[2*a+1]=8,a++,f[8]++;for(;255>=a;)ea[2*a+1]=9,a++,f[9]++;for(;279>=a;)ea[2*a+1]=7,a++,f[7]++;for(;287>=a;)ea[2*a+1]=8,a++,f[8]++;for(l(ea,Q+1,f),a=0;R>a;a++)fa[2*a+1]=5,fa[2*a]=i(a,5);ka=new na(ea,_,P+1,Q,U),la=new na(fa,aa,0,R,U),ma=new na(new Array(0),ba,0,S,W)}function n(a){var b;for(b=0;Q>b;b++)a.dyn_ltree[2*b]=0;for(b=0;R>b;b++)a.dyn_dtree[2*b]=0;for(b=0;S>b;b++)a.bl_tree[2*b]=0;a.dyn_ltree[2*X]=1,a.opt_len=a.static_len=0,a.last_lit=a.matches=0}function o(a){a.bi_valid>8?f(a,a.bi_buf):a.bi_valid>0&&(a.pending_buf[a.pending++]=a.bi_buf),a.bi_buf=0,a.bi_valid=0}function p(a,b,c,d){o(a),d&&(f(a,c),f(a,~c)),E.arraySet(a.pending_buf,a.window,b,c,a.pending),a.pending+=c}function q(a,b,c,d){var e=2*b,f=2*c;return a[e]c;c++)0!==f[2*c]?(a.heap[++a.heap_len]=j=c,a.depth[c]=0):f[2*c+1]=0;for(;a.heap_len<2;)e=a.heap[++a.heap_len]=2>j?++j:0,f[2*e]=1,a.depth[e]=0,a.opt_len--,h&&(a.static_len-=g[2*e+1]);for(b.max_code=j,c=a.heap_len>>1;c>=1;c--)r(a,f,c);e=i;do c=a.heap[1],a.heap[1]=a.heap[a.heap_len--],r(a,f,1),d=a.heap[1],a.heap[--a.heap_max]=c,a.heap[--a.heap_max]=d,f[2*e]=f[2*c]+f[2*d],a.depth[e]=(a.depth[c]>=a.depth[d]?a.depth[c]:a.depth[d])+1,f[2*c+1]=f[2*d+1]=e,a.heap[1]=e++,r(a,f,1);while(a.heap_len>=2);a.heap[--a.heap_max]=a.heap[1],k(a,b),l(f,j,a.bl_count)}function u(a,b,c){var d,e,f=-1,g=b[1],h=0,i=7,j=4;for(0===g&&(i=138,j=3),b[2*(c+1)+1]=65535,d=0;c>=d;d++)e=g,g=b[2*(d+1)+1],++hh?a.bl_tree[2*e]+=h:0!==e?(e!==f&&a.bl_tree[2*e]++,a.bl_tree[2*Y]++):10>=h?a.bl_tree[2*Z]++:a.bl_tree[2*$]++,h=0,f=e,0===g?(i=138,j=3):e===g?(i=6,j=3):(i=7,j=4))}function v(a,b,c){var d,e,f=-1,i=b[1],j=0,k=7,l=4;for(0===i&&(k=138,l=3),d=0;c>=d;d++)if(e=i,i=b[2*(d+1)+1],!(++jj){do h(a,e,a.bl_tree);while(0!==--j)}else 0!==e?(e!==f&&(h(a,e,a.bl_tree),j--),h(a,Y,a.bl_tree),g(a,j-3,2)):10>=j?(h(a,Z,a.bl_tree),g(a,j-3,3)):(h(a,$,a.bl_tree),g(a,j-11,7));j=0,f=e,0===i?(k=138,l=3):e===i?(k=6,l=3):(k=7,l=4)}}function w(a){var b;for(u(a,a.dyn_ltree,a.l_desc.max_code),u(a,a.dyn_dtree,a.d_desc.max_code),t(a,a.bl_desc),b=S-1;b>=3&&0===a.bl_tree[2*ca[b]+1];b--);return a.opt_len+=3*(b+1)+5+5+4,b}function x(a,b,c,d){var e;for(g(a,b-257,5),g(a,c-1,5),g(a,d-4,4),e=0;d>e;e++)g(a,a.bl_tree[2*ca[e]+1],3);v(a,a.dyn_ltree,b-1),v(a,a.dyn_dtree,c-1)}function y(a){var b,c=4093624447;for(b=0;31>=b;b++,c>>>=1)if(1&c&&0!==a.dyn_ltree[2*b])return G;if(0!==a.dyn_ltree[18]||0!==a.dyn_ltree[20]||0!==a.dyn_ltree[26])return H;for(b=32;P>b;b++)if(0!==a.dyn_ltree[2*b])return H;return G}function z(a){pa||(m(),pa=!0),a.l_desc=new oa(a.dyn_ltree,ka),a.d_desc=new oa(a.dyn_dtree,la),a.bl_desc=new oa(a.bl_tree,ma),a.bi_buf=0,a.bi_valid=0,n(a)}function A(a,b,c,d){g(a,(J<<1)+(d?1:0),3),p(a,b,c,!0)}function B(a){g(a,K<<1,3),h(a,X,ea),j(a)}function C(a,b,c,d){var e,f,h=0;a.level>0?(a.strm.data_type===I&&(a.strm.data_type=y(a)),t(a,a.l_desc),t(a,a.d_desc),h=w(a),e=a.opt_len+3+7>>>3,f=a.static_len+3+7>>>3,e>=f&&(e=f)):e=f=c+5,e>=c+4&&-1!==b?A(a,b,c,d):a.strategy===F||f===e?(g(a,(K<<1)+(d?1:0),3),s(a,ea,fa)):(g(a,(L<<1)+(d?1:0),3),x(a,a.l_desc.max_code+1,a.d_desc.max_code+1,h+1),s(a,a.dyn_ltree,a.dyn_dtree)),n(a),d&&o(a)}function D(a,b,c){return a.pending_buf[a.d_buf+2*a.last_lit]=b>>>8&255,a.pending_buf[a.d_buf+2*a.last_lit+1]=255&b,a.pending_buf[a.l_buf+a.last_lit]=255&c,a.last_lit++,0===b?a.dyn_ltree[2*c]++:(a.matches++,b--,a.dyn_ltree[2*(ha[c]+P+1)]++,a.dyn_dtree[2*e(b)]++),a.last_lit===a.lit_bufsize-1}var E=c(52),F=4,G=0,H=1,I=2,J=0,K=1,L=2,M=3,N=258,O=29,P=256,Q=P+1+O,R=30,S=19,T=2*Q+1,U=15,V=16,W=7,X=256,Y=16,Z=17,$=18,_=[0,0,0,0,0,0,0,0,1,1,1,1,2,2,2,2,3,3,3,3,4,4,4,4,5,5,5,5,0],aa=[0,0,0,0,1,1,2,2,3,3,4,4,5,5,6,6,7,7,8,8,9,9,10,10,11,11,12,12,13,13],ba=[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,2,3,7],ca=[16,17,18,0,8,7,9,6,10,5,11,4,12,3,13,2,14,1,15],da=512,ea=new Array(2*(Q+2));d(ea);var fa=new Array(2*R);d(fa);var ga=new Array(da);d(ga);var ha=new Array(N-M+1);d(ha);var ia=new Array(O);d(ia);var ja=new Array(R);d(ja);var ka,la,ma,na=function(a,b,c,d,e){this.static_tree=a,this.extra_bits=b,this.extra_base=c,this.elems=d,this.max_length=e,this.has_stree=a&&a.length},oa=function(a,b){this.dyn_tree=a,this.max_code=0,this.stat_desc=b},pa=!1;b._tr_init=z,b._tr_stored_block=A,b._tr_flush_block=C,b._tr_tally=D,b._tr_align=B},function(a,b){"use strict";function c(a,b,c,d){for(var e=65535&a|0,f=a>>>16&65535|0,g=0;0!==c;){g=c>2e3?2e3:c,c-=g;do e=e+b[d++]|0,f=f+e|0;while(--g);e%=65521,f%=65521}return e|f<<16|0}a.exports=c},function(a,b){"use strict";function c(){for(var a,b=[],c=0;256>c;c++){a=c;for(var d=0;8>d;d++)a=1&a?3988292384^a>>>1:a>>>1;b[c]=a}return b}function d(a,b,c,d){var f=e,g=d+c;a=-1^a;for(var h=d;g>h;h++)a=a>>>8^f[255&(a^b[h])];return-1^a}var e=c();a.exports=d},function(a,b,c){"use strict";function d(a){return(a>>>24&255)+(a>>>8&65280)+((65280&a)<<8)+((255&a)<<24)}function e(){this.mode=0,this.last=!1,this.wrap=0,this.havedict=!1,this.flags=0,this.dmax=0,this.check=0,this.total=0,this.head=null,this.wbits=0,this.wsize=0,this.whave=0,this.wnext=0,this.window=null,this.hold=0,this.bits=0,this.length=0,this.offset=0,this.extra=0,this.lencode=null,this.distcode=null,this.lenbits=0,this.distbits=0,this.ncode=0,this.nlen=0,this.ndist=0,this.have=0,this.next=null,this.lens=new r.Buf16(320),this.work=new r.Buf16(288),this.lendyn=null,this.distdyn=null,this.sane=0,this.back=0,this.was=0}function f(a){var b;return a&&a.state?(b=a.state,a.total_in=a.total_out=b.total=0,a.msg="",b.wrap&&(a.adler=1&b.wrap),b.mode=K,b.last=0, -b.havedict=0,b.dmax=32768,b.head=null,b.hold=0,b.bits=0,b.lencode=b.lendyn=new r.Buf32(oa),b.distcode=b.distdyn=new r.Buf32(pa),b.sane=1,b.back=-1,C):F}function g(a){var b;return a&&a.state?(b=a.state,b.wsize=0,b.whave=0,b.wnext=0,f(a)):F}function h(a,b){var c,d;return a&&a.state?(d=a.state,0>b?(c=0,b=-b):(c=(b>>4)+1,48>b&&(b&=15)),b&&(8>b||b>15)?F:(null!==d.window&&d.wbits!==b&&(d.window=null),d.wrap=c,d.wbits=b,g(a))):F}function i(a,b){var c,d;return a?(d=new e,a.state=d,d.window=null,c=h(a,b),c!==C&&(a.state=null),c):F}function j(a){return i(a,ra)}function k(a){if(sa){var b;for(p=new r.Buf32(512),q=new r.Buf32(32),b=0;144>b;)a.lens[b++]=8;for(;256>b;)a.lens[b++]=9;for(;280>b;)a.lens[b++]=7;for(;288>b;)a.lens[b++]=8;for(v(x,a.lens,0,288,p,0,a.work,{bits:9}),b=0;32>b;)a.lens[b++]=5;v(y,a.lens,0,32,q,0,a.work,{bits:5}),sa=!1}a.lencode=p,a.lenbits=9,a.distcode=q,a.distbits=5}function l(a,b,c,d){var e,f=a.state;return null===f.window&&(f.wsize=1<=f.wsize?(r.arraySet(f.window,b,c-f.wsize,f.wsize,0),f.wnext=0,f.whave=f.wsize):(e=f.wsize-f.wnext,e>d&&(e=d),r.arraySet(f.window,b,c-d,e,f.wnext),d-=e,d?(r.arraySet(f.window,b,c-d,d,0),f.wnext=d,f.whave=f.wsize):(f.wnext+=e,f.wnext===f.wsize&&(f.wnext=0),f.whaven;){if(0===i)break a;i--,m+=e[g++]<>>8&255,c.check=t(c.check,Ba,2,0),m=0,n=0,c.mode=L;break}if(c.flags=0,c.head&&(c.head.done=!1),!(1&c.wrap)||(((255&m)<<8)+(m>>8))%31){a.msg="incorrect header check",c.mode=la;break}if((15&m)!==J){a.msg="unknown compression method",c.mode=la;break}if(m>>>=4,n-=4,wa=(15&m)+8,0===c.wbits)c.wbits=wa;else if(wa>c.wbits){a.msg="invalid window size",c.mode=la;break}c.dmax=1<n;){if(0===i)break a;i--,m+=e[g++]<>8&1),512&c.flags&&(Ba[0]=255&m,Ba[1]=m>>>8&255,c.check=t(c.check,Ba,2,0)),m=0,n=0,c.mode=M;case M:for(;32>n;){if(0===i)break a;i--,m+=e[g++]<>>8&255,Ba[2]=m>>>16&255,Ba[3]=m>>>24&255,c.check=t(c.check,Ba,4,0)),m=0,n=0,c.mode=N;case N:for(;16>n;){if(0===i)break a;i--,m+=e[g++]<>8),512&c.flags&&(Ba[0]=255&m,Ba[1]=m>>>8&255,c.check=t(c.check,Ba,2,0)),m=0,n=0,c.mode=O;case O:if(1024&c.flags){for(;16>n;){if(0===i)break a;i--,m+=e[g++]<>>8&255,c.check=t(c.check,Ba,2,0)),m=0,n=0}else c.head&&(c.head.extra=null);c.mode=P;case P:if(1024&c.flags&&(q=c.length,q>i&&(q=i),q&&(c.head&&(wa=c.head.extra_len-c.length,c.head.extra||(c.head.extra=new Array(c.head.extra_len)),r.arraySet(c.head.extra,e,g,q,wa)),512&c.flags&&(c.check=t(c.check,e,q,g)),i-=q,g+=q,c.length-=q),c.length))break a;c.length=0,c.mode=Q;case Q:if(2048&c.flags){if(0===i)break a;q=0;do wa=e[g+q++],c.head&&wa&&c.length<65536&&(c.head.name+=String.fromCharCode(wa));while(wa&&i>q);if(512&c.flags&&(c.check=t(c.check,e,q,g)),i-=q,g+=q,wa)break a}else c.head&&(c.head.name=null);c.length=0,c.mode=R;case R:if(4096&c.flags){if(0===i)break a;q=0;do wa=e[g+q++],c.head&&wa&&c.length<65536&&(c.head.comment+=String.fromCharCode(wa));while(wa&&i>q);if(512&c.flags&&(c.check=t(c.check,e,q,g)),i-=q,g+=q,wa)break a}else c.head&&(c.head.comment=null);c.mode=S;case S:if(512&c.flags){for(;16>n;){if(0===i)break a;i--,m+=e[g++]<>9&1,c.head.done=!0),a.adler=c.check=0,c.mode=V;break;case T:for(;32>n;){if(0===i)break a;i--,m+=e[g++]<>>=7&n,n-=7&n,c.mode=ia;break}for(;3>n;){if(0===i)break a;i--,m+=e[g++]<>>=1,n-=1,3&m){case 0:c.mode=X;break;case 1:if(k(c),c.mode=ba,b===B){m>>>=2,n-=2;break a}break;case 2:c.mode=$;break;case 3:a.msg="invalid block type",c.mode=la}m>>>=2,n-=2;break;case X:for(m>>>=7&n,n-=7&n;32>n;){if(0===i)break a;i--,m+=e[g++]<>>16^65535)){a.msg="invalid stored block lengths",c.mode=la;break}if(c.length=65535&m,m=0,n=0,c.mode=Y,b===B)break a;case Y:c.mode=Z;case Z:if(q=c.length){if(q>i&&(q=i),q>j&&(q=j),0===q)break a;r.arraySet(f,e,g,q,h),i-=q,g+=q,j-=q,h+=q,c.length-=q;break}c.mode=V;break;case $:for(;14>n;){if(0===i)break a;i--,m+=e[g++]<>>=5,n-=5,c.ndist=(31&m)+1,m>>>=5,n-=5,c.ncode=(15&m)+4,m>>>=4,n-=4,c.nlen>286||c.ndist>30){a.msg="too many length or distance symbols",c.mode=la;break}c.have=0,c.mode=_;case _:for(;c.haven;){if(0===i)break a;i--,m+=e[g++]<>>=3,n-=3}for(;c.have<19;)c.lens[Ca[c.have++]]=0;if(c.lencode=c.lendyn,c.lenbits=7,ya={bits:c.lenbits},xa=v(w,c.lens,0,19,c.lencode,0,c.work,ya),c.lenbits=ya.bits,xa){a.msg="invalid code lengths set",c.mode=la;break}c.have=0,c.mode=aa;case aa:for(;c.have>>24,ra=Aa>>>16&255,sa=65535&Aa,!(n>=qa);){if(0===i)break a;i--,m+=e[g++]<sa)m>>>=qa,n-=qa,c.lens[c.have++]=sa;else{if(16===sa){for(za=qa+2;za>n;){if(0===i)break a;i--,m+=e[g++]<>>=qa,n-=qa,0===c.have){a.msg="invalid bit length repeat",c.mode=la;break}wa=c.lens[c.have-1],q=3+(3&m),m>>>=2,n-=2}else if(17===sa){for(za=qa+3;za>n;){if(0===i)break a;i--,m+=e[g++]<>>=qa,n-=qa,wa=0,q=3+(7&m),m>>>=3,n-=3}else{for(za=qa+7;za>n;){if(0===i)break a;i--,m+=e[g++]<>>=qa,n-=qa,wa=0,q=11+(127&m),m>>>=7,n-=7}if(c.have+q>c.nlen+c.ndist){a.msg="invalid bit length repeat",c.mode=la;break}for(;q--;)c.lens[c.have++]=wa}}if(c.mode===la)break;if(0===c.lens[256]){a.msg="invalid code -- missing end-of-block",c.mode=la;break}if(c.lenbits=9,ya={bits:c.lenbits},xa=v(x,c.lens,0,c.nlen,c.lencode,0,c.work,ya),c.lenbits=ya.bits,xa){a.msg="invalid literal/lengths set",c.mode=la;break}if(c.distbits=6,c.distcode=c.distdyn,ya={bits:c.distbits},xa=v(y,c.lens,c.nlen,c.ndist,c.distcode,0,c.work,ya),c.distbits=ya.bits,xa){a.msg="invalid distances set",c.mode=la;break}if(c.mode=ba,b===B)break a;case ba:c.mode=ca;case ca:if(i>=6&&j>=258){a.next_out=h,a.avail_out=j,a.next_in=g,a.avail_in=i,c.hold=m,c.bits=n,u(a,p),h=a.next_out,f=a.output,j=a.avail_out,g=a.next_in,e=a.input,i=a.avail_in,m=c.hold,n=c.bits,c.mode===V&&(c.back=-1);break}for(c.back=0;Aa=c.lencode[m&(1<>>24,ra=Aa>>>16&255,sa=65535&Aa,!(n>=qa);){if(0===i)break a;i--,m+=e[g++]<>ta)],qa=Aa>>>24,ra=Aa>>>16&255,sa=65535&Aa,!(n>=ta+qa);){if(0===i)break a;i--,m+=e[g++]<>>=ta,n-=ta,c.back+=ta}if(m>>>=qa,n-=qa,c.back+=qa,c.length=sa,0===ra){c.mode=ha;break}if(32&ra){c.back=-1,c.mode=V;break}if(64&ra){a.msg="invalid literal/length code",c.mode=la;break}c.extra=15&ra,c.mode=da;case da:if(c.extra){for(za=c.extra;za>n;){if(0===i)break a;i--,m+=e[g++]<>>=c.extra,n-=c.extra,c.back+=c.extra}c.was=c.length,c.mode=ea;case ea:for(;Aa=c.distcode[m&(1<>>24,ra=Aa>>>16&255,sa=65535&Aa,!(n>=qa);){if(0===i)break a;i--,m+=e[g++]<>ta)],qa=Aa>>>24,ra=Aa>>>16&255,sa=65535&Aa,!(n>=ta+qa);){if(0===i)break a;i--,m+=e[g++]<>>=ta,n-=ta,c.back+=ta}if(m>>>=qa,n-=qa,c.back+=qa,64&ra){a.msg="invalid distance code",c.mode=la;break}c.offset=sa,c.extra=15&ra,c.mode=fa;case fa:if(c.extra){for(za=c.extra;za>n;){if(0===i)break a;i--,m+=e[g++]<>>=c.extra,n-=c.extra,c.back+=c.extra}if(c.offset>c.dmax){a.msg="invalid distance too far back",c.mode=la;break}c.mode=ga;case ga:if(0===j)break a;if(q=p-j,c.offset>q){if(q=c.offset-q,q>c.whave&&c.sane){a.msg="invalid distance too far back",c.mode=la;break}q>c.wnext?(q-=c.wnext,oa=c.wsize-q):oa=c.wnext-q,q>c.length&&(q=c.length),pa=c.window}else pa=f,oa=h-c.offset,q=c.length;q>j&&(q=j),j-=q,c.length-=q;do f[h++]=pa[oa++];while(--q);0===c.length&&(c.mode=ca);break;case ha:if(0===j)break a;f[h++]=c.length,j--,c.mode=ca;break;case ia:if(c.wrap){for(;32>n;){if(0===i)break a;i--,m|=e[g++]<n;){if(0===i)break a;i--,m+=e[g++]<q&&(p+=B[f++]<>>24,p>>>=w,q-=w,w=v>>>16&255,0===w)C[h++]=65535&v;else{if(!(16&w)){if(0===(64&w)){v=r[(65535&v)+(p&(1<q&&(p+=B[f++]<>>=w,q-=w),15>q&&(p+=B[f++]<>>24,p>>>=w,q-=w,w=v>>>16&255,!(16&w)){if(0===(64&w)){v=s[(65535&v)+(p&(1<q&&(p+=B[f++]<q&&(p+=B[f++]<k){a.msg="invalid distance too far back",e.mode=c;break a}if(p>>>=w,q-=w,w=h-i,y>w){if(w=y-w,w>m&&e.sane){a.msg="invalid distance too far back",e.mode=c;break a}if(z=0,A=o,0===n){if(z+=l-w,x>w){x-=w;do C[h++]=o[z++];while(--w);z=h-y,A=C}}else if(w>n){if(z+=l+n-w,w-=n,x>w){x-=w;do C[h++]=o[z++];while(--w);if(z=0,x>n){w=n,x-=w;do C[h++]=o[z++];while(--w);z=h-y,A=C}}}else if(z+=n-w,x>w){x-=w;do C[h++]=o[z++];while(--w);z=h-y,A=C}for(;x>2;)C[h++]=A[z++],C[h++]=A[z++],C[h++]=A[z++],x-=3;x&&(C[h++]=A[z++],x>1&&(C[h++]=A[z++]))}else{z=h-y;do C[h++]=C[z++],C[h++]=C[z++],C[h++]=C[z++],x-=3;while(x>2);x&&(C[h++]=C[z++],x>1&&(C[h++]=C[z++]))}break}}break}}while(g>f&&j>h);x=q>>3,f-=x,q-=x<<3,p&=(1<f?5+(g-f):5-(f-g),a.avail_out=j>h?257+(j-h):257-(h-j),e.hold=p,e.bits=q}},function(a,b,c){"use strict";var d=c(52),e=15,f=852,g=592,h=0,i=1,j=2,k=[3,4,5,6,7,8,9,10,11,13,15,17,19,23,27,31,35,43,51,59,67,83,99,115,131,163,195,227,258,0,0],l=[16,16,16,16,16,16,16,16,17,17,17,17,18,18,18,18,19,19,19,19,20,20,20,20,21,21,21,21,16,72,78],m=[1,2,3,4,5,7,9,13,17,25,33,49,65,97,129,193,257,385,513,769,1025,1537,2049,3073,4097,6145,8193,12289,16385,24577,0,0],n=[16,16,16,16,17,17,18,18,19,19,20,20,21,21,22,22,23,23,24,24,25,25,26,26,27,27,28,28,29,29,64,64];a.exports=function(a,b,c,o,p,q,r,s){var t,u,v,w,x,y,z,A,B,C=s.bits,D=0,E=0,F=0,G=0,H=0,I=0,J=0,K=0,L=0,M=0,N=null,O=0,P=new d.Buf16(e+1),Q=new d.Buf16(e+1),R=null,S=0;for(D=0;e>=D;D++)P[D]=0;for(E=0;o>E;E++)P[b[c+E]]++;for(H=C,G=e;G>=1&&0===P[G];G--);if(H>G&&(H=G),0===G)return p[q++]=20971520,p[q++]=20971520,s.bits=1,0;for(F=1;G>F&&0===P[F];F++);for(F>H&&(H=F),K=1,D=1;e>=D;D++)if(K<<=1,K-=P[D],0>K)return-1;if(K>0&&(a===h||1!==G))return-1;for(Q[1]=0,D=1;e>D;D++)Q[D+1]=Q[D]+P[D];for(E=0;o>E;E++)0!==b[c+E]&&(r[Q[b[c+E]]++]=E);if(a===h?(N=R=r,y=19):a===i?(N=k,O-=257,R=l,S-=257,y=256):(N=m,R=n,y=-1),M=0,E=0,D=F,x=q,I=H,J=0,v=-1,L=1<f||a===j&&L>g)return 1;for(var T=0;;){T++,z=D-J,r[E]y?(A=R[S+r[E]],B=N[O+r[E]]):(A=96,B=0),t=1<>J)+u]=z<<24|A<<16|B|0;while(0!==u);for(t=1<>=1;if(0!==t?(M&=t-1,M+=t):M=0,E++,0===--P[D]){if(D===G)break;D=b[c+r[E]]}if(D>H&&(M&w)!==v){for(0===J&&(J=H),x+=F,I=D-J,K=1<I+J&&(K-=P[I+J],!(0>=K));)I++,K<<=1;if(L+=1<f||a===j&&L>g)return 1;v=M&w,p[v]=H<<24|I<<16|x-q|0}}return 0!==M&&(p[x+M]=D-J<<24|64<<16|0),s.bits=H,0}},function(a,b){a.exports={Z_NO_FLUSH:0,Z_PARTIAL_FLUSH:1,Z_SYNC_FLUSH:2,Z_FULL_FLUSH:3,Z_FINISH:4,Z_BLOCK:5,Z_TREES:6,Z_OK:0,Z_STREAM_END:1,Z_NEED_DICT:2,Z_ERRNO:-1,Z_STREAM_ERROR:-2,Z_DATA_ERROR:-3,Z_BUF_ERROR:-5,Z_NO_COMPRESSION:0,Z_BEST_SPEED:1,Z_BEST_COMPRESSION:9,Z_DEFAULT_COMPRESSION:-1,Z_FILTERED:1,Z_HUFFMAN_ONLY:2,Z_RLE:3,Z_FIXED:4,Z_DEFAULT_STRATEGY:0,Z_BINARY:0,Z_TEXT:1,Z_UNKNOWN:2,Z_DEFLATED:8}},function(a,b,c){(function(a,d){function e(a,c){var d={seen:[],stylize:g};return arguments.length>=3&&(d.depth=arguments[2]),arguments.length>=4&&(d.colors=arguments[3]),p(c)?d.showHidden=c:c&&b._extend(d,c),v(d.showHidden)&&(d.showHidden=!1),v(d.depth)&&(d.depth=2),v(d.colors)&&(d.colors=!1),v(d.customInspect)&&(d.customInspect=!0),d.colors&&(d.stylize=f),i(d,a,d.depth)}function f(a,b){var c=e.styles[b];return c?"["+e.colors[c][0]+"m"+a+"["+e.colors[c][1]+"m":a}function g(a,b){return a}function h(a){var b={};return a.forEach(function(a,c){b[a]=!0}),b}function i(a,c,d){if(a.customInspect&&c&&A(c.inspect)&&c.inspect!==b.inspect&&(!c.constructor||c.constructor.prototype!==c)){var e=c.inspect(d,a);return t(e)||(e=i(a,e,d)),e}var f=j(a,c);if(f)return f;var g=Object.keys(c),p=h(g);if(a.showHidden&&(g=Object.getOwnPropertyNames(c)),z(c)&&(g.indexOf("message")>=0||g.indexOf("description")>=0))return k(c);if(0===g.length){if(A(c)){var q=c.name?": "+c.name:"";return a.stylize("[Function"+q+"]","special")}if(w(c))return a.stylize(RegExp.prototype.toString.call(c),"regexp");if(y(c))return a.stylize(Date.prototype.toString.call(c),"date");if(z(c))return k(c)}var r="",s=!1,u=["{","}"];if(o(c)&&(s=!0,u=["[","]"]),A(c)){var v=c.name?": "+c.name:"";r=" [Function"+v+"]"}if(w(c)&&(r=" "+RegExp.prototype.toString.call(c)),y(c)&&(r=" "+Date.prototype.toUTCString.call(c)),z(c)&&(r=" "+k(c)),0===g.length&&(!s||0==c.length))return u[0]+r+u[1];if(0>d)return w(c)?a.stylize(RegExp.prototype.toString.call(c),"regexp"):a.stylize("[Object]","special");a.seen.push(c);var x;return x=s?l(a,c,d,p,g):g.map(function(b){return m(a,c,d,p,b,s)}),a.seen.pop(),n(x,r,u)}function j(a,b){if(v(b))return a.stylize("undefined","undefined");if(t(b)){var c="'"+JSON.stringify(b).replace(/^"|"$/g,"").replace(/'/g,"\\'").replace(/\\"/g,'"')+"'";return a.stylize(c,"string")}return s(b)?a.stylize(""+b,"number"):p(b)?a.stylize(""+b,"boolean"):q(b)?a.stylize("null","null"):void 0}function k(a){return"["+Error.prototype.toString.call(a)+"]"}function l(a,b,c,d,e){for(var f=[],g=0,h=b.length;h>g;++g)F(b,String(g))?f.push(m(a,b,c,d,String(g),!0)):f.push("");return e.forEach(function(e){e.match(/^\d+$/)||f.push(m(a,b,c,d,e,!0))}),f}function m(a,b,c,d,e,f){var g,h,j;if(j=Object.getOwnPropertyDescriptor(b,e)||{value:b[e]},j.get?h=j.set?a.stylize("[Getter/Setter]","special"):a.stylize("[Getter]","special"):j.set&&(h=a.stylize("[Setter]","special")),F(d,e)||(g="["+e+"]"),h||(a.seen.indexOf(j.value)<0?(h=q(c)?i(a,j.value,null):i(a,j.value,c-1),h.indexOf("\n")>-1&&(h=f?h.split("\n").map(function(a){return" "+a}).join("\n").substr(2):"\n"+h.split("\n").map(function(a){return" "+a}).join("\n"))):h=a.stylize("[Circular]","special")),v(g)){if(f&&e.match(/^\d+$/))return h;g=JSON.stringify(""+e),g.match(/^"([a-zA-Z_][a-zA-Z_0-9]*)"$/)?(g=g.substr(1,g.length-2),g=a.stylize(g,"name")):(g=g.replace(/'/g,"\\'").replace(/\\"/g,'"').replace(/(^"|"$)/g,"'"),g=a.stylize(g,"string"))}return g+": "+h}function n(a,b,c){var d=0,e=a.reduce(function(a,b){return d++,b.indexOf("\n")>=0&&d++,a+b.replace(/\u001b\[\d\d?m/g,"").length+1},0);return e>60?c[0]+(""===b?"":b+"\n ")+" "+a.join(",\n ")+" "+c[1]:c[0]+b+" "+a.join(", ")+" "+c[1]}function o(a){return Array.isArray(a)}function p(a){return"boolean"==typeof a}function q(a){return null===a}function r(a){return null==a}function s(a){return"number"==typeof a}function t(a){return"string"==typeof a}function u(a){return"symbol"==typeof a}function v(a){return void 0===a}function w(a){return x(a)&&"[object RegExp]"===C(a)}function x(a){return"object"==typeof a&&null!==a}function y(a){return x(a)&&"[object Date]"===C(a)}function z(a){return x(a)&&("[object Error]"===C(a)||a instanceof Error)}function A(a){return"function"==typeof a}function B(a){return null===a||"boolean"==typeof a||"number"==typeof a||"string"==typeof a||"symbol"==typeof a||"undefined"==typeof a}function C(a){return Object.prototype.toString.call(a)}function D(a){return 10>a?"0"+a.toString(10):a.toString(10)}function E(){var a=new Date,b=[D(a.getHours()),D(a.getMinutes()),D(a.getSeconds())].join(":");return[a.getDate(),J[a.getMonth()],b].join(" ")}function F(a,b){return Object.prototype.hasOwnProperty.call(a,b)}var G=/%[sdj%]/g;b.format=function(a){if(!t(a)){for(var b=[],c=0;c=f)return a;switch(a){case"%s":return String(d[c++]);case"%d":return Number(d[c++]);case"%j":try{return JSON.stringify(d[c++])}catch(b){return"[Circular]"}default:return a}}),h=d[c];f>c;h=d[++c])g+=q(h)||!x(h)?" "+h:" "+e(h);return g},b.deprecate=function(c,e){function f(){if(!g){if(d.throwDeprecation)throw new Error(e);d.traceDeprecation?console.trace(e):console.error(e),g=!0}return c.apply(this,arguments)}if(v(a.process))return function(){return b.deprecate(c,e).apply(this,arguments)};if(d.noDeprecation===!0)return c;var g=!1;return f};var H,I={};b.debuglog=function(a){if(v(H)&&(H=d.env.NODE_DEBUG||""),a=a.toUpperCase(),!I[a])if(new RegExp("\\b"+a+"\\b","i").test(H)){var c=d.pid;I[a]=function(){var d=b.format.apply(b,arguments);console.error("%s %d: %s",a,c,d)}}else I[a]=function(){};return I[a]},b.inspect=e,e.colors={bold:[1,22],italic:[3,23],underline:[4,24],inverse:[7,27],white:[37,39],grey:[90,39],black:[30,39],blue:[34,39],cyan:[36,39],green:[32,39],magenta:[35,39],red:[31,39],yellow:[33,39]},e.styles={special:"cyan",number:"yellow","boolean":"yellow",undefined:"grey","null":"bold",string:"green",date:"magenta",regexp:"red"},b.isArray=o,b.isBoolean=p,b.isNull=q,b.isNullOrUndefined=r,b.isNumber=s,b.isString=t,b.isSymbol=u,b.isUndefined=v,b.isRegExp=w,b.isObject=x,b.isDate=y,b.isError=z,b.isFunction=A,b.isPrimitive=B,b.isBuffer=c(61);var J=["Jan","Feb","Mar","Apr","May","Jun","Jul","Aug","Sep","Oct","Nov","Dec"];b.log=function(){console.log("%s - %s",E(),b.format.apply(b,arguments))},b.inherits=c(62),b._extend=function(a,b){if(!b||!x(b))return a;for(var c=Object.keys(b),d=c.length;d--;)a[c[d]]=b[c[d]];return a}}).call(b,function(){return this}(),c(30))},function(a,b){a.exports=function(a){return a&&"object"==typeof a&&"function"==typeof a.copy&&"function"==typeof a.fill&&"function"==typeof a.readUInt8}},function(a,b){"function"==typeof Object.create?a.exports=function(a,b){a.super_=b,a.prototype=Object.create(b.prototype,{constructor:{value:a,enumerable:!1,writable:!0,configurable:!0}})}:a.exports=function(a,b){a.super_=b;var c=function(){};c.prototype=b.prototype,a.prototype=new c,a.prototype.constructor=a}},function(a,b,c){function d(a,b){return n.isUndefined(b)?""+b:n.isNumber(b)&&!isFinite(b)?b.toString():n.isFunction(b)||n.isRegExp(b)?b.toString():b}function e(a,b){return n.isString(a)?a.length=0;f--)if(g[f]!=h[f])return!1;for(f=g.length-1;f>=0;f--)if(e=g[f],!i(a[e],b[e]))return!1;return!0}function l(a,b){return a&&b?"[object RegExp]"==Object.prototype.toString.call(b)?b.test(a):a instanceof b?!0:b.call({},a)===!0:!1}function m(a,b,c,d){var e;n.isString(c)&&(d=c,c=null);try{b()}catch(f){e=f}if(d=(c&&c.name?" ("+c.name+").":".")+(d?" "+d:"."),a&&!e&&g(e,c,"Missing expected exception"+d),!a&&l(e,c)&&g(e,c,"Got unwanted exception"+d),a&&e&&c&&!l(e,c)||!a&&e)throw e}var n=c(60),o=Array.prototype.slice,p=Object.prototype.hasOwnProperty,q=a.exports=h;q.AssertionError=function(a){this.name="AssertionError",this.actual=a.actual,this.expected=a.expected,this.operator=a.operator,a.message?(this.message=a.message,this.generatedMessage=!1):(this.message=f(this),this.generatedMessage=!0);var b=a.stackStartFunction||g;if(Error.captureStackTrace)Error.captureStackTrace(this,b);else{var c=new Error;if(c.stack){var d=c.stack,e=b.name,h=d.indexOf("\n"+e);if(h>=0){var i=d.indexOf("\n",h+1);d=d.substring(i+1)}this.stack=d}}},n.inherits(q.AssertionError,Error),q.fail=g,q.ok=h,q.equal=function(a,b,c){a!=b&&g(a,b,c,"==",q.equal)},q.notEqual=function(a,b,c){a==b&&g(a,b,c,"!=",q.notEqual)},q.deepEqual=function(a,b,c){i(a,b)||g(a,b,c,"deepEqual",q.deepEqual)},q.notDeepEqual=function(a,b,c){i(a,b)&&g(a,b,c,"notDeepEqual",q.notDeepEqual)},q.strictEqual=function(a,b,c){a!==b&&g(a,b,c,"===",q.strictEqual)},q.notStrictEqual=function(a,b,c){a===b&&g(a,b,c,"!==",q.notStrictEqual)},q["throws"]=function(a,b,c){m.apply(this,[!0].concat(o.call(arguments)))},q.doesNotThrow=function(a,b){m.apply(this,[!1].concat(o.call(arguments)))},q.ifError=function(a){if(a)throw a};var r=Object.keys||function(a){var b=[];for(var c in a)p.call(a,c)&&b.push(c);return b}},function(a,b){(function(){var b;b=function(){function a(a,d){var e;this.document=a,null==d&&(d={}),this.size=d.size||"letter",this.layout=d.layout||"portrait","number"==typeof d.margin?this.margins={top:d.margin,left:d.margin,bottom:d.margin,right:d.margin}:this.margins=d.margins||b,e=Array.isArray(this.size)?this.size:c[this.size.toUpperCase()],this.width=e["portrait"===this.layout?0:1],this.height=e["portrait"===this.layout?1:0],this.content=this.document.ref(),this.resources=this.document.ref({ProcSet:["PDF","Text","ImageB","ImageC","ImageI"]}),Object.defineProperties(this,{fonts:{get:function(a){return function(){var b;return null!=(b=a.resources.data).Font?b.Font:b.Font={}}}(this)},xobjects:{get:function(a){return function(){var b;return null!=(b=a.resources.data).XObject?b.XObject:b.XObject={}}}(this)},ext_gstates:{get:function(a){return function(){var b;return null!=(b=a.resources.data).ExtGState?b.ExtGState:b.ExtGState={}}}(this)},patterns:{get:function(a){return function(){var b;return null!=(b=a.resources.data).Pattern?b.Pattern:b.Pattern={}}}(this)},annotations:{get:function(a){return function(){var b;return null!=(b=a.dictionary.data).Annots?b.Annots:b.Annots=[]}}(this)}}),this.dictionary=this.document.ref({Type:"Page",Parent:this.document._root.data.Pages,MediaBox:[0,0,this.width,this.height],Contents:this.content,Resources:this.resources})}var b,c;return a.prototype.maxY=function(){return this.height-this.margins.bottom},a.prototype.write=function(a){return this.content.write(a)},a.prototype.end=function(){return this.dictionary.end(),this.resources.end(),this.content.end()},b={top:72,left:72,bottom:72,right:72},c={"4A0":[4767.87,6740.79],"2A0":[3370.39,4767.87],A0:[2383.94,3370.39],A1:[1683.78,2383.94],A2:[1190.55,1683.78],A3:[841.89,1190.55],A4:[595.28,841.89],A5:[419.53,595.28],A6:[297.64,419.53],A7:[209.76,297.64],A8:[147.4,209.76],A9:[104.88,147.4],A10:[73.7,104.88],B0:[2834.65,4008.19],B1:[2004.09,2834.65],B2:[1417.32,2004.09],B3:[1000.63,1417.32],B4:[708.66,1000.63],B5:[498.9,708.66],B6:[354.33,498.9],B7:[249.45,354.33],B8:[175.75,249.45],B9:[124.72,175.75],B10:[87.87,124.72],C0:[2599.37,3676.54],C1:[1836.85,2599.37],C2:[1298.27,1836.85],C3:[918.43,1298.27],C4:[649.13,918.43],C5:[459.21,649.13],C6:[323.15,459.21],C7:[229.61,323.15],C8:[161.57,229.61],C9:[113.39,161.57],C10:[79.37,113.39],RA0:[2437.8,3458.27],RA1:[1729.13,2437.8],RA2:[1218.9,1729.13],RA3:[864.57,1218.9],RA4:[609.45,864.57],SRA0:[2551.18,3628.35],SRA1:[1814.17,2551.18],SRA2:[1275.59,1814.17],SRA3:[907.09,1275.59],SRA4:[637.8,907.09],EXECUTIVE:[521.86,756],FOLIO:[612,936],LEGAL:[612,1008],LETTER:[612,792],TABLOID:[792,1224]},a}(),a.exports=b}).call(this)},function(a,b,c){(function(){var b,d,e,f,g;g=c(66),b=g.PDFGradient,d=g.PDFLinearGradient,e=g.PDFRadialGradient,a.exports={initColor:function(){return this._opacityRegistry={},this._opacityCount=0,this._gradCount=0},_normalizeColor:function(a){var c,d;return a instanceof b?a:("string"==typeof a&&("#"===a.charAt(0)?(4===a.length&&(a=a.replace(/#([0-9A-F])([0-9A-F])([0-9A-F])/i,"#$1$1$2$2$3$3")),c=parseInt(a.slice(1),16),a=[c>>16,c>>8&255,255&c]):f[a]&&(a=f[a])),Array.isArray(a)?(3===a.length?a=function(){var b,c,e;for(e=[],b=0,c=a.length;c>b;b++)d=a[b],e.push(d/255);return e}():4===a.length&&(a=function(){var b,c,e;for(e=[],b=0,c=a.length;c>b;b++)d=a[b],e.push(d/100);return e}()),a):null)},_setColor:function(a,c){var d,e,f,g;return(a=this._normalizeColor(a))?(this._sMasked&&(d=this.ref({Type:"ExtGState",SMask:"None"}),d.end(),e="Gs"+ ++this._opacityCount,this.page.ext_gstates[e]=d,this.addContent("/"+e+" gs"),this._sMasked=!1),f=c?"SCN":"scn",a instanceof b?(this._setColorSpace("Pattern",c),a.apply(f)):(g=4===a.length?"DeviceCMYK":"DeviceRGB",this._setColorSpace(g,c),a=a.join(" "),this.addContent(""+a+" "+f)),!0):!1},_setColorSpace:function(a,b){var c;return c=b?"CS":"cs",this.addContent("/"+a+" "+c)},fillColor:function(a,b){var c;return null==b&&(b=1),c=this._setColor(a,!1),c&&this.fillOpacity(b),this._fillColor=[a,b],this},strokeColor:function(a,b){var c;return null==b&&(b=1),c=this._setColor(a,!0),c&&this.strokeOpacity(b),this},opacity:function(a){return this._doOpacity(a,a),this},fillOpacity:function(a){return this._doOpacity(a,null),this},strokeOpacity:function(a){return this._doOpacity(null,a),this},_doOpacity:function(a,b){var c,d,e,f,g;if(null!=a||null!=b)return null!=a&&(a=Math.max(0,Math.min(1,a))),null!=b&&(b=Math.max(0,Math.min(1,b))),e=""+a+"_"+b,this._opacityRegistry[e]?(g=this._opacityRegistry[e],c=g[0],f=g[1]):(c={Type:"ExtGState"},null!=a&&(c.ca=a),null!=b&&(c.CA=b),c=this.ref(c),c.end(),d=++this._opacityCount,f="Gs"+d,this._opacityRegistry[e]=[c,f]),this.page.ext_gstates[f]=c,this.addContent("/"+f+" gs")},linearGradient:function(a,b,c,e){return new d(this,a,b,c,e)},radialGradient:function(a,b,c,d,f,g){return new e(this,a,b,c,d,f,g)}},f={aliceblue:[240,248,255],antiquewhite:[250,235,215],aqua:[0,255,255],aquamarine:[127,255,212],azure:[240,255,255],beige:[245,245,220],bisque:[255,228,196],black:[0,0,0],blanchedalmond:[255,235,205],blue:[0,0,255],blueviolet:[138,43,226],brown:[165,42,42],burlywood:[222,184,135],cadetblue:[95,158,160],chartreuse:[127,255,0],chocolate:[210,105,30],coral:[255,127,80],cornflowerblue:[100,149,237],cornsilk:[255,248,220],crimson:[220,20,60],cyan:[0,255,255],darkblue:[0,0,139],darkcyan:[0,139,139],darkgoldenrod:[184,134,11],darkgray:[169,169,169],darkgreen:[0,100,0],darkgrey:[169,169,169],darkkhaki:[189,183,107],darkmagenta:[139,0,139],darkolivegreen:[85,107,47],darkorange:[255,140,0],darkorchid:[153,50,204],darkred:[139,0,0],darksalmon:[233,150,122],darkseagreen:[143,188,143],darkslateblue:[72,61,139],darkslategray:[47,79,79],darkslategrey:[47,79,79],darkturquoise:[0,206,209],darkviolet:[148,0,211],deeppink:[255,20,147],deepskyblue:[0,191,255],dimgray:[105,105,105],dimgrey:[105,105,105],dodgerblue:[30,144,255],firebrick:[178,34,34],floralwhite:[255,250,240],forestgreen:[34,139,34],fuchsia:[255,0,255],gainsboro:[220,220,220],ghostwhite:[248,248,255],gold:[255,215,0],goldenrod:[218,165,32],gray:[128,128,128],grey:[128,128,128],green:[0,128,0],greenyellow:[173,255,47],honeydew:[240,255,240],hotpink:[255,105,180],indianred:[205,92,92],indigo:[75,0,130],ivory:[255,255,240],khaki:[240,230,140],lavender:[230,230,250],lavenderblush:[255,240,245],lawngreen:[124,252,0],lemonchiffon:[255,250,205],lightblue:[173,216,230],lightcoral:[240,128,128],lightcyan:[224,255,255],lightgoldenrodyellow:[250,250,210],lightgray:[211,211,211],lightgreen:[144,238,144],lightgrey:[211,211,211],lightpink:[255,182,193],lightsalmon:[255,160,122],lightseagreen:[32,178,170],lightskyblue:[135,206,250],lightslategray:[119,136,153],lightslategrey:[119,136,153],lightsteelblue:[176,196,222],lightyellow:[255,255,224],lime:[0,255,0],limegreen:[50,205,50],linen:[250,240,230],magenta:[255,0,255],maroon:[128,0,0],mediumaquamarine:[102,205,170],mediumblue:[0,0,205],mediumorchid:[186,85,211],mediumpurple:[147,112,219],mediumseagreen:[60,179,113],mediumslateblue:[123,104,238],mediumspringgreen:[0,250,154],mediumturquoise:[72,209,204],mediumvioletred:[199,21,133],midnightblue:[25,25,112],mintcream:[245,255,250],mistyrose:[255,228,225],moccasin:[255,228,181],navajowhite:[255,222,173],navy:[0,0,128],oldlace:[253,245,230],olive:[128,128,0],olivedrab:[107,142,35],orange:[255,165,0],orangered:[255,69,0],orchid:[218,112,214],palegoldenrod:[238,232,170],palegreen:[152,251,152],paleturquoise:[175,238,238],palevioletred:[219,112,147],papayawhip:[255,239,213],peachpuff:[255,218,185],peru:[205,133,63],pink:[255,192,203],plum:[221,160,221],powderblue:[176,224,230],purple:[128,0,128],red:[255,0,0],rosybrown:[188,143,143],royalblue:[65,105,225],saddlebrown:[139,69,19],salmon:[250,128,114],sandybrown:[244,164,96],seagreen:[46,139,87],seashell:[255,245,238],sienna:[160,82,45],silver:[192,192,192], -skyblue:[135,206,235],slateblue:[106,90,205],slategray:[112,128,144],slategrey:[112,128,144],snow:[255,250,250],springgreen:[0,255,127],steelblue:[70,130,180],tan:[210,180,140],teal:[0,128,128],thistle:[216,191,216],tomato:[255,99,71],turquoise:[64,224,208],violet:[238,130,238],wheat:[245,222,179],white:[255,255,255],whitesmoke:[245,245,245],yellow:[255,255,0],yellowgreen:[154,205,50]}}).call(this)},function(a,b){(function(){var b,c,d,e={}.hasOwnProperty,f=function(a,b){function c(){this.constructor=a}for(var d in b)e.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};b=function(){function a(a){this.doc=a,this.stops=[],this.embedded=!1,this.transform=[1,0,0,1,0,0],this._colorSpace="DeviceRGB"}return a.prototype.stop=function(a,b,c){return null==c&&(c=1),c=Math.max(0,Math.min(1,c)),this.stops.push([a,this.doc._normalizeColor(b),c]),this},a.prototype.embed=function(){var a,b,c,d,e,f,g,h,i,j,k,l,m,n,o,p,q,r,s,t,u,v,w,x,y,z,A,B,C,D,E,F,G,H,I,J;if(!this.embedded&&0!==this.stops.length){for(this.embedded=!0,k=this.stops[this.stops.length-1],k[0]<1&&this.stops.push([1,k[1],k[2]]),a=[],d=[],C=[],j=E=0,H=this.stops.length-1;H>=0?H>E:E>H;j=H>=0?++E:--E)d.push(0,1),j+2!==this.stops.length&&a.push(this.stops[j+1][0]),e=this.doc.ref({FunctionType:2,Domain:[0,1],C0:this.stops[j+0][1],C1:this.stops[j+1][1],N:1}),C.push(e),e.end();if(1===C.length?e=C[0]:(e=this.doc.ref({FunctionType:3,Domain:[0,1],Functions:C,Bounds:a,Encode:d}),e.end()),this.id="Sh"+ ++this.doc._gradCount,l=this.doc._ctm.slice(),m=l[0],n=l[1],q=l[2],t=l[3],u=l[4],v=l[5],I=this.transform,o=I[0],p=I[1],r=I[2],s=I[3],b=I[4],c=I[5],l[0]=m*o+q*p,l[1]=n*o+t*p,l[2]=m*r+q*s,l[3]=n*r+t*s,l[4]=m*b+q*c+u,l[5]=n*b+t*c+v,A=this.shader(e),A.end(),x=this.doc.ref({Type:"Pattern",PatternType:2,Shading:A,Matrix:function(){var a,b,c;for(c=[],a=0,b=l.length;b>a;a++)D=l[a],c.push(+D.toFixed(5));return c}()}),this.doc.page.patterns[this.id]=x,x.end(),this.stops.some(function(a){return a[2]<1})){for(g=this.opacityGradient(),g._colorSpace="DeviceGray",J=this.stops,F=0,G=J.length;G>F;F++)B=J[F],g.stop(B[0],[B[2]]);g=g.embed(),h=this.doc.ref({Type:"Group",S:"Transparency",CS:"DeviceGray"}),h.end(),y=this.doc.ref({ProcSet:["PDF","Text","ImageB","ImageC","ImageI"],Shading:{Sh1:g.data.Shading}}),y.end(),f=this.doc.ref({Type:"XObject",Subtype:"Form",FormType:1,BBox:[0,0,this.doc.page.width,this.doc.page.height],Group:h,Resources:y}),f.end("/Sh1 sh"),z=this.doc.ref({Type:"Mask",S:"Luminosity",G:f}),z.end(),i=this.doc.ref({Type:"ExtGState",SMask:z}),this.opacity_id=++this.doc._opacityCount,w="Gs"+this.opacity_id,this.doc.page.ext_gstates[w]=i,i.end()}return x}},a.prototype.apply=function(a){return this.embedded||this.embed(),this.doc.addContent("/"+this.id+" "+a),this.opacity_id?(this.doc.addContent("/Gs"+this.opacity_id+" gs"),this.doc._sMasked=!0):void 0},a}(),c=function(a){function b(a,c,d,e,f){this.doc=a,this.x1=c,this.y1=d,this.x2=e,this.y2=f,b.__super__.constructor.apply(this,arguments)}return f(b,a),b.prototype.shader=function(a){return this.doc.ref({ShadingType:2,ColorSpace:this._colorSpace,Coords:[this.x1,this.y1,this.x2,this.y2],Function:a,Extend:[!0,!0]})},b.prototype.opacityGradient=function(){return new b(this.doc,this.x1,this.y1,this.x2,this.y2)},b}(b),d=function(a){function b(a,c,d,e,f,g,h){this.doc=a,this.x1=c,this.y1=d,this.r1=e,this.x2=f,this.y2=g,this.r2=h,b.__super__.constructor.apply(this,arguments)}return f(b,a),b.prototype.shader=function(a){return this.doc.ref({ShadingType:3,ColorSpace:this._colorSpace,Coords:[this.x1,this.y1,this.r1,this.x2,this.y2,this.r2],Function:a,Extend:[!0,!0]})},b.prototype.opacityGradient=function(){return new b(this.doc,this.x1,this.y1,this.r1,this.x2,this.y2,this.r2)},b}(b),a.exports={PDFGradient:b,PDFLinearGradient:c,PDFRadialGradient:d}}).call(this)},function(a,b,c){(function(){var b,d,e=[].slice;d=c(68),b=4*((Math.sqrt(2)-1)/3),a.exports={initVector:function(){return this._ctm=[1,0,0,1,0,0],this._ctmStack=[]},save:function(){return this._ctmStack.push(this._ctm.slice()),this.addContent("q")},restore:function(){return this._ctm=this._ctmStack.pop()||[1,0,0,1,0,0],this.addContent("Q")},closePath:function(){return this.addContent("h")},lineWidth:function(a){return this.addContent(""+a+" w")},_CAP_STYLES:{BUTT:0,ROUND:1,SQUARE:2},lineCap:function(a){return"string"==typeof a&&(a=this._CAP_STYLES[a.toUpperCase()]),this.addContent(""+a+" J")},_JOIN_STYLES:{MITER:0,ROUND:1,BEVEL:2},lineJoin:function(a){return"string"==typeof a&&(a=this._JOIN_STYLES[a.toUpperCase()]),this.addContent(""+a+" j")},miterLimit:function(a){return this.addContent(""+a+" M")},dash:function(a,b){var c,d,e;return null==b&&(b={}),null==a?this:(d=null!=(e=b.space)?e:a,c=b.phase||0,this.addContent("["+a+" "+d+"] "+c+" d"))},undash:function(){return this.addContent("[] 0 d")},moveTo:function(a,b){return this.addContent(""+a+" "+b+" m")},lineTo:function(a,b){return this.addContent(""+a+" "+b+" l")},bezierCurveTo:function(a,b,c,d,e,f){return this.addContent(""+a+" "+b+" "+c+" "+d+" "+e+" "+f+" c")},quadraticCurveTo:function(a,b,c,d){return this.addContent(""+a+" "+b+" "+c+" "+d+" v")},rect:function(a,b,c,d){return this.addContent(""+a+" "+b+" "+c+" "+d+" re")},roundedRect:function(a,b,c,d,e){return null==e&&(e=0),this.moveTo(a+e,b),this.lineTo(a+c-e,b),this.quadraticCurveTo(a+c,b,a+c,b+e),this.lineTo(a+c,b+d-e),this.quadraticCurveTo(a+c,b+d,a+c-e,b+d),this.lineTo(a+e,b+d),this.quadraticCurveTo(a,b+d,a,b+d-e),this.lineTo(a,b+e),this.quadraticCurveTo(a,b,a+e,b)},ellipse:function(a,c,d,e){var f,g,h,i,j,k;return null==e&&(e=d),a-=d,c-=e,f=d*b,g=e*b,h=a+2*d,j=c+2*e,i=a+d,k=c+e,this.moveTo(a,k),this.bezierCurveTo(a,k-g,i-f,c,i,c),this.bezierCurveTo(i+f,c,h,k-g,h,k),this.bezierCurveTo(h,k+g,i+f,j,i,j),this.bezierCurveTo(i-f,j,a,k+g,a,k),this.closePath()},circle:function(a,b,c){return this.ellipse(a,b,c)},polygon:function(){var a,b,c,d;for(b=1<=arguments.length?e.call(arguments,0):[],this.moveTo.apply(this,b.shift()),c=0,d=b.length;d>c;c++)a=b[c],this.lineTo.apply(this,a);return this.closePath()},path:function(a){return d.apply(this,a),this},_windingRule:function(a){return/even-?odd/.test(a)?"*":""},fill:function(a,b){return/(even-?odd)|(non-?zero)/.test(a)&&(b=a,a=null),a&&this.fillColor(a),this.addContent("f"+this._windingRule(b))},stroke:function(a){return a&&this.strokeColor(a),this.addContent("S")},fillAndStroke:function(a,b,c){var d;return null==b&&(b=a),d=/(even-?odd)|(non-?zero)/,d.test(a)&&(c=a,a=null),d.test(b)&&(c=b,b=a),a&&(this.fillColor(a),this.strokeColor(b)),this.addContent("B"+this._windingRule(c))},clip:function(a){return this.addContent("W"+this._windingRule(a)+" n")},transform:function(a,b,c,d,e,f){var g,h,i,j,k,l,m,n,o;return g=this._ctm,h=g[0],i=g[1],j=g[2],k=g[3],l=g[4],m=g[5],g[0]=h*a+j*b,g[1]=i*a+k*b,g[2]=h*c+j*d,g[3]=i*c+k*d,g[4]=h*e+j*f+l,g[5]=i*e+k*f+m,o=function(){var g,h,i,j;for(i=[a,b,c,d,e,f],j=[],g=0,h=i.length;h>g;g++)n=i[g],j.push(+n.toFixed(5));return j}().join(" "),this.addContent(""+o+" cm")},translate:function(a,b){return this.transform(1,0,0,1,a,b)},rotate:function(a,b){var c,d,e,f,g,h,i,j;return null==b&&(b={}),d=a*Math.PI/180,c=Math.cos(d),e=Math.sin(d),f=h=0,null!=b.origin&&(j=b.origin,f=j[0],h=j[1],g=f*c-h*e,i=f*e+h*c,f-=g,h-=i),this.transform(c,e,-e,c,f,h)},scale:function(a,b,c){var d,e,f;return null==b&&(b=a),null==c&&(c={}),2===arguments.length&&(b=a,c=b),d=e=0,null!=c.origin&&(f=c.origin,d=f[0],e=f[1],d-=a*d,e-=b*e),this.transform(a,0,0,b,d,e)}}}).call(this)},function(a,b){(function(){var b;b=function(){function a(){}var b,c,d,e,f,g,h,i,j,k,l,m,n;return a.apply=function(a,c){var d;return d=g(c),b(d,a)},f={A:7,a:7,C:6,c:6,H:1,h:1,L:2,l:2,M:2,m:2,Q:4,q:4,S:4,s:4,T:2,t:2,V:1,v:1,Z:0,z:0},g=function(a){var b,c,d,e,g,h,i,j,k;for(i=[],b=[],e="",g=!1,h=0,j=0,k=a.length;k>j;j++)if(c=a[j],null!=f[c])h=f[c],d&&(e.length>0&&(b[b.length]=+e),i[i.length]={cmd:d,args:b},b=[],e="",g=!1),d=c;else if(" "===c||","===c||"-"===c&&e.length>0&&"e"!==e[e.length-1]||"."===c&&g){if(0===e.length)continue;b.length===h?(i[i.length]={cmd:d,args:b},b=[+e],"M"===d&&(d="L"),"m"===d&&(d="l")):b[b.length]=+e,g="."===c,e="-"===c||"."===c?c:""}else e+=c,"."===c&&(g=!0);return e.length>0&&(b.length===h?(i[i.length]={cmd:d,args:b},b=[+e],"M"===d&&(d="L"),"m"===d&&(d="l")):b[b.length]=+e),i[i.length]={cmd:d,args:b},i},d=e=h=i=m=n=0,b=function(a,b){var c,f,g,k,l;for(d=e=h=i=m=n=0,f=g=0,k=a.length;k>g;f=++g)c=a[f],"function"==typeof j[l=c.cmd]&&j[l](b,c.args);return d=e=h=i=0},j={M:function(a,b){return d=b[0],e=b[1],h=i=null,m=d,n=e,a.moveTo(d,e)},m:function(a,b){return d+=b[0],e+=b[1],h=i=null,m=d,n=e,a.moveTo(d,e)},C:function(a,b){return d=b[4],e=b[5],h=b[2],i=b[3],a.bezierCurveTo.apply(a,b)},c:function(a,b){return a.bezierCurveTo(b[0]+d,b[1]+e,b[2]+d,b[3]+e,b[4]+d,b[5]+e),h=d+b[2],i=e+b[3],d+=b[4],e+=b[5]},S:function(a,b){return null===h&&(h=d,i=e),a.bezierCurveTo(d-(h-d),e-(i-e),b[0],b[1],b[2],b[3]),h=b[0],i=b[1],d=b[2],e=b[3]},s:function(a,b){return null===h&&(h=d,i=e),a.bezierCurveTo(d-(h-d),e-(i-e),d+b[0],e+b[1],d+b[2],e+b[3]),h=d+b[0],i=e+b[1],d+=b[2],e+=b[3]},Q:function(a,b){return h=b[0],i=b[1],d=b[2],e=b[3],a.quadraticCurveTo(b[0],b[1],d,e)},q:function(a,b){return a.quadraticCurveTo(b[0]+d,b[1]+e,b[2]+d,b[3]+e),h=d+b[0],i=e+b[1],d+=b[2],e+=b[3]},T:function(a,b){return null===h?(h=d,i=e):(h=d-(h-d),i=e-(i-e)),a.quadraticCurveTo(h,i,b[0],b[1]),h=d-(h-d),i=e-(i-e),d=b[0],e=b[1]},t:function(a,b){return null===h?(h=d,i=e):(h=d-(h-d),i=e-(i-e)),a.quadraticCurveTo(h,i,d+b[0],e+b[1]),d+=b[0],e+=b[1]},A:function(a,b){return l(a,d,e,b),d=b[5],e=b[6]},a:function(a,b){return b[5]+=d,b[6]+=e,l(a,d,e,b),d=b[5],e=b[6]},L:function(a,b){return d=b[0],e=b[1],h=i=null,a.lineTo(d,e)},l:function(a,b){return d+=b[0],e+=b[1],h=i=null,a.lineTo(d,e)},H:function(a,b){return d=b[0],h=i=null,a.lineTo(d,e)},h:function(a,b){return d+=b[0],h=i=null,a.lineTo(d,e)},V:function(a,b){return e=b[0],h=i=null,a.lineTo(d,e)},v:function(a,b){return e+=b[0],h=i=null,a.lineTo(d,e)},Z:function(a){return a.closePath(),d=m,e=n},z:function(a){return a.closePath(),d=m,e=n}},l=function(a,b,d,e){var f,g,h,i,j,l,m,n,o,p,q,r,s;for(l=e[0],m=e[1],j=e[2],i=e[3],p=e[4],g=e[5],h=e[6],o=c(g,h,l,m,i,p,j,b,d),s=[],q=0,r=o.length;r>q;q++)n=o[q],f=k.apply(null,n),s.push(a.bezierCurveTo.apply(a,f));return s},c=function(a,b,c,d,e,f,g,j,k){var l,m,n,o,p,q,r,s,t,u,v,w,x,y,z,A,B,C,D,E,F,G,H,I,J,K;for(y=g*(Math.PI/180),x=Math.sin(y),p=Math.cos(y),c=Math.abs(c),d=Math.abs(d),h=p*(j-a)*.5+x*(k-b)*.5,i=p*(k-b)*.5-x*(j-a)*.5,s=h*h/(c*c)+i*i/(d*d),s>1&&(s=Math.sqrt(s),c*=s,d*=s),l=p/c,m=x/c,n=-x/d,o=p/d,E=l*j+m*k,H=n*j+o*k,F=l*a+m*b,I=n*a+o*b,q=(F-E)*(F-E)+(I-H)*(I-H),w=1/q-.25,0>w&&(w=0),v=Math.sqrt(w),f===e&&(v=-v),G=.5*(E+F)-v*(I-H),J=.5*(H+I)+v*(F-E),z=Math.atan2(H-J,E-G),A=Math.atan2(I-J,F-G),D=A-z,0>D&&1===f?D+=2*Math.PI:D>0&&0===f&&(D-=2*Math.PI),u=Math.ceil(Math.abs(D/(.5*Math.PI+.001))),t=[],r=K=0;u>=0?u>K:K>u;r=u>=0?++K:--K)B=z+r*D/u,C=z+(r+1)*D/u,t[r]=[G,J,B,C,c,d,x,p];return t},k=function(a,b,c,d,e,f,g,h){var i,j,k,l,m,n,o,p,q,r,s,t;return i=h*e,j=-g*f,k=g*e,l=h*f,n=.5*(d-c),m=8/3*Math.sin(.5*n)*Math.sin(.5*n)/Math.sin(n),o=a+Math.cos(c)-m*Math.sin(c),r=b+Math.sin(c)+m*Math.cos(c),q=a+Math.cos(d),t=b+Math.sin(d),p=q+m*Math.sin(d),s=t-m*Math.cos(d),[i*o+j*r,k*o+l*r,i*p+j*s,k*p+l*s,i*q+j*t,k*q+l*t]},a}(),a.exports=b}).call(this)},function(a,b,c){(function(){var b;b=c(70),a.exports={initFonts:function(){this._fontFamilies={},this._fontCount=0,this._fontSize=12,this._font=null,this._registeredFonts={}},font:function(a,c,d){var e,f,g,h;return"number"==typeof c&&(d=c,c=null),"string"==typeof a&&this._registeredFonts[a]?(e=a,h=this._registeredFonts[a],a=h.src,c=h.family):(e=c||a,"string"!=typeof e&&(e=null)),null!=d&&this.fontSize(d),(f=this._fontFamilies[e])?(this._font=f,this):(g="F"+ ++this._fontCount,this._font=new b(this,a,c,g),(f=this._fontFamilies[this._font.name])?(this._font=f,this):(e&&(this._fontFamilies[e]=this._font),this._fontFamilies[this._font.name]=this._font,this))},fontSize:function(a){return this._fontSize=a,this},currentLineHeight:function(a){return null==a&&(a=!1),this._font.lineHeight(this._fontSize,a)},registerFont:function(a,b,c){return this._registeredFonts[a]={src:b,family:c},this}}}).call(this)},function(a,b,c){(function(b,d){(function(){var e,f,g,h,i;h=c(71),e=c(87),g=c(88),i=c(44),f=function(){function a(a,d,f,i){if(this.document=a,this.id=i,"string"==typeof d){if(d in c)return this.isAFM=!0,this.font=new e(c[d]()),void this.registerAFM(d);if(/\.(ttf|ttc)$/i.test(d))this.font=h.open(d,f);else{if(!/\.dfont$/i.test(d))throw new Error("Not a supported font format or standard PDF font.");this.font=h.fromDFont(d,f)}}else if(b.isBuffer(d))this.font=h.fromBuffer(d,f);else if(d instanceof Uint8Array)this.font=h.fromBuffer(new b(d),f);else{if(!(d instanceof ArrayBuffer))throw new Error("Not a supported font format or standard PDF font.");this.font=h.fromBuffer(new b(new Uint8Array(d)),f)}this.subset=new g(this.font),this.registerTTF()}var c,f;return c={Courier:function(){return i.readFileSync(d+"/font/data/Courier.afm","utf8")},"Courier-Bold":function(){return i.readFileSync(d+"/font/data/Courier-Bold.afm","utf8")},"Courier-Oblique":function(){return i.readFileSync(d+"/font/data/Courier-Oblique.afm","utf8")},"Courier-BoldOblique":function(){return i.readFileSync(d+"/font/data/Courier-BoldOblique.afm","utf8")},Helvetica:function(){return i.readFileSync(d+"/font/data/Helvetica.afm","utf8")},"Helvetica-Bold":function(){return i.readFileSync(d+"/font/data/Helvetica-Bold.afm","utf8")},"Helvetica-Oblique":function(){return i.readFileSync(d+"/font/data/Helvetica-Oblique.afm","utf8")},"Helvetica-BoldOblique":function(){return i.readFileSync(d+"/font/data/Helvetica-BoldOblique.afm","utf8")},"Times-Roman":function(){return i.readFileSync(d+"/font/data/Times-Roman.afm","utf8")},"Times-Bold":function(){return i.readFileSync(d+"/font/data/Times-Bold.afm","utf8")},"Times-Italic":function(){return i.readFileSync(d+"/font/data/Times-Italic.afm","utf8")},"Times-BoldItalic":function(){return i.readFileSync(d+"/font/data/Times-BoldItalic.afm","utf8")},Symbol:function(){return i.readFileSync(d+"/font/data/Symbol.afm","utf8")},ZapfDingbats:function(){return i.readFileSync(d+"/font/data/ZapfDingbats.afm","utf8")}},a.prototype.use=function(a){var b;return null!=(b=this.subset)?b.use(a):void 0},a.prototype.embed=function(){return this.embedded||null==this.dictionary?void 0:(this.isAFM?this.embedAFM():this.embedTTF(),this.embedded=!0)},a.prototype.encode=function(a){var b;return this.isAFM?this.font.encodeText(a):(null!=(b=this.subset)?b.encodeText(a):void 0)||a},a.prototype.ref=function(){return null!=this.dictionary?this.dictionary:this.dictionary=this.document.ref()},a.prototype.registerTTF=function(){var a,b,c,d,e;if(this.name=this.font.name.postscriptName,this.scaleFactor=1e3/this.font.head.unitsPerEm,this.bbox=function(){var b,c,d,e;for(d=this.font.bbox,e=[],b=0,c=d.length;c>b;b++)a=d[b],e.push(Math.round(a*this.scaleFactor));return e}.call(this),this.stemV=0,this.font.post.exists?(d=this.font.post.italic_angle,b=d>>16,c=255&d,b&!0&&(b=-((65535^b)+1)),this.italicAngle=+(""+b+"."+c)):this.italicAngle=0,this.ascender=Math.round(this.font.ascender*this.scaleFactor),this.decender=Math.round(this.font.decender*this.scaleFactor),this.lineGap=Math.round(this.font.lineGap*this.scaleFactor),this.capHeight=this.font.os2.exists&&this.font.os2.capHeight||this.ascender,this.xHeight=this.font.os2.exists&&this.font.os2.xHeight||0,this.familyClass=(this.font.os2.exists&&this.font.os2.familyClass||0)>>8,this.isSerif=1===(e=this.familyClass)||2===e||3===e||4===e||5===e||7===e,this.isScript=10===this.familyClass,this.flags=0,this.font.post.isFixedPitch&&(this.flags|=1),this.isSerif&&(this.flags|=2),this.isScript&&(this.flags|=8),0!==this.italicAngle&&(this.flags|=64),this.flags|=32,!this.font.cmap.unicode)throw new Error("No unicode cmap for font")},a.prototype.embedTTF=function(){var a,b,c,d,e,g,h,i;return d=this.subset.encode(),h=this.document.ref(),h.write(d),h.data.Length1=h.uncompressedLength,h.end(),e=this.document.ref({Type:"FontDescriptor",FontName:this.subset.postscriptName,FontFile2:h,FontBBox:this.bbox,Flags:this.flags,StemV:this.stemV,ItalicAngle:this.italicAngle,Ascent:this.ascender,Descent:this.decender,CapHeight:this.capHeight,XHeight:this.xHeight}),e.end(),g=+Object.keys(this.subset.cmap)[0],a=function(){var a,b;a=this.subset.cmap,b=[];for(c in a)i=a[c],b.push(Math.round(this.font.widthOfGlyph(i)));return b}.call(this),b=this.document.ref(),b.end(f(this.subset.subset)),this.dictionary.data={Type:"Font",BaseFont:this.subset.postscriptName,Subtype:"TrueType",FontDescriptor:e,FirstChar:g,LastChar:g+a.length-1,Widths:a,Encoding:"MacRomanEncoding",ToUnicode:b},this.dictionary.end()},f=function(a){var b,c,d,e,f,g,h;for(f="/CIDInit /ProcSet findresource begin\n12 dict begin\nbegincmap\n/CIDSystemInfo <<\n /Registry (Adobe)\n /Ordering (UCS)\n /Supplement 0\n>> def\n/CMapName /Adobe-Identity-UCS def\n/CMapType 2 def\n1 begincodespacerange\n<00>\nendcodespacerange",c=Object.keys(a).sort(function(a,b){return a-b}),d=[],g=0,h=c.length;h>g;g++)b=c[g],d.length>=100&&(f+="\n"+d.length+" beginbfchar\n"+d.join("\n")+"\nendbfchar",d=[]),e=("0000"+a[b].toString(16)).slice(-4),b=(+b).toString(16),d.push("<"+b+"><"+e+">");return d.length&&(f+="\n"+d.length+" beginbfchar\n"+d.join("\n")+"\nendbfchar\n"),f+="endcmap\nCMapName currentdict /CMap defineresource pop\nend\nend"},a.prototype.registerAFM=function(a){var b;return this.name=a,b=this.font,this.ascender=b.ascender,this.decender=b.decender,this.bbox=b.bbox,this.lineGap=b.lineGap,b},a.prototype.embedAFM=function(){return this.dictionary.data={Type:"Font",BaseFont:this.name,Subtype:"Type1",Encoding:"WinAnsiEncoding"},this.dictionary.end()},a.prototype.widthOfString=function(a,b){var c,d,e,f,g,h;for(a=""+a,f=0,d=g=0,h=a.length;h>=0?h>g:g>h;d=h>=0?++g:--g)c=a.charCodeAt(d),f+=this.font.widthOfGlyph(this.font.characterToGlyph(c))||0;return e=b/1e3,f*e},a.prototype.lineHeight=function(a,b){var c;return null==b&&(b=!1),c=b?this.lineGap:0,(this.ascender+c-this.decender)/1e3*a},a}(),a.exports=f}).call(this)}).call(b,c(2).Buffer,"/")},function(a,b,c){(function(){var b,d,e,f,g,h,i,j,k,l,m,n,o,p,q;q=c(44),e=c(72),d=c(73),f=c(74),m=c(75),h=c(78),b=c(79),j=c(80),i=c(81),l=c(82),o=c(83),n=c(84),k=c(85),g=c(86),p=function(){function a(a,b){var c,d,f,g,h,i,j,k,l;if(this.rawData=a,c=this.contents=new e(this.rawData),"ttcf"===c.readString(4)){if(!b)throw new Error("Must specify a font name for TTC files.");for(i=c.readInt(),f=c.readInt(),h=[],d=j=0;f>=0?f>j:j>f;d=f>=0?++j:--j)h[d]=c.readInt();for(d=k=0,l=h.length;l>k;d=++k)if(g=h[d],c.pos=g,this.parse(),this.name.postscriptName===b)return;throw new Error("Font "+b+" not found in TTC file.")}c.pos=0,this.parse()}return a.open=function(b,c){var d;return d=q.readFileSync(b),new a(d,c)},a.fromDFont=function(b,c){var e;return e=d.open(b),new a(e.getNamedFont(c))},a.fromBuffer=function(b,c){var e,f,g;try{if(g=new a(b,c),!(g.head.exists&&g.name.exists&&g.cmap.exists||(e=new d(b),g=new a(e.getNamedFont(c)),g.head.exists&&g.name.exists&&g.cmap.exists)))throw new Error("Invalid TTF file in DFont");return g}catch(h){throw f=h,new Error("Unknown font format in buffer: "+f.message)}},a.prototype.parse=function(){return this.directory=new f(this.contents),this.head=new h(this),this.name=new m(this),this.cmap=new b(this),this.hhea=new i(this),this.maxp=new l(this),this.hmtx=new j(this),this.post=new o(this),this.os2=new n(this),this.loca=new k(this),this.glyf=new g(this),this.ascender=this.os2.exists&&this.os2.ascender||this.hhea.ascender,this.decender=this.os2.exists&&this.os2.decender||this.hhea.decender,this.lineGap=this.os2.exists&&this.os2.lineGap||this.hhea.lineGap,this.bbox=[this.head.xMin,this.head.yMin,this.head.xMax,this.head.yMax]},a.prototype.characterToGlyph=function(a){var b;return(null!=(b=this.cmap.unicode)?b.codeMap[a]:void 0)||0},a.prototype.widthOfGlyph=function(a){var b;return b=1e3/this.head.unitsPerEm,this.hmtx.forGlyph(a).advance*b},a}(),a.exports=p}).call(this)},function(a,b){(function(){var b;b=function(){function a(a){this.data=null!=a?a:[],this.pos=0,this.length=this.data.length}return a.prototype.readByte=function(){return this.data[this.pos++]},a.prototype.writeByte=function(a){return this.data[this.pos++]=a},a.prototype.byteAt=function(a){return this.data[a]},a.prototype.readBool=function(){return!!this.readByte()},a.prototype.writeBool=function(a){return this.writeByte(a?1:0)},a.prototype.readUInt32=function(){var a,b,c,d;return a=16777216*this.readByte(),b=this.readByte()<<16,c=this.readByte()<<8,d=this.readByte(),a+b+c+d},a.prototype.writeUInt32=function(a){return this.writeByte(a>>>24&255),this.writeByte(a>>16&255),this.writeByte(a>>8&255),this.writeByte(255&a)},a.prototype.readInt32=function(){var a;return a=this.readUInt32(),a>=2147483648?a-4294967296:a},a.prototype.writeInt32=function(a){return 0>a&&(a+=4294967296),this.writeUInt32(a)},a.prototype.readUInt16=function(){var a,b;return a=this.readByte()<<8,b=this.readByte(),a|b},a.prototype.writeUInt16=function(a){return this.writeByte(a>>8&255),this.writeByte(255&a)},a.prototype.readInt16=function(){var a;return a=this.readUInt16(),a>=32768?a-65536:a},a.prototype.writeInt16=function(a){return 0>a&&(a+=65536),this.writeUInt16(a)},a.prototype.readString=function(a){var b,c,d;for(c=[],b=d=0;a>=0?a>d:d>a;b=a>=0?++d:--d)c[b]=String.fromCharCode(this.readByte());return c.join("")},a.prototype.writeString=function(a){var b,c,d,e;for(e=[],b=c=0,d=a.length;d>=0?d>c:c>d;b=d>=0?++c:--c)e.push(this.writeByte(a.charCodeAt(b)));return e},a.prototype.stringAt=function(a,b){return this.pos=a,this.readString(b)},a.prototype.readShort=function(){return this.readInt16()},a.prototype.writeShort=function(a){return this.writeInt16(a)},a.prototype.readLongLong=function(){var a,b,c,d,e,f,g,h;return a=this.readByte(),b=this.readByte(),c=this.readByte(),d=this.readByte(),e=this.readByte(),f=this.readByte(),g=this.readByte(),h=this.readByte(),128&a?-1*(72057594037927940*(255^a)+281474976710656*(255^b)+1099511627776*(255^c)+4294967296*(255^d)+16777216*(255^e)+65536*(255^f)+256*(255^g)+(255^h)+1):72057594037927940*a+281474976710656*b+1099511627776*c+4294967296*d+16777216*e+65536*f+256*g+h},a.prototype.writeLongLong=function(a){var b,c;return b=Math.floor(a/4294967296),c=4294967295&a,this.writeByte(b>>24&255),this.writeByte(b>>16&255),this.writeByte(b>>8&255),this.writeByte(255&b),this.writeByte(c>>24&255),this.writeByte(c>>16&255),this.writeByte(c>>8&255),this.writeByte(255&c)},a.prototype.readInt=function(){return this.readInt32()},a.prototype.writeInt=function(a){return this.writeInt32(a)},a.prototype.slice=function(a,b){return this.data.slice(a,b)},a.prototype.read=function(a){var b,c,d;for(b=[],c=d=0;a>=0?a>d:d>a;c=a>=0?++d:--d)b.push(this.readByte());return b},a.prototype.write=function(a){var b,c,d,e;for(e=[],c=0,d=a.length;d>c;c++)b=a[c],e.push(this.writeByte(b));return e},a}(),a.exports=b}).call(this)},function(a,b,c){(function(){var b,d,e,f,g;g=c(44),d=c(72),e=c(74),f=c(75),b=function(){function a(a){this.contents=new d(a),this.parse(this.contents)}return a.open=function(b){var c;return c=g.readFileSync(b),new a(c)},a.prototype.parse=function(a){var b,c,g,h,i,j,k,l,m,n,o,p,q,r,s,t,u,v,w,x,y,z,A,B,C,D,E,F,G;for(j=a.readInt(),u=a.readInt(),i=a.readInt(),t=a.readInt(),this.map={},a.pos=u+24,E=a.readShort()+u,y=a.readShort()+u,a.pos=E,v=a.readShort(),o=F=0;v>=F;o=F+=1){for(D=a.readString(4),w=a.readShort(),C=a.readShort(),this.map[D]={list:[],named:{}},B=a.pos,a.pos=E+C,q=G=0;w>=G;q=G+=1)p=a.readShort(),z=a.readShort(),b=a.readByte(),c=a.readByte()<<16,g=a.readByte()<<8,h=a.readByte(),k=j+(0|c|g|h),n=a.readUInt32(),l={id:p,attributes:b,offset:k,handle:n},A=a.pos,-1!==z&&u+t>y+z?(a.pos=y+z,r=a.readByte(),l.name=a.readString(r)):"sfnt"===D&&(a.pos=l.offset,s=a.readUInt32(),m={},m.contents=new d(a.slice(a.pos,a.pos+s)),m.directory=new e(m.contents),x=new f(m),l.name=x.fontName[0].raw),a.pos=A,this.map[D].list.push(l),l.name&&(this.map[D].named[l.name]=l);a.pos=B}},a.prototype.getNamedFont=function(a){var b,c,d,e,f,g;if(b=this.contents,e=b.pos,c=null!=(g=this.map.sfnt)?g.named[a]:void 0,!c)throw new Error("Font "+a+" not found in DFont file.");return b.pos=c.offset,d=b.readUInt32(),f=b.slice(b.pos,b.pos+d),b.pos=e,f},a}(),a.exports=b}).call(this)},function(a,b,c){(function(b){(function(){var d,e,f=[].slice;d=c(72),e=function(){function a(a){var b,c,d,e;for(this.scalarType=a.readInt(),this.tableCount=a.readShort(),this.searchRange=a.readShort(),this.entrySelector=a.readShort(),this.rangeShift=a.readShort(),this.tables={},c=d=0,e=this.tableCount;e>=0?e>d:d>e;c=e>=0?++d:--d)b={tag:a.readString(4),checksum:a.readInt(),offset:a.readInt(),length:a.readInt()},this.tables[b.tag]=b}var c;return a.prototype.encode=function(a){var e,f,g,h,i,j,k,l,m,n,o,p,q,r;p=Object.keys(a).length,j=Math.log(2),m=16*Math.floor(Math.log(p)/j),h=Math.floor(m/j),l=16*p-m,f=new d,f.writeInt(this.scalarType),f.writeShort(p),f.writeShort(m),f.writeShort(h),f.writeShort(l),g=16*p,k=f.pos+g,i=null,q=[];for(r in a)for(o=a[r],f.writeString(r),f.writeInt(c(o)),f.writeInt(k),f.writeInt(o.length),q=q.concat(o),"head"===r&&(i=k),k+=o.length;k%4;)q.push(0),k++;return f.write(q),n=c(f.data),e=2981146554-n,f.pos=i+8,f.writeUInt32(e),new b(f.data)},c=function(a){var b,c,e,g,h;for(a=f.call(a);a.length%4;)a.push(0);for(e=new d(a),c=0,b=g=0,h=a.length;h>g;b=g+=4)c+=e.readUInt32();return 4294967295&c},a}(),a.exports=e}).call(this)}).call(b,c(2).Buffer)},function(a,b,c){(function(){var b,d,e,f,g,h={}.hasOwnProperty,i=function(a,b){function c(){this.constructor=a}for(var d in b)h.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};f=c(76),b=c(72),g=c(77),e=function(a){function c(){return c.__super__.constructor.apply(this,arguments)}var e;return i(c,a),c.prototype.tag="name",c.prototype.parse=function(a){var b,c,e,f,g,h,i,j,k,l,m,n,o;for(a.pos=this.offset,f=a.readShort(),b=a.readShort(),i=a.readShort(),c=[],g=l=0;b>=0?b>l:l>b;g=b>=0?++l:--l)c.push({platformID:a.readShort(),encodingID:a.readShort(),languageID:a.readShort(),nameID:a.readShort(),length:a.readShort(),offset:this.offset+i+a.readShort()});for(j={},g=m=0,n=c.length;n>m;g=++m)e=c[g],a.pos=e.offset,k=a.readString(e.length),h=new d(k,e),null==j[o=e.nameID]&&(j[o]=[]),j[e.nameID].push(h);return this.strings=j,this.copyright=j[0],this.fontFamily=j[1],this.fontSubfamily=j[2],this.uniqueSubfamily=j[3],this.fontName=j[4],this.version=j[5],this.postscriptName=j[6][0].raw.replace(/[\x00-\x19\x80-\xff]/g,""),this.trademark=j[7],this.manufacturer=j[8],this.designer=j[9],this.description=j[10],this.vendorUrl=j[11],this.designerUrl=j[12],this.license=j[13],this.licenseUrl=j[14],this.preferredFamily=j[15],this.preferredSubfamily=j[17],this.compatibleFull=j[18],this.sampleText=j[19]},e="AAAAAA",c.prototype.encode=function(){var a,c,f,h,i,j,k,l,m,n,o,p,q,r;m={},r=this.strings;for(a in r)o=r[a],m[a]=o;i=new d(""+e+"+"+this.postscriptName,{platformID:1,encodingID:0,languageID:0}),m[6]=[i],e=g.successorOf(e),j=0;for(a in m)c=m[a],null!=c&&(j+=c.length);n=new b,k=new b,n.writeShort(0),n.writeShort(j),n.writeShort(6+12*j);for(f in m)if(c=m[f],null!=c)for(p=0,q=c.length;q>p;p++)l=c[p],n.writeShort(l.platformID),n.writeShort(l.encodingID),n.writeShort(l.languageID),n.writeShort(f),n.writeShort(l.length),n.writeShort(k.pos),k.writeString(l.raw);return h={postscriptName:i.raw,table:n.data.concat(k.data)}},c}(f),a.exports=e,d=function(){function a(a,b){this.raw=a,this.length=this.raw.length,this.platformID=b.platformID,this.encodingID=b.encodingID,this.languageID=b.languageID}return a}()}).call(this)},function(a,b){(function(){var b;b=function(){function a(a){var b;this.file=a,b=this.file.directory.tables[this.tag],this.exists=!!b,b&&(this.offset=b.offset,this.length=b.length,this.parse(this.file.contents))}return a.prototype.parse=function(){},a.prototype.encode=function(){},a.prototype.raw=function(){return this.exists?(this.file.contents.pos=this.offset,this.file.contents.read(this.length)):null},a}(),a.exports=b}).call(this)},function(a,b){(function(){b.successorOf=function(a){var b,c,d,e,f,g,h,i,j,k;for(c="abcdefghijklmnopqrstuvwxyz",i=c.length,k=a,e=a.length;e>=0;){if(h=a.charAt(--e),isNaN(h)){if(f=c.indexOf(h.toLowerCase()),-1===f)j=h,d=!0;else if(j=c.charAt((f+1)%i),g=h===h.toUpperCase(),g&&(j=j.toUpperCase()),d=f+1>=i,d&&0===e){b=g?"A":"a",k=b+j+k.slice(1);break}}else if(j=+h+1,d=j>9,d&&(j=0),d&&0===e){k="1"+j+k.slice(1);break}if(k=k.slice(0,e)+j+k.slice(e+1),!d)break}return k},b.invert=function(a){var b,c,d;c={};for(b in a)d=a[b],c[d]=b;return c}}).call(this)},function(a,b,c){(function(){var b,d,e,f={}.hasOwnProperty,g=function(a,b){function c(){this.constructor=a}for(var d in b)f.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};e=c(76),b=c(72),d=function(a){function c(){return c.__super__.constructor.apply(this,arguments)}return g(c,a),c.prototype.tag="head",c.prototype.parse=function(a){return a.pos=this.offset,this.version=a.readInt(),this.revision=a.readInt(),this.checkSumAdjustment=a.readInt(),this.magicNumber=a.readInt(),this.flags=a.readShort(),this.unitsPerEm=a.readShort(),this.created=a.readLongLong(),this.modified=a.readLongLong(),this.xMin=a.readShort(),this.yMin=a.readShort(),this.xMax=a.readShort(),this.yMax=a.readShort(),this.macStyle=a.readShort(),this.lowestRecPPEM=a.readShort(),this.fontDirectionHint=a.readShort(),this.indexToLocFormat=a.readShort(),this.glyphDataFormat=a.readShort()},c.prototype.encode=function(a){var c;return c=new b,c.writeInt(this.version),c.writeInt(this.revision),c.writeInt(this.checkSumAdjustment),c.writeInt(this.magicNumber),c.writeShort(this.flags),c.writeShort(this.unitsPerEm),c.writeLongLong(this.created),c.writeLongLong(this.modified),c.writeShort(this.xMin),c.writeShort(this.yMin),c.writeShort(this.xMax),c.writeShort(this.yMax),c.writeShort(this.macStyle),c.writeShort(this.lowestRecPPEM),c.writeShort(this.fontDirectionHint),c.writeShort(a.type),c.writeShort(this.glyphDataFormat),c.data},c}(e),a.exports=d}).call(this)},function(a,b,c){(function(){var b,d,e,f,g={}.hasOwnProperty,h=function(a,b){function c(){this.constructor=a}for(var d in b)g.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};f=c(76),e=c(72),d=function(a){function c(){return c.__super__.constructor.apply(this,arguments)}return h(c,a),c.prototype.tag="cmap",c.prototype.parse=function(a){var c,d,e,f;for(a.pos=this.offset,this.version=a.readUInt16(),e=a.readUInt16(),this.tables=[],this.unicode=null,d=f=0;e>=0?e>f:f>e;d=e>=0?++f:--f)c=new b(a,this.offset),this.tables.push(c),c.isUnicode&&null==this.unicode&&(this.unicode=c);return!0},c.encode=function(a,c){var d,f;return null==c&&(c="macroman"),d=b.encode(a,c),f=new e,f.writeUInt16(0),f.writeUInt16(1),d.table=f.data.concat(d.subtable),d},c}(f),b=function(){function a(a,b){var c,d,e,f,g,h,i,j,k,l,m,n,o,p,q,r,s,t,u;switch(this.platformID=a.readUInt16(),this.encodingID=a.readShort(),this.offset=b+a.readInt(),l=a.pos,a.pos=this.offset,this.format=a.readUInt16(),this.length=a.readUInt16(),this.language=a.readUInt16(),this.isUnicode=3===this.platformID&&1===this.encodingID&&4===this.format||0===this.platformID&&4===this.format,this.codeMap={},this.format){case 0:for(h=r=0;256>r;h=++r)this.codeMap[h]=a.readByte();break;case 4:for(n=a.readUInt16(),m=n/2,a.pos+=6,e=function(){var b,c;for(c=[],h=b=0;m>=0?m>b:b>m;h=m>=0?++b:--b)c.push(a.readUInt16());return c}(),a.pos+=2,p=function(){var b,c;for(c=[],h=b=0;m>=0?m>b:b>m;h=m>=0?++b:--b)c.push(a.readUInt16());return c}(),i=function(){var b,c;for(c=[],h=b=0;m>=0?m>b:b>m;h=m>=0?++b:--b)c.push(a.readUInt16());return c}(),j=function(){var b,c;for(c=[],h=b=0;m>=0?m>b:b>m;h=m>=0?++b:--b)c.push(a.readUInt16());return c}(), -d=(this.length-a.pos+this.offset)/2,g=function(){var b,c;for(c=[],h=b=0;d>=0?d>b:b>d;h=d>=0?++b:--b)c.push(a.readUInt16());return c}(),h=s=0,u=e.length;u>s;h=++s)for(q=e[h],o=p[h],c=t=o;q>=o?q>=t:t>=q;c=q>=o?++t:--t)0===j[h]?f=c+i[h]:(k=j[h]/2+(c-o)-(m-h),f=g[k]||0,0!==f&&(f+=i[h])),this.codeMap[c]=65535&f}a.pos=l}return a.encode=function(a,b){var c,d,f,g,h,i,j,k,l,m,n,o,p,q,r,s,t,u,v,w,x,y,z,A,B,C,D,E,F,G,H,I,J,K,L,M,N,O,P,Q,R,S,T,U,V,W,X;switch(F=new e,g=Object.keys(a).sort(function(a,b){return a-b}),b){case"macroman":for(p=0,q=function(){var a,b;for(b=[],o=a=0;256>a;o=++a)b.push(0);return b}(),s={0:0},f={},G=0,K=g.length;K>G;G++)d=g[G],null==s[U=a[d]]&&(s[U]=++p),f[d]={old:a[d],"new":s[a[d]]},q[d]=s[a[d]];return F.writeUInt16(1),F.writeUInt16(0),F.writeUInt32(12),F.writeUInt16(0),F.writeUInt16(262),F.writeUInt16(0),F.write(q),y={charMap:f,subtable:F.data,maxGlyphID:p+1};case"unicode":for(D=[],l=[],t=0,s={},c={},r=j=null,H=0,L=g.length;L>H;H++)d=g[H],v=a[d],null==s[v]&&(s[v]=++t),c[d]={old:v,"new":s[v]},h=s[v]-d,null!=r&&h===j||(r&&l.push(r),D.push(d),j=h),r=d;for(r&&l.push(r),l.push(65535),D.push(65535),A=D.length,B=2*A,z=2*Math.pow(Math.log(A)/Math.LN2,2),m=Math.log(z/2)/Math.LN2,x=2*A-z,i=[],w=[],n=[],o=I=0,M=D.length;M>I;o=++I){if(C=D[o],k=l[o],65535===C){i.push(0),w.push(0);break}if(E=c[C]["new"],C-E>=32768)for(i.push(0),w.push(2*(n.length+A-o)),d=J=C;k>=C?k>=J:J>=k;d=k>=C?++J:--J)n.push(c[d]["new"]);else i.push(E-C),w.push(0)}for(F.writeUInt16(3),F.writeUInt16(1),F.writeUInt32(12),F.writeUInt16(4),F.writeUInt16(16+8*A+2*n.length),F.writeUInt16(0),F.writeUInt16(B),F.writeUInt16(z),F.writeUInt16(m),F.writeUInt16(x),S=0,N=l.length;N>S;S++)d=l[S],F.writeUInt16(d);for(F.writeUInt16(0),T=0,O=D.length;O>T;T++)d=D[T],F.writeUInt16(d);for(V=0,P=i.length;P>V;V++)h=i[V],F.writeUInt16(h);for(W=0,Q=w.length;Q>W;W++)u=w[W],F.writeUInt16(u);for(X=0,R=n.length;R>X;X++)p=n[X],F.writeUInt16(p);return y={charMap:c,subtable:F.data,maxGlyphID:t+1}}},a}(),a.exports=d}).call(this)},function(a,b,c){(function(){var b,d,e,f={}.hasOwnProperty,g=function(a,b){function c(){this.constructor=a}for(var d in b)f.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};e=c(76),b=c(72),d=function(a){function c(){return c.__super__.constructor.apply(this,arguments)}return g(c,a),c.prototype.tag="hmtx",c.prototype.parse=function(a){var b,c,d,e,f,g,h,i;for(a.pos=this.offset,this.metrics=[],b=f=0,h=this.file.hhea.numberOfMetrics;h>=0?h>f:f>h;b=h>=0?++f:--f)this.metrics.push({advance:a.readUInt16(),lsb:a.readInt16()});for(d=this.file.maxp.numGlyphs-this.file.hhea.numberOfMetrics,this.leftSideBearings=function(){var c,e;for(e=[],b=c=0;d>=0?d>c:c>d;b=d>=0?++c:--c)e.push(a.readInt16());return e}(),this.widths=function(){var a,b,c,d;for(c=this.metrics,d=[],a=0,b=c.length;b>a;a++)e=c[a],d.push(e.advance);return d}.call(this),c=this.widths[this.widths.length-1],i=[],b=g=0;d>=0?d>g:g>d;b=d>=0?++g:--g)i.push(this.widths.push(c));return i},c.prototype.forGlyph=function(a){var b;return a in this.metrics?this.metrics[a]:b={advance:this.metrics[this.metrics.length-1].advance,lsb:this.leftSideBearings[a-this.metrics.length]}},c.prototype.encode=function(a){var c,d,e,f,g;for(e=new b,f=0,g=a.length;g>f;f++)c=a[f],d=this.forGlyph(c),e.writeUInt16(d.advance),e.writeUInt16(d.lsb);return e.data},c}(e),a.exports=d}).call(this)},function(a,b,c){(function(){var b,d,e,f={}.hasOwnProperty,g=function(a,b){function c(){this.constructor=a}for(var d in b)f.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};e=c(76),b=c(72),d=function(a){function c(){return c.__super__.constructor.apply(this,arguments)}return g(c,a),c.prototype.tag="hhea",c.prototype.parse=function(a){return a.pos=this.offset,this.version=a.readInt(),this.ascender=a.readShort(),this.decender=a.readShort(),this.lineGap=a.readShort(),this.advanceWidthMax=a.readShort(),this.minLeftSideBearing=a.readShort(),this.minRightSideBearing=a.readShort(),this.xMaxExtent=a.readShort(),this.caretSlopeRise=a.readShort(),this.caretSlopeRun=a.readShort(),this.caretOffset=a.readShort(),a.pos+=8,this.metricDataFormat=a.readShort(),this.numberOfMetrics=a.readUInt16()},c.prototype.encode=function(a){var c,d,e,f;for(d=new b,d.writeInt(this.version),d.writeShort(this.ascender),d.writeShort(this.decender),d.writeShort(this.lineGap),d.writeShort(this.advanceWidthMax),d.writeShort(this.minLeftSideBearing),d.writeShort(this.minRightSideBearing),d.writeShort(this.xMaxExtent),d.writeShort(this.caretSlopeRise),d.writeShort(this.caretSlopeRun),d.writeShort(this.caretOffset),c=e=0,f=8;f>=0?f>e:e>f;c=f>=0?++e:--e)d.writeByte(0);return d.writeShort(this.metricDataFormat),d.writeUInt16(a.length),d.data},c}(e),a.exports=d}).call(this)},function(a,b,c){(function(){var b,d,e,f={}.hasOwnProperty,g=function(a,b){function c(){this.constructor=a}for(var d in b)f.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};e=c(76),b=c(72),d=function(a){function c(){return c.__super__.constructor.apply(this,arguments)}return g(c,a),c.prototype.tag="maxp",c.prototype.parse=function(a){return a.pos=this.offset,this.version=a.readInt(),this.numGlyphs=a.readUInt16(),this.maxPoints=a.readUInt16(),this.maxContours=a.readUInt16(),this.maxCompositePoints=a.readUInt16(),this.maxComponentContours=a.readUInt16(),this.maxZones=a.readUInt16(),this.maxTwilightPoints=a.readUInt16(),this.maxStorage=a.readUInt16(),this.maxFunctionDefs=a.readUInt16(),this.maxInstructionDefs=a.readUInt16(),this.maxStackElements=a.readUInt16(),this.maxSizeOfInstructions=a.readUInt16(),this.maxComponentElements=a.readUInt16(),this.maxComponentDepth=a.readUInt16()},c.prototype.encode=function(a){var c;return c=new b,c.writeInt(this.version),c.writeUInt16(a.length),c.writeUInt16(this.maxPoints),c.writeUInt16(this.maxContours),c.writeUInt16(this.maxCompositePoints),c.writeUInt16(this.maxComponentContours),c.writeUInt16(this.maxZones),c.writeUInt16(this.maxTwilightPoints),c.writeUInt16(this.maxStorage),c.writeUInt16(this.maxFunctionDefs),c.writeUInt16(this.maxInstructionDefs),c.writeUInt16(this.maxStackElements),c.writeUInt16(this.maxSizeOfInstructions),c.writeUInt16(this.maxComponentElements),c.writeUInt16(this.maxComponentDepth),c.data},c}(e),a.exports=d}).call(this)},function(a,b,c){(function(){var b,d,e,f={}.hasOwnProperty,g=function(a,b){function c(){this.constructor=a}for(var d in b)f.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};e=c(76),b=c(72),d=function(a){function c(){return c.__super__.constructor.apply(this,arguments)}var d;return g(c,a),c.prototype.tag="post",c.prototype.parse=function(a){var b,c,d,e,f;switch(a.pos=this.offset,this.format=a.readInt(),this.italicAngle=a.readInt(),this.underlinePosition=a.readShort(),this.underlineThickness=a.readShort(),this.isFixedPitch=a.readInt(),this.minMemType42=a.readInt(),this.maxMemType42=a.readInt(),this.minMemType1=a.readInt(),this.maxMemType1=a.readInt(),this.format){case 65536:break;case 131072:for(d=a.readUInt16(),this.glyphNameIndex=[],b=e=0;d>=0?d>e:e>d;b=d>=0?++e:--e)this.glyphNameIndex.push(a.readUInt16());for(this.names=[],f=[];a.pos=0?d>c:c>d;b=d>=0?++c:--c)e.push(a.readUInt32());return e}.call(this)}},c.prototype.glyphFor=function(a){var b;switch(this.format){case 65536:return d[a]||".notdef";case 131072:return b=this.glyphNameIndex[a],257>=b?d[b]:this.names[b-258]||".notdef";case 151552:return d[a+this.offsets[a]]||".notdef";case 196608:return".notdef";case 262144:return this.map[a]||65535}},c.prototype.encode=function(a){var c,e,f,g,h,i,j,k,l,m,n,o,p,q,r;if(!this.exists)return null;if(i=this.raw(),196608===this.format)return i;for(l=new b(i.slice(0,32)),l.writeUInt32(131072),l.pos=32,f=[],k=[],m=0,p=a.length;p>m;m++)c=a[m],h=this.glyphFor(c),g=d.indexOf(h),-1!==g?f.push(g):(f.push(257+k.length),k.push(h));for(l.writeUInt16(Object.keys(a).length),n=0,q=f.length;q>n;n++)e=f[n],l.writeUInt16(e);for(o=0,r=k.length;r>o;o++)j=k[o],l.writeByte(j.length),l.writeString(j);return l.data},d=".notdef .null nonmarkingreturn space exclam quotedbl numbersign dollar percent\nampersand quotesingle parenleft parenright asterisk plus comma hyphen period slash\nzero one two three four five six seven eight nine colon semicolon less equal greater\nquestion at A B C D E F G H I J K L M N O P Q R S T U V W X Y Z\nbracketleft backslash bracketright asciicircum underscore grave\na b c d e f g h i j k l m n o p q r s t u v w x y z\nbraceleft bar braceright asciitilde Adieresis Aring Ccedilla Eacute Ntilde Odieresis\nUdieresis aacute agrave acircumflex adieresis atilde aring ccedilla eacute egrave\necircumflex edieresis iacute igrave icircumflex idieresis ntilde oacute ograve\nocircumflex odieresis otilde uacute ugrave ucircumflex udieresis dagger degree cent\nsterling section bullet paragraph germandbls registered copyright trademark acute\ndieresis notequal AE Oslash infinity plusminus lessequal greaterequal yen mu\npartialdiff summation product pi integral ordfeminine ordmasculine Omega ae oslash\nquestiondown exclamdown logicalnot radical florin approxequal Delta guillemotleft\nguillemotright ellipsis nonbreakingspace Agrave Atilde Otilde OE oe endash emdash\nquotedblleft quotedblright quoteleft quoteright divide lozenge ydieresis Ydieresis\nfraction currency guilsinglleft guilsinglright fi fl daggerdbl periodcentered\nquotesinglbase quotedblbase perthousand Acircumflex Ecircumflex Aacute Edieresis\nEgrave Iacute Icircumflex Idieresis Igrave Oacute Ocircumflex apple Ograve Uacute\nUcircumflex Ugrave dotlessi circumflex tilde macron breve dotaccent ring cedilla\nhungarumlaut ogonek caron Lslash lslash Scaron scaron Zcaron zcaron brokenbar Eth\neth Yacute yacute Thorn thorn minus multiply onesuperior twosuperior threesuperior\nonehalf onequarter threequarters franc Gbreve gbreve Idotaccent Scedilla scedilla\nCacute cacute Ccaron ccaron dcroat".split(/\s+/g),c}(e),a.exports=d}).call(this)},function(a,b,c){(function(){var b,d,e={}.hasOwnProperty,f=function(a,b){function c(){this.constructor=a}for(var d in b)e.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};d=c(76),b=function(a){function b(){return b.__super__.constructor.apply(this,arguments)}return f(b,a),b.prototype.tag="OS/2",b.prototype.parse=function(a){var b;return a.pos=this.offset,this.version=a.readUInt16(),this.averageCharWidth=a.readShort(),this.weightClass=a.readUInt16(),this.widthClass=a.readUInt16(),this.type=a.readShort(),this.ySubscriptXSize=a.readShort(),this.ySubscriptYSize=a.readShort(),this.ySubscriptXOffset=a.readShort(),this.ySubscriptYOffset=a.readShort(),this.ySuperscriptXSize=a.readShort(),this.ySuperscriptYSize=a.readShort(),this.ySuperscriptXOffset=a.readShort(),this.ySuperscriptYOffset=a.readShort(),this.yStrikeoutSize=a.readShort(),this.yStrikeoutPosition=a.readShort(),this.familyClass=a.readShort(),this.panose=function(){var c,d;for(d=[],b=c=0;10>c;b=++c)d.push(a.readByte());return d}(),this.charRange=function(){var c,d;for(d=[],b=c=0;4>c;b=++c)d.push(a.readInt());return d}(),this.vendorID=a.readString(4),this.selection=a.readShort(),this.firstCharIndex=a.readShort(),this.lastCharIndex=a.readShort(),this.version>0&&(this.ascent=a.readShort(),this.descent=a.readShort(),this.lineGap=a.readShort(),this.winAscent=a.readShort(),this.winDescent=a.readShort(),this.codePageRange=function(){var c,d;for(d=[],b=c=0;2>c;b=++c)d.push(a.readInt());return d}(),this.version>1)?(this.xHeight=a.readShort(),this.capHeight=a.readShort(),this.defaultChar=a.readShort(),this.breakChar=a.readShort(),this.maxContext=a.readShort()):void 0},b.prototype.encode=function(){return this.raw()},b}(d),a.exports=b}).call(this)},function(a,b,c){(function(){var b,d,e,f={}.hasOwnProperty,g=function(a,b){function c(){this.constructor=a}for(var d in b)f.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};e=c(76),b=c(72),d=function(a){function c(){return c.__super__.constructor.apply(this,arguments)}return g(c,a),c.prototype.tag="loca",c.prototype.parse=function(a){var b,c;return a.pos=this.offset,b=this.file.head.indexToLocFormat,0===b?this.offsets=function(){var b,d,e;for(e=[],c=b=0,d=this.length;d>b;c=b+=2)e.push(2*a.readUInt16());return e}.call(this):this.offsets=function(){var b,d,e;for(e=[],c=b=0,d=this.length;d>b;c=b+=4)e.push(a.readUInt32());return e}.call(this)},c.prototype.indexOf=function(a){return this.offsets[a]},c.prototype.lengthOf=function(a){return this.offsets[a+1]-this.offsets[a]},c.prototype.encode=function(a){var c,d,e,f,g,h,i,j,k,l,m;for(f=new b,g=0,j=a.length;j>g;g++)if(d=a[g],d>65535){for(m=this.offsets,h=0,k=m.length;k>h;h++)c=m[h],f.writeUInt32(c);return e={format:1,table:f.data}}for(i=0,l=a.length;l>i;i++)c=a[i],f.writeUInt16(c/2);return e={format:0,table:f.data}},c}(e),a.exports=d}).call(this)},function(a,b,c){(function(){var b,d,e,f,g,h={}.hasOwnProperty,i=function(a,b){function c(){this.constructor=a}for(var d in b)h.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a},j=[].slice;g=c(76),d=c(72),e=function(a){function c(){return c.__super__.constructor.apply(this,arguments)}return i(c,a),c.prototype.tag="glyf",c.prototype.parse=function(a){return this.cache={}},c.prototype.glyphFor=function(a){var c,e,g,h,i,j,k,l,m,n;return a in this.cache?this.cache[a]:(h=this.file.loca,c=this.file.contents,e=h.indexOf(a),g=h.lengthOf(a),0===g?this.cache[a]=null:(c.pos=this.offset+e,j=new d(c.read(g)),i=j.readShort(),l=j.readShort(),n=j.readShort(),k=j.readShort(),m=j.readShort(),-1===i?this.cache[a]=new b(j,l,n,k,m):this.cache[a]=new f(j,i,l,n,k,m),this.cache[a]))},c.prototype.encode=function(a,b,c){var d,e,f,g,h,i;for(g=[],f=[],h=0,i=b.length;i>h;h++)e=b[h],d=a[e],f.push(g.length),d&&(g=g.concat(d.encode(c)));return f.push(g.length),{table:g,offsets:f}},c}(g),f=function(){function a(a,b,c,d,e,f){this.raw=a,this.numberOfContours=b,this.xMin=c,this.yMin=d,this.xMax=e,this.yMax=f,this.compound=!1}return a.prototype.encode=function(){return this.raw.data},a}(),b=function(){function a(a,d,h,i,j){var k,l;for(this.raw=a,this.xMin=d,this.yMin=h,this.xMax=i,this.yMax=j,this.compound=!0,this.glyphIDs=[],this.glyphOffsets=[],k=this.raw;;){if(l=k.readShort(),this.glyphOffsets.push(k.pos),this.glyphIDs.push(k.readShort()),!(l&c))break;l&b?k.pos+=4:k.pos+=2,l&g?k.pos+=8:l&e?k.pos+=4:l&f&&(k.pos+=2)}}var b,c,e,f,g,h;return b=1,f=8,c=32,e=64,g=128,h=256,a.prototype.encode=function(a){var b,c,e,f,g,h;for(e=new d(j.call(this.raw.data)),h=this.glyphIDs,b=f=0,g=h.length;g>f;b=++f)c=h[b],e.pos=this.glyphOffsets[b],e.writeShort(a[c]);return e.data},a}(),a.exports=e}).call(this)},function(a,b,c){(function(){var b,d;d=c(44),b=function(){function a(a){var b,d;this.contents=a,this.attributes={},this.glyphWidths={},this.boundingBoxes={},this.parse(),this.charWidths=function(){var a,b;for(b=[],d=a=0;255>=a;d=++a)b.push(this.glyphWidths[c[d]]);return b}.call(this),this.bbox=function(){var a,c,d,e;for(d=this.attributes.FontBBox.split(/\s+/),e=[],a=0,c=d.length;c>a;a++)b=d[a],e.push(+b);return e}.call(this),this.ascender=+(this.attributes.Ascender||0),this.decender=+(this.attributes.Descender||0),this.lineGap=this.bbox[3]-this.bbox[1]-(this.ascender-this.decender)}var b,c;return a.open=function(b){return new a(d.readFileSync(b,"utf8"))},a.prototype.parse=function(){var a,b,c,d,e,f,g,h,i,j;for(f="",j=this.contents.split("\n"),h=0,i=j.length;i>h;h++)if(c=j[h],d=c.match(/^Start(\w+)/))f=d[1];else if(d=c.match(/^End(\w+)/))f="";else switch(f){case"FontMetrics":d=c.match(/(^\w+)\s+(.*)/),b=d[1],g=d[2],(a=this.attributes[b])?(Array.isArray(a)||(a=this.attributes[b]=[a]),a.push(g)):this.attributes[b]=g;break;case"CharMetrics":if(!/^CH?\s/.test(c))continue;e=c.match(/\bN\s+(\.?\w+)\s*;/)[1],this.glyphWidths[e]=+c.match(/\bWX\s+(\d+)\s*;/)[1]}},b={402:131,8211:150,8212:151,8216:145,8217:146,8218:130,8220:147,8221:148,8222:132,8224:134,8225:135,8226:149,8230:133,8364:128,8240:137,8249:139,8250:155,710:136,8482:153,338:140,339:156,732:152,352:138,353:154,376:159,381:142,382:158},a.prototype.encodeText=function(a){var c,d,e,f,g;for(e="",d=f=0,g=a.length;g>=0?g>f:f>g;d=g>=0?++f:--f)c=a.charCodeAt(d),c=b[c]||c,e+=String.fromCharCode(c);return e},a.prototype.characterToGlyph=function(a){return c[b[a]||a]},a.prototype.widthOfGlyph=function(a){return this.glyphWidths[a]},c=".notdef .notdef .notdef .notdef\n.notdef .notdef .notdef .notdef\n.notdef .notdef .notdef .notdef\n.notdef .notdef .notdef .notdef\n.notdef .notdef .notdef .notdef\n.notdef .notdef .notdef .notdef\n.notdef .notdef .notdef .notdef\n.notdef .notdef .notdef .notdef\n\nspace exclam quotedbl numbersign\ndollar percent ampersand quotesingle\nparenleft parenright asterisk plus\ncomma hyphen period slash\nzero one two three\nfour five six seven\neight nine colon semicolon\nless equal greater question\n\nat A B C\nD E F G\nH I J K\nL M N O\nP Q R S\nT U V W\nX Y Z bracketleft\nbackslash bracketright asciicircum underscore\n\ngrave a b c\nd e f g\nh i j k\nl m n o\np q r s\nt u v w\nx y z braceleft\nbar braceright asciitilde .notdef\n\nEuro .notdef quotesinglbase florin\nquotedblbase ellipsis dagger daggerdbl\ncircumflex perthousand Scaron guilsinglleft\nOE .notdef Zcaron .notdef\n.notdef quoteleft quoteright quotedblleft\nquotedblright bullet endash emdash\ntilde trademark scaron guilsinglright\noe .notdef zcaron ydieresis\n\nspace exclamdown cent sterling\ncurrency yen brokenbar section\ndieresis copyright ordfeminine guillemotleft\nlogicalnot hyphen registered macron\ndegree plusminus twosuperior threesuperior\nacute mu paragraph periodcentered\ncedilla onesuperior ordmasculine guillemotright\nonequarter onehalf threequarters questiondown\n\nAgrave Aacute Acircumflex Atilde\nAdieresis Aring AE Ccedilla\nEgrave Eacute Ecircumflex Edieresis\nIgrave Iacute Icircumflex Idieresis\nEth Ntilde Ograve Oacute\nOcircumflex Otilde Odieresis multiply\nOslash Ugrave Uacute Ucircumflex\nUdieresis Yacute Thorn germandbls\n\nagrave aacute acircumflex atilde\nadieresis aring ae ccedilla\negrave eacute ecircumflex edieresis\nigrave iacute icircumflex idieresis\neth ntilde ograve oacute\nocircumflex otilde odieresis divide\noslash ugrave uacute ucircumflex\nudieresis yacute thorn ydieresis".split(/\s+/),a}(),a.exports=b}).call(this)},function(a,b,c){(function(){var b,d,e,f=[].indexOf||function(a){for(var b=0,c=this.length;c>b;b++)if(b in this&&this[b]===a)return b;return-1};b=c(79),e=c(77),d=function(){function a(a){this.font=a,this.subset={},this.unicodes={},this.next=33}return a.prototype.use=function(a){var b,c,d;{if("string"!=typeof a)return this.unicodes[a]?void 0:(this.subset[this.next]=a,this.unicodes[a]=this.next++);for(b=c=0,d=a.length;d>=0?d>c:c>d;b=d>=0?++c:--c)this.use(a.charCodeAt(b))}},a.prototype.encodeText=function(a){var b,c,d,e,f;for(d="",c=e=0,f=a.length;f>=0?f>e:e>f;c=f>=0?++e:--e)b=this.unicodes[a.charCodeAt(c)],d+=String.fromCharCode(b);return d},a.prototype.generateCmap=function(){var a,b,c,d,e;d=this.font.cmap.tables[0].codeMap,a={},e=this.subset;for(b in e)c=e[b],a[b]=d[c];return a},a.prototype.glyphIDs=function(){var a,b,c,d,e,g;d=this.font.cmap.tables[0].codeMap,a=[0],g=this.subset;for(b in g)c=g[b],e=d[c],null!=e&&f.call(a,e)<0&&a.push(e);return a.sort()},a.prototype.glyphsFor=function(a){var b,c,d,e,f,g,h;for(d={},f=0,g=a.length;g>f;f++)e=a[f],d[e]=this.font.glyf.glyphFor(e);b=[];for(e in d)c=d[e],(null!=c?c.compound:void 0)&&b.push.apply(b,c.glyphIDs);if(b.length>0){h=this.glyphsFor(b);for(e in h)c=h[e],d[e]=c}return d},a.prototype.encode=function(){var a,c,d,f,g,h,i,j,k,l,m,n,o,p,q,r,s;a=b.encode(this.generateCmap(),"unicode"),f=this.glyphsFor(this.glyphIDs()),n={0:0},r=a.charMap;for(c in r)h=r[c],n[h.old]=h["new"];m=a.maxGlyphID;for(o in f)o in n||(n[o]=m++);k=e.invert(n),l=Object.keys(k).sort(function(a,b){return a-b}),p=function(){var a,b,c;for(c=[],a=0,b=l.length;b>a;a++)g=l[a],c.push(k[g]);return c}(),d=this.font.glyf.encode(f,p,n),i=this.font.loca.encode(d.offsets),j=this.font.name.encode(),this.postscriptName=j.postscriptName,this.cmap={},s=a.charMap;for(c in s)h=s[c],this.cmap[c]=h.old;return q={cmap:a.table,glyf:d.table,loca:i.table,hmtx:this.font.hmtx.encode(p),hhea:this.font.hhea.encode(p),maxp:this.font.maxp.encode(p),post:this.font.post.encode(p),name:j.table,head:this.font.head.encode(i)},this.font.os2.exists&&(q["OS/2"]=this.font.os2.raw()),this.font.directory.encode(q)},a}(),a.exports=d}).call(this)},function(a,b,c){(function(){var b;b=c(90),a.exports={initText:function(){return this.x=0,this.y=0,this._lineGap=0},lineGap:function(a){return this._lineGap=a,this},moveDown:function(a){return null==a&&(a=1),this.y+=this.currentLineHeight(!0)*a+this._lineGap,this},moveUp:function(a){return null==a&&(a=1),this.y-=this.currentLineHeight(!0)*a+this._lineGap,this},_text:function(a,c,d,e,f){var g,h,i,j,k;if(e=this._initOptions(c,d,e),a=""+a,e.wordSpacing&&(a=a.replace(/\s{2,}/g," ")),e.width)h=this._wrapper,h||(h=new b(this,e),h.on("line",f)),this._wrapper=e.continued?h:null,this._textOptions=e.continued?e:null,h.wrap(a,e);else for(k=a.split("\n"),i=0,j=k.length;j>i;i++)g=k[i],f(g,e);return this},text:function(a,b,c,d){return this._text(a,b,c,d,this._line.bind(this))},widthOfString:function(a,b){return null==b&&(b={}),this._font.widthOfString(a,this._fontSize)+(b.characterSpacing||0)*(a.length-1)},heightOfString:function(a,b){var c,d,e,f;return null==b&&(b={}),e=this.x,f=this.y,b=this._initOptions(b),b.height=1/0,d=b.lineGap||this._lineGap||0,this._text(a,this.x,this.y,b,function(a){return function(b,c){return a.y+=a.currentLineHeight(!0)+d}}(this)),c=this.y-f,this.x=e,this.y=f,c},list:function(a,c,d,e,f){var g,h,i,j,k,l,m,n;return e=this._initOptions(c,d,e),n=Math.round(this._font.ascender/1e3*this._fontSize/3),i=e.textIndent||5*n,j=e.bulletIndent||8*n,l=1,k=[],m=[],g=function(a){var b,c,d,e,f;for(f=[],b=d=0,e=a.length;e>d;b=++d)c=a[b],Array.isArray(c)?(l++,g(c),f.push(l--)):(k.push(c),f.push(m.push(l)));return f},g(a),f=new b(this,e),f.on("line",this._line.bind(this)),l=1,h=0,f.on("firstLine",function(a){return function(){var b,c;return(c=m[h++])!==l&&(b=j*(c-l),a.x+=b,f.lineWidth-=b,l=c),a.circle(a.x-i+n,a.y+n+n/2,n),a.fill()}}(this)),f.on("sectionStart",function(a){return function(){var b;return b=i+j*(l-1),a.x+=b,f.lineWidth-=b}}(this)),f.on("sectionEnd",function(a){return function(){var b;return b=i+j*(l-1),a.x-=b,f.lineWidth+=b}}(this)),f.wrap(k.join("\n"),e),this},_initOptions:function(a,b,c){var d,e,f,g;if(null==a&&(a={}),null==c&&(c={}),"object"==typeof a&&(c=a,a=null),c=function(){var a,b,d;b={};for(a in c)d=c[a],b[a]=d;return b}(),this._textOptions){g=this._textOptions;for(d in g)f=g[d],"continued"!==d&&null==c[d]&&(c[d]=f)}return null!=a&&(this.x=a),null!=b&&(this.y=b),c.lineBreak!==!1&&(e=this.page.margins,null==c.width&&(c.width=this.page.width-this.x-e.right)),c.columns||(c.columns=0),null==c.columnGap&&(c.columnGap=18),c},_line:function(a,b,c){var d;return null==b&&(b={}),this._fragment(a,this.x,this.y,b),d=b.lineGap||this._lineGap||0,c?this.y+=this.currentLineHeight(!0)+d:this.x+=this.widthOfString(a)},_fragment:function(a,b,c,d){var e,f,g,h,i,j,k,l,m,n,o,p,q,r,s,t,u,v,w;if(a=""+a,0!==a.length){if(e=d.align||"left",r=d.wordSpacing||0,f=d.characterSpacing||0,d.width)switch(e){case"right":p=this.widthOfString(a.replace(/\s+$/,""),d),b+=d.lineWidth-p;break;case"center":b+=d.lineWidth/2-d.textWidth/2;break;case"justify":s=a.trim().split(/\s+/),p=this.widthOfString(a.replace(/\s+/g,""),d),o=this.widthOfString(" ")+f,r=Math.max(0,(d.lineWidth-p)/Math.max(1,s.length-1)-o)}if(n=d.textWidth+r*(d.wordCount-1)+f*(a.length-1),d.link&&this.link(b,c,n,this.currentLineHeight(),d.link),(d.underline||d.strike)&&(this.save(),d.stroke||this.strokeColor.apply(this,this._fillColor),k=this._fontSize<10?.5:Math.floor(this._fontSize/10),this.lineWidth(k),h=d.underline?1:2,l=c+this.currentLineHeight()/h,d.underline&&(l-=k),this.moveTo(b,l),this.lineTo(b+n,l),this.stroke(),this.restore()),this.save(),this.transform(1,0,0,-1,0,this.page.height),c=this.page.height-c-this._font.ascender/1e3*this._fontSize,null==(t=this.page.fonts)[w=this._font.id]&&(t[w]=this._font.ref()),this._font.use(a),this.addContent("BT"),this.addContent(""+b+" "+c+" Td"),this.addContent("/"+this._font.id+" "+this._fontSize+" Tf"),m=d.fill&&d.stroke?2:d.stroke?1:0,m&&this.addContent(""+m+" Tr"),f&&this.addContent(""+f+" Tc"),r){for(s=a.trim().split(/\s+/),r+=this.widthOfString(" ")+f,r*=1e3/this._fontSize,g=[],u=0,v=s.length;v>u;u++)q=s[u],i=this._font.encode(q),i=function(){var a,b,c;for(c=[],j=a=0,b=i.length;b>a;j=a+=1)c.push(i.charCodeAt(j).toString(16));return c}().join(""),g.push("<"+i+"> "+-r);this.addContent("["+g.join(" ")+"] TJ")}else i=this._font.encode(a),i=function(){var a,b,c;for(c=[],j=a=0,b=i.length;b>a;j=a+=1)c.push(i.charCodeAt(j).toString(16));return c}().join(""),this.addContent("<"+i+"> Tj");return this.addContent("ET"),this.restore()}}}}).call(this)},function(a,b,c){(function(){var b,d,e,f={}.hasOwnProperty,g=function(a,b){function c(){this.constructor=a}for(var d in b)f.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};b=c(26).EventEmitter,d=c(91),e=function(a){function b(a,b){var c;this.document=a,this.indent=b.indent||0,this.characterSpacing=b.characterSpacing||0,this.wordSpacing=0===b.wordSpacing,this.columns=b.columns||1,this.columnGap=null!=(c=b.columnGap)?c:18,this.lineWidth=(b.width-this.columnGap*(this.columns-1))/this.columns,this.spaceLeft=this.lineWidth,this.startX=this.document.x,this.startY=this.document.y,this.column=1,this.ellipsis=b.ellipsis,this.continuedX=0,null!=b.height?(this.height=b.height,this.maxY=this.startY+b.height):this.maxY=this.document.page.maxY(),this.on("firstLine",function(a){return function(b){var c;return c=a.continuedX||a.indent,a.document.x+=c,a.lineWidth-=c,a.once("line",function(){return a.document.x-=c,a.lineWidth+=c,b.continued&&!a.continuedX&&(a.continuedX=a.indent),b.continued?void 0:a.continuedX=0})}}(this)),this.on("lastLine",function(a){return function(b){var c;return c=b.align,"justify"===c&&(b.align="left"),a.lastLine=!0,a.once("line",function(){return a.document.y+=b.paragraphGap||0,b.align=c,a.lastLine=!1})}}(this))}return g(b,a),b.prototype.wordWidth=function(a){return this.document.widthOfString(a,this)+this.characterSpacing+this.wordSpacing},b.prototype.eachWord=function(a,b){var c,e,f,g,h,i,j,k,l,m;for(e=new d(a),h=null,m={};c=e.nextBreak();){if(l=a.slice((null!=h?h.position:void 0)||0,c.position),k=null!=m[l]?m[l]:m[l]=this.wordWidth(l),k>this.lineWidth+this.continuedX)for(i=h,f={};l.length;){for(g=l.length;k>this.spaceLeft;)k=this.wordWidth(l.slice(0,--g));if(f.required=gthis.maxY||f>this.maxY)&&this.nextSection(),c="",g=0,h=0,e=0,i=this.document.y,d=function(a){return function(){return b.textWidth=g+a.wordSpacing*(h-1),b.wordCount=h,b.lineWidth=a.lineWidth,i=a.document.y,a.emit("line",c,b,a),e++}}(this),this.emit("sectionStart",b,this),this.eachWord(a,function(a){return function(e,f,i,j){var k,l;if((null==j||j.required)&&(a.emit("firstLine",b,a),a.spaceLeft=a.lineWidth),f<=a.spaceLeft&&(c+=e,g+=f,h++),i.required||f>a.spaceLeft){if(i.required&&a.emit("lastLine",b,a),k=a.document.currentLineHeight(!0),null!=a.height&&a.ellipsis&&a.document.y+2*k>a.maxY&&a.column>=a.columns){for(a.ellipsis===!0&&(a.ellipsis="…"),c=c.replace(/\s+$/,""),g=a.wordWidth(c+a.ellipsis);g>a.lineWidth;)c=c.slice(0,-1).replace(/\s+$/,""),g=a.wordWidth(c+a.ellipsis);c+=a.ellipsis}return d(),a.document.y+k>a.maxY&&(l=a.nextSection(),!l)?(h=0,c="",!1):i.required?(f>a.spaceLeft&&(c=e,g=f,h=1,d()),a.spaceLeft=a.lineWidth,c="",g=0,h=0):(a.spaceLeft=a.lineWidth-f,c=e,g=f,h=1)}return a.spaceLeft-=f}}(this)),h>0&&(this.emit("lastLine",b,this),d()),this.emit("sectionEnd",b,this),b.continued===!0?(e>1&&(this.continuedX=0),this.continuedX+=b.textWidth,this.document.y=i):this.document.x=this.startX},b.prototype.nextSection=function(a){var b;if(this.emit("sectionEnd",a,this),++this.column>this.columns){if(null!=this.height)return!1;this.document.addPage(),this.column=1,this.startY=this.document.page.margins.top,this.maxY=this.document.page.maxY(),this.document.x=this.startX,this.document._fillColor&&(b=this.document).fillColor.apply(b,this.document._fillColor),this.emit("pageBreak",a,this)}else this.document.x+=this.lineWidth+this.columnGap,this.document.y=this.startY,this.emit("columnBreak",a,this);return this.emit("sectionStart",a,this),!0},b}(b),a.exports=e}).call(this)},function(a,b,c){(function(){var b,d,e,f,g,h,i,j,k,l,m,n,o,p,q,r,s,t,u,v,w,x,y,z,A,B,C,D;w=c(92),A=new w(c(93)),C=c(94),f=C.BK,k=C.CR,o=C.LF,q=C.NL,g=C.CB,e=C.BA,v=C.SP,x=C.WJ,v=C.SP,f=C.BK,o=C.LF,q=C.NL,b=C.AI,d=C.AL,t=C.SA,u=C.SG,y=C.XX,i=C.CJ,m=C.ID,r=C.NS,z=C.characterClasses,D=c(95),l=D.DI_BRK,n=D.IN_BRK,h=D.CI_BRK,j=D.CP_BRK,s=D.PR_BRK,B=D.pairTable,p=function(){function a(a){this.string=a,this.pos=0,this.lastPos=0,this.curClass=null,this.nextClass=null}var c,m,p;return a.prototype.nextCodePoint=function(){var a,b;return a=this.string.charCodeAt(this.pos++),b=this.string.charCodeAt(this.pos),a>=55296&&56319>=a&&b>=56320&&57343>=b?(this.pos++,1024*(a-55296)+(b-56320)+65536):a},m=function(a){switch(a){case b:return d;case t:case u:case y:return d;case i:return r;default:return a}},p=function(a){switch(a){case o:case q:return f;case g:return e;case v:return x;default:return a}},a.prototype.nextCharClass=function(a){return null==a&&(a=!1),m(A.get(this.nextCodePoint()))},c=function(){function a(a,b){this.position=a,this.required=null!=b?b:!1}return a}(),a.prototype.nextBreak=function(){var a,b,d; -for(null==this.curClass&&(this.curClass=p(this.nextCharClass()));this.pos=this.string.length?this.lastPos>n,g=1<>p,k=1024>>p,h=l+k,r=h,q=32,f=r+q,c=1<a||a>1114111?this.errorValue:55296>a||a>56319&&65535>=a?(b=(this.data[a>>p]<=a?(b=(this.data[l+(a-55296>>p)]<>n)],b=this.data[b+(a>>p&i)],b=(b<').value("columnTemplate",'').value("adfVersion","0.11.0"),angular.module("adf").directive("adfDashboardColumn",["$log","$compile","$rootScope","adfTemplatePath","rowTemplate","dashboard",function(a,b,c,d,e,f){function g(a,b,d){var e=b.widgets;a.$apply(function(){e.splice(d.newIndex,0,e.splice(d.oldIndex,1)[0]),c.$broadcast("adfWidgetMovedInColumn")})}function h(a,b){for(var c=null,d=0;d0)for(var c=a.widgets.shift();c;)b.widgets.push(c),c=a.widgets.shift()}function i(a,b,c){return c=c||0,angular.isDefined(a.rows)&&angular.forEach(a.rows,function(a){angular.forEach(a.columns,function(a){a.widgets||(a.widgets=[]),angular.isDefined(b[c])&&(angular.isDefined(a.rows)||(h(b[c],a),c++)),c=i(a,b,c)})}),c}function j(a,b){return b=b||[],angular.isDefined(a.rows)&&angular.forEach(a.rows,function(a){angular.forEach(a.columns,function(a){b.push(a),j(a,b)})}),b}function k(a,b){var c=j(a),d=0;for(a.rows=angular.copy(b.rows);d{}
            ',e='
            \n
            \n loading ...\n
            \n
            ',f=function(){return!0};this.widget=function(b,c){var d=angular.extend({reload:!1,frameless:!1},c);if(d.edit){var e={reload:!0,immediate:!1,apply:f};angular.extend(e,d.edit),d.edit=e}return a[b]=d,this},this.widgetsPath=function(a){return b=a,this},this.structure=function(a,b){return c[a]=b,this},this.messageTemplate=function(a){return d=a,this},this.loadingTemplate=function(a){return e=a,this},this.$get=function(){var f=0;return{widgets:a,widgetsPath:b,structures:c,messageTemplate:d,loadingTemplate:e,id:function(){return(new Date).getTime()+"-"+ ++f},idEquals:function(a,b){return a&&b&&a.toString()===b.toString()}}}}),angular.module("adf").directive("adfDashboardRow",["$compile","adfTemplatePath","columnTemplate",function(a,b,c){return{restrict:"E",replace:!0,scope:{row:"=",adfModel:"=",editMode:"=",continuousEditMode:"=",options:"="},templateUrl:b+"dashboard-row.html",link:function(b,d){angular.isDefined(b.row.columns)&&angular.isArray(b.row.columns)&&a(c)(b,function(a){d.append(a)})}}}]),angular.module("adf").directive("adfWidgetContent",["$log","$q","$sce","$http","$templateCache","$compile","$controller","$injector","dashboard",function(a,b,c,d,e,f,g,h,i){function j(a){var b=a;return a.indexOf("{widgetsPath}")>=0&&(b=a.replace("{widgetsPath}",i.widgetsPath).replace("//","/"),0===b.indexOf("/")&&(b=b.substring(1))),b}function k(a){var f=b.defer();if(a.template)f.resolve(a.template);else if(a.templateUrl){var g=e.get(a.templateUrl);if(g)f.resolve(g);else{var h=c.getTrustedResourceUrl(j(a.templateUrl));d.get(h).success(function(b){e.put(a.templateUrl,b),f.resolve(b)}).error(function(){f.reject("could not load template")})}}return f.promise}function l(c,d,e){var j=c.model,l=c.content;d.html(i.loadingTemplate);var m=c.$new();j.config||(j.config={}),m.config=j.config;var n={$scope:m,widget:j,config:j.config},o={};return o.$tpl=k(l),l.resolve&&angular.forEach(l.resolve,function(a,b){angular.isString(a)?o[b]=h.get(a):o[b]=h.invoke(a,a,n)}),b.all(o).then(function(a){angular.extend(a,n);var b=a.$tpl;if(d.html(b),l.controller){var c=g(l.controller,a);l.controllerAs&&(m[l.controllerAs]=c),d.children().data("$ngControllerController",c)}f(d.contents())(m)},function(b){var c="Could not resolve all promises";b&&(c+=": "+b),a.warn(c),d.html(i.messageTemplate.replace(/{}/g,c))}),e&&e.$destroy(),m}return{replace:!0,restrict:"EA",transclude:!1,scope:{model:"=",content:"="},link:function(a,b){var c=l(a,b,null);a.$on("widgetConfigChanged",function(){c=l(a,b,c)}),a.$on("widgetReload",function(){c=l(a,b,c)})}}}]),angular.module("adf").directive("adfWidget",["$injector","$q","$log","$uibModal","$rootScope","dashboard","adfTemplatePath",function(a,b,c,d,e,f,g){function h(a){var b=a.definition;if(b){var d=f.widgets[b.type];if(d){b.title||(b.title=d.title),b.titleTemplateUrl||(b.titleTemplateUrl=g+"widget-title.html",d.titleTemplateUrl&&(b.titleTemplateUrl=d.titleTemplateUrl)),b.titleTemplateUrl||(b.frameless=d.frameless),b.styleClass||(b.styleClass=d.styleClass),b.wid||(b.wid=f.id()),a.widget=angular.copy(d);var e=b.config;e?angular.isString(e)&&(e=angular.fromJson(e)):e={},a.config=e,a.widgetState||(a.widgetState={},a.widgetState.isCollapsed=d.collapsed===!0?d.collapsed:!1)}else c.warn("could not find widget "+b.type)}else c.debug("definition not specified, widget was probably removed")}function i(f,h){var i=f.definition;if(i){var j=function(){var a=f.col;if(a){var b=a.widgets.indexOf(i);b>=0&&a.widgets.splice(b,1)}h.remove(),e.$broadcast("adfWidgetRemovedFromColumn")};f.remove=function(){if(f.options.enableConfirmDelete){var a=f.$new(),b=g+"widget-delete.html";i.deleteTemplateUrl&&(b=i.deleteTemplateUrl);var c={scope:a,templateUrl:b,backdrop:"static"},e=d.open(c);a.closeDialog=function(){e.close(),a.$destroy()},a.deleteDialog=function(){j(),a.closeDialog()}}else j()},f.reload=function(){f.$broadcast("widgetReload")},f.edit=function(){function c(a){var c;if("boolean"==typeof a){var d=b.defer();a?d.resolve():d.reject(),c=d.promise}else c=b.when(a);return c}var e=f.$new();e.definition=angular.copy(i);var h=g+"widget-edit.html";i.editTemplateUrl&&(h=i.editTemplateUrl);var j={scope:e,templateUrl:h,backdrop:"static"},k=d.open(j);e.closeDialog=function(){k.close(),e.$destroy()},e.saveDialog=function(){e.validationError=null;var b=f.widget,d=b.edit.apply,g={widget:b,definition:e.definition,config:e.definition.config},h=a.invoke(d,d,g);c(h).then(function(){i.title=e.definition.title,angular.extend(i.config,e.definition.config),b.edit&&b.edit.reload&&f.$broadcast("widgetConfigChanged"),e.closeDialog()},function(a){a?e.validationError=a:e.validationError="Validation durring apply failed"})}}}else c.debug("widget not found")}return{replace:!0,restrict:"EA",transclude:!1,templateUrl:g+"widget.html",scope:{definition:"=",col:"=column",editMode:"=",options:"=",widgetState:"="},controller:["$scope",function(a){a.$on("adfDashboardCollapseExpand",function(b,c){a.widgetState.isCollapsed=c.collapseExpandStatus}),a.$on("adfWidgetEnterEditMode",function(b,c){f.idEquals(a.definition.wid,c.wid)&&a.edit()}),a.widgetClasses=function(b,c){var d=c.styleClass||"";return b.frameless&&!a.editMode||(d+=" panel panel-default"),d},a.openFullScreen=function(){var b=a.definition,c=a.$new(),e={scope:c,templateUrl:g+"widget-fullscreen.html",size:b.modalSize||"lg",backdrop:"static",windowClass:b.fullScreen?"dashboard-modal widget-fullscreen":"dashboard-modal"},f=d.open(e);c.closeDialog=function(){f.close(),c.$destroy()}}}],compile:function(){return{pre:h,post:i}}}}]),angular.module("adf").run(["$templateCache",function(a){a.put("../src/templates/dashboard-column.html",'
            '),a.put("../src/templates/dashboard-edit.html",' '),a.put("../src/templates/dashboard-row.html","
            "),a.put("../src/templates/dashboard-title.html",'

            {{model.title}}

            '),a.put("../src/templates/dashboard.html",'
            '),a.put("../src/templates/widget-add.html",' '),a.put("../src/templates/widget-delete.html",' '),a.put("../src/templates/widget-edit.html",'
            '),a.put("../src/templates/widget-fullscreen.html",' '),a.put("../src/templates/widget-title.html",'

            {{definition.title}}

            '),a.put("../src/templates/widget.html",'
            ')}])}(window),angular.module("adf.structures.base",["adf"]).config(["dashboardProvider",function(a){a.structure("6-6",{rows:[{columns:[{styleClass:"col-md-6"},{styleClass:"col-md-6"}]}]}).structure("4-8",{rows:[{columns:[{styleClass:"col-md-4",widgets:[]},{styleClass:"col-md-8",widgets:[]}]}]}).structure("12/4-4-4",{rows:[{columns:[{styleClass:"col-md-12"}]},{columns:[{styleClass:"col-md-4"},{styleClass:"col-md-4"},{styleClass:"col-md-4"}]}]}).structure("12/6-6",{rows:[{columns:[{styleClass:"col-md-12"}]},{columns:[{styleClass:"col-md-6"},{styleClass:"col-md-6"}]}]}).structure("12/6-6/12",{rows:[{columns:[{styleClass:"col-md-12"}]},{columns:[{styleClass:"col-md-6"},{styleClass:"col-md-6"}]},{columns:[{styleClass:"col-md-12"}]}]}).structure("3-9 (12/6-6)",{rows:[{columns:[{styleClass:"col-md-3"},{styleClass:"col-md-9",rows:[{columns:[{styleClass:"col-md-12"}]},{columns:[{styleClass:"col-md-6"},{styleClass:"col-md-6"}]}]}]}]})}]),function(a,b){"use strict";angular.module("adf.widget.clock",["adf.provider"]).config(["dashboardProvider",function(a){a.widget("clock",{title:"Clock",description:"Displays date and time",templateUrl:"{widgetsPath}/clock/src/view.html",controller:"clockController",controllerAs:"clock",config:{timePattern:"HH:mm:ss",datePattern:"YYYY-MM-DD"},edit:{templateUrl:"{widgetsPath}/clock/src/edit.html"}})}]).controller("clockController",["$scope","$interval","config",function(a,b,c){function d(){var a=new moment;e.time=a.format(c.timePattern),e.date=a.format(c.datePattern)}var e=this;d();var f=b(d,1e3);a.$on("$destroy",function(){b.cancel(f)})}]),angular.module("adf.widget.clock").run(["$templateCache",function(a){a.put("{widgetsPath}/clock/src/edit.html",'

            For the list of possible patterns, please have a look at moment.js documentation

            '),a.put("{widgetsPath}/clock/src/view.html","
            {{clock.time}}
            {{clock.date}}
            ")}])}(window),function(a,b){"use strict";angular.module("adf.widget.iframe",["adf.provider"]).config(["dashboardProvider",function(a){a.widget("iframe",{title:"iframe",description:"Embed an external page into the dashboard",templateUrl:"{widgetsPath}/iframe/src/view.html",controller:"iframeController",controllerAs:"iframe",edit:{templateUrl:"{widgetsPath}/iframe/src/edit.html"},config:{height:"420px"}})}]).controller("iframeController",["$sce","config",function(a,b){b.url&&(this.url=a.trustAsResourceUrl(b.url))}]),angular.module("adf.widget.iframe").run(["$templateCache",function(a){a.put("{widgetsPath}/iframe/src/edit.html","
            "),a.put("{widgetsPath}/iframe/src/view.html",'
            Please insert a url in the widget configuration
            ')}])}(window),function(){"use strict";angular.module("ui.grid.i18n",[]),angular.module("ui.grid",["ui.grid.i18n"])}(),function(){"use strict";angular.module("ui.grid").constant("uiGridConstants",{LOG_DEBUG_MESSAGES:!0,LOG_WARN_MESSAGES:!0,LOG_ERROR_MESSAGES:!0,CUSTOM_FILTERS:/CUSTOM_FILTERS/g,COL_FIELD:/COL_FIELD/g,MODEL_COL_FIELD:/MODEL_COL_FIELD/g,TOOLTIP:/title=\"TOOLTIP\"/g,DISPLAY_CELL_TEMPLATE:/DISPLAY_CELL_TEMPLATE/g,TEMPLATE_REGEXP:/<.+>/,FUNC_REGEXP:/(\([^)]*\))?$/,DOT_REGEXP:/\./g,APOS_REGEXP:/'/g,BRACKET_REGEXP:/^(.*)((?:\s*\[\s*\d+\s*\]\s*)|(?:\s*\[\s*"(?:[^"\\]|\\.)*"\s*\]\s*)|(?:\s*\[\s*'(?:[^'\\]|\\.)*'\s*\]\s*))(.*)$/,COL_CLASS_PREFIX:"ui-grid-col",events:{GRID_SCROLL:"uiGridScroll",COLUMN_MENU_SHOWN:"uiGridColMenuShown",ITEM_DRAGGING:"uiGridItemDragStart",COLUMN_HEADER_CLICK:"uiGridColumnHeaderClick"},keymap:{TAB:9,STRG:17,CAPSLOCK:20,CTRL:17,CTRLRIGHT:18,CTRLR:18,SHIFT:16,RETURN:13,ENTER:13,BACKSPACE:8,BCKSP:8,ALT:18,ALTR:17,ALTRIGHT:17,SPACE:32,WIN:91,MAC:91,FN:null,PG_UP:33,PG_DOWN:34,UP:38,DOWN:40,LEFT:37,RIGHT:39,ESC:27,DEL:46,F1:112,F2:113,F3:114,F4:115,F5:116,F6:117,F7:118,F8:119,F9:120,F10:121,F11:122,F12:123},ASC:"asc",DESC:"desc",filter:{STARTS_WITH:2,ENDS_WITH:4,EXACT:8,CONTAINS:16,GREATER_THAN:32,GREATER_THAN_OR_EQUAL:64,LESS_THAN:128,LESS_THAN_OR_EQUAL:256,NOT_EQUAL:512,SELECT:"select",INPUT:"input"},aggregationTypes:{sum:2,count:4,avg:8,min:16,max:32},CURRENCY_SYMBOLS:["ƒ","$","£","$","¤","¥","៛","₩","₱","฿","₫"],scrollDirection:{UP:"up",DOWN:"down",LEFT:"left",RIGHT:"right",NONE:"none"},dataChange:{ALL:"all",EDIT:"edit",ROW:"row",COLUMN:"column",OPTIONS:"options"},scrollbars:{NEVER:0,ALWAYS:1}})}(),angular.module("ui.grid").directive("uiGridCell",["$compile","$parse","gridUtil","uiGridConstants",function(a,b,c,d){var e={priority:0,scope:!1,require:"?^uiGrid",compile:function(){return{pre:function(b,e,f,g){function h(){var a=b.col.compiledElementFn;a(b,function(a,b){e.append(a)})}if(g&&b.col.compiledElementFn)h();else if(g&&!b.col.compiledElementFn)b.col.getCompiledElementFn().then(function(a){a(b,function(a,b){e.append(a)})});else{var i=b.col.cellTemplate.replace(d.MODEL_COL_FIELD,"row.entity."+c.preEval(b.col.field)).replace(d.COL_FIELD,"grid.getCellValue(row, col)"),j=a(i)(b);e.append(j)}},post:function(a,b,c,e){var f=a.col.getColClass(!1);b.addClass(f);var g,h=function(c){var d=b;g&&(d.removeClass(g),g=null),g=angular.isFunction(a.col.cellClass)?a.col.cellClass(a.grid,a.row,a.col,a.rowRenderIndex,a.colRenderIndex):a.col.cellClass,d.addClass(g)};a.col.cellClass&&h();var i=a.grid.registerDataChangeCallback(h,[d.dataChange.COLUMN,d.dataChange.EDIT]),j=function(c,d){if(c!==d){(g||a.col.cellClass)&&h();var e=a.col.getColClass(!1);e!==f&&(b.removeClass(f),b.addClass(e),f=e)}},k=a.$watch("row",j),l=function(){i(),k()};a.$on("$destroy",l),b.on("$destroy",l)}}}};return e}]),function(){angular.module("ui.grid").service("uiGridColumnMenuService",["i18nService","uiGridConstants","gridUtil",function(a,b,c){var d={initialize:function(a,b){a.grid=b.grid,b.columnMenuScope=a,a.menuShown=!1},setColMenuItemWatch:function(a){var b=a.$watch("col.menuItems",function(b,c){"undefined"!=typeof b&&b&&angular.isArray(b)?(b.forEach(function(b){"undefined"!=typeof b.context&&b.context||(b.context={}),b.context.col=a.col}),a.menuItems=a.defaultMenuItems.concat(b)):a.menuItems=a.defaultMenuItems});a.$on("$destroy",b)},sortable:function(a){return!!(a.grid.options.enableSorting&&"undefined"!=typeof a.col&&a.col&&a.col.enableSorting)},isActiveSort:function(a,b){return"undefined"!=typeof a.col&&"undefined"!=typeof a.col.sort&&"undefined"!=typeof a.col.sort.direction&&a.col.sort.direction===b},suppressRemoveSort:function(a){return!(!a.col||!a.col.suppressRemoveSort)},hideable:function(a){return"undefined"==typeof a.col||!a.col||!a.col.colDef||a.col.colDef.enableHiding!==!1},getDefaultMenuItems:function(c){return[{title:a.getSafeText("sort.ascending"),icon:"ui-grid-icon-sort-alt-up",action:function(a){a.stopPropagation(),c.sortColumn(a,b.ASC)},shown:function(){return d.sortable(c)},active:function(){return d.isActiveSort(c,b.ASC)}},{title:a.getSafeText("sort.descending"),icon:"ui-grid-icon-sort-alt-down",action:function(a){a.stopPropagation(),c.sortColumn(a,b.DESC)},shown:function(){return d.sortable(c)},active:function(){return d.isActiveSort(c,b.DESC)}},{title:a.getSafeText("sort.remove"),icon:"ui-grid-icon-cancel",action:function(a){a.stopPropagation(),c.unsortColumn()},shown:function(){return d.sortable(c)&&"undefined"!=typeof c.col&&"undefined"!=typeof c.col.sort&&"undefined"!=typeof c.col.sort.direction&&null!==c.col.sort.direction&&!d.suppressRemoveSort(c)}},{title:a.getSafeText("column.hide"),icon:"ui-grid-icon-cancel",shown:function(){return d.hideable(c)},action:function(a){a.stopPropagation(),c.hideColumn()}},{title:a.getSafeText("columnMenu.close"),screenReaderOnly:!0,shown:function(){return!0},action:function(a){a.stopPropagation()}}]},getColumnElementPosition:function(a,b,d){var e={};return e.left=d[0].offsetLeft,e.top=d[0].offsetTop,e.parentLeft=d[0].offsetParent.offsetLeft,e.offset=0,b.grid.options.offsetLeft&&(e.offset=b.grid.options.offsetLeft),e.height=c.elementHeight(d,!0),e.width=c.elementWidth(d,!0),e},repositionMenu:function(a,b,d,e,f){var g=e[0].querySelectorAll(".ui-grid-menu"),h=b.renderContainer?b.renderContainer:"body",i=(b.grid.renderContainers[h],c.closestElm(f,".ui-grid-render-container")),j=i.getBoundingClientRect().left-a.grid.element[0].getBoundingClientRect().left,k=i.querySelectorAll(".ui-grid-viewport")[0].scrollLeft,l=b.lastMenuWidth?b.lastMenuWidth:a.lastMenuWidth?a.lastMenuWidth:170,m=b.lastMenuPaddingRight?b.lastMenuPaddingRight:a.lastMenuPaddingRight?a.lastMenuPaddingRight:10;if(0!==g.length){var n=g[0].querySelectorAll(".ui-grid-menu-mid");0===n.length||angular.element(n).hasClass("ng-hide")||(l=c.elementWidth(g,!0),a.lastMenuWidth=l,b.lastMenuWidth=l,m=parseInt(c.getStyles(angular.element(g)[0]).paddingRight,10),a.lastMenuPaddingRight=m,b.lastMenuPaddingRight=m)}var o=d.left+j-k+d.parentLeft+d.width-l+m;oc)d=b;else{if(c>a&&!d)return d=b,!0;if(c>a&&d)return!0}}),d){var g=d.getColClass();b.focus.bySelector(e,".ui-grid-header-cell."+g+" .ui-grid-header-cell-primary-focus",!0).then(angular.noop,function(a){return"canceled"!==a?c():void 0})}else c()})};f.hideColumn=function(){f.col.colDef.visible=!1,f.col.visible=!1,f.grid.queueGridRefresh(),f.hideMenu(),f.grid.api.core.notifyDataChange(c.dataChange.COLUMN),f.grid.api.core.raise.columnVisibilityChanged(f.col),j()}},controller:["$scope",function(a){var b=this;a.$watch("menuItems",function(a,c){b.menuItems=a})}]};return f}])}(),function(){"use strict";angular.module("ui.grid").directive("uiGridFilter",["$compile","$templateCache","i18nService","gridUtil",function(a,b,c,d){return{compile:function(){return{pre:function(b,c,d,e){b.col.updateFilters=function(d){if(c.children().remove(),d){var e=b.col.filterHeaderTemplate;c.append(a(e)(b))}},b.$on("$destroy",function(){delete b.col.updateFilters})},post:function(a,b,e,f){a.aria=c.getSafeText("headerCell.aria"),a.removeFilter=function(a,c){a.term=null,d.focus.bySelector(b,".ui-grid-filter-input-"+c)}}}}}}])}(),function(){"use strict";angular.module("ui.grid").directive("uiGridFooterCell",["$timeout","gridUtil","uiGridConstants","$compile",function(a,b,c,d){var e={priority:0,scope:{col:"=",row:"=",renderIndex:"="},replace:!0,require:"^uiGrid",compile:function(a,b,e){return{pre:function(a,b,c,e){var f=d(a.col.footerCellTemplate)(a);b.append(f)},post:function(a,b,d,e){a.grid=e.grid;var f=a.col.getColClass(!1);b.addClass(f);var g,h=function(c){var d=b;g&&(d.removeClass(g),g=null),g=angular.isFunction(a.col.footerCellClass)?a.col.footerCellClass(a.grid,a.row,a.col,a.rowRenderIndex,a.colRenderIndex):a.col.footerCellClass,d.addClass(g)};a.col.footerCellClass&&h(),a.col.updateAggregationValue();var i=a.grid.registerDataChangeCallback(h,[c.dataChange.COLUMN]);a.grid.api.core.on.rowsRendered(a,a.col.updateAggregationValue), +a.grid.api.core.on.rowsRendered(a,h),a.$on("$destroy",i)}}}};return e}])}(),function(){"use strict";angular.module("ui.grid").directive("uiGridFooter",["$templateCache","$compile","uiGridConstants","gridUtil","$timeout",function(a,b,c,d,e){return{restrict:"EA",replace:!0,require:["^uiGrid","^uiGridRenderContainer"],scope:!0,compile:function(a,c){return{pre:function(a,c,e,f){var g=f[0],h=f[1];a.grid=g.grid,a.colContainer=h.colContainer,h.footer=c;var i=a.grid.options.footerTemplate;d.getTemplate(i).then(function(d){var e=angular.element(d),f=b(e)(a);if(c.append(f),h){var g=c[0].getElementsByClassName("ui-grid-footer-viewport")[0];g&&(h.footerViewport=g)}})},post:function(a,b,c,e){var f=e[0],g=e[1];f.grid;d.disableAnimations(b),g.footer=b;var h=b[0].getElementsByClassName("ui-grid-footer-viewport")[0];h&&(g.footerViewport=h)}}}}}])}(),function(){"use strict";angular.module("ui.grid").directive("uiGridGridFooter",["$templateCache","$compile","uiGridConstants","gridUtil","$timeout",function(a,b,c,d,e){return{restrict:"EA",replace:!0,require:"^uiGrid",scope:!0,compile:function(a,c){return{pre:function(a,c,e,f){a.grid=f.grid;var g=a.grid.options.gridFooterTemplate;d.getTemplate(g).then(function(d){var e=angular.element(d),f=b(e)(a);c.append(f)})},post:function(a,b,c,d){}}}}}])}(),function(){"use strict";angular.module("ui.grid").directive("uiGridGroupPanel",["$compile","uiGridConstants","gridUtil",function(a,b,c){var d="ui-grid/ui-grid-group-panel";return{restrict:"EA",replace:!0,require:"?^uiGrid",scope:!1,compile:function(b,e){return{pre:function(b,e,f,g){var h=b.grid.options.groupPanelTemplate||d;c.getTemplate(h).then(function(c){var d=angular.element(c),f=a(d)(b);e.append(f)})},post:function(a,b,c,d){b.bind("$destroy",function(){})}}}}}])}(),function(){"use strict";angular.module("ui.grid").directive("uiGridHeaderCell",["$compile","$timeout","$window","$document","gridUtil","uiGridConstants","ScrollEvent","i18nService",function(a,b,c,d,e,f,g,h){var i=500,j=500,k={priority:0,scope:{col:"=",row:"=",renderIndex:"="},require:["^uiGrid","^uiGridRenderContainer"],replace:!0,compile:function(){return{pre:function(b,c,d){var e=a(b.col.headerCellTemplate)(b);c.append(e)},post:function(a,c,e,g){var k=g[0],l=g[1];a.i18n={headerCell:h.getSafeText("headerCell"),sort:h.getSafeText("sort")},a.isSortPriorityVisible=function(){return a.col.sort.priority&&a.grid.columns.some(function(b,c){return b.sort.priority&&b!==a.col})},a.getSortDirectionAriaLabel=function(){var b=a.col,c=b.sort.direction===f.ASC?a.i18n.sort.ascending:b.sort.direction===f.DESC?a.i18n.sort.descending:a.i18n.sort.none,d=c;return a.isSortPriorityVisible()&&(d=d+". "+a.i18n.headerCell.priority+" "+b.sort.priority),d},a.grid=k.grid,a.renderContainer=k.grid.renderContainers[l.containerId];var m=a.col.getColClass(!1);c.addClass(m),a.menuShown=!1,a.asc=f.ASC,a.desc=f.DESC;var n,o,p=(angular.element(c[0].querySelectorAll(".ui-grid-header-cell-menu")),angular.element(c[0].querySelectorAll(".ui-grid-cell-contents"))),q=[];a.downFn=function(e){e.stopPropagation(),"undefined"!=typeof e.originalEvent&&void 0!==e.originalEvent&&(e=e.originalEvent),e.button&&0!==e.button||(o=e.pageX,a.mousedownStartTime=(new Date).getTime(),a.mousedownTimeout=b(function(){},i),a.mousedownTimeout.then(function(){a.colMenu&&k.columnMenuScope.showMenu(a.col,c,e)}),k.fireEvent(f.events.COLUMN_HEADER_CLICK,{event:e,columnName:a.col.colDef.name}),a.offAllEvents(),"touchstart"===e.type?(d.on("touchend",a.upFn),d.on("touchmove",a.moveFn)):"mousedown"===e.type&&(d.on("mouseup",a.upFn),d.on("mousemove",a.moveFn)))},a.upFn=function(c){c.stopPropagation(),b.cancel(a.mousedownTimeout),a.offAllEvents(),a.onDownEvents(c.type);var d=(new Date).getTime(),e=d-a.mousedownStartTime;e>i||a.sortable&&a.handleClick(c)},a.moveFn=function(c){var d=c.pageX-o;0!==d&&(b.cancel(a.mousedownTimeout),a.offAllEvents(),a.onDownEvents(c.type))},a.clickFn=function(b){b.stopPropagation(),p.off("click",a.clickFn)},a.offAllEvents=function(){p.off("touchstart",a.downFn),p.off("mousedown",a.downFn),d.off("touchend",a.upFn),d.off("mouseup",a.upFn),d.off("touchmove",a.moveFn),d.off("mousemove",a.moveFn),p.off("click",a.clickFn)},a.onDownEvents=function(c){switch(c){case"touchmove":case"touchend":p.on("click",a.clickFn),p.on("touchstart",a.downFn),b(function(){p.on("mousedown",a.downFn)},j);break;case"mousemove":case"mouseup":p.on("click",a.clickFn),p.on("mousedown",a.downFn),b(function(){p.on("touchstart",a.downFn)},j);break;default:p.on("click",a.clickFn),p.on("touchstart",a.downFn),p.on("mousedown",a.downFn)}};var r=function(d){var e=c;n&&(e.removeClass(n),n=null),n=angular.isFunction(a.col.headerCellClass)?a.col.headerCellClass(a.grid,a.row,a.col,a.rowRenderIndex,a.colRenderIndex):a.col.headerCellClass,e.addClass(n),b(function(){var b=a.grid.renderContainers.right?a.grid.renderContainers.right:a.grid.renderContainers.body;a.isLastCol=a.col===b.visibleColumnCache[b.visibleColumnCache.length-1]}),k.grid.options.enableSorting&&a.col.enableSorting?a.sortable=!0:a.sortable=!1;var g=a.filterable;k.grid.options.enableFiltering&&a.col.enableFiltering?a.filterable=!0:a.filterable=!1,g!==a.filterable&&("undefined"!=typeof a.col.updateFilters&&a.col.updateFilters(a.filterable),a.filterable?(a.col.filters.forEach(function(b,c){q.push(a.$watch("col.filters["+c+"].term",function(a,b){a!==b&&(k.grid.api.core.raise.filterChanged(),k.grid.api.core.notifyDataChange(f.dataChange.COLUMN),k.grid.queueGridRefresh())}))}),a.$on("$destroy",function(){q.forEach(function(a){a()})})):q.forEach(function(a){a()})),a.col.grid.options&&a.col.grid.options.enableColumnMenus!==!1&&a.col.colDef&&a.col.colDef.enableColumnMenu!==!1?a.colMenu=!0:a.colMenu=!1,a.offAllEvents(),(a.sortable||a.colMenu)&&(a.onDownEvents(),a.$on("$destroy",function(){a.offAllEvents()}))};r();var s=a.grid.registerDataChangeCallback(r,[f.dataChange.COLUMN]);a.$on("$destroy",s),a.handleClick=function(b){var c=!1;b.shiftKey&&(c=!0),k.grid.sortColumn(a.col,c).then(function(){k.columnMenuScope&&k.columnMenuScope.hideMenu(),k.grid.refresh()})},a.toggleMenu=function(b){b.stopPropagation(),k.columnMenuScope.menuShown&&k.columnMenuScope.col===a.col?k.columnMenuScope.hideMenu():k.columnMenuScope.showMenu(a.col,c)}}}}};return k}])}(),function(){"use strict";angular.module("ui.grid").directive("uiGridHeader",["$templateCache","$compile","uiGridConstants","gridUtil","$timeout","ScrollEvent",function(a,b,c,d,e,f){var g="ui-grid/ui-grid-header",h="ui-grid/ui-grid-no-header";return{restrict:"EA",replace:!0,require:["^uiGrid","^uiGridRenderContainer"],scope:!0,compile:function(a,c){return{pre:function(a,c,e,i){function j(){m.header=m.colContainer.header=c;var a=c[0].getElementsByClassName("ui-grid-header-canvas");a.length>0?m.headerCanvas=m.colContainer.headerCanvas=a[0]:m.headerCanvas=null}function k(a){if(!l.grid.isScrollingHorizontally){var b=d.normalizeScrollLeft(m.headerViewport,l.grid),c=m.colContainer.scrollHorizontal(b),e=new f(l.grid,null,m.colContainer,f.Sources.ViewPortScroll);e.newScrollLeft=b,c>-1&&(e.x={percentage:c}),l.grid.scrollContainers(null,e)}}var l=i[0],m=i[1];a.grid=l.grid,a.colContainer=m.colContainer,j();var n;n=a.grid.options.showHeader?a.grid.options.headerTemplate?a.grid.options.headerTemplate:g:h,d.getTemplate(n).then(function(d){var e=angular.element(d),f=b(e)(a);if(c.replaceWith(f),c=f,j(),m){var g=c[0].getElementsByClassName("ui-grid-header-viewport")[0];g&&(m.headerViewport=g,angular.element(g).on("scroll",k),a.$on("$destroy",function(){angular.element(g).off("scroll",k)}))}a.grid.queueRefresh()})},post:function(a,b,c,e){function f(){var a=h.colContainer.visibleColumnCache,b="",c=0;return a.forEach(function(a){b+=a.getColClassDefinition(),c+=a.drawnWidth}),h.colContainer.canvasWidth=c,b}var g=e[0],h=e[1];g.grid;d.disableAnimations(b),h.header=b;var i=b[0].getElementsByClassName("ui-grid-header-viewport")[0];i&&(h.headerViewport=i),g&&g.grid.registerStyleComputation({priority:15,func:f})}}}}}])}(),function(){angular.module("ui.grid").service("uiGridGridMenuService",["gridUtil","i18nService","uiGridConstants",function(a,b,c){var d={initialize:function(a,b){b.gridMenuScope=a,a.grid=b,a.registeredMenuItems=[],a.$on("$destroy",function(){a.grid&&a.grid.gridMenuScope&&(a.grid.gridMenuScope=null),a.grid&&(a.grid=null),a.registeredMenuItems&&(a.registeredMenuItems=null)}),a.registeredMenuItems=[],b.api.registerMethod("core","addToGridMenu",d.addToGridMenu),b.api.registerMethod("core","removeFromGridMenu",d.removeFromGridMenu)},addToGridMenu:function(b,c){angular.isArray(c)?b.gridMenuScope?(b.gridMenuScope.registeredMenuItems=b.gridMenuScope.registeredMenuItems?b.gridMenuScope.registeredMenuItems:[],b.gridMenuScope.registeredMenuItems=b.gridMenuScope.registeredMenuItems.concat(c)):a.logError("Asked to addToGridMenu, but gridMenuScope not present. Timing issue? Please log issue with ui-grid"):a.logError("addToGridMenu: menuItems must be an array, and is not, not adding any items")},removeFromGridMenu:function(b,c){var d=-1;b&&b.gridMenuScope&&b.gridMenuScope.registeredMenuItems.forEach(function(b,e){b.id===c&&(d>-1?a.logError("removeFromGridMenu: found multiple items with the same id, removing only the last"):d=e)}),d>-1&&b.gridMenuScope.registeredMenuItems.splice(d,1)},getMenuItems:function(c){var e=[];c.grid.options.gridMenuCustomItems&&(angular.isArray(c.grid.options.gridMenuCustomItems)?e=e.concat(c.grid.options.gridMenuCustomItems):a.logError("gridOptions.gridMenuCustomItems must be an array, and is not"));var f=[{title:b.getSafeText("gridMenu.clearAllFilters"),action:function(a){c.grid.clearAllFilters(void 0,!0,void 0)},shown:function(){return c.grid.options.enableFiltering},order:100}];return e=e.concat(f),e=e.concat(c.registeredMenuItems),c.grid.options.gridMenuShowHideColumns!==!1&&(e=e.concat(d.showHideColumns(c))),e.sort(function(a,b){return a.order-b.order}),e},showHideColumns:function(a){var c=[];return a.grid.options.columnDefs&&0!==a.grid.options.columnDefs.length&&0!==a.grid.columns.length?(c.push({title:b.getSafeText("gridMenu.columns"),order:300}),a.grid.options.gridMenuTitleFilter=a.grid.options.gridMenuTitleFilter?a.grid.options.gridMenuTitleFilter:function(a){return a},a.grid.options.columnDefs.forEach(function(b,e){if(b.enableHiding!==!1){var f={icon:"ui-grid-icon-ok",action:function(a){a.stopPropagation(),d.toggleColumnVisibility(this.context.gridCol)},shown:function(){return this.context.gridCol.colDef.visible===!0||void 0===this.context.gridCol.colDef.visible},context:{gridCol:a.grid.getColumn(b.name||b.field)},leaveOpen:!0,order:301+2*e};d.setMenuItemTitle(f,b,a.grid),c.push(f),f={icon:"ui-grid-icon-cancel",action:function(a){a.stopPropagation(),d.toggleColumnVisibility(this.context.gridCol)},shown:function(){return!(this.context.gridCol.colDef.visible===!0||void 0===this.context.gridCol.colDef.visible)},context:{gridCol:a.grid.getColumn(b.name||b.field)},leaveOpen:!0,order:301+2*e+1},d.setMenuItemTitle(f,b,a.grid),c.push(f)}}),c):c},setMenuItemTitle:function(b,c,d){var e=d.options.gridMenuTitleFilter(c.displayName||a.readableColumnName(c.name)||c.field);"string"==typeof e?b.title=e:e.then?(b.title="",e.then(function(a){b.title=a},function(a){b.title=a})):(a.logError("Expected gridMenuTitleFilter to return a string or a promise, it has returned neither, bad config"),b.title="badconfig")},toggleColumnVisibility:function(a){a.colDef.visible=!(a.colDef.visible===!0||void 0===a.colDef.visible),a.grid.refresh(),a.grid.api.core.notifyDataChange(c.dataChange.COLUMN),a.grid.api.core.raise.columnVisibilityChanged(a)}};return d}]).directive("uiGridMenuButton",["gridUtil","uiGridConstants","uiGridGridMenuService","i18nService",function(a,b,c,d){return{priority:0,scope:!0,require:["^uiGrid"],templateUrl:"ui-grid/ui-grid-menu-button",replace:!0,link:function(b,e,f,g){var h=g[0];b.i18n={aria:d.getSafeText("gridMenu.aria")},c.initialize(b,h.grid),b.shown=!1,b.toggleMenu=function(){b.shown?(b.$broadcast("hide-menu"),b.shown=!1):(b.menuItems=c.getMenuItems(b),b.$broadcast("show-menu"),b.shown=!0)},b.$on("menu-hidden",function(){b.shown=!1,a.focus.bySelector(e,".ui-grid-icon-container")})}}}])}(),function(){angular.module("ui.grid").directive("uiGridMenu",["$compile","$timeout","$window","$document","gridUtil","uiGridConstants","i18nService",function(a,b,c,d,e,f,g){var h={priority:0,scope:{menuItems:"=",autoHide:"=?"},require:"?^uiGrid",templateUrl:"ui-grid/uiGridMenu",replace:!1,link:function(a,d,h,i){var j;a.dynamicStyles="",i&&(j=i.grid.gridHeight-30,a.dynamicStyles=[".grid"+i.grid.id+" .ui-grid-menu-mid {","max-height: "+j+"px;","}"].join(" ")),a.i18n={close:g.getSafeText("columnMenu.close")},a.showMenu=function(c,f){a.shown?a.shownMid||(a.shownMid=!0,a.$emit("menu-shown")):(a.shown=!0,b(function(){a.shownMid=!0,a.$emit("menu-shown")}));var g="click";f&&f.originalEvent&&f.originalEvent.type&&"touchstart"===f.originalEvent.type&&(g=f.originalEvent.type),angular.element(document).off("click touchstart",k),b(function(){angular.element(document).on(g,k)}),e.focus.bySelector(d,"button[type=button]",!0)},a.hideMenu=function(c,d){a.shown&&(a.shownMid=!1,b(function(){a.shownMid||(a.shown=!1,a.$emit("menu-hidden"))},200)),angular.element(document).off("click touchstart",k)},a.$on("hide-menu",function(b,c){a.hideMenu(b,c)}),a.$on("show-menu",function(b,c){a.showMenu(b,c)});var k=function(){a.shown&&a.$apply(function(){a.hideMenu()})};"undefined"!=typeof a.autoHide&&void 0!==a.autoHide||(a.autoHide=!0),a.autoHide&&angular.element(c).on("resize",k),a.$on("$destroy",function(){angular.element(document).off("click touchstart",k)}),a.$on("$destroy",function(){angular.element(c).off("resize",k)}),i&&a.$on("$destroy",i.grid.api.core.on.scrollBegin(a,k)),a.$on("$destroy",a.$on(f.events.ITEM_DRAGGING,k))},controller:["$scope","$element","$attrs",function(a,b,c){}]};return h}]).directive("uiGridMenuItem",["gridUtil","$compile","i18nService",function(a,b,c){var d={priority:0,scope:{name:"=",active:"=",action:"=",icon:"=",shown:"=",context:"=",templateUrl:"=",leaveOpen:"=",screenReaderOnly:"="},require:["?^uiGrid","^uiGridMenu"],templateUrl:"ui-grid/uiGridMenuItem",replace:!1,compile:function(d,e){return{pre:function(c,d,e,f){f[0],f[1];c.templateUrl&&a.getTemplate(c.templateUrl).then(function(a){var e=angular.element(a),f=b(e)(c);d.replaceWith(f)})},post:function(b,d,e,f){var g=f[0];f[1];"undefined"!=typeof b.shown&&null!==b.shown||(b.shown=function(){return!0}),b.itemShown=function(){var a={};return b.context&&(a.context=b.context),"undefined"!=typeof g&&g&&(a.grid=g.grid),b.shown.call(a)},b.itemAction=function(c,e){if(a.logDebug("itemAction"),c.stopPropagation(),"function"==typeof b.action){var f={};b.context&&(f.context=b.context),"undefined"!=typeof g&&g&&(f.grid=g.grid),b.action.call(f,c,e),b.leaveOpen?a.focus.bySelector(angular.element(a.closestElm(d,".ui-grid-menu-items")),"button[type=button]",!0):b.$emit("hide-menu")}},b.i18n=c.get()}}}};return d}])}(),function(){"use strict";var a=angular.module("ui.grid");angular.forEach([{tag:"Src",method:"attr"},{tag:"Text",method:"text"},{tag:"Href",method:"attr"},{tag:"Class",method:"addClass"},{tag:"Html",method:"html"},{tag:"Alt",method:"attr"},{tag:"Style",method:"css"},{tag:"Value",method:"attr"},{tag:"Id",method:"attr"},{tag:"Id",directiveName:"IdGrid",method:"attr",appendGridId:!0},{tag:"Title",method:"attr"},{tag:"Label",method:"attr",aria:!0},{tag:"Labelledby",method:"attr",aria:!0},{tag:"Labelledby",directiveName:"LabelledbyGrid",appendGridId:!0,method:"attr",aria:!0},{tag:"Describedby",method:"attr",aria:!0},{tag:"Describedby",directiveName:"DescribedbyGrid",appendGridId:!0,method:"attr",aria:!0}],function(b){var c="uiGridOneBind",d=(b.aria?c+"Aria":c)+(b.directiveName?b.directiveName:b.tag);a.directive(d,["gridUtil",function(a){return{restrict:"A",require:["?uiGrid","?^uiGrid"],link:function(c,e,f,g){var h=function(b){var e;if(c.grid)e=c.grid;else if(c.col&&c.col.grid)e=c.col.grid;else if(!g.some(function(a){return a&&a.grid?(e=a.grid,!0):void 0}))throw a.logError("["+d+"] A valid grid could not be found to bind id. Are you using this directive within the correct scope? Trying to generate id: [gridID]-"+b),new Error("No valid grid could be found");if(e){var f=new RegExp(e.id.toString());f.test(b)||(b=e.id.toString()+"-"+b)}return b},i=c.$watch(f[d],function(a){if(a){if(b.appendGridId){var c=null;angular.forEach(a.split(" "),function(a){c=(c?c+" ":"")+h(a)}),a=c}switch(b.method){case"attr":b.aria?e[b.method]("aria-"+b.tag.toLowerCase(),a):e[b.method](b.tag.toLowerCase(),a);break;case"addClass":if(angular.isObject(a)&&!angular.isArray(a)){var d=[],f=!1;if(angular.forEach(a,function(a,b){null!==a&&"undefined"!=typeof a&&(f=!0,a&&d.push(b))}),!f)return;a=d}if(!a)return;e.addClass(angular.isArray(a)?a.join(" "):a);break;default:e[b.method](a)}i()}},!0)}}}])})}(),function(){"use strict";var a=angular.module("ui.grid");a.directive("uiGridRenderContainer",["$timeout","$document","uiGridConstants","gridUtil","ScrollEvent",function(a,b,c,d,e){return{replace:!0,transclude:!0,templateUrl:"ui-grid/uiGridRenderContainer",require:["^uiGrid","uiGridRenderContainer"],scope:{containerId:"=",rowContainerName:"=",colContainerName:"=",bindScrollHorizontal:"=",bindScrollVertical:"=",enableVerticalScrollbar:"=",enableHorizontalScrollbar:"="},controller:"uiGridRenderContainer as RenderContainer",compile:function(){return{pre:function(a,b,c,d){var e=d[0],f=d[1],g=a.grid=e.grid;if(!a.rowContainerName)throw"No row render container name specified";if(!a.colContainerName)throw"No column render container name specified";if(!g.renderContainers[a.rowContainerName])throw"Row render container '"+a.rowContainerName+"' is not registered.";if(!g.renderContainers[a.colContainerName])throw"Column render container '"+a.colContainerName+"' is not registered.";var h=a.rowContainer=g.renderContainers[a.rowContainerName],i=a.colContainer=g.renderContainers[a.colContainerName];f.containerId=a.containerId,f.rowContainer=h,f.colContainer=i},post:function(a,b,c,f){function g(){var b="",c=l.canvasWidth,d=l.getViewportWidth(),e=k.getCanvasHeight(),f=k.getViewportHeight();l.needsHScrollbarPlaceholder()&&(f-=j.scrollbarHeight);var g,i;return g=i=l.getHeaderViewportWidth(),b+="\n .grid"+h.grid.id+" .ui-grid-render-container-"+a.containerId+" .ui-grid-canvas { width: "+c+"px; height: "+e+"px; }",b+="\n .grid"+h.grid.id+" .ui-grid-render-container-"+a.containerId+" .ui-grid-header-canvas { width: "+(c+j.scrollbarWidth)+"px; }",b+=o.explicitHeaderCanvasHeight?"\n .grid"+h.grid.id+" .ui-grid-render-container-"+a.containerId+" .ui-grid-header-canvas { height: "+o.explicitHeaderCanvasHeight+"px; }":"\n .grid"+h.grid.id+" .ui-grid-render-container-"+a.containerId+" .ui-grid-header-canvas { height: inherit; }",b+="\n .grid"+h.grid.id+" .ui-grid-render-container-"+a.containerId+" .ui-grid-viewport { width: "+d+"px; height: "+f+"px; }",b+="\n .grid"+h.grid.id+" .ui-grid-render-container-"+a.containerId+" .ui-grid-header-viewport { width: "+g+"px; }",b+="\n .grid"+h.grid.id+" .ui-grid-render-container-"+a.containerId+" .ui-grid-footer-canvas { width: "+(c+j.scrollbarWidth)+"px; }",b+="\n .grid"+h.grid.id+" .ui-grid-render-container-"+a.containerId+" .ui-grid-footer-viewport { width: "+i+"px; }"}var h=f[0],i=f[1],j=h.grid,k=i.rowContainer,l=i.colContainer,m=null,n=null,o=j.renderContainers[a.containerId];b.addClass("ui-grid-render-container-"+a.containerId),d.on.mousewheel(b,function(a){var b=new e(j,k,l,e.Sources.RenderContainerMouseWheel);if(0!==a.deltaY){var c=-1*a.deltaY*a.deltaFactor;m=i.viewport[0].scrollTop,b.verticalScrollLength=k.getVerticalScrollLength();var f=(m+c)/b.verticalScrollLength;f>=1&&mf?f=0:f>1&&(f=1),b.y={percentage:f,pixels:c}}if(0!==a.deltaX){var g=a.deltaX*a.deltaFactor;n=d.normalizeScrollLeft(i.viewport,j),b.horizontalScrollLength=l.getCanvasWidth()-l.getViewportWidth();var h=(n+g)/b.horizontalScrollLength;0>h?h=0:h>1&&(h=1),b.x={percentage:h,pixels:g}}0!==a.deltaY&&(b.atTop(m)||b.atBottom(m))||0!==a.deltaX&&(b.atLeft(n)||b.atRight(n))||(a.preventDefault(),a.stopPropagation(),b.fireThrottledScrollingEvent("",b))}),b.bind("$destroy",function(){b.unbind("keydown"),["touchstart","touchmove","touchend","keydown","wheel","mousewheel","DomMouseScroll","MozMousePixelScroll"].forEach(function(a){b.unbind(a)})}),h.grid.registerStyleComputation({priority:6,func:g})}}}}}]),a.controller("uiGridRenderContainer",["$scope","gridUtil",function(a,b){}])}(),function(){"use strict";angular.module("ui.grid").directive("uiGridRow",["gridUtil",function(a){return{replace:!0,require:["^uiGrid","^uiGridRenderContainer"],scope:{row:"=uiGridRow",rowRenderIndex:"="},compile:function(){return{pre:function(a,b,c,d){function e(){a.row.getRowTemplateFn.then(function(c){var d=a.$new();c(d,function(a,c){h&&(h.remove(),i.$destroy()),b.empty().append(a),h=a,i=d})})}var f=d[0],g=d[1];f.grid;a.grid=f.grid,a.colContainer=g.colContainer;var h,i;e(),a.$watch("row.getRowTemplateFn",function(a,b){a!==b&&e()})},post:function(a,b,c,d){}}}}}])}(),function(){angular.module("ui.grid").directive("uiGridStyle",["gridUtil","$interpolate",function(a,b){return{link:function(a,c,d,e){var f=b(c.text(),!0);f&&a.$watch(f,function(a){c.text(a)})}}}])}(),function(){"use strict";angular.module("ui.grid").directive("uiGridViewport",["gridUtil","ScrollEvent","uiGridConstants","$log",function(a,b,c,d){return{replace:!0,scope:{},controllerAs:"Viewport",templateUrl:"ui-grid/uiGridViewport",require:["^uiGrid","^uiGridRenderContainer"],link:function(c,d,e,f){function g(e){var f=d[0].scrollTop,g=a.normalizeScrollLeft(d,p),h=n.scrollVertical(f),i=o.scrollHorizontal(g),j=new b(p,n,o,b.Sources.ViewPortScroll);j.newScrollLeft=g,j.newScrollTop=f,i>-1&&(j.x={percentage:i}),h>-1&&(j.y={percentage:h}),p.scrollContainers(c.$parent.containerId,j)}function h(a){m.prevScrollArgs=a;var b=a.getNewScrollTop(n,m.viewport);d[0].scrollTop=b}function i(b){m.prevScrollArgs=b;var c=b.getNewScrollLeft(o,m.viewport);d[0].scrollLeft=a.denormalizeScrollLeft(m.viewport,c,p)}function j(b){var c=b.getNewScrollLeft(o,m.viewport);m.headerViewport&&(m.headerViewport.scrollLeft=a.denormalizeScrollLeft(m.viewport,c,p))}function k(b){var c=b.getNewScrollLeft(o,m.viewport);m.footerViewport&&(m.footerViewport.scrollLeft=a.denormalizeScrollLeft(m.viewport,c,p))}var l=f[0],m=f[1];c.containerCtrl=m;var n=m.rowContainer,o=m.colContainer,p=l.grid;c.grid=l.grid,c.rowContainer=m.rowContainer,c.colContainer=m.colContainer,m.viewport=d,d.on("scroll",g);c.$parent.bindScrollVertical&&p.addVerticalScrollSync(c.$parent.containerId,h),c.$parent.bindScrollHorizontal&&(p.addHorizontalScrollSync(c.$parent.containerId,i),p.addHorizontalScrollSync(c.$parent.containerId+"header",j),p.addHorizontalScrollSync(c.$parent.containerId+"footer",k))},controller:["$scope",function(a){this.rowStyle=function(b){var c=a.rowContainer,d=a.colContainer,e={};if(0===b&&0!==c.currentTopRow){var f=c.currentTopRow*c.grid.options.rowHeight;e["margin-top"]=f+"px"}return 0!==d.currentFirstColumn&&(d.grid.isRTL()?e["margin-right"]=d.columnOffset+"px":e["margin-left"]=d.columnOffset+"px"),e}}]}}])}(),function(){angular.module("ui.grid").directive("uiGridVisible",function(){return function(a,b,c){a.$watch(c.uiGridVisible,function(a){b[a?"removeClass":"addClass"]("ui-grid-invisible")})}})}(),function(){"use strict";function a(a,b,c,d,e,f){return{templateUrl:"ui-grid/ui-grid",scope:{uiGrid:"="},replace:!0,transclude:!0,controller:"uiGridController",compile:function(){return{post:function(a,b,g,h){function i(){b[0].offsetWidth<=0&&p>q?(setTimeout(i,o),q++):c(k)}function j(){angular.element(d).on("resize",m),b.on("$destroy",function(){angular.element(d).off("resize",m)}),a.$watch(function(){return n.hasLeftContainer()},function(a,b){a!==b&&n.refreshCanvas(!0)}),a.$watch(function(){return n.hasRightContainer()},function(a,b){a!==b&&n.refreshCanvas(!0)})}function k(){n.gridWidth=a.gridWidth=e.elementWidth(b),n.canvasWidth=h.grid.gridWidth,n.gridHeight=a.gridHeight=e.elementHeight(b),n.gridHeight<=n.options.rowHeight&&n.options.enableMinHeightCheck&&l(),n.refreshCanvas(!0)}function l(){var c=n.options.minRowsToShow*n.options.rowHeight,d=n.options.showHeader?n.options.headerRowHeight:0,g=n.calcFooterHeight(),h=0;n.options.enableHorizontalScrollbar===f.scrollbars.ALWAYS&&(h=e.getScrollbarWidth());var i=0;if(angular.forEach(n.options.columnDefs,function(a){a.hasOwnProperty("filter")?1>i&&(i=1):a.hasOwnProperty("filters")&&i(n.grid.rowHeaderColumns?n.grid.rowHeaderColumns.length:0);!g&&!c.uiGridColumns&&0===n.grid.options.columnDefs.length&&b.length>0&&n.grid.buildColumnDefsFromData(b),!g&&(n.grid.options.columnDefs.length>0||b.length>0)&&d.push(n.grid.buildColumns().then(function(){n.grid.preCompileCellTemplates()})),e.all(d).then(function(){n.grid.modifyRows(p).then(function(){n.grid.redrawInPlace(!0),a.$evalAsync(function(){n.grid.refreshCanvas(!0),n.grid.callDataChangeCallbacks(f.dataChange.ROW)})})})}}var n=this;n.grid=h.createGrid(a.uiGrid),n.grid.appScope=n.grid.appScope||a.$parent,b.addClass("grid"+n.grid.id),n.grid.rtl="rtl"===d.getStyles(b[0]).direction,a.grid=n.grid,c.uiGridColumns&&c.$observe("uiGridColumns",function(a){n.grid.options.columnDefs=a,n.grid.buildColumns().then(function(){n.grid.preCompileCellTemplates(),n.grid.refreshCanvas(!0)})});var o=[];n.grid.options.fastWatch?(n.uiGrid=a.uiGrid,angular.isString(a.uiGrid.data)?(o.push(a.$parent.$watch(a.uiGrid.data,m)),o.push(a.$parent.$watch(function(){return n.grid.appScope[a.uiGrid.data]?n.grid.appScope[a.uiGrid.data].length:void 0},m))):(o.push(a.$parent.$watch(function(){return a.uiGrid.data},m)),o.push(a.$parent.$watch(function(){return a.uiGrid.data.length},m))),o.push(a.$parent.$watch(function(){return a.uiGrid.columnDefs},l)),o.push(a.$parent.$watch(function(){return a.uiGrid.columnDefs.length},l))):(angular.isString(a.uiGrid.data)?o.push(a.$parent.$watchCollection(a.uiGrid.data,m)):o.push(a.$parent.$watchCollection(function(){return a.uiGrid.data},m)),o.push(a.$parent.$watchCollection(function(){return a.uiGrid.columnDefs},l)));var p,q=a.$watch(function(){return n.grid.styleComputations},function(){n.grid.refreshCanvas(!0)});a.$on("$destroy",function(){o.forEach(function(a){a()}),q()}),n.fireEvent=function(b,c){"undefined"!=typeof c&&void 0!==c||(c={}),"undefined"!=typeof c.grid&&void 0!==c.grid||(c.grid=n.grid),a.$broadcast(b,c)},n.innerCompile=function(b){k(b)(a)}}]),angular.module("ui.grid").directive("uiGrid",a),a.$inject=["$compile","$templateCache","$timeout","$window","gridUtil","uiGridConstants"]}(),function(){"use strict";angular.module("ui.grid").directive("uiGridPinnedContainer",["gridUtil",function(a){return{restrict:"EA",replace:!0,template:'
            ',scope:{side:"=uiGridPinnedContainer"},require:"^uiGrid",compile:function(){return{post:function(a,b,c,d){function e(){var a=this,b=0;a.visibleColumnCache.forEach(function(a){b+=a.drawnWidth});var c=a.getViewportAdjustment();return b+=c.width}function f(){if("left"===a.side||"right"===a.side){for(var b=h.renderContainers[a.side].visibleColumnCache,c=0,d=0;d0?b[0]:null},p.prototype.getColDef=function(a){var b=this.options.columnDefs.filter(function(b){return b.name===a});return b.length>0?b[0]:null},p.prototype.assignTypes=function(){var a=this;a.options.columnDefs.forEach(function(b,c){if(!b.type){var e=new g(b,c,a),f=a.rows.length>0?a.rows[0]:null;f?b.type=d.guessType(a.getCellValue(f,e)):b.type="string"}})},p.prototype.isRowHeaderColumn=function(a){return-1!==this.rowHeaderColumns.indexOf(a)},p.prototype.addRowHeaderColumn=function(a){var b=this,c=new g(a,d.nextUid(),b);c.isRowHeader=!0,b.isRTL()?(b.createRightContainer(),c.renderContainer="right"):(b.createLeftContainer(),c.renderContainer="left"),b.columnBuilders[0](a,c,b.options).then(function(){c.enableFiltering=!1,c.enableSorting=!1,c.enableHiding=!1,b.rowHeaderColumns.push(c),b.buildColumns().then(function(){b.preCompileCellTemplates(),b.queueGridRefresh()})})},p.prototype.getOnlyDataColumns=function(){var a=this,b=[];return a.columns.forEach(function(c){-1===a.rowHeaderColumns.indexOf(c)&&b.push(c)}),b},p.prototype.buildColumns=function(b){var c={orderByColumnDefs:!1};angular.extend(c,b);var e,f=this,h=[],i=f.rowHeaderColumns.length;for(e=0;ee;e++)f.columns[e+i].name!==f.options.columnDefs[e].name?j[e+i]=f.getColumn(f.options.columnDefs[e].name):j[e+i]=f.columns[e+i];f.columns.length=0,Array.prototype.splice.apply(f.columns,[0,0].concat(j))}return a.all(h).then(function(){f.rows.length>0&&f.assignTypes()})},p.prototype.preCompileCellTemplates=function(){var a=this,c=function(c){var d=c.cellTemplate.replace(e.MODEL_COL_FIELD,a.getQualifiedColField(c));d=d.replace(e.COL_FIELD,"grid.getCellValue(row, col)");var f=b(d);c.compiledElementFn=f,c.compiledElementFnDefer&&c.compiledElementFnDefer.resolve(c.compiledElementFn)};this.columns.forEach(function(a){a.cellTemplate?c(a):a.cellTemplatePromise&&a.cellTemplatePromise.then(function(){c(a)})})},p.prototype.getQualifiedColField=function(a){return"row.entity."+d.preEval(a.field)},p.prototype.createLeftContainer=function(){this.hasLeftContainer()||(this.renderContainers.left=new l("left",this,{disableColumnOffset:!0}))},p.prototype.createRightContainer=function(){this.hasRightContainer()||(this.renderContainers.right=new l("right",this,{disableColumnOffset:!0}))},p.prototype.hasLeftContainer=function(){return void 0!==this.renderContainers.left},p.prototype.hasRightContainer=function(){return void 0!==this.renderContainers.right},p.prototype.preprocessColDef=function(a){var b=this;if(!a.field&&!a.name)throw new Error("colDef.name or colDef.field property is required");if(void 0===a.name&&void 0!==a.field){for(var c=a.field,d=2;b.getColumn(c);)c=a.field+d.toString(),d++;a.name=c}},p.prototype.newInN=function(a,b,c,d){for(var e=this,f=[],g=0;g0?d[0]:null},p.prototype.modifyRows=function(b){var c=this,d=c.rows.slice(0),e=c.rowHashMap||c.createRowHashMap();c.rowHashMap=c.createRowHashMap(),c.rows.length=0,b.forEach(function(a,b){var f;f=c.options.enableRowHashing?e.get(a):c.getRow(a,d),f||(f=c.processRowBuilders(new h(a,b,c))),c.rows.push(f),c.rowHashMap.put(a,f)}),c.assignTypes();var f=a.when(c.processRowsProcessors(c.rows)).then(function(a){return c.setVisibleRows(a)}),g=a.when(c.processColumnsProcessors(c.columns)).then(function(a){return c.setVisibleColumns(a)});return a.all([f,g])},p.prototype.addRows=function(a){for(var b=this,c=b.rows.length,d=0;dd)d+=e.drawnWidth,c++;else{for(var g=0,h=f;h>=f-c;h--)g+=a.columns[h].drawnWidth;b>g&&c++}}),c},p.prototype.getBodyHeight=function(){var a=this.getViewportHeight();return a},p.prototype.getViewportHeight=function(){var a=this,b=this.gridHeight-this.headerHeight-this.footerHeight,c=a.getViewportAdjustment();return b+=c.height},p.prototype.getViewportWidth=function(){var a=this,b=this.gridWidth,c=a.getViewportAdjustment();return b+=c.width},p.prototype.getHeaderViewportWidth=function(){var a=this.getViewportWidth();return a},p.prototype.addVerticalScrollSync=function(a,b){this.verticalScrollSyncCallBackFns[a]=b},p.prototype.addHorizontalScrollSync=function(a,b){this.horizontalScrollSyncCallBackFns[a]=b},p.prototype.scrollContainers=function(a,b){if(b.y){var c=["body","left","right"];this.flagScrollingVertically(b),"body"===a?c=["left","right"]:"left"===a?c=["body","right"]:"right"===a&&(c=["body","left"]);for(var d=0;db&&(b=a.sort.priority)}),b+1},p.prototype.resetColumnSorting=function(a){var b=this;b.columns.forEach(function(b){b===a||b.suppressRemoveSort||(b.sort={})})},p.prototype.getColumnSorting=function(){var a,b=this,c=[];return a=b.columns.slice(0),a.sort(j.prioritySort).forEach(function(a){a.sort&&"undefined"!=typeof a.sort.direction&&a.sort.direction&&(a.sort.direction===e.ASC||a.sort.direction===e.DESC)&&c.push(a)}),c},p.prototype.sortColumn=function(b,c,d){var e=this,f=null;if("undefined"==typeof b||!b)throw new Error("No column parameter provided");if("boolean"==typeof c?d=c:f=c,d?b.sort.priority||(b.sort.priority=e.getNextColumnSortPriority()):(e.resetColumnSorting(b),b.sort.priority=0,b.sort.priority=e.getNextColumnSortPriority()),f)b.sort.direction=f;else{var g=b.sortDirectionCycle.indexOf(b.sort.direction?b.sort.direction:null);g=(g+1)%b.sortDirectionCycle.length,b.colDef&&b.suppressRemoveSort&&!b.sortDirectionCycle[g]&&(g=(g+1)%b.sortDirectionCycle.length),b.sortDirectionCycle[g]?b.sort.direction=b.sortDirectionCycle[g]:b.sort={}}return e.api.core.raise.sortChanged(e,e.getColumnSorting()),a.when(b)},p.prototype.renderingComplete=function(){angular.isFunction(this.options.onRegisterApi)&&this.options.onRegisterApi(this.api),this.api.core.raise.renderingComplete(this.api)},p.prototype.createRowHashMap=function(){var a=this,b=new o;return b.grid=a,b},p.prototype.refresh=function(b){var c=this,d=c.processRowsProcessors(c.rows).then(function(a){c.setVisibleRows(a)}),e=c.processColumnsProcessors(c.columns).then(function(a){c.setVisibleColumns(a)});return a.all([d,e]).then(function(){c.redrawInPlace(b),c.refreshCanvas(!0)})},p.prototype.refreshRows=function(){var a=this;return a.processRowsProcessors(a.rows).then(function(b){a.setVisibleRows(b),a.redrawInPlace(),a.refreshCanvas(!0)})},p.prototype.refreshCanvas=function(b){var c=this;b&&c.buildStyles();var e=a.defer(),f=[];for(var g in c.renderContainers)if(c.renderContainers.hasOwnProperty(g)){var h=c.renderContainers[g];if(null===h.canvasWidth||isNaN(h.canvasWidth))continue;(h.header||h.headerCanvas)&&(h.explicitHeaderHeight=h.explicitHeaderHeight||null,h.explicitHeaderCanvasHeight=h.explicitHeaderCanvasHeight||null,f.push(h))}return f.length>0?(b&&c.buildStyles(),m(function(){var a,g,h=!1,i=0,j=0,k=function(a,b){return a!==b&&(h=!0),b};for(a=0;ao?0:o,g.innerHeaderHeight=o,!g.explicitHeaderHeight&&o>i&&(i=o)}if(g.headerCanvas){var p=g.headerCanvasHeight=k(g.headerCanvasHeight,parseInt(d.outerElementHeight(g.headerCanvas),10));!g.explicitHeaderCanvasHeight&&p>j&&(j=p)}}for(a=0;a0&&"undefined"!=typeof g.headerHeight&&null!==g.headerHeight&&(g.explicitHeaderHeight||g.headerHeight0&&"undefined"!=typeof g.headerCanvasHeight&&null!==g.headerCanvasHeight&&(g.explicitHeaderCanvasHeight||g.headerCanvasHeight0},p.prototype.hasRightContainerColumns=function(){return this.hasRightContainer()&&this.renderContainers.right.renderedColumns.length>0},p.prototype.scrollToIfNecessary=function(b,c){var d=this,e=new n(d,"uiGrid.scrollToIfNecessary"),f=d.renderContainers.body.visibleRowCache,g=d.renderContainers.body.visibleColumnCache,h=d.renderContainers.body.prevScrollTop+d.headerHeight;h=0>h?0:h;var i=d.renderContainers.body.prevScrollLeft,j=d.renderContainers.body.prevScrollTop+d.gridHeight-d.renderContainers.body.headerHeight-d.footerHeight-d.scrollbarWidth,k=d.renderContainers.body.prevScrollLeft+Math.ceil(d.renderContainers.body.getViewportWidth());if(null!==b){var l=f.indexOf(b),m=d.renderContainers.body.getCanvasHeight()-d.renderContainers.body.getViewportHeight(),o=l*d.options.rowHeight+d.headerHeight;o=0>o?0:o;var p,q;h>o?(p=d.renderContainers.body.prevScrollTop-(h-o),q=p/m,e.y={percentage:q}):o>j&&(p=o-j+d.renderContainers.body.prevScrollTop,q=p/m,e.y={percentage:q})}if(null!==c){for(var r=g.indexOf(c),s=d.renderContainers.body.getCanvasWidth()-d.renderContainers.body.getViewportWidth(),t=0,u=0;r>u;u++){var v=g[u];t+=v.drawnWidth}t=0>t?0:t;var w=t+c.drawnWidth;w=0>w?0:w;var x,y;i>t?(x=d.renderContainers.body.prevScrollLeft-(i-t),y=x/s,y=y>1?1:y,e.x={percentage:y}):w>k&&(x=w-k+d.renderContainers.body.prevScrollLeft,y=x/s,y=y>1?1:y,e.x={percentage:y})}var z=a.defer();if(e.y||e.x){e.withDelay=!1,d.scrollContainers("",e);var A=d.api.core.on.scrollEnd(null,function(){z.resolve(e),A()})}else z.resolve();return z.promise},p.prototype.scrollTo=function(a,b){var c=null,d=null;return null!==a&&"undefined"!=typeof a&&(c=this.getRow(a)),null!==b&&"undefined"!=typeof b&&(d=this.getColumn(b.name?b.name:b.field)),this.scrollToIfNecessary(c,d)},p.prototype.clearAllFilters=function(a,b,c){return void 0===a&&(a=!0),void 0===b&&(b=!1),void 0===c&&(c=!1),this.columns.forEach(function(a){a.filters.forEach(function(a){a.term=void 0,b&&(a.condition=void 0),c&&(a.flags=void 0)})}),a?this.refreshRows():void 0},o.prototype={put:function(a,b){this[this.grid.options.rowIdentity(a)]=b},get:function(a){return this[this.grid.options.rowIdentity(a)]},remove:function(a){var b=this[a=this.grid.options.rowIdentity(a)];return delete this[a],b}},p}])}(),function(){angular.module("ui.grid").factory("GridApi",["$q","$rootScope","gridUtil","uiGridConstants","GridRow","uiGridGridMenuService",function(a,b,c,d,e,f){function g(a,c,d,e){return b.$on(a,function(a){var b=Array.prototype.slice.call(arguments);b.splice(0,1),c.apply(e?e:d.api,b)})}var h=function(a){this.grid=a,this.listeners=[],this.registerEvent("core","renderingComplete"),this.registerEvent("core","filterChanged"),this.registerMethod("core","setRowInvisible",e.prototype.setRowInvisible),this.registerMethod("core","clearRowInvisible",e.prototype.clearRowInvisible),this.registerMethod("core","getVisibleRows",this.grid.getVisibleRows),this.registerEvent("core","rowsVisibleChanged"),this.registerEvent("core","rowsRendered"),this.registerEvent("core","scrollBegin"),this.registerEvent("core","scrollEnd"),this.registerEvent("core","canvasHeightChanged")};return h.prototype.suppressEvents=function(a,b){var c=this,d=angular.isArray(a)?a:[a],e=c.listeners.filter(function(a){return d.some(function(b){return a.handler===b})});e.forEach(function(a){a.dereg()}),b(),e.forEach(function(a){a.dereg=g(a.eventId,a.handler,c.grid,a._this)})},h.prototype.registerEvent=function(a,d){var e=this;e[a]||(e[a]={});var f=e[a];f.on||(f.on={},f.raise={});var h=e.grid.id+a+d;f.raise[d]=function(){b.$emit.apply(b,[h].concat(Array.prototype.slice.call(arguments)))},f.on[d]=function(b,f,i){if(null!==b&&"undefined"==typeof b.$on)return void c.logError("asked to listen on "+a+".on."+d+" but scope wasn't passed in the input parameters. It is legitimate to pass null, but you've passed something else, so you probably forgot to provide scope rather than did it deliberately, not registering");var j=g(h,f,e.grid,i),k={handler:f,dereg:j,eventId:h,scope:b,_this:i};e.listeners.push(k);var l=function(){k.dereg();var a=e.listeners.indexOf(k);e.listeners.splice(a,1)};return b&&b.$on("$destroy",function(){l()}),l}},h.prototype.registerEventsFromObject=function(a){var b=this,c=[];angular.forEach(a,function(a,b){var d={name:b,events:[]};angular.forEach(a,function(a,b){d.events.push(b)}),c.push(d)}),c.forEach(function(a){a.events.forEach(function(c){b.registerEvent(a.name,c)})})},h.prototype.registerMethod=function(a,b,d,e){this[a]||(this[a]={});var f=this[a];f[b]=c.createBoundedWrapper(e||this.grid,d)},h.prototype.registerMethodsFromObject=function(a,b){var c=this,d=[];angular.forEach(a,function(a,b){var c={name:b,methods:[]};angular.forEach(a,function(a,b){c.methods.push({name:b,fn:a})}),d.push(c)}),d.forEach(function(a){a.methods.forEach(function(d){c.registerMethod(a.name,d.name,d.fn,b)})})},h}])}(),function(){angular.module("ui.grid").factory("GridColumn",["gridUtil","uiGridConstants","i18nService",function(a,b,c){function d(a,c,d){var e=this;e.grid=d,e.uid=c,e.updateColumnDef(a,!0),e.aggregationValue=void 0,e.updateAggregationValue=function(){if(!e.aggregationType)return void(e.aggregationValue=void 0);var a=0,c=e.grid.getVisibleRows(),d=function(){var a=[];return c.forEach(function(b){var c=e.grid.getCellValue(b,e),d=Number(c);isNaN(d)||a.push(d)}),a};angular.isFunction(e.aggregationType)?e.aggregationValue=e.aggregationType(c,e):e.aggregationType===b.aggregationTypes.count?e.aggregationValue=e.grid.getVisibleRowCount():e.aggregationType===b.aggregationTypes.sum?(d().forEach(function(b){a+=b}),e.aggregationValue=a):e.aggregationType===b.aggregationTypes.avg?(d().forEach(function(b){a+=b}),a/=d().length,e.aggregationValue=a):e.aggregationType===b.aggregationTypes.min?e.aggregationValue=Math.min.apply(null,d()):e.aggregationType===b.aggregationTypes.max?e.aggregationValue=Math.max.apply(null,d()):e.aggregationValue=" "},this.getAggregationValue=function(){return e.aggregationValue}}return d.prototype.hideColumn=function(){this.colDef.visible=!1},d.prototype.setPropertyOrDefault=function(a,b,c){var d=this;"undefined"!=typeof a[b]&&a[b]?d[b]=a[b]:"undefined"!=typeof d[b]?d[b]=d[b]:d[b]=c?c:{}},d.prototype.updateColumnDef=function(c,d){var e=this;if(e.colDef=c,void 0===c.name)throw new Error("colDef.name is required for column at index "+e.grid.options.columnDefs.indexOf(c));if(e.displayName=void 0===c.displayName?a.readableColumnName(c.name):c.displayName,!angular.isNumber(e.width)||!e.hasCustomWidth||c.allowCustomWidthOverride){var f=c.width,g="Cannot parse column width '"+f+"' for column named '"+c.name+"'";if(e.hasCustomWidth=!1,angular.isString(f)||angular.isNumber(f))if(angular.isString(f))if(a.endsWith(f,"%")){var h=f.replace(/%/g,""),i=parseInt(h,10);if(isNaN(i))throw new Error(g);e.width=f}else if(f.match(/^(\d+)$/))e.width=parseInt(f.match(/^(\d+)$/)[1],10);else{if(!f.match(/^\*+$/))throw new Error(g);e.width=f}else e.width=f;else e.width="*"}["minWidth","maxWidth"].forEach(function(a){var b=c[a],d="Cannot parse column "+a+" '"+b+"' for column named '"+c.name+"'";if(angular.isString(b)||angular.isNumber(b))if(angular.isString(b)){if(!b.match(/^(\d+)$/))throw new Error(d);e[a]=parseInt(b.match(/^(\d+)$/)[1],10)}else e[a]=b;else e[a]="minWidth"===a?30:9e3}),e.field=void 0===c.field?c.name:c.field,"string"!=typeof e.field&&a.logError("Field is not a string, this is likely to break the code, Field is: "+e.field),e.name=c.name,e.displayName=void 0===c.displayName?a.readableColumnName(c.name):c.displayName,e.aggregationType=angular.isDefined(c.aggregationType)?c.aggregationType:null,e.footerCellTemplate=angular.isDefined(c.footerCellTemplate)?c.footerCellTemplate:null,"undefined"==typeof c.cellTooltip||c.cellTooltip===!1?e.cellTooltip=!1:c.cellTooltip===!0?e.cellTooltip=function(a,b){return e.grid.getCellValue(a,b)}:"function"==typeof c.cellTooltip?e.cellTooltip=c.cellTooltip:e.cellTooltip=function(a,b){return b.colDef.cellTooltip},"undefined"==typeof c.headerTooltip||c.headerTooltip===!1?e.headerTooltip=!1:c.headerTooltip===!0?e.headerTooltip=function(a){return a.displayName}:"function"==typeof c.headerTooltip?e.headerTooltip=c.headerTooltip:e.headerTooltip=function(a){return a.colDef.headerTooltip},e.footerCellClass=c.footerCellClass,e.cellClass=c.cellClass,e.headerCellClass=c.headerCellClass,e.cellFilter=c.cellFilter?c.cellFilter:"",e.sortCellFiltered=!!c.sortCellFiltered,e.filterCellFiltered=!!c.filterCellFiltered,e.headerCellFilter=c.headerCellFilter?c.headerCellFilter:"",e.footerCellFilter=c.footerCellFilter?c.footerCellFilter:"",e.visible=a.isNullOrUndefined(c.visible)||c.visible,e.headerClass=c.headerClass,e.enableSorting="undefined"!=typeof c.enableSorting?c.enableSorting:!0,e.sortingAlgorithm=c.sortingAlgorithm,e.sortDirectionCycle="undefined"!=typeof c.sortDirectionCycle?c.sortDirectionCycle:[null,b.ASC,b.DESC],"undefined"==typeof e.suppressRemoveSort&&(e.suppressRemoveSort="undefined"!=typeof c.suppressRemoveSort?c.suppressRemoveSort:!1),e.enableFiltering="undefined"!=typeof c.enableFiltering?c.enableFiltering:!0,e.setPropertyOrDefault(c,"menuItems",[]),d&&e.setPropertyOrDefault(c,"sort");var j=[];c.filter?j.push(c.filter):c.filters?j=c.filters:j.push({}),d?(e.setPropertyOrDefault(c,"filter"),e.setPropertyOrDefault(c,"filters",j)):e.filters.length===j.length&&e.filters.forEach(function(a,b){"undefined"!=typeof j[b].placeholder&&(a.placeholder=j[b].placeholder),"undefined"!=typeof j[b].ariaLabel&&(a.ariaLabel=j[b].ariaLabel),"undefined"!=typeof j[b].flags&&(a.flags=j[b].flags),"undefined"!=typeof j[b].type&&(a.type=j[b].type),"undefined"!=typeof j[b].selectOptions&&(a.selectOptions=j[b].selectOptions)})},d.prototype.unsort=function(){this.sort={},this.grid.api.core.raise.sortChanged(this.grid,this.grid.getColumnSorting())},d.prototype.getColClass=function(a){var c=b.COL_CLASS_PREFIX+this.uid;return a?"."+c:c},d.prototype.isPinnedLeft=function(){return"left"===this.renderContainer},d.prototype.isPinnedRight=function(){return"right"===this.renderContainer},d.prototype.getColClassDefinition=function(){return" .grid"+this.grid.id+" "+this.getColClass(!0)+" { min-width: "+this.drawnWidth+"px; max-width: "+this.drawnWidth+"px; }"},d.prototype.getRenderContainer=function(){var a=this,b=a.renderContainer;return null!==b&&""!==b&&void 0!==b||(b="body"),a.grid.renderContainers[b]},d.prototype.showColumn=function(){this.colDef.visible=!0},d.prototype.getAggregationText=function(){var a=this;if(a.colDef.aggregationHideLabel)return"";if(a.colDef.aggregationLabel)return a.colDef.aggregationLabel;switch(a.colDef.aggregationType){case b.aggregationTypes.count:return c.getSafeText("aggregation.count");case b.aggregationTypes.sum:return c.getSafeText("aggregation.sum");case b.aggregationTypes.avg:return c.getSafeText("aggregation.avg");case b.aggregationTypes.min:return c.getSafeText("aggregation.min");case b.aggregationTypes.max:return c.getSafeText("aggregation.max");default:return""}},d.prototype.getCellTemplate=function(){var a=this;return a.cellTemplatePromise},d.prototype.getCompiledElementFn=function(){var a=this;return a.compiledElementFnDefer.promise},d}])}(),function(){angular.module("ui.grid").factory("GridOptions",["gridUtil","uiGridConstants",function(a,b){return{initialize:function(c){return c.onRegisterApi=c.onRegisterApi||angular.noop(),c.data=c.data||[],c.columnDefs=c.columnDefs||[],c.excludeProperties=c.excludeProperties||["$$hashKey"],c.enableRowHashing=c.enableRowHashing!==!1,c.rowIdentity=c.rowIdentity||function(b){return a.hashKey(b)},c.getRowIdentity=c.getRowIdentity||function(a){return a.$$hashKey},c.flatEntityAccess=c.flatEntityAccess===!0,c.showHeader="undefined"!=typeof c.showHeader?c.showHeader:!0,c.showHeader?c.headerRowHeight="undefined"!=typeof c.headerRowHeight?c.headerRowHeight:30:c.headerRowHeight=0,c.rowHeight=c.rowHeight||30,c.minRowsToShow="undefined"!=typeof c.minRowsToShow?c.minRowsToShow:10,c.showGridFooter=c.showGridFooter===!0,c.showColumnFooter=c.showColumnFooter===!0,c.columnFooterHeight="undefined"!=typeof c.columnFooterHeight?c.columnFooterHeight:30,c.gridFooterHeight="undefined"!=typeof c.gridFooterHeight?c.gridFooterHeight:30,c.columnWidth="undefined"!=typeof c.columnWidth?c.columnWidth:50,c.maxVisibleColumnCount="undefined"!=typeof c.maxVisibleColumnCount?c.maxVisibleColumnCount:200,c.virtualizationThreshold="undefined"!=typeof c.virtualizationThreshold?c.virtualizationThreshold:20,c.columnVirtualizationThreshold="undefined"!=typeof c.columnVirtualizationThreshold?c.columnVirtualizationThreshold:10,c.excessRows="undefined"!=typeof c.excessRows?c.excessRows:4,c.scrollThreshold="undefined"!=typeof c.scrollThreshold?c.scrollThreshold:4,c.excessColumns="undefined"!=typeof c.excessColumns?c.excessColumns:4,c.horizontalScrollThreshold="undefined"!=typeof c.horizontalScrollThreshold?c.horizontalScrollThreshold:2,c.aggregationCalcThrottle="undefined"!=typeof c.aggregationCalcThrottle?c.aggregationCalcThrottle:500,c.wheelScrollThrottle="undefined"!=typeof c.wheelScrollThrottle?c.wheelScrollThrottle:70,c.scrollDebounce="undefined"!=typeof c.scrollDebounce?c.scrollDebounce:300,c.enableSorting=c.enableSorting!==!1,c.enableFiltering=c.enableFiltering===!0,c.enableColumnMenus=c.enableColumnMenus!==!1,c.enableVerticalScrollbar="undefined"!=typeof c.enableVerticalScrollbar?c.enableVerticalScrollbar:b.scrollbars.ALWAYS,c.enableHorizontalScrollbar="undefined"!=typeof c.enableHorizontalScrollbar?c.enableHorizontalScrollbar:b.scrollbars.ALWAYS,c.enableMinHeightCheck=c.enableMinHeightCheck!==!1,c.minimumColumnSize="undefined"!=typeof c.minimumColumnSize?c.minimumColumnSize:10,c.rowEquality=c.rowEquality||function(a,b){return a===b},c.headerTemplate=c.headerTemplate||null,c.footerTemplate=c.footerTemplate||"ui-grid/ui-grid-footer",c.gridFooterTemplate=c.gridFooterTemplate||"ui-grid/ui-grid-grid-footer",c.rowTemplate=c.rowTemplate||"ui-grid/ui-grid-row",c.appScopeProvider=c.appScopeProvider||null, +c}}}])}(),function(){angular.module("ui.grid").factory("GridRenderContainer",["gridUtil","uiGridConstants",function(a,b){function c(a,b,c){var d=this;d.name=a,d.grid=b,d.visibleRowCache=[],d.visibleColumnCache=[],d.renderedRows=[],d.renderedColumns=[],d.prevScrollTop=0,d.prevScrolltopPercentage=0,d.prevRowScrollIndex=0,d.prevScrollLeft=0,d.prevScrollleftPercentage=0,d.prevColumnScrollIndex=0,d.columnStyles="",d.viewportAdjusters=[],d.hasHScrollbar=!1,d.hasVScrollbar=!1,d.canvasHeightShouldUpdate=!0,d.$$canvasHeight=0,c&&angular.isObject(c)&&angular.extend(d,c),b.registerStyleComputation({priority:5,func:function(){return d.updateColumnWidths(),d.columnStyles}})}return c.prototype.reset=function(){this.visibleColumnCache.length=0,this.visibleRowCache.length=0,this.renderedRows.length=0,this.renderedColumns.length=0},c.prototype.containsColumn=function(a){return-1!==this.visibleColumnCache.indexOf(a)},c.prototype.minRowsToRender=function(){for(var a=this,b=0,c=0,d=a.getViewportHeight(),e=a.visibleRowCache.length-1;d>c&&e>=0;e--)c+=a.visibleRowCache[e].height,b++;return b},c.prototype.minColumnsToRender=function(){for(var a=this,b=this.getViewportWidth(),c=0,d=0,e=0;ed)d+=f.drawnWidth?f.drawnWidth:0,c++;else{for(var g=0,h=e;h>=e-c;h--)g+=a.visibleColumnCache[h].drawnWidth?a.visibleColumnCache[h].drawnWidth:0;b>g&&c++}}return c},c.prototype.getVisibleRowCount=function(){return this.visibleRowCache.length},c.prototype.registerViewportAdjuster=function(a){this.viewportAdjusters.push(a)},c.prototype.removeViewportAdjuster=function(a){var b=this.viewportAdjusters.indexOf(a);b>-1&&this.viewportAdjusters.splice(b,1)},c.prototype.getViewportAdjustment=function(){var a=this,b={height:0,width:0};return a.viewportAdjusters.forEach(function(a){b=a.call(this,b)}),b},c.prototype.getMargin=function(a){var b=this,c=0;return b.viewportAdjusters.forEach(function(b){var d=b.call(this,{height:0,width:0});d.side&&d.side===a&&(c+=-1*d.width)}),c},c.prototype.getViewportHeight=function(){var a=this,b=a.headerHeight?a.headerHeight:a.grid.headerHeight,c=a.grid.gridHeight-b-a.grid.footerHeight,d=a.getViewportAdjustment();return c+=d.height},c.prototype.getViewportWidth=function(){var a=this,b=a.grid.gridWidth,c=a.getViewportAdjustment();return b+=c.width},c.prototype.getHeaderViewportWidth=function(){var a=this.getViewportWidth();return a},c.prototype.getCanvasHeight=function(){var a=this;if(!a.canvasHeightShouldUpdate)return a.$$canvasHeight;var b=a.$$canvasHeight;return a.$$canvasHeight=0,a.visibleRowCache.forEach(function(b){a.$$canvasHeight+=b.height}),a.canvasHeightShouldUpdate=!1,a.grid.api.core.raise.canvasHeightChanged(b,a.$$canvasHeight),a.$$canvasHeight},c.prototype.getVerticalScrollLength=function(){return this.getCanvasHeight()-this.getViewportHeight()+this.grid.scrollbarHeight},c.prototype.getCanvasWidth=function(){var a=this,b=a.canvasWidth;return b},c.prototype.setRenderedRows=function(a){this.renderedRows.length=a.length;for(var b=0;b0&&(this.grid.scrollDirection=b.scrollDirection.DOWN),0>d&&(this.grid.scrollDirection=b.scrollDirection.UP);var e=this.getVerticalScrollLength();return c=a/e,c>1&&(c=1),0>c&&(c=0),this.adjustScrollVertical(a,c),c}},c.prototype.scrollHorizontal=function(a){var c=-1;if(a!==this.prevScrollLeft){var d=a-this.prevScrollLeft;d>0&&(this.grid.scrollDirection=b.scrollDirection.RIGHT),0>d&&(this.grid.scrollDirection=b.scrollDirection.LEFT);var e=this.canvasWidth-this.getViewportWidth();return c=0!==e?a/e:0,this.adjustScrollHorizontal(a,c),c}},c.prototype.adjustScrollVertical=function(a,b,c){(this.prevScrollTop!==a||c)&&("undefined"!=typeof a&&void 0!==a&&null!==a||(a=(this.getCanvasHeight()-this.getViewportHeight())*b),this.adjustRows(a,b,!1),this.prevScrollTop=a,this.prevScrolltopPercentage=b,this.grid.queueRefresh())},c.prototype.adjustScrollHorizontal=function(a,b,c){(this.prevScrollLeft!==a||c)&&("undefined"!=typeof a&&void 0!==a&&null!==a||(a=(this.getCanvasWidth()-this.getViewportWidth())*b),this.adjustColumns(a,b),this.prevScrollLeft=a,this.prevScrollleftPercentage=b,this.grid.queueRefresh())},c.prototype.adjustRows=function(a,b,c){var d=this,e=d.minRowsToRender(),f=d.visibleRowCache,g=f.length-e;"undefined"!=typeof b&&null!==b||!a||(b=a/d.getVerticalScrollLength());var h=Math.ceil(Math.min(g,g*b));h>g&&(h=g);var i=[];if(f.length>d.grid.options.virtualizationThreshold){if("undefined"!=typeof a&&null!==a){if(!d.grid.suppressParentScrollDown&&d.prevScrollToph)return;if(!d.grid.suppressParentScrollUp&&d.prevScrollTop>a&&h>d.prevRowScrollIndex-d.grid.options.scrollThreshold&&g>h)return}var j={},k={};j=Math.max(0,h-d.grid.options.excessRows),k=Math.min(f.length,h+e+d.grid.options.excessRows),i=[j,k]}else{var l=d.visibleRowCache.length;i=[0,Math.max(l,e+d.grid.options.excessRows)]}d.updateViewableRowRange(i),d.prevRowScrollIndex=h},c.prototype.adjustColumns=function(a,b){var c=this,d=c.minColumnsToRender(),e=c.visibleColumnCache,f=e.length-d;if(("undefined"==typeof b||null===b)&&a){var g=c.getCanvasWidth()-c.getViewportWidth();b=a/g}var h=Math.ceil(Math.min(f,f*b));h>f&&(h=f);var i=[];if(e.length>c.grid.options.columnVirtualizationThreshold&&c.getCanvasWidth()>c.getViewportWidth()){var j=Math.max(0,h-c.grid.options.excessColumns),k=Math.min(e.length,h+d+c.grid.options.excessColumns);i=[j,k]}else{var l=c.visibleColumnCache.length;i=[0,Math.max(l,d+c.grid.options.excessColumns)]}c.updateViewableColumnRange(i),c.prevColumnScrollIndex=h},c.prototype.updateViewableRowRange=function(a){var b=this.visibleRowCache.slice(a[0],a[1]);this.currentTopRow=a[0],this.setRenderedRows(b)},c.prototype.updateViewableColumnRange=function(a){var b=this.visibleColumnCache.slice(a[0],a[1]);this.currentFirstColumn=a[0],this.setRenderedColumns(b)},c.prototype.headerCellWrapperStyle=function(){var a=this;if(0!==a.currentFirstColumn){var b=a.columnOffset;return a.grid.isRTL()?{"margin-right":b+"px"}:{"margin-left":b+"px"}}return null},c.prototype.updateColumnWidths=function(){var b=this,c=[],d=0,e=0,f="",g=b.grid.getViewportWidth()-b.grid.scrollbarWidth,h=[];angular.forEach(b.grid.renderContainers,function(a,b){h=h.concat(a.visibleColumnCache)}),h.forEach(function(b,f){var h=0;b.visible&&(angular.isNumber(b.width)?(h=parseInt(b.width,10),e+=h,b.drawnWidth=h):a.endsWith(b.width,"%")?(h=parseInt(parseInt(b.width.replace(/%/g,""),10)/100*g),h>b.maxWidth&&(h=b.maxWidth),h0){var j=i/d;c.forEach(function(a){var b=parseInt(a.width.length*j,10);b>a.maxWidth&&(b=a.maxWidth),b0&&(a.drawnWidth++,e++,l--,m=!0)},l=g-e,m=!0;l>0&&m;)m=!1,c.forEach(k);var n=function(a){a.drawnWidth>a.minWidth&&o>0&&(a.drawnWidth--,e--,o--,m=!0)},o=e-g;for(m=!0;o>0&&m;)m=!1,c.forEach(n);var p=0;b.visibleColumnCache.forEach(function(a){a.visible&&(p+=a.drawnWidth)}),h.forEach(function(a){f+=a.getColClassDefinition()}),b.canvasWidth=p,this.columnStyles=f},c.prototype.needsHScrollbarPlaceholder=function(){return this.grid.options.enableHorizontalScrollbar&&!this.hasHScrollbar&&!this.grid.disableScrolling},c.prototype.getViewportStyle=function(){var a=this,c={};return a.hasHScrollbar=!1,a.hasVScrollbar=!1,a.grid.disableScrolling?(c["overflow-x"]="hidden",c["overflow-y"]="hidden",c):("body"===a.name?(a.hasHScrollbar=a.grid.options.enableHorizontalScrollbar!==b.scrollbars.NEVER,a.grid.isRTL()?a.grid.hasLeftContainerColumns()||(a.hasVScrollbar=a.grid.options.enableVerticalScrollbar!==b.scrollbars.NEVER):a.grid.hasRightContainerColumns()||(a.hasVScrollbar=a.grid.options.enableVerticalScrollbar!==b.scrollbars.NEVER)):"left"===a.name?a.hasVScrollbar=a.grid.isRTL()?a.grid.options.enableVerticalScrollbar!==b.scrollbars.NEVER:!1:a.hasVScrollbar=a.grid.isRTL()?!1:a.grid.options.enableVerticalScrollbar!==b.scrollbars.NEVER,c["overflow-x"]=a.hasHScrollbar?"scroll":"hidden",c["overflow-y"]=a.hasVScrollbar?"scroll":"hidden",c)},c}])}(),function(){angular.module("ui.grid").factory("GridRow",["gridUtil",function(a){function b(b,c,d){this.grid=d,this.entity=b,this.uid=a.nextUid(),this.visible=!0,this.$$height=d.options.rowHeight}return Object.defineProperty(b.prototype,"height",{get:function(){return this.$$height},set:function(a){a!==this.$$height&&(this.grid.updateCanvasHeight(),this.$$height=a)}}),b.prototype.getQualifiedColField=function(a){return"row."+this.getEntityQualifiedColField(a)},b.prototype.getEntityQualifiedColField=function(b){return a.preEval("entity."+b.field)},b.prototype.setRowInvisible=function(a){a&&a.setThisRowInvisible&&a.setThisRowInvisible("user")},b.prototype.clearRowInvisible=function(a){a&&a.clearThisRowInvisible&&a.clearThisRowInvisible("user")},b.prototype.setThisRowInvisible=function(a,b){this.invisibleReason||(this.invisibleReason={}),this.invisibleReason[a]=!0,this.evaluateRowVisibility(b)},b.prototype.clearThisRowInvisible=function(a,b){"undefined"!=typeof this.invisibleReason&&delete this.invisibleReason[a],this.evaluateRowVisibility(b)},b.prototype.evaluateRowVisibility=function(a){var b=!0;"undefined"!=typeof this.invisibleReason&&angular.forEach(this.invisibleReason,function(a,c){a&&(b=!1)}),"undefined"!=typeof this.visible&&this.visible===b||(this.visible=b,a||(this.grid.queueGridRefresh(),this.grid.api.core.raise.rowsVisibleChanged(this)))},b}])}(),function(){"use strict";angular.module("ui.grid").factory("GridRowColumn",["$parse","$filter",function(a,b){var c=function d(a,b){if(!(this instanceof d))throw"Using GridRowColumn as a function insead of as a constructor. Must be called with `new` keyword";this.row=a,this.col=b};return c.prototype.getIntersectionValueRaw=function(){var b=a(this.row.getEntityQualifiedColField(this.col)),c=this.row;return b(c)},c.prototype.getIntersectionValueFiltered=function(){var a=this.getIntersectionValueRaw();if(this.col.cellFilter&&""!==this.col.cellFilter){var c=function(a){try{return b(a)}catch(c){return null}},d=c(this.col.cellFilter);if(d)a=d(a);else{var e,f=/([^:]*):([^:]*):?([\s\S]+)?/;null!==(e=f.exec(this.col.cellFilter))&&(a=b(e[1])(a,e[2],e[3]))}}return a},c}])}(),function(){angular.module("ui.grid").factory("ScrollEvent",["gridUtil",function(a){function b(b,c,d,e){var f=this;if(!b)throw new Error("grid argument is required");f.grid=b,f.source=e,f.withDelay=!0,f.sourceRowContainer=c,f.sourceColContainer=d,f.newScrollLeft=null,f.newScrollTop=null,f.x=null,f.y=null,f.verticalScrollLength=-9999999,f.horizontalScrollLength=-999999,f.fireThrottledScrollingEvent=a.throttle(function(a){f.grid.scrollContainers(a,f)},f.grid.options.wheelScrollThrottle,{trailing:!0})}return b.prototype.getNewScrollLeft=function(b,c){var d=this;if(!d.newScrollLeft){var e,f=b.getCanvasWidth()-b.getViewportWidth(),g=a.normalizeScrollLeft(c,d.grid);if("undefined"!=typeof d.x.percentage&&void 0!==d.x.percentage)e=d.x.percentage;else{if("undefined"==typeof d.x.pixels||void 0===d.x.pixels)throw new Error("No percentage or pixel value provided for scroll event X axis");e=d.x.percentage=(g+d.x.pixels)/f}return Math.max(0,e*f)}return d.newScrollLeft},b.prototype.getNewScrollTop=function(a,b){var c=this;if(!c.newScrollTop){var d,e=a.getVerticalScrollLength(),f=b[0].scrollTop;if("undefined"!=typeof c.y.percentage&&void 0!==c.y.percentage)d=c.y.percentage;else{if("undefined"==typeof c.y.pixels||void 0===c.y.pixels)throw new Error("No percentage or pixel value provided for scroll event Y axis");d=c.y.percentage=(f+c.y.pixels)/e}return Math.max(0,d*e)}return c.newScrollTop},b.prototype.atTop=function(a){return this.y&&(0===this.y.percentage||this.verticalScrollLength<0)&&0===a},b.prototype.atBottom=function(a){return this.y&&(1===this.y.percentage||0===this.verticalScrollLength)&&a>0},b.prototype.atLeft=function(a){return this.x&&(0===this.x.percentage||this.horizontalScrollLength<0)&&0===a},b.prototype.atRight=function(a){return this.x&&(1===this.x.percentage||0===this.horizontalScrollLength)&&a>0},b.Sources={ViewPortScroll:"ViewPortScroll",RenderContainerMouseWheel:"RenderContainerMouseWheel",RenderContainerTouchMove:"RenderContainerTouchMove",Other:99},b}])}(),function(){"use strict";angular.module("ui.grid").service("gridClassFactory",["gridUtil","$q","$compile","$templateCache","uiGridConstants","Grid","GridColumn","GridRow",function(a,b,c,d,e,f,g,h){var i={createGrid:function(d){d="undefined"!=typeof d?d:{},d.id=a.newId();var e=new f(d);if(e.options.rowTemplate){var g=b.defer();e.getRowTemplateFn=g.promise,a.getTemplate(e.options.rowTemplate).then(function(a){var b=c(a);g.resolve(b)},function(a){throw new Error("Couldn't fetch/use row template '"+e.options.rowTemplate+"'")})}return e.registerColumnBuilder(i.defaultColumnBuilder),e.registerRowBuilder(i.rowTemplateAssigner),e.registerRowsProcessor(function(a){return a.forEach(function(a){a.evaluateRowVisibility(!0)},50),a}),e.registerColumnsProcessor(function(a){return a.forEach(function(a){a.visible=!0}),a},50),e.registerColumnsProcessor(function(a){return a.forEach(function(a){a.colDef.visible===!1&&(a.visible=!1)}),a},50),e.registerRowsProcessor(e.searchRows,100),e.options.externalSort&&angular.isFunction(e.options.externalSort)?e.registerRowsProcessor(e.options.externalSort,200):e.registerRowsProcessor(e.sortByColumn,200),e},defaultColumnBuilder:function(c,d,f){var g=[],h=function(b,f,h,i,j){c[b]?d[f]=c[b]:d[f]=h,g.push(a.getTemplate(d[f]).then(function(a){angular.isFunction(a)&&(a=a());var c="cellTooltip"===j?"col.cellTooltip(row,col)":"col.headerTooltip(col)";j&&d[j]===!1?a=a.replace(e.TOOLTIP,""):j&&d[j]&&(a=a.replace(e.TOOLTIP,'title="{{'+c+' CUSTOM_FILTERS }}"')),i?d[b]=a.replace(e.CUSTOM_FILTERS,function(){return d[i]?"|"+d[i]:""}):d[b]=a},function(a){throw new Error("Couldn't fetch/use colDef."+b+" '"+c[b]+"'")}))};return h("cellTemplate","providedCellTemplate","ui-grid/uiGridCell","cellFilter","cellTooltip"),d.cellTemplatePromise=g[0],h("headerCellTemplate","providedHeaderCellTemplate","ui-grid/uiGridHeaderCell","headerCellFilter","headerTooltip"),h("footerCellTemplate","providedFooterCellTemplate","ui-grid/uiGridFooterCell","footerCellFilter"),h("filterHeaderTemplate","providedFilterHeaderTemplate","ui-grid/ui-grid-filter"),d.compiledElementFnDefer=b.defer(),b.all(g)},rowTemplateAssigner:function(d){var e=this;if(d.rowTemplate){var f=b.defer();d.getRowTemplateFn=f.promise,a.getTemplate(d.rowTemplate).then(function(a){var b=c(a);f.resolve(b)},function(a){throw new Error("Couldn't fetch/use row template '"+d.rowTemplate+"'")})}else d.rowTemplate=e.options.rowTemplate,d.getRowTemplateFn=e.getRowTemplateFn;return d.getRowTemplateFn}};return i}])}(),function(){function a(a){return a.replace(/[\-\[\]\/\{\}\(\)\*\+\?\.\\\^\$\|]/g,"\\$&")}var b=angular.module("ui.grid");b.service("rowSearcher",["gridUtil","uiGridConstants",function(b,c){var d=c.filter.CONTAINS,e={};return e.getTerm=function(a){if("undefined"==typeof a.term)return a.term;var b=a.term;return"string"==typeof b&&(b=b.trim()),b},e.stripTerm=function(b){var c=e.getTerm(b);return"string"==typeof c?a(c.replace(/(^\*|\*$)/g,"")):c},e.guessCondition=function(a){if("undefined"==typeof a.term||!a.term)return d;var b=e.getTerm(a);if(/\*/.test(b)){var c="";a.flags&&a.flags.caseSensitive||(c+="i");var f=b.replace(/(\\)?\*/g,function(a,b){return b?a:"[\\s\\S]*?"});return new RegExp("^"+f+"$",c)}return d},e.setupFilters=function(a){for(var d=[],f=a.length,g=0;f>g;g++){var h=a[g];if(h.noTerm||!b.isNullOrUndefined(h.term)){var i={},j="";h.flags&&h.flags.caseSensitive||(j+="i"),b.isNullOrUndefined(h.term)||(i.term=e.stripTerm(h)),h.condition?i.condition=h.condition:i.condition=e.guessCondition(h),i.flags=angular.extend({caseSensitive:!1,date:!1},h.flags),i.condition===c.filter.STARTS_WITH&&(i.startswithRE=new RegExp("^"+i.term,j)),i.condition===c.filter.ENDS_WITH&&(i.endswithRE=new RegExp(i.term+"$",j)),i.condition===c.filter.CONTAINS&&(i.containsRE=new RegExp(i.term,j)),i.condition===c.filter.EXACT&&(i.exactRE=new RegExp("^"+i.term+"$",j)),d.push(i)}}return d},e.runColumnFilter=function(a,b,d,e){var f,g=typeof e.condition,h=e.term;if(f=d.filterCellFiltered?a.getCellDisplayValue(b,d):a.getCellValue(b,d),e.condition instanceof RegExp)return e.condition.test(f);if("function"===g)return e.condition(h,f,b,d);if(e.startswithRE)return e.startswithRE.test(f);if(e.endswithRE)return e.endswithRE.test(f);if(e.containsRE)return e.containsRE.test(f);if(e.exactRE)return e.exactRE.test(f);if(e.condition===c.filter.NOT_EQUAL){var i=new RegExp("^"+h+"$");return!i.exec(f)}if("number"==typeof f&&"string"==typeof h){var j=parseFloat(h.replace(/\\\./,".").replace(/\\\-/,"-"));isNaN(j)||(h=j)}return e.flags.date===!0&&(f=new Date(f),h=new Date(h.replace(/\\/g,""))),e.condition===c.filter.GREATER_THAN?f>h:e.condition===c.filter.GREATER_THAN_OR_EQUAL?f>=h:e.condition===c.filter.LESS_THAN?h>f:e.condition===c.filter.LESS_THAN_OR_EQUAL?h>=f:!0},e.searchColumn=function(a,b,c,d){if(a.options.useExternalFiltering)return!0;for(var f=d.length,g=0;f>g;g++){var h=d[g],i=e.runColumnFilter(a,b,c,h);if(!i)return!1}return!0},e.search=function(a,c,d){if(c){if(!a.options.enableFiltering)return c;for(var f=[],g=d.length,h=function(a){var c=!1;return a.forEach(function(a){(!b.isNullOrUndefined(a.term)&&""!==a.term||a.noTerm)&&(c=!0)}),c},i=0;g>i;i++){var j=d[i];"undefined"!=typeof j.filters&&h(j.filters)&&f.push({col:j,filters:e.setupFilters(j.filters)})}if(f.length>0){for(var k=function(a,b,c,d){b.visible&&!e.searchColumn(a,b,c,d)&&(b.visible=!1)},l=function(a,b){for(var d=c.length,e=0;d>e;e++)k(a,c[e],b.col,b.filters)},m=f.length,n=0;m>n;n++)l(a,f[n]);a.api.core.raise.rowsVisibleChanged&&a.api.core.raise.rowsVisibleChanged()}return c}},e}])}(),function(){var a=angular.module("ui.grid");a.service("rowSorter",["$parse","uiGridConstants",function(a,b){var c="("+b.CURRENCY_SYMBOLS.map(function(a){return"\\"+a}).join("|")+")?",d=(new RegExp("^[-+]?"+c+"[\\d,.]+"+c+"%?$"),{colSortFnCache:{}});return d.guessSortFn=function(a){switch(a){case"number":return d.sortNumber;case"numberStr":return d.sortNumberStr;case"boolean":return d.sortBool;case"string":return d.sortAlpha;case"date":return d.sortDate;case"object":return d.basicSort;default:throw new Error("No sorting function found for type:"+a)}},d.handleNulls=function(a,b){if(!a&&0!==a&&a!==!1||!b&&0!==b&&b!==!1){if(!a&&0!==a&&a!==!1&&!b&&0!==b&&b!==!1)return 0;if(!a&&0!==a&&a!==!1)return 1;if(!b&&0!==b&&b!==!1)return-1}return null},d.basicSort=function(a,b){var c=d.handleNulls(a,b);return null!==c?c:a===b?0:b>a?-1:1},d.sortNumber=function(a,b){var c=d.handleNulls(a,b);return null!==c?c:a-b},d.sortNumberStr=function(a,b){var c=d.handleNulls(a,b);if(null!==c)return c;var e,f,g=!1,h=!1;return e=parseFloat(a.replace(/[^0-9.-]/g,"")),isNaN(e)&&(g=!0),f=parseFloat(b.replace(/[^0-9.-]/g,"")),isNaN(f)&&(h=!0),g&&h?0:g?1:h?-1:e-f},d.sortAlpha=function(a,b){var c=d.handleNulls(a,b);if(null!==c)return c;var e=a.toString().toLowerCase(),f=b.toString().toLowerCase();return e===f?0:e.localeCompare(f)},d.sortDate=function(a,b){var c=d.handleNulls(a,b);if(null!==c)return c;a instanceof Date||(a=new Date(a)),b instanceof Date||(b=new Date(b));var e=a.getTime(),f=b.getTime();return e===f?0:f>e?-1:1},d.sortBool=function(a,b){var c=d.handleNulls(a,b);return null!==c?c:a&&b?0:a||b?a?1:-1:0},d.getSortFn=function(a,b,c){var e;return d.colSortFnCache[b.colDef.name]?e=d.colSortFnCache[b.colDef.name]:void 0!==b.sortingAlgorithm?(e=b.sortingAlgorithm,d.colSortFnCache[b.colDef.name]=b.sortingAlgorithm):b.sortCellFiltered&&b.cellFilter?(e=d.sortAlpha,d.colSortFnCache[b.colDef.name]=e):(e=d.guessSortFn(b.colDef.type),e?d.colSortFnCache[b.colDef.name]=e:e=d.sortAlpha),e},d.prioritySort=function(a,b){return void 0!==a.sort.priority&&void 0!==b.sort.priority?a.sort.priorityf;f+=2){var i=h[f];if("margin"===c){var j=parseFloat(e[c+i]);isNaN(j)||(g+=j)}if(d){if("content"===c){var k=parseFloat(e["padding"+i]);isNaN(k)||(g-=k)}if("margin"!==c){var l=parseFloat(e["border"+i+"Width"]);isNaN(l)||(g-=l)}}else{var m=parseFloat(e["padding"+i]);if(isNaN(m)||(g+=m),"padding"!==c){var n=parseFloat(e["border"+i+"Width"]);isNaN(n)||(g+=n)}}}return g}function c(c,d,e){var f,h=!0,i=a(c),j="border-box"===i.boxSizing;if(0>=f||null==f){if(f=i[d],(0>f||null==f)&&(f=c.style[d]),g.test(f))return f;h=j&&!0,f=parseFloat(f)||0}var k=f+b(c,d,e||(j?"border":"content"),h,i);return k}function d(b){b=angular.element(b)[0];var c=b.parentElement;return c||(c=document.getElementsByTagName("body")[0]),parseInt(a(c).fontSize)||parseInt(a(b).fontSize)||16}var e,f=angular.module("ui.grid");"function"!=typeof Function.prototype.bind&&(e=function(){var a=Array.prototype.slice;return function(b){var c=this,d=a.call(arguments,1);return d.length?function(){return arguments.length?c.apply(b,d.concat(a.call(arguments))):c.apply(b,d)}:function(){return arguments.length?c.apply(b,arguments):c.call(b)}}});var g=new RegExp("^("+/[+-]?(?:\d*\.|)\d+(?:[eE][+-]?\d+|)/.source+")(?!px)[a-z%]+$","i"),h=/^(block|none|table(?!-c[ea]).+)/,i={position:"absolute",visibility:"hidden",display:"block"},j=["0","0","0","0"],k="uiGrid-";f.service("gridUtil",["$log","$window","$document","$http","$templateCache","$timeout","$interval","$injector","$q","$interpolate","uiGridConstants",function(f,g,l,m,n,o,p,q,r,s,t){function u(a,b){var c=angular.element(this),d=0,e=0,f=0,g=0;if(b.originalEvent&&(b=b.originalEvent),"detail"in b&&(f=-1*b.detail),"wheelDelta"in b&&(f=b.wheelDelta),"wheelDeltaY"in b&&(f=b.wheelDeltaY),"wheelDeltaX"in b&&(e=-1*b.wheelDeltaX),"axis"in b&&b.axis===b.HORIZONTAL_AXIS&&(e=-1*f,f=0),d=0===f?e:f,"deltaY"in b&&(f=-1*b.deltaY,d=f),"deltaX"in b&&(e=b.deltaX,0===f&&(d=-1*e)),0!==f||0!==e){if(1===b.deltaMode){var h=c.data("mousewheel-line-height");d*=h,f*=h,e*=h}else if(2===b.deltaMode){var i=c.data("mousewheel-page-height");d*=i,f*=i,e*=i}g=Math.max(Math.abs(f),Math.abs(e)),(!z||z>g)&&(z=g,w(b,g)&&(z/=40)),d=Math[d>=1?"floor":"ceil"](d/z),e=Math[e>=1?"floor":"ceil"](e/z),f=Math[f>=1?"floor":"ceil"](f/z),b.deltaMode=0;var j={originalEvent:b,deltaX:e,deltaY:f,deltaFactor:z,preventDefault:function(){b.preventDefault()},stopPropagation:function(){b.stopPropagation()}};y&&clearTimeout(y),y=setTimeout(v,200),a.call(c[0],j)}}function v(){z=null}function w(a,b){return"mousewheel"===a.type&&b%120===0}var x={augmentWidthOrHeight:b,getStyles:a,createBoundedWrapper:function(a,b){return function(){return b.apply(a,arguments)}},readableColumnName:function(a){return"undefined"==typeof a||void 0===a||null===a?a:("string"!=typeof a&&(a=String(a)),a.replace(/_+/g," ").replace(/^[A-Z]+$/,function(a){return angular.lowercase(angular.uppercase(a.charAt(0))+a.slice(1))}).replace(/([\w\u00C0-\u017F]+)/g,function(a){return angular.uppercase(a.charAt(0))+a.slice(1)}).replace(/(\w+?(?=[A-Z]))/g,"$1 "))},getColumnsFromData:function(a,b){var c=[];if(!a||"undefined"==typeof a[0]||void 0===a[0])return[];angular.isUndefined(b)&&(b=[]);var d=a[0];return angular.forEach(d,function(a,d){-1===b.indexOf(d)&&c.push({name:d})}),c},newId:function(){var a=(new Date).getTime();return function(){return a+=1}}(),getTemplate:function(a){if(n.get(a))return x.postProcessTemplate(n.get(a));if(a.hasOwnProperty("then"))return a.then(x.postProcessTemplate);try{if(angular.element(a).length>0)return r.when(a).then(x.postProcessTemplate)}catch(b){}return x.logDebug("fetching url",a),m({method:"GET",url:a}).then(function(b){var c=b.data.trim();return n.put(a,c),c},function(b){throw new Error("Could not get template "+a+": "+b)}).then(x.postProcessTemplate)},postProcessTemplate:function(a){var b=s.startSymbol(),c=s.endSymbol();return"{{"===b&&"}}"===c||(a=a.replace(/\{\{/g,b),a=a.replace(/\}\}/g,c)),r.when(a)},guessType:function(a){var b=typeof a;switch(b){case"number":case"boolean":case"string":return b;default:return angular.isDate(a)?"date":"object"}},elementWidth:function(a){},elementHeight:function(a){},getScrollbarWidth:function(){var a=document.createElement("div");a.style.visibility="hidden",a.style.width="100px",a.style.msOverflowStyle="scrollbar",document.body.appendChild(a);var b=a.offsetWidth;a.style.overflow="scroll";var c=document.createElement("div");c.style.width="100%",a.appendChild(c);var d=c.offsetWidth;return a.parentNode.removeChild(a),b-d},swap:function(a,b,c,d){var e,f,g={};for(f in b)g[f]=a.style[f],a.style[f]=b[f];e=c.apply(a,d||[]);for(f in b)a.style[f]=g[f];return e},fakeElement:function(a,b,c,d){var e,f,g=angular.element(a).clone()[0];for(f in b)g.style[f]=b[f];return angular.element(document.body).append(g),e=c.call(g,g),angular.element(g).remove(),e},normalizeWheelEvent:function(a){var b,c,d,e=a||window.event,f=([].slice.call(arguments,1),0),g=0,h=0,i=0,j=0;return e.originalEvent&&(e=e.originalEvent),e.wheelDelta&&(f=e.wheelDelta),e.detail&&(f=-1*e.detail),h=f,void 0!==e.axis&&e.axis===e.HORIZONTAL_AXIS&&(h=0,g=-1*f),e.deltaY&&(h=-1*e.deltaY,f=h),e.deltaX&&(g=e.deltaX,f=-1*g),void 0!==e.wheelDeltaY&&(h=e.wheelDeltaY),void 0!==e.wheelDeltaX&&(g=e.wheelDeltaX),i=Math.abs(f),(!b||b>i)&&(b=i),j=Math.max(Math.abs(h),Math.abs(g)),(!c||c>j)&&(c=j),d=f>0?"floor":"ceil",f=Math[d](f/b),g=Math[d](g/c),h=Math[d](h/c),{delta:f,deltaX:g,deltaY:h}},isTouchEnabled:function(){var a;return("ontouchstart"in g||g.DocumentTouch&&l instanceof DocumentTouch)&&(a=!0),a},isNullOrUndefined:function(a){return void 0===a||null===a},endsWith:function(a,b){return a&&b&&"string"==typeof a?-1!==a.indexOf(b,a.length-b.length):!1},arrayContainsObjectWithProperty:function(a,b,c){var d=!1;return angular.forEach(a,function(a){a[b]===c&&(d=!0)}),d},numericAndNullSort:function(a,b){return null===a?1:null===b?-1:null===a&&null===b?0:a-b},disableAnimations:function(a){var b;try{b=q.get("$animate"),angular.version.major>1||1===angular.version.major&&angular.version.minor>=4?b.enabled(a,!1):b.enabled(!1,a)}catch(c){}},enableAnimations:function(a){var b;try{return b=q.get("$animate"),angular.version.major>1||1===angular.version.major&&angular.version.minor>=4?b.enabled(a,!0):b.enabled(!0,a),b}catch(c){}},nextUid:function(){for(var a,b=j.length;b;){if(b--,a=j[b].charCodeAt(0),57===a)return j[b]="A",k+j.join("");if(90!==a)return j[b]=String.fromCharCode(a+1),k+j.join("");j[b]="0"}return j.unshift("0"),k+j.join("")},hashKey:function(a){var b,c=typeof a;return"object"===c&&null!==a?"function"==typeof(b=a.$$hashKey)?b=a.$$hashKey():"undefined"!=typeof a.$$hashKey&&a.$$hashKey?b=a.$$hashKey:void 0===b&&(b=a.$$hashKey=x.nextUid()):b=a,c+":"+b},resetUids:function(){j=["0","0","0"]},logError:function(a){t.LOG_ERROR_MESSAGES&&f.error(a)},logWarn:function(a){t.LOG_WARN_MESSAGES&&f.warn(a)},logDebug:function(){t.LOG_DEBUG_MESSAGES&&f.debug.apply(f,arguments)}};x.focus={queue:[],byId:function(a,b){this._purgeQueue();var c=o(function(){var c=(b&&b.id?b.id+"-":"")+a,d=g.document.getElementById(c);d?d.focus():x.logWarn("[focus.byId] Element id "+c+" was not found.")});return this.queue.push(c),c},byElement:function(a){if(!angular.isElement(a))return x.logWarn("Trying to focus on an element that isn't an element."),r.reject("not-element");a=angular.element(a),this._purgeQueue();var b=o(function(){a&&a[0].focus()});return this.queue.push(b),b},bySelector:function(a,b,c){var d=this;if(!angular.isElement(a))throw new Error("The parent element is not an element.");a=angular.element(a);var e=function(){var c=a[0].querySelector(b);return d.byElement(c)};if(this._purgeQueue(),c){var f=o(e);return this.queue.push(o(e)),f}return e()},_purgeQueue:function(){this.queue.forEach(function(a){o.cancel(a)}),this.queue=[]}},["width","height"].forEach(function(b){var d=angular.uppercase(b.charAt(0))+b.substr(1);x["element"+d]=function(d,e){var f=d;if(f&&"undefined"!=typeof f.length&&f.length&&(f=d[0]),f){var g=a(f);return 0===f.offsetWidth&&h.test(g.display)?x.swap(f,i,function(){return c(f,b,e)}):c(f,b,e)}return null},x["outerElement"+d]=function(a,b){return a?x["element"+d].call(this,a,b?"margin":"border"):null}}),x.closestElm=function(a,b){"undefined"!=typeof a.length&&a.length&&(a=a[0]);var c;["matches","webkitMatchesSelector","mozMatchesSelector","msMatchesSelector","oMatchesSelector"].some(function(a){return"function"==typeof document.body[a]?(c=a,!0):!1});for(var d;null!==a;){if(d=a.parentElement,null!==d&&d[c](b))return d;a=d}return null},x.type=function(a){var b=Function.prototype.toString.call(a.constructor);return b.match(/function (.*?)\(/)[1]},x.getBorderSize=function(b,c){"undefined"!=typeof b.length&&b.length&&(b=b[0]);var d=a(b);c=c?"border"+c.charAt(0).toUpperCase()+c.slice(1):"border",c+="Width";var e=parseInt(d[c],10);return isNaN(e)?0:e},x.detectBrowser=function(){var a=g.navigator.userAgent,b={chrome:/chrome/i,safari:/safari/i,firefox:/firefox/i,ie:/internet explorer|trident\//i};for(var c in b)if(b[c].test(a))return c;return"unknown"},x.rtlScrollType=function B(){if(B.type)return B.type;var a=angular.element('
            A
            ')[0],b="reverse";return document.body.appendChild(a),a.scrollLeft>0?b="default":(a.scrollLeft=1,0===a.scrollLeft&&(b="negative")),angular.element(a).remove(),B.type=b,b},x.normalizeScrollLeft=function(a,b){"undefined"!=typeof a.length&&a.length&&(a=a[0]);var c=a.scrollLeft;if(b.isRTL())switch(x.rtlScrollType()){case"default":return a.scrollWidth-c-a.clientWidth;case"negative":return Math.abs(c);case"reverse":return c}return c},x.denormalizeScrollLeft=function(a,b,c){if("undefined"!=typeof a.length&&a.length&&(a=a[0]),c.isRTL())switch(x.rtlScrollType()){case"default":var d=a.scrollWidth-a.clientWidth;return d-b;case"negative":return-1*b;case"reverse":return b}return b},x.preEval=function(a){var b=t.BRACKET_REGEXP.exec(a);if(b)return(b[1]?x.preEval(b[1]):b[1])+b[2]+(b[3]?x.preEval(b[3]):b[3]);a=a.replace(t.APOS_REGEXP,"\\'");var c=a.split(t.DOT_REGEXP),d=[c.shift()];return angular.forEach(c,function(a){d.push(a.replace(t.FUNC_REGEXP,"']$1"))}),d.join("['")},x.debounce=function(a,b,c){function d(){g=this,f=arguments;var d=function(){e=null,c||(h=a.apply(g,f))},i=c&&!e;return e&&o.cancel(e),e=o(d,b),i&&(h=a.apply(g,f)),h}var e,f,g,h;return d.cancel=function(){o.cancel(e), +e=null},d},x.throttle=function(a,b,c){function d(b){g=+new Date,a.apply(e,f),p(function(){h=null},0,1)}c=c||{};var e,f,g=0,h=null;return function(){if(e=this,f=arguments,null===h){var a=+new Date-g;a>b?d():c.trailing&&(h=p(d,b-a,1))}}},x.on={},x.off={},x._events={},x.addOff=function(a){x.off[a]=function(b,c){var d=x._events[a].indexOf(c);d>0&&x._events[a].removeAt(d)}};var y,z,A="onwheel"in document||document.documentMode>=9?["wheel"]:["mousewheel","DomMouseScroll","MozMousePixelScroll"];return x.on.mousewheel=function(a,b){if(a&&b){var c=angular.element(a);c.data("mousewheel-line-height",d(c)),c.data("mousewheel-page-height",x.elementHeight(c)),c.data("mousewheel-callbacks")||c.data("mousewheel-callbacks",{});var f=c.data("mousewheel-callbacks");f[b]=(Function.prototype.bind||e).call(u,c[0],b);for(var g=A.length;g;)c.on(A[--g],f[b])}},x.off.mousewheel=function(a,b){var c=angular.element(a),d=c.data("mousewheel-callbacks"),e=d[b];if(e)for(var f=A.length;f;)c.off(A[--f],e);delete d[b],0===Object.keys(d).length&&(c.removeData("mousewheel-line-height"),c.removeData("mousewheel-page-height"),c.removeData("mousewheel-callbacks"))},x}]),f.filter("px",function(){return function(a){return a.match(/^[\d\.]+$/)?a+"px":a}})}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){var b={aggregate:{label:"položky"},groupPanel:{description:"Přesuňte záhlaví zde pro vytvoření skupiny dle sloupce."},search:{placeholder:"Hledat...",showingItems:"Zobrazuji položky:",selectedItems:"Vybrané položky:",totalItems:"Celkem položek:",size:"Velikost strany:",first:"První strana",next:"Další strana",previous:"Předchozí strana",last:"Poslední strana"},menu:{text:"Vyberte sloupec:"},sort:{ascending:"Seřadit od A-Z",descending:"Seřadit od Z-A",remove:"Odebrat seřazení"},column:{hide:"Schovat sloupec"},aggregation:{count:"celkem řádků: ",sum:"celkem: ",avg:"avg: ",min:"min.: ",max:"max.: "},pinning:{pinLeft:"Zamknout vlevo",pinRight:"Zamknout vpravo",unpin:"Odemknout"},gridMenu:{columns:"Sloupce:",importerTitle:"Importovat soubor",exporterAllAsCsv:"Exportovat všechna data do csv",exporterVisibleAsCsv:"Exportovat viditelná data do csv",exporterSelectedAsCsv:"Exportovat vybraná data do csv",exporterAllAsPdf:"Exportovat všechna data do pdf",exporterVisibleAsPdf:"Exportovat viditelná data do pdf",exporterSelectedAsPdf:"Exportovat vybraná data do pdf",clearAllFilters:"Odstranit všechny filtry"},importer:{noHeaders:"Názvy sloupců se nepodařilo získat, obsahuje soubor záhlaví?",noObjects:"Data se nepodařilo zpracovat, obsahuje soubor řádky mimo záhlaví?",invalidCsv:"Soubor nelze zpracovat, jedná se o CSV?",invalidJson:"Soubor nelze zpracovat, je to JSON?",jsonNotArray:"Soubor musí obsahovat json. Ukončuji.."},pagination:{sizes:"položek na stránku",totalItems:"položek"},grouping:{group:"Seskupit",ungroup:"Odebrat seskupení",aggregate_count:"Agregace: Count",aggregate_sum:"Agregace: Sum",aggregate_max:"Agregace: Max",aggregate_min:"Agregace: Min",aggregate_avg:"Agregace: Avg",aggregate_remove:"Agregace: Odebrat"}};return a.add("cs",b),a.add("cz",b),a.add("cs-cz",b),a.add("cs-CZ",b),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("da",{aggregate:{label:"artikler"},groupPanel:{description:"Grupér rækker udfra en kolonne ved at trække dens overskift hertil."},search:{placeholder:"Søg...",showingItems:"Viste rækker:",selectedItems:"Valgte rækker:",totalItems:"Rækker totalt:",size:"Side størrelse:",first:"Første side",next:"Næste side",previous:"Forrige side",last:"Sidste side"},menu:{text:"Vælg kolonner:"},sort:{ascending:"Sorter stigende",descending:"Sorter faldende",none:"Sorter ingen",remove:"Fjern sortering"},column:{hide:"Skjul kolonne"},aggregation:{count:"antal rækker: ",sum:"sum: ",avg:"gns: ",min:"min: ",max:"max: "},gridMenu:{columns:"Columns:",importerTitle:"Import file",exporterAllAsCsv:"Export all data as csv",exporterVisibleAsCsv:"Export visible data as csv",exporterSelectedAsCsv:"Export selected data as csv",exporterAllAsPdf:"Export all data as pdf",exporterVisibleAsPdf:"Export visible data as pdf",exporterSelectedAsPdf:"Export selected data as pdf",clearAllFilters:"Clear all filters"},importer:{noHeaders:"Column names were unable to be derived, does the file have a header?",noObjects:"Objects were not able to be derived, was there data in the file other than headers?",invalidCsv:"File was unable to be processed, is it valid CSV?",invalidJson:"File was unable to be processed, is it valid Json?",jsonNotArray:"Imported json file must contain an array, aborting."}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("de",{headerCell:{aria:{defaultFilterLabel:"Filter für Spalte",removeFilter:"Filter löschen",columnMenuButtonLabel:"Spaltenmenü"},priority:"Priorität:",filterLabel:"Filter für Spalte: "},aggregate:{label:"Eintrag"},groupPanel:{description:"Ziehen Sie eine Spaltenüberschrift hierhin, um nach dieser Spalte zu gruppieren."},search:{placeholder:"Suche...",showingItems:"Zeige Einträge:",selectedItems:"Ausgewählte Einträge:",totalItems:"Einträge gesamt:",size:"Einträge pro Seite:",first:"Erste Seite",next:"Nächste Seite",previous:"Vorherige Seite",last:"Letzte Seite"},menu:{text:"Spalten auswählen:"},sort:{ascending:"aufsteigend sortieren",descending:"absteigend sortieren",none:"keine Sortierung",remove:"Sortierung zurücksetzen"},column:{hide:"Spalte ausblenden"},aggregation:{count:"Zeilen insgesamt: ",sum:"gesamt: ",avg:"Durchschnitt: ",min:"min: ",max:"max: "},pinning:{pinLeft:"Links anheften",pinRight:"Rechts anheften",unpin:"Lösen"},columnMenu:{close:"Schließen"},gridMenu:{aria:{buttonLabel:"Tabellenmenü"},columns:"Spalten:",importerTitle:"Datei importieren",exporterAllAsCsv:"Alle Daten als CSV exportieren",exporterVisibleAsCsv:"sichtbare Daten als CSV exportieren",exporterSelectedAsCsv:"markierte Daten als CSV exportieren",exporterAllAsPdf:"Alle Daten als PDF exportieren",exporterVisibleAsPdf:"sichtbare Daten als PDF exportieren",exporterSelectedAsPdf:"markierte Daten als CSV exportieren",clearAllFilters:"Alle Filter zurücksetzen"},importer:{noHeaders:"Es konnten keine Spaltennamen ermittelt werden. Sind in der Datei Spaltendefinitionen enthalten?",noObjects:"Es konnten keine Zeileninformationen gelesen werden, Sind in der Datei außer den Spaltendefinitionen auch Daten enthalten?",invalidCsv:"Die Datei konnte nicht eingelesen werden, ist es eine gültige CSV-Datei?",invalidJson:"Die Datei konnte nicht eingelesen werden. Enthält sie gültiges JSON?",jsonNotArray:"Die importierte JSON-Datei muß ein Array enthalten. Breche Import ab."},pagination:{aria:{pageToFirst:"Zum Anfang",pageBack:"Seite zurück",pageSelected:"Ausgwählte Seite",pageForward:"Seite vor",pageToLast:"Zum Ende"},sizes:"Einträge pro Seite",totalItems:"Einträge",through:"bis",of:"von"},grouping:{group:"Gruppieren",ungroup:"Gruppierung aufheben",aggregate_count:"Agg: Anzahl",aggregate_sum:"Agg: Summe",aggregate_max:"Agg: Maximum",aggregate_min:"Agg: Minimum",aggregate_avg:"Agg: Mittelwert",aggregate_remove:"Aggregation entfernen"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("en",{headerCell:{aria:{defaultFilterLabel:"Filter for column",removeFilter:"Remove Filter",columnMenuButtonLabel:"Column Menu"},priority:"Priority:",filterLabel:"Filter for column: "},aggregate:{label:"items"},groupPanel:{description:"Drag a column header here and drop it to group by that column."},search:{placeholder:"Search...",showingItems:"Showing Items:",selectedItems:"Selected Items:",totalItems:"Total Items:",size:"Page Size:",first:"First Page",next:"Next Page",previous:"Previous Page",last:"Last Page"},menu:{text:"Choose Columns:"},sort:{ascending:"Sort Ascending",descending:"Sort Descending",none:"Sort None",remove:"Remove Sort"},column:{hide:"Hide Column"},aggregation:{count:"total rows: ",sum:"total: ",avg:"avg: ",min:"min: ",max:"max: "},pinning:{pinLeft:"Pin Left",pinRight:"Pin Right",unpin:"Unpin"},columnMenu:{close:"Close"},gridMenu:{aria:{buttonLabel:"Grid Menu"},columns:"Columns:",importerTitle:"Import file",exporterAllAsCsv:"Export all data as csv",exporterVisibleAsCsv:"Export visible data as csv",exporterSelectedAsCsv:"Export selected data as csv",exporterAllAsPdf:"Export all data as pdf",exporterVisibleAsPdf:"Export visible data as pdf",exporterSelectedAsPdf:"Export selected data as pdf",clearAllFilters:"Clear all filters"},importer:{noHeaders:"Column names were unable to be derived, does the file have a header?",noObjects:"Objects were not able to be derived, was there data in the file other than headers?",invalidCsv:"File was unable to be processed, is it valid CSV?",invalidJson:"File was unable to be processed, is it valid Json?",jsonNotArray:"Imported json file must contain an array, aborting."},pagination:{aria:{pageToFirst:"Page to first",pageBack:"Page back",pageSelected:"Selected page",pageForward:"Page forward",pageToLast:"Page to last"},sizes:"items per page",totalItems:"items",through:"through",of:"of"},grouping:{group:"Group",ungroup:"Ungroup",aggregate_count:"Agg: Count",aggregate_sum:"Agg: Sum",aggregate_max:"Agg: Max",aggregate_min:"Agg: Min",aggregate_avg:"Agg: Avg",aggregate_remove:"Agg: Remove"},validate:{error:"Error:",minLength:"Value should be at least THRESHOLD characters long.",maxLength:"Value should be at most THRESHOLD characters long.",required:"A value is needed."}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("es",{aggregate:{label:"Artículos"},groupPanel:{description:"Arrastre un encabezado de columna aquí y suéltelo para agrupar por esa columna."},search:{placeholder:"Buscar...",showingItems:"Artículos Mostrados:",selectedItems:"Artículos Seleccionados:",totalItems:"Artículos Totales:",size:"Tamaño de Página:",first:"Primera Página",next:"Página Siguiente",previous:"Página Anterior",last:"Última Página"},menu:{text:"Elegir columnas:"},sort:{ascending:"Orden Ascendente",descending:"Orden Descendente",remove:"Sin Ordenar"},column:{hide:"Ocultar la columna"},aggregation:{count:"filas totales: ",sum:"total: ",avg:"media: ",min:"min: ",max:"max: "},pinning:{pinLeft:"Fijar a la Izquierda",pinRight:"Fijar a la Derecha",unpin:"Quitar Fijación"},gridMenu:{columns:"Columnas:",importerTitle:"Importar archivo",exporterAllAsCsv:"Exportar todo como csv",exporterVisibleAsCsv:"Exportar vista como csv",exporterSelectedAsCsv:"Exportar selección como csv",exporterAllAsPdf:"Exportar todo como pdf",exporterVisibleAsPdf:"Exportar vista como pdf",exporterSelectedAsPdf:"Exportar selección como pdf",clearAllFilters:"Limpiar todos los filtros"},importer:{noHeaders:"No fue posible derivar los nombres de las columnas, ¿tiene encabezados el archivo?",noObjects:"No fue posible obtener registros, ¿contiene datos el archivo, aparte de los encabezados?",invalidCsv:"No fue posible procesar el archivo, ¿es un CSV válido?",invalidJson:"No fue posible procesar el archivo, ¿es un Json válido?",jsonNotArray:"El archivo json importado debe contener un array, abortando."},pagination:{sizes:"registros por página",totalItems:"registros",of:"de"},grouping:{group:"Agrupar",ungroup:"Desagrupar",aggregate_count:"Agr: Cont",aggregate_sum:"Agr: Sum",aggregate_max:"Agr: Máx",aggregate_min:"Agr: Min",aggregate_avg:"Agr: Prom",aggregate_remove:"Agr: Quitar"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("fa",{aggregate:{label:"قلم"},groupPanel:{description:"عنوان یک ستون را بگیر و به گروهی از آن ستون رها کن."},search:{placeholder:"جستجو...",showingItems:"نمایش اقلام:",selectedItems:"قلم‌های انتخاب شده:",totalItems:"مجموع اقلام:",size:"اندازه‌ی صفحه:",first:"اولین صفحه",next:"صفحه‌ی‌بعدی",previous:"صفحه‌ی‌ قبلی",last:"آخرین صفحه"},menu:{text:"ستون‌های انتخابی:"},sort:{ascending:"ترتیب صعودی",descending:"ترتیب نزولی",remove:"حذف مرتب کردن"},column:{hide:"پنهان‌کردن ستون"},aggregation:{count:"تعداد: ",sum:"مجموع: ",avg:"میانگین: ",min:"کمترین: ",max:"بیشترین: "},pinning:{pinLeft:"پین کردن سمت چپ",pinRight:"پین کردن سمت راست",unpin:"حذف پین"},gridMenu:{columns:"ستون‌ها:",importerTitle:"وارد کردن فایل",exporterAllAsCsv:"خروجی تمام داده‌ها در فایل csv",exporterVisibleAsCsv:"خروجی داده‌های قابل مشاهده در فایل csv",exporterSelectedAsCsv:"خروجی داده‌های انتخاب‌شده در فایل csv",exporterAllAsPdf:"خروجی تمام داده‌ها در فایل pdf",exporterVisibleAsPdf:"خروجی داده‌های قابل مشاهده در فایل pdf",exporterSelectedAsPdf:"خروجی داده‌های انتخاب‌شده در فایل pdf",clearAllFilters:"پاک کردن تمام فیلتر"},importer:{noHeaders:"نام ستون قابل استخراج نیست. آیا فایل عنوان دارد؟",noObjects:"اشیا قابل استخراج نیستند. آیا به جز عنوان‌ها در فایل داده وجود دارد؟",invalidCsv:"فایل قابل پردازش نیست. آیا فرمت csv معتبر است؟",invalidJson:"فایل قابل پردازش نیست. آیا فرمت json معتبر است؟",jsonNotArray:"فایل json وارد شده باید حاوی آرایه باشد. عملیات ساقط شد."},pagination:{sizes:"اقلام در هر صفحه",totalItems:"اقلام",of:"از"},grouping:{group:"گروه‌بندی",ungroup:"حذف گروه‌بندی",aggregate_count:"Agg: تعداد",aggregate_sum:"Agg: جمع",aggregate_max:"Agg: بیشینه",aggregate_min:"Agg: کمینه",aggregate_avg:"Agg: میانگین",aggregate_remove:"Agg: حذف"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("fi",{aggregate:{label:"rivit"},groupPanel:{description:"Raahaa ja pudota otsikko tähän ryhmittääksesi sarakkeen mukaan."},search:{placeholder:"Hae...",showingItems:"Näytetään rivejä:",selectedItems:"Valitut rivit:",totalItems:"Rivejä yht.:",size:"Näytä:",first:"Ensimmäinen sivu",next:"Seuraava sivu",previous:"Edellinen sivu",last:"Viimeinen sivu"},menu:{text:"Valitse sarakkeet:"},sort:{ascending:"Järjestä nouseva",descending:"Järjestä laskeva",remove:"Poista järjestys"},column:{hide:"Piilota sarake"},aggregation:{count:"Rivejä yht.: ",sum:"Summa: ",avg:"K.a.: ",min:"Min: ",max:"Max: "},pinning:{pinLeft:"Lukitse vasemmalle",pinRight:"Lukitse oikealle",unpin:"Poista lukitus"},gridMenu:{columns:"Sarakkeet:",importerTitle:"Tuo tiedosto",exporterAllAsCsv:"Vie tiedot csv-muodossa",exporterVisibleAsCsv:"Vie näkyvä tieto csv-muodossa",exporterSelectedAsCsv:"Vie valittu tieto csv-muodossa",exporterAllAsPdf:"Vie tiedot pdf-muodossa",exporterVisibleAsPdf:"Vie näkyvä tieto pdf-muodossa",exporterSelectedAsPdf:"Vie valittu tieto pdf-muodossa",clearAllFilters:"Puhdista kaikki suodattimet"},importer:{noHeaders:"Sarakkeen nimiä ei voitu päätellä, onko tiedostossa otsikkoriviä?",noObjects:"Tietoja ei voitu lukea, onko tiedostossa muuta kuin otsikkot?",invalidCsv:"Tiedostoa ei voitu käsitellä, oliko se CSV-muodossa?",invalidJson:"Tiedostoa ei voitu käsitellä, oliko se JSON-muodossa?",jsonNotArray:"Tiedosto ei sisältänyt taulukkoa, lopetetaan."}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("fr",{aggregate:{label:"éléments"},groupPanel:{description:"Faites glisser une en-tête de colonne ici pour créer un groupe de colonnes."},search:{placeholder:"Recherche...",showingItems:"Affichage des éléments :",selectedItems:"Éléments sélectionnés :",totalItems:"Nombre total d'éléments:",size:"Taille de page:",first:"Première page",next:"Page Suivante",previous:"Page précédente",last:"Dernière page"},menu:{text:"Choisir des colonnes :"},sort:{ascending:"Trier par ordre croissant",descending:"Trier par ordre décroissant",remove:"Enlever le tri"},column:{hide:"Cacher la colonne"},aggregation:{count:"lignes totales: ",sum:"total: ",avg:"moy: ",min:"min: ",max:"max: "},pinning:{pinLeft:"Épingler à gauche",pinRight:"Épingler à droite",unpin:"Détacher"},gridMenu:{columns:"Colonnes:",importerTitle:"Importer un fichier",exporterAllAsCsv:"Exporter toutes les données en CSV",exporterVisibleAsCsv:"Exporter les données visibles en CSV",exporterSelectedAsCsv:"Exporter les données sélectionnées en CSV",exporterAllAsPdf:"Exporter toutes les données en PDF",exporterVisibleAsPdf:"Exporter les données visibles en PDF",exporterSelectedAsPdf:"Exporter les données sélectionnées en PDF",clearAllFilters:"Nettoyez tous les filtres"},importer:{noHeaders:"Impossible de déterminer le nom des colonnes, le fichier possède-t-il une en-tête ?",noObjects:"Aucun objet trouvé, le fichier possède-t-il des données autres que l'en-tête ?",invalidCsv:"Le fichier n'a pas pu être traité, le CSV est-il valide ?",invalidJson:"Le fichier n'a pas pu être traité, le JSON est-il valide ?",jsonNotArray:"Le fichier JSON importé doit contenir un tableau, abandon."},pagination:{sizes:"éléments par page",totalItems:"éléments",of:"sur"},grouping:{group:"Grouper",ungroup:"Dégrouper",aggregate_count:"Agg: Compte",aggregate_sum:"Agg: Somme",aggregate_max:"Agg: Max",aggregate_min:"Agg: Min",aggregate_avg:"Agg: Moy",aggregate_remove:"Agg: Retirer"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("he",{aggregate:{label:"items"},groupPanel:{description:"גרור עמודה לכאן ושחרר בכדי לקבץ עמודה זו."},search:{placeholder:"חפש...",showingItems:"מציג:",selectedItems:'סה"כ נבחרו:',totalItems:'סה"כ רשומות:',size:"תוצאות בדף:",first:"דף ראשון",next:"דף הבא",previous:"דף קודם",last:"דף אחרון"},menu:{text:"בחר עמודות:"},sort:{ascending:"סדר עולה",descending:"סדר יורד",remove:"בטל"},column:{hide:"טור הסתר"},aggregation:{count:"total rows: ",sum:"total: ",avg:"avg: ",min:"min: ",max:"max: "},gridMenu:{columns:"Columns:",importerTitle:"Import file",exporterAllAsCsv:"Export all data as csv",exporterVisibleAsCsv:"Export visible data as csv",exporterSelectedAsCsv:"Export selected data as csv",exporterAllAsPdf:"Export all data as pdf",exporterVisibleAsPdf:"Export visible data as pdf",exporterSelectedAsPdf:"Export selected data as pdf",clearAllFilters:"Clean all filters"},importer:{noHeaders:"Column names were unable to be derived, does the file have a header?",noObjects:"Objects were not able to be derived, was there data in the file other than headers?",invalidCsv:"File was unable to be processed, is it valid CSV?",invalidJson:"File was unable to be processed, is it valid Json?",jsonNotArray:"Imported json file must contain an array, aborting."}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("hy",{aggregate:{label:"տվյալներ"},groupPanel:{description:"Ըստ սյան խմբավորելու համար քաշեք և գցեք վերնագիրն այստեղ։"},search:{placeholder:"Փնտրում...",showingItems:"Ցուցադրված տվյալներ՝",selectedItems:"Ընտրված:",totalItems:"Ընդամենը՝",size:"Տողերի քանակը էջում՝",first:"Առաջին էջ",next:"Հաջորդ էջ",previous:"Նախորդ էջ",last:"Վերջին էջ"},menu:{text:"Ընտրել սյուները:"},sort:{ascending:"Աճման կարգով",descending:"Նվազման կարգով",remove:"Հանել "},column:{hide:"Թաքցնել սյունը"},aggregation:{count:"ընդամենը տող՝ ",sum:"ընդամենը՝ ",avg:"միջին՝ ",min:"մին՝ ",max:"մաքս՝ "},pinning:{pinLeft:"Կպցնել ձախ կողմում",pinRight:"Կպցնել աջ կողմում",unpin:"Արձակել"},gridMenu:{columns:"Սյուներ:",importerTitle:"Ներմուծել ֆայլ",exporterAllAsCsv:"Արտահանել ամբողջը CSV",exporterVisibleAsCsv:"Արտահանել երևացող տվյալները CSV",exporterSelectedAsCsv:"Արտահանել ընտրված տվյալները CSV",exporterAllAsPdf:"Արտահանել PDF",exporterVisibleAsPdf:"Արտահանել երևացող տվյալները PDF",exporterSelectedAsPdf:"Արտահանել ընտրված տվյալները PDF",clearAllFilters:"Մաքրել բոլոր ֆիլտրերը"},importer:{noHeaders:"Հնարավոր չեղավ որոշել սյան վերնագրերը։ Արդյո՞ք ֆայլը ունի վերնագրեր։",noObjects:"Հնարավոր չեղավ կարդալ տվյալները։ Արդյո՞ք ֆայլում կան տվյալներ։",invalidCsv:"Հնարավոր չեղավ մշակել ֆայլը։ Արդյո՞ք այն վավեր CSV է։",invalidJson:"Հնարավոր չեղավ մշակել ֆայլը։ Արդյո՞ք այն վավեր Json է։",jsonNotArray:"Ներմուծված json ֆայլը պետք է պարունակի զանգված, կասեցվում է։"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("it",{aggregate:{label:"elementi"},groupPanel:{description:"Trascina un'intestazione all'interno del gruppo della colonna."},search:{placeholder:"Ricerca...",showingItems:"Mostra:",selectedItems:"Selezionati:",totalItems:"Totali:",size:"Tot Pagine:",first:"Prima",next:"Prossima",previous:"Precedente",last:"Ultima"},menu:{text:"Scegli le colonne:"},sort:{ascending:"Asc.",descending:"Desc.",remove:"Annulla ordinamento"},column:{hide:"Nascondi"},aggregation:{count:"righe totali: ",sum:"tot: ",avg:"media: ",min:"minimo: ",max:"massimo: "},pinning:{pinLeft:"Blocca a sx",pinRight:"Blocca a dx",unpin:"Blocca in alto"},gridMenu:{columns:"Colonne:",importerTitle:"Importa",exporterAllAsCsv:"Esporta tutti i dati in CSV",exporterVisibleAsCsv:"Esporta i dati visibili in CSV",exporterSelectedAsCsv:"Esporta i dati selezionati in CSV",exporterAllAsPdf:"Esporta tutti i dati in PDF",exporterVisibleAsPdf:"Esporta i dati visibili in PDF",exporterSelectedAsPdf:"Esporta i dati selezionati in PDF",clearAllFilters:"Pulire tutti i filtri"},importer:{noHeaders:"Impossibile reperire i nomi delle colonne, sicuro che siano indicati all'interno del file?",noObjects:"Impossibile reperire gli oggetti, sicuro che siano indicati all'interno del file?",invalidCsv:"Impossibile elaborare il file, sicuro che sia un CSV?",invalidJson:"Impossibile elaborare il file, sicuro che sia un JSON valido?",jsonNotArray:"Errore! Il file JSON da importare deve contenere un array."},grouping:{group:"Raggruppa",ungroup:"Separa",aggregate_count:"Agg: N. Elem.",aggregate_sum:"Agg: Somma",aggregate_max:"Agg: Massimo",aggregate_min:"Agg: Minimo",aggregate_avg:"Agg: Media",aggregate_remove:"Agg: Rimuovi"},validate:{error:"Errore:",minLength:"Lunghezza minima pari a THRESHOLD caratteri.",maxLength:"Lunghezza massima pari a THRESHOLD caratteri.",required:"Necessario inserire un valore."}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("ja",{aggregate:{label:"項目"},groupPanel:{description:"ここに列ヘッダをドラッグアンドドロップして、その列でグループ化します。"},search:{placeholder:"検索...",showingItems:"表示中の項目:",selectedItems:"選択した項目:",totalItems:"項目の総数:",size:"ページサイズ:",first:"最初のページ",next:"次のページ",previous:"前のページ",last:"前のページ"},menu:{text:"列の選択:"},sort:{ascending:"昇順に並べ替え",descending:"降順に並べ替え",remove:"並べ替えの解除"},column:{hide:"列の非表示"},aggregation:{count:"合計行数: ",sum:"合計: ",avg:"平均: ",min:"最小: ",max:"最大: "},pinning:{pinLeft:"左に固定",pinRight:"右に固定",unpin:"固定解除"},gridMenu:{columns:"列:",importerTitle:"ファイルのインポート",exporterAllAsCsv:"すべてのデータをCSV形式でエクスポート",exporterVisibleAsCsv:"表示中のデータをCSV形式でエクスポート",exporterSelectedAsCsv:"選択したデータをCSV形式でエクスポート",exporterAllAsPdf:"すべてのデータをPDF形式でエクスポート",exporterVisibleAsPdf:"表示中のデータをPDF形式でエクスポート",exporterSelectedAsPdf:"選択したデータをPDF形式でエクスポート",clearAllFilters:"すべてのフィルタを清掃してください"},importer:{noHeaders:"列名を取得できません。ファイルにヘッダが含まれていることを確認してください。",noObjects:"オブジェクトを取得できません。ファイルにヘッダ以外のデータが含まれていることを確認してください。",invalidCsv:"ファイルを処理できません。ファイルが有効なCSV形式であることを確認してください。",invalidJson:"ファイルを処理できません。ファイルが有効なJSON形式であることを確認してください。",jsonNotArray:"インポートしたJSONファイルには配列が含まれている必要があります。処理を中止します。"},pagination:{sizes:"項目/ページ",totalItems:"項目"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("ko",{aggregate:{label:"아이템"},groupPanel:{description:"컬럼으로 그룹핑하기 위해서는 컬럼 헤더를 끌어 떨어뜨려 주세요."},search:{placeholder:"검색...",showingItems:"항목 보여주기:",selectedItems:"선택 항목:",totalItems:"전체 항목:",size:"페이지 크기:",first:"첫번째 페이지",next:"다음 페이지",previous:"이전 페이지",last:"마지막 페이지"},menu:{text:"컬럼을 선택하세요:"},sort:{ascending:"오름차순 정렬",descending:"내림차순 정렬",remove:"소팅 제거"},column:{hide:"컬럼 제거"},aggregation:{count:"전체 갯수: ",sum:"전체: ",avg:"평균: ",min:"최소: ",max:"최대: "},pinning:{pinLeft:"왼쪽 핀",pinRight:"오른쪽 핀",unpin:"핀 제거"},gridMenu:{columns:"컬럼:",importerTitle:"파일 가져오기",exporterAllAsCsv:"csv로 모든 데이터 내보내기",exporterVisibleAsCsv:"csv로 보이는 데이터 내보내기",exporterSelectedAsCsv:"csv로 선택된 데이터 내보내기",exporterAllAsPdf:"pdf로 모든 데이터 내보내기",exporterVisibleAsPdf:"pdf로 보이는 데이터 내보내기",exporterSelectedAsPdf:"pdf로 선택 데이터 내보내기",clearAllFilters:"모든 필터를 청소"},importer:{noHeaders:"컬럼명이 지정되어 있지 않습니다. 파일에 헤더가 명시되어 있는지 확인해 주세요.",noObjects:"데이터가 지정되어 있지 않습니다. 데이터가 파일에 있는지 확인해 주세요.",invalidCsv:"파일을 처리할 수 없습니다. 올바른 csv인지 확인해 주세요.",invalidJson:"파일을 처리할 수 없습니다. 올바른 json인지 확인해 주세요.",jsonNotArray:"json 파일은 배열을 포함해야 합니다."},pagination:{sizes:"페이지당 항목",totalItems:"전체 항목"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("nl",{aggregate:{label:"items"},groupPanel:{description:"Sleep hier een kolomnaam heen om op te groeperen."},search:{placeholder:"Zoeken...",showingItems:"Getoonde items:",selectedItems:"Geselecteerde items:",totalItems:"Totaal aantal items:",size:"Items per pagina:",first:"Eerste pagina",next:"Volgende pagina",previous:"Vorige pagina",last:"Laatste pagina"},menu:{text:"Kies kolommen:"},sort:{ascending:"Sorteer oplopend",descending:"Sorteer aflopend",remove:"Verwijder sortering"},column:{hide:"Verberg kolom"},aggregation:{count:"Aantal rijen: ",sum:"Som: ",avg:"Gemiddelde: ",min:"Min: ",max:"Max: "},pinning:{pinLeft:"Zet links vast",pinRight:"Zet rechts vast",unpin:"Maak los"},gridMenu:{columns:"Kolommen:",importerTitle:"Importeer bestand",exporterAllAsCsv:"Exporteer alle data als csv",exporterVisibleAsCsv:"Exporteer zichtbare data als csv",exporterSelectedAsCsv:"Exporteer geselecteerde data als csv",exporterAllAsPdf:"Exporteer alle data als pdf",exporterVisibleAsPdf:"Exporteer zichtbare data als pdf",exporterSelectedAsPdf:"Exporteer geselecteerde data als pdf",clearAllFilters:"Reinig alle filters"},importer:{noHeaders:"Kolomnamen kunnen niet worden afgeleid. Heeft het bestand een header?",noObjects:"Objecten kunnen niet worden afgeleid. Bevat het bestand data naast de headers?",invalidCsv:"Het bestand kan niet verwerkt worden. Is het een valide csv bestand?",invalidJson:"Het bestand kan niet verwerkt worden. Is het valide json?",jsonNotArray:"Het json bestand moet een array bevatten. De actie wordt geannuleerd."},pagination:{sizes:"items per pagina",totalItems:"items",of:"van de"},grouping:{group:"Groepeer",ungroup:"Groepering opheffen",aggregate_count:"Agg: Aantal",aggregate_sum:"Agg: Som",aggregate_max:"Agg: Max",aggregate_min:"Agg: Min",aggregate_avg:"Agg: Gem",aggregate_remove:"Agg: Verwijder"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("pl",{headerCell:{aria:{defaultFilterLabel:"Filter dla kolumny",removeFilter:"Usuń filter",columnMenuButtonLabel:"Menu kolumny"},priority:"Prioritet:",filterLabel:"Filtr dla kolumny: "},aggregate:{label:"pozycji"},groupPanel:{description:"Przeciągnij nagłówek kolumny tutaj, aby pogrupować według niej."},search:{placeholder:"Szukaj...",showingItems:"Widoczne pozycje:",selectedItems:"Zaznaczone pozycje:",totalItems:"Wszystkich pozycji:",size:"Rozmiar strony:",first:"Pierwsza strona",next:"Następna strona",previous:"Poprzednia strona",last:"Ostatnia strona"},menu:{text:"Wybierz kolumny:"},sort:{ascending:"Sortuj rosnąco",descending:"Sortuj malejąco",none:"Brak sortowania",remove:"Wyłącz sortowanie"},column:{hide:"Ukryj kolumne"},aggregation:{count:"Razem pozycji: ",sum:"Razem: ",avg:"Średnia: ",min:"Min: ",max:"Max: "},pinning:{pinLeft:"Przypnij do lewej",pinRight:"Przypnij do prawej",unpin:"Odepnij"},columnMenu:{close:"Zamknij"},gridMenu:{aria:{buttonLabel:"Menu Grida"},columns:"Kolumny:",importerTitle:"Importuj plik",exporterAllAsCsv:"Eksportuj wszystkie dane do csv",exporterVisibleAsCsv:"Eksportuj widoczne dane do csv",exporterSelectedAsCsv:"Eksportuj zaznaczone dane do csv",exporterAllAsPdf:"Eksportuj wszystkie dane do pdf",exporterVisibleAsPdf:"Eksportuj widoczne dane do pdf",exporterSelectedAsPdf:"Eksportuj zaznaczone dane do pdf",clearAllFilters:"Wyczyść filtry"},importer:{noHeaders:"Nie udało się wczytać nazw kolumn. Czy plik posiada nagłówek?",noObjects:"Nie udalo się wczytać pozycji. Czy plik zawiera dane??",invalidCsv:"Nie udało się przetworzyć pliku, jest to prawidlowy plik CSV??",invalidJson:"Nie udało się przetworzyć pliku, jest to prawidlowy plik Json?",jsonNotArray:"Importowany plik json musi zawierać tablicę, importowanie przerwane."},pagination:{aria:{pageToFirst:"Pierwsza strona",pageBack:"Poprzednia strona",pageSelected:"Wybrana strona",pageForward:"Następna strona",pageToLast:"Ostatnia strona"},sizes:"pozycji na stronę",totalItems:"pozycji",through:"do",of:"z"},grouping:{group:"Grupuj",ungroup:"Rozgrupuj",aggregate_count:"Zbiorczo: Razem",aggregate_sum:"Zbiorczo: Suma",aggregate_max:"Zbiorczo: Max",aggregate_min:"Zbiorczo: Min",aggregate_avg:"Zbiorczo: Średnia",aggregate_remove:"Zbiorczo: Usuń"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("pt-br",{headerCell:{aria:{defaultFilterLabel:"Filtro por coluna",removeFilter:"Remover filtro",columnMenuButtonLabel:"Menu coluna"},priority:"Prioridade:",filterLabel:"Filtro por coluna: "},aggregate:{label:"itens"},groupPanel:{description:"Arraste e solte uma coluna aqui para agrupar por essa coluna"},search:{placeholder:"Procurar...",showingItems:"Mostrando os Itens:",selectedItems:"Items Selecionados:",totalItems:"Total de Itens:",size:"Tamanho da Página:",first:"Primeira Página",next:"Próxima Página",previous:"Página Anterior",last:"Última Página"},menu:{text:"Selecione as colunas:"},sort:{ascending:"Ordenar Ascendente",descending:"Ordenar Descendente",none:"Nenhuma Ordem",remove:"Remover Ordenação"},column:{hide:"Esconder coluna"},aggregation:{count:"total de linhas: ",sum:"total: ",avg:"med: ",min:"min: ",max:"max: "},pinning:{pinLeft:"Fixar Esquerda",pinRight:"Fixar Direita",unpin:"Desprender"},columnMenu:{close:"Fechar"},gridMenu:{aria:{buttonLabel:"Menu Grid"},columns:"Colunas:",importerTitle:"Importar arquivo",exporterAllAsCsv:"Exportar todos os dados como csv",exporterVisibleAsCsv:"Exportar dados visíveis como csv",exporterSelectedAsCsv:"Exportar dados selecionados como csv",exporterAllAsPdf:"Exportar todos os dados como pdf",exporterVisibleAsPdf:"Exportar dados visíveis como pdf",exporterSelectedAsPdf:"Exportar dados selecionados como pdf",clearAllFilters:"Limpar todos os filtros"},importer:{noHeaders:"Nomes de colunas não puderam ser derivados. O arquivo tem um cabeçalho?",noObjects:"Objetos não puderam ser derivados. Havia dados no arquivo, além dos cabeçalhos?",invalidCsv:"Arquivo não pode ser processado. É um CSV válido?",invalidJson:"Arquivo não pode ser processado. É um Json válido?",jsonNotArray:"Arquivo json importado tem que conter um array. Abortando."},pagination:{aria:{pageToFirst:"Primeira página",pageBack:"Página anterior",pageSelected:"Página Selecionada",pageForward:"Proxima",pageToLast:"Anterior"},sizes:"itens por página",totalItems:"itens",through:"através dos",of:"de"},grouping:{group:"Agrupar",ungroup:"Desagrupar",aggregate_count:"Agr: Contar",aggregate_sum:"Agr: Soma",aggregate_max:"Agr: Max",aggregate_min:"Agr: Min",aggregate_avg:"Agr: Med",aggregate_remove:"Agr: Remover"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("pt",{headerCell:{aria:{defaultFilterLabel:"Filtro por coluna",removeFilter:"Remover filtro",columnMenuButtonLabel:"Menu coluna"},priority:"Prioridade:",filterLabel:"Filtro por coluna: "},aggregate:{label:"itens"},groupPanel:{description:"Arraste e solte uma coluna aqui para agrupar por essa coluna"},search:{placeholder:"Procurar...",showingItems:"Mostrando os Itens:", +selectedItems:"Itens Selecionados:",totalItems:"Total de Itens:",size:"Tamanho da Página:",first:"Primeira Página",next:"Próxima Página",previous:"Página Anterior",last:"Última Página"},menu:{text:"Selecione as colunas:"},sort:{ascending:"Ordenar Ascendente",descending:"Ordenar Descendente",none:"Nenhuma Ordem",remove:"Remover Ordenação"},column:{hide:"Esconder coluna"},aggregation:{count:"total de linhas: ",sum:"total: ",avg:"med: ",min:"min: ",max:"max: "},pinning:{pinLeft:"Fixar Esquerda",pinRight:"Fixar Direita",unpin:"Desprender"},columnMenu:{close:"Fechar"},gridMenu:{aria:{buttonLabel:"Menu Grid"},columns:"Colunas:",importerTitle:"Importar ficheiro",exporterAllAsCsv:"Exportar todos os dados como csv",exporterVisibleAsCsv:"Exportar dados visíveis como csv",exporterSelectedAsCsv:"Exportar dados selecionados como csv",exporterAllAsPdf:"Exportar todos os dados como pdf",exporterVisibleAsPdf:"Exportar dados visíveis como pdf",exporterSelectedAsPdf:"Exportar dados selecionados como pdf",clearAllFilters:"Limpar todos os filtros"},importer:{noHeaders:"Nomes de colunas não puderam ser derivados. O ficheiro tem um cabeçalho?",noObjects:"Objetos não puderam ser derivados. Havia dados no ficheiro, além dos cabeçalhos?",invalidCsv:"Ficheiro não pode ser processado. É um CSV válido?",invalidJson:"Ficheiro não pode ser processado. É um Json válido?",jsonNotArray:"Ficheiro json importado tem que conter um array. Interrompendo."},pagination:{aria:{pageToFirst:"Primeira página",pageBack:"Página anterior",pageSelected:"Página Selecionada",pageForward:"Próxima",pageToLast:"Anterior"},sizes:"itens por página",totalItems:"itens",through:"através dos",of:"de"},grouping:{group:"Agrupar",ungroup:"Desagrupar",aggregate_count:"Agr: Contar",aggregate_sum:"Agr: Soma",aggregate_max:"Agr: Max",aggregate_min:"Agr: Min",aggregate_avg:"Agr: Med",aggregate_remove:"Agr: Remover"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("ro",{headerCell:{aria:{defaultFilterLabel:"Filtru pentru coloana",removeFilter:"Sterge filtru",columnMenuButtonLabel:"Column Menu"},priority:"Prioritate:",filterLabel:"Filtru pentru coloana:"},aggregate:{label:"Elemente"},groupPanel:{description:"Trage un cap de coloana aici pentru a grupa elementele dupa coloana respectiva"},search:{placeholder:"Cauta...",showingItems:"Arata elementele:",selectedItems:"Elementele selectate:",totalItems:"Total elemente:",size:"Marime pagina:",first:"Prima pagina",next:"Pagina urmatoare",previous:"Pagina anterioara",last:"Ultima pagina"},menu:{text:"Alege coloane:"},sort:{ascending:"Ordoneaza crescator",descending:"Ordoneaza descrescator",none:"Fara ordonare",remove:"Sterge ordonarea"},column:{hide:"Ascunde coloana"},aggregation:{count:"total linii: ",sum:"total: ",avg:"medie: ",min:"min: ",max:"max: "},pinning:{pinLeft:"Pin la stanga",pinRight:"Pin la dreapta",unpin:"Sterge pinul"},columnMenu:{close:"Inchide"},gridMenu:{aria:{buttonLabel:"Grid Menu"},columns:"Coloane:",importerTitle:"Incarca fisier",exporterAllAsCsv:"Exporta toate datele ca csv",exporterVisibleAsCsv:"Exporta datele vizibile ca csv",exporterSelectedAsCsv:"Exporta datele selectate ca csv",exporterAllAsPdf:"Exporta toate datele ca pdf",exporterVisibleAsPdf:"Exporta datele vizibile ca pdf",exporterSelectedAsPdf:"Exporta datele selectate ca csv pdf",clearAllFilters:"Sterge toate filtrele"},importer:{noHeaders:"Numele coloanelor nu a putut fi incarcat, acest fisier are un header?",noObjects:"Datele nu au putut fi incarcate, exista date in fisier in afara numelor de coloane?",invalidCsv:"Fisierul nu a putut fi procesat, ati incarcat un CSV valid ?",invalidJson:"Fisierul nu a putut fi procesat, ati incarcat un Json valid?",jsonNotArray:"Json-ul incarcat trebuie sa contina un array, inchidere."},pagination:{aria:{pageToFirst:"Prima pagina",pageBack:"O pagina inapoi",pageSelected:"Pagina selectata",pageForward:"O pagina inainte",pageToLast:"Ultima pagina"},sizes:"Elemente per pagina",totalItems:"elemente",through:"prin",of:"of"},grouping:{group:"Grupeaza",ungroup:"Opreste gruparea",aggregate_count:"Agg: Count",aggregate_sum:"Agg: Sum",aggregate_max:"Agg: Max",aggregate_min:"Agg: Min",aggregate_avg:"Agg: Avg",aggregate_remove:"Agg: Remove"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("ru",{headerCell:{aria:{defaultFilterLabel:"Фильтр столбца",removeFilter:"Удалить фильтр",columnMenuButtonLabel:"Меню столбца"},priority:"Приоритет:",filterLabel:"Фильтр столбца: "},aggregate:{label:"элементы"},groupPanel:{description:"Для группировки по столбцу перетащите сюда его название."},search:{placeholder:"Поиск...",showingItems:"Показать элементы:",selectedItems:"Выбранные элементы:",totalItems:"Всего элементов:",size:"Размер страницы:",first:"Первая страница",next:"Следующая страница",previous:"Предыдущая страница",last:"Последняя страница"},menu:{text:"Выбрать столбцы:"},sort:{ascending:"По возрастанию",descending:"По убыванию",none:"Без сортировки",remove:"Убрать сортировку"},column:{hide:"Спрятать столбец"},aggregation:{count:"всего строк: ",sum:"итого: ",avg:"среднее: ",min:"мин: ",max:"макс: "},pinning:{pinLeft:"Закрепить слева",pinRight:"Закрепить справа",unpin:"Открепить"},columnMenu:{close:"Закрыть"},gridMenu:{aria:{buttonLabel:"Меню"},columns:"Столбцы:",importerTitle:"Импортировать файл",exporterAllAsCsv:"Экспортировать всё в CSV",exporterVisibleAsCsv:"Экспортировать видимые данные в CSV",exporterSelectedAsCsv:"Экспортировать выбранные данные в CSV",exporterAllAsPdf:"Экспортировать всё в PDF",exporterVisibleAsPdf:"Экспортировать видимые данные в PDF",exporterSelectedAsPdf:"Экспортировать выбранные данные в PDF",clearAllFilters:"Очистите все фильтры"},importer:{noHeaders:"Не удалось получить названия столбцов, есть ли в файле заголовок?",noObjects:"Не удалось получить данные, есть ли в файле строки кроме заголовка?",invalidCsv:"Не удалось обработать файл, это правильный CSV-файл?",invalidJson:"Не удалось обработать файл, это правильный JSON?",jsonNotArray:"Импортируемый JSON-файл должен содержать массив, операция отменена."},pagination:{aria:{pageToFirst:"Первая страница",pageBack:"Предыдущая страница",pageSelected:"Выбранная страница",pageForward:"Следующая страница",pageToLast:"Последняя страница"},sizes:"строк на страницу",totalItems:"строк",through:"по",of:"из"},grouping:{group:"Группировать",ungroup:"Разгруппировать",aggregate_count:"Группировать: Count",aggregate_sum:"Для группы: Сумма",aggregate_max:"Для группы: Максимум",aggregate_min:"Для группы: Минимум",aggregate_avg:"Для группы: Среднее",aggregate_remove:"Для группы: Пусто"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("sk",{aggregate:{label:"items"},groupPanel:{description:"Pretiahni sem názov stĺpca pre zoskupenie podľa toho stĺpca."},search:{placeholder:"Hľadaj...",showingItems:"Zobrazujem položky:",selectedItems:"Vybraté položky:",totalItems:"Počet položiek:",size:"Počet:",first:"Prvá strana",next:"Ďalšia strana",previous:"Predchádzajúca strana",last:"Posledná strana"},menu:{text:"Vyberte stĺpce:"},sort:{ascending:"Zotriediť vzostupne",descending:"Zotriediť zostupne",remove:"Vymazať triedenie"},aggregation:{count:"total rows: ",sum:"total: ",avg:"avg: ",min:"min: ",max:"max: "},gridMenu:{columns:"Columns:",importerTitle:"Import file",exporterAllAsCsv:"Export all data as csv",exporterVisibleAsCsv:"Export visible data as csv",exporterSelectedAsCsv:"Export selected data as csv",exporterAllAsPdf:"Export all data as pdf",exporterVisibleAsPdf:"Export visible data as pdf",exporterSelectedAsPdf:"Export selected data as pdf",clearAllFilters:"Clear all filters"},importer:{noHeaders:"Column names were unable to be derived, does the file have a header?",noObjects:"Objects were not able to be derived, was there data in the file other than headers?",invalidCsv:"File was unable to be processed, is it valid CSV?",invalidJson:"File was unable to be processed, is it valid Json?",jsonNotArray:"Imported json file must contain an array, aborting."}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("sv",{aggregate:{label:"Artiklar"},groupPanel:{description:"Dra en kolumnrubrik hit och släpp den för att gruppera efter den kolumnen."},search:{placeholder:"Sök...",showingItems:"Visar artiklar:",selectedItems:"Valda artiklar:",totalItems:"Antal artiklar:",size:"Sidstorlek:",first:"Första sidan",next:"Nästa sida",previous:"Föregående sida",last:"Sista sidan"},menu:{text:"Välj kolumner:"},sort:{ascending:"Sortera stigande",descending:"Sortera fallande",remove:"Inaktivera sortering"},column:{hide:"Göm kolumn"},aggregation:{count:"Antal rader: ",sum:"Summa: ",avg:"Genomsnitt: ",min:"Min: ",max:"Max: "},pinning:{pinLeft:"Fäst vänster",pinRight:"Fäst höger",unpin:"Lösgör"},gridMenu:{columns:"Kolumner:",importerTitle:"Importera fil",exporterAllAsCsv:"Exportera all data som CSV",exporterVisibleAsCsv:"Exportera synlig data som CSV",exporterSelectedAsCsv:"Exportera markerad data som CSV",exporterAllAsPdf:"Exportera all data som PDF",exporterVisibleAsPdf:"Exportera synlig data som PDF",exporterSelectedAsPdf:"Exportera markerad data som PDF",clearAllFilters:"Rengör alla filter"},importer:{noHeaders:"Kolumnnamn kunde inte härledas. Har filen ett sidhuvud?",noObjects:"Objekt kunde inte härledas. Har filen data undantaget sidhuvud?",invalidCsv:"Filen kunde inte behandlas, är den en giltig CSV?",invalidJson:"Filen kunde inte behandlas, är den en giltig JSON?",jsonNotArray:"Importerad JSON-fil måste innehålla ett fält. Import avbruten."},pagination:{sizes:"Artiklar per sida",totalItems:"Artiklar"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("ta",{aggregate:{label:"உருப்படிகள்"},groupPanel:{description:"ஒரு பத்தியை குழுவாக அமைக்க அப்பத்தியின் தலைப்பை இங்கே இழுத்து வரவும் "},search:{placeholder:"தேடல் ...",showingItems:"உருப்படிகளை காண்பித்தல்:",selectedItems:"தேர்ந்தெடுக்கப்பட்ட உருப்படிகள்:",totalItems:"மொத்த உருப்படிகள்:",size:"பக்க அளவு: ",first:"முதல் பக்கம்",next:"அடுத்த பக்கம்",previous:"முந்தைய பக்கம் ",last:"இறுதி பக்கம்"},menu:{text:"பத்திகளை தேர்ந்தெடு:"},sort:{ascending:"மேலிருந்து கீழாக",descending:"கீழிருந்து மேலாக",remove:"வரிசையை நீக்கு"},column:{hide:"பத்தியை மறைத்து வை "},aggregation:{count:"மொத்த வரிகள்:",sum:"மொத்தம்: ",avg:"சராசரி: ",min:"குறைந்தபட்ச: ",max:"அதிகபட்ச: "},pinning:{pinLeft:"இடதுபுறமாக தைக்க ",pinRight:"வலதுபுறமாக தைக்க",unpin:"பிரி"},gridMenu:{columns:"பத்திகள்:",importerTitle:"கோப்பு : படித்தல்",exporterAllAsCsv:"எல்லா தரவுகளையும் கோப்பாக்கு: csv",exporterVisibleAsCsv:"இருக்கும் தரவுகளை கோப்பாக்கு: csv",exporterSelectedAsCsv:"தேர்ந்தெடுத்த தரவுகளை கோப்பாக்கு: csv",exporterAllAsPdf:"எல்லா தரவுகளையும் கோப்பாக்கு: pdf",exporterVisibleAsPdf:"இருக்கும் தரவுகளை கோப்பாக்கு: pdf",exporterSelectedAsPdf:"தேர்ந்தெடுத்த தரவுகளை கோப்பாக்கு: pdf",clearAllFilters:"Clear all filters"},importer:{noHeaders:"பத்தியின் தலைப்புகளை பெற இயலவில்லை, கோப்பிற்கு தலைப்பு உள்ளதா?",noObjects:"இலக்குகளை உருவாக்க முடியவில்லை, கோப்பில் தலைப்புகளை தவிர தரவு ஏதேனும் உள்ளதா? ",invalidCsv:"சரிவர நடைமுறை படுத்த இயலவில்லை, கோப்பு சரிதானா? - csv",invalidJson:"சரிவர நடைமுறை படுத்த இயலவில்லை, கோப்பு சரிதானா? - json",jsonNotArray:"படித்த கோப்பில் வரிசைகள் உள்ளது, நடைமுறை ரத்து செய் : json"},pagination:{sizes:"உருப்படிகள் / பக்கம்",totalItems:"உருப்படிகள் "},grouping:{group:"குழு",ungroup:"பிரி",aggregate_count:"மதிப்பீட்டு : எண்ணு",aggregate_sum:"மதிப்பீட்டு : கூட்டல்",aggregate_max:"மதிப்பீட்டு : அதிகபட்சம்",aggregate_min:"மதிப்பீட்டு : குறைந்தபட்சம்",aggregate_avg:"மதிப்பீட்டு : சராசரி",aggregate_remove:"மதிப்பீட்டு : நீக்கு"}}),a}])}])}(),function(){angular.module("ui.grid").config(["$provide",function(a){a.decorator("i18nService",["$delegate",function(a){return a.add("tr",{headerCell:{aria:{defaultFilterLabel:"Sütun için filtre",removeFilter:"Filtreyi Kaldır",columnMenuButtonLabel:"Sütun Menüsü"},priority:"Öncelik:",filterLabel:"Sütun için filtre: "},aggregate:{label:"kayıtlar"},groupPanel:{description:"Sütuna göre gruplamak için sütun başlığını buraya sürükleyin ve bırakın."},search:{placeholder:"Arama...",showingItems:"Gösterilen Kayıt:",selectedItems:"Seçili Kayıt:",totalItems:"Toplam Kayıt:",size:"Sayfa Boyutu:",first:"İlk Sayfa",next:"Sonraki Sayfa",previous:"Önceki Sayfa",last:"Son Sayfa"},menu:{text:"Sütunları Seç:"},sort:{ascending:"Artan Sırada Sırala",descending:"Azalan Sırada Sırala",none:"Sıralama Yapma",remove:"Sıralamayı Kaldır"},column:{hide:"Sütunu Gizle"},aggregation:{count:"toplam satır: ",sum:"toplam: ",avg:"ort: ",min:"min: ",max:"maks: "},pinning:{pinLeft:"Sola Sabitle",pinRight:"Sağa Sabitle",unpin:"Sabitlemeyi Kaldır"},columnMenu:{close:"Kapat"},gridMenu:{aria:{buttonLabel:"Tablo Menü"},columns:"Sütunlar:",importerTitle:"Dosya içeri aktar",exporterAllAsCsv:"Bütün veriyi CSV olarak dışarı aktar",exporterVisibleAsCsv:"Görünen veriyi CSV olarak dışarı aktar",exporterSelectedAsCsv:"Seçili veriyi CSV olarak dışarı aktar",exporterAllAsPdf:"Bütün veriyi PDF olarak dışarı aktar",exporterVisibleAsPdf:"Görünen veriyi PDF olarak dışarı aktar",exporterSelectedAsPdf:"Seçili veriyi PDF olarak dışarı aktar",clearAllFilters:"Bütün filtreleri kaldır"},importer:{noHeaders:"Sütun isimleri üretilemiyor, dosyanın bir başlığı var mı?",noObjects:"Nesneler üretilemiyor, dosyada başlıktan başka bir veri var mı?",invalidCsv:"Dosya işlenemedi, geçerli bir CSV dosyası mı?",invalidJson:"Dosya işlenemedi, geçerli bir Json dosyası mı?",jsonNotArray:"Alınan Json dosyasında bir dizi bulunmalıdır, işlem iptal ediliyor."},pagination:{aria:{pageToFirst:"İlk sayfaya",pageBack:"Geri git",pageSelected:"Seçili sayfa",pageForward:"İleri git",pageToLast:"Sona git"},sizes:"Sayfadaki nesne sayısı",totalItems:"kayıtlar",through:"",of:""},grouping:{group:"Grupla",ungroup:"Gruplama",aggregate_count:"Yekun: Sayı",aggregate_sum:"Yekun: Toplam",aggregate_max:"Yekun: Maks",aggregate_min:"Yekun: Min",aggregate_avg:"Yekun: Ort",aggregate_remove:"Yekun: Sil"}}),a}])}])}(),function(){var a=["uiT","uiTranslate"],b=["t","uiTranslate"],c=angular.module("ui.grid.i18n");c.constant("i18nConstants",{MISSING:"[MISSING]",UPDATE_EVENT:"$uiI18n",LOCALE_DIRECTIVE_ALIAS:"uiI18n",DEFAULT_LANG:"en"}),c.service("i18nService",["$log","i18nConstants","$rootScope",function(a,b,c){var d={_langs:{},current:null,get:function(a){return this._langs[a.toLowerCase()]},add:function(a,b){var c=a.toLowerCase();this._langs[c]||(this._langs[c]={}),angular.extend(this._langs[c],b)},getAllLangs:function(){var a=[];if(!this._langs)return a;for(var b in this._langs)a.push(b);return a},setCurrent:function(a){this.current=a.toLowerCase()},getCurrentLang:function(){return this.current}},e={add:function(a,b){"object"==typeof a?angular.forEach(a,function(a){a&&d.add(a,b)}):d.add(a,b)},getAllLangs:function(){return d.getAllLangs()},get:function(a){var b=a?a:e.getCurrentLang();return d.get(b)},getSafeText:function(a,c){var f=c?c:e.getCurrentLang(),g=d.get(f);if(!g)return b.MISSING;for(var h=a.split("."),i=g,j=0;jf?0===g?new d(a,c[h]):new d(e[g-1],c[h]):new d(a,c[h])},f.prototype.getRowColRight=function(a,b){var c=this.getFocusableCols(),e=this.getFocusableRows(),f=c.indexOf(b),g=e.indexOf(a);-1===f&&(f=0);var h=f===c.length-1?0:f+1;return f>h?g===e.length-1?new d(a,c[h]):new d(e[g+1],c[h]):new d(a,c[h])},f.prototype.getRowColDown=function(a,b){var c=this.getFocusableCols(),e=this.getFocusableRows(),f=c.indexOf(b),g=e.indexOf(a);return-1===f&&(f=0),g===e.length-1?new d(a,c[f]):new d(e[g+1],c[f])},f.prototype.getRowColPageDown=function(a,b){var c=this.getFocusableCols(),e=this.getFocusableRows(),f=c.indexOf(b),g=e.indexOf(a);-1===f&&(f=0);var h=this.bodyContainer.minRowsToRender();return g>=e.length-h?new d(e[e.length-1],c[f]):new d(e[g+h],c[f])},f.prototype.getRowColUp=function(a,b){var c=this.getFocusableCols(),e=this.getFocusableRows(),f=c.indexOf(b),g=e.indexOf(a);return-1===f&&(f=0),0===g?new d(a,c[f]):new d(e[g-1],c[f])},f.prototype.getRowColPageUp=function(a,b){var c=this.getFocusableCols(),e=this.getFocusableRows(),f=c.indexOf(b),g=e.indexOf(a);-1===f&&(f=0);var h=this.bodyContainer.minRowsToRender();return 0>g-h?new d(e[0],c[f]):new d(e[g-h],c[f])},f}]),a.service("uiGridCellNavService",["gridUtil","uiGridConstants","uiGridCellNavConstants","$q","uiGridCellNavFactory","GridRowColumn","ScrollEvent",function(a,b,c,d,e,f,g){var h={initializeGrid:function(a){a.registerColumnBuilder(h.cellNavColumnBuilder),a.cellNav={},a.cellNav.lastRowCol=null,a.cellNav.focusedCells=[],h.defaultGridOptions(a.options);var b={events:{cellNav:{navigate:function(a,b){},viewPortKeyDown:function(a,b){},viewPortKeyPress:function(a,b){}}},methods:{cellNav:{scrollToFocus:function(b,c){return h.scrollToFocus(a,b,c)},getFocusedCell:function(){return a.cellNav.lastRowCol},getCurrentSelection:function(){return a.cellNav.focusedCells},rowColSelectIndex:function(b){for(var c=-1,d=0;db&&(c+=a.drawnWidth)});var e=0===d?0:(d+1)/a.renderContainers.body.visibleColumnCache.length;return c+=b.drawnWidth*e}};return h}]),a.directive("uiGridCellnav",["gridUtil","uiGridCellNavService","uiGridCellNavConstants","uiGridConstants","GridRowColumn","$timeout","$compile",function(a,b,c,d,e,f,g){return{replace:!0,priority:-150,require:"^uiGrid",scope:!1,controller:function(){},compile:function(){return{pre:function(a,f,g,h){var i=a,j=h.grid;b.initializeGrid(j),h.cellNav={},h.cellNav.makeRowCol=function(a){return a instanceof e||(a=new e(a.row,a.col)),a},h.cellNav.getActiveCell=function(){var a=f[0].getElementsByClassName("ui-grid-cell-focus");return a.length>0?a[0]:void 0},h.cellNav.broadcastCellNav=j.cellNav.broadcastCellNav=function(a,b,d){b=!(void 0===b||!b),a=h.cellNav.makeRowCol(a),h.cellNav.broadcastFocus(a,b,d),i.$broadcast(c.CELL_NAV_EVENT,a,b,d)},h.cellNav.clearFocus=j.cellNav.clearFocus=function(){j.cellNav.focusedCells=[],i.$broadcast(c.CELL_NAV_EVENT)},h.cellNav.broadcastFocus=function(a,b,c){b=!(void 0===b||!b),a=h.cellNav.makeRowCol(a);var d=a.row,f=a.col,g=h.grid.api.cellNav.rowColSelectIndex(a);if(null===j.cellNav.lastRowCol||-1===g){var i=new e(d,f);null!==j.cellNav.lastRowCol&&j.cellNav.lastRowCol.row===i.row&&j.cellNav.lastRowCol.col===i.col||(j.api.cellNav.raise.navigate(i,j.cellNav.lastRowCol),j.cellNav.lastRowCol=i),h.grid.options.modifierKeysToMultiSelectCells&&b?j.cellNav.focusedCells.push(a):j.cellNav.focusedCells=[a]}else j.options.modifierKeysToMultiSelectCells&&b&&g>=0&&j.cellNav.focusedCells.splice(g,1)},h.cellNav.handleKeyDown=function(a){var e=b.getDirection(a);if(null===e)return null;var f="body";a.uiGridTargetRenderContainerId&&(f=a.uiGridTargetRenderContainerId);var g=h.grid.api.cellNav.getFocusedCell();if(g){var i=h.grid.renderContainers[f].cellNav.getNextRowCol(e,g.row,g.col),k=h.grid.renderContainers[f].cellNav.getFocusableCols(),l=h.grid.api.cellNav.rowColSelectIndex(i);return e===c.direction.LEFT&&i.col===k[k.length-1]&&i.row===g.row&&a.keyCode===d.keymap.TAB&&a.shiftKey?(j.cellNav.focusedCells.splice(l,1),h.cellNav.clearFocus(),!0):e!==c.direction.RIGHT||i.col!==k[0]||i.row!==g.row||a.keyCode!==d.keymap.TAB||a.shiftKey?(j.scrollToIfNecessary(i.row,i.col).then(function(){h.cellNav.broadcastCellNav(i)}),a.stopPropagation(),a.preventDefault(),!1):(j.cellNav.focusedCells.splice(l,1),h.cellNav.clearFocus(),!0)}}},post:function(a,b,d,e){function f(){var d='
             
            ',e=g(d)(a);b.prepend(e),a.$on(c.CELL_NAV_EVENT,function(a,b,c,d){function f(a){a!==e.text()&&(e[0].style.clip="rect(0px,0px,0px,0px)",e[0].innerHTML="",e[0].style.visibility="hidden",e[0].style.visibility="visible",""!==a&&(e[0].style.clip="auto",e[0].appendChild(document.createTextNode(a+" ")),e[0].style.visibility="hidden",e[0].style.visibility="visible"))}if(!d||"focus"!==d.type){for(var g=[],i=h.api.cellNav.getCurrentSelection(),j=0;j
            ')(b);d.append(o),o.on("focus",function(a){a.uiGridTargetRenderContainerId=m;var b=j.grid.api.cellNav.getFocusedCell();null===b&&(b=j.grid.renderContainers[m].cellNav.getNextRowCol(g.direction.DOWN,null,null),b.row&&b.col&&j.cellNav.broadcastCellNav(b))}),l.setAriaActivedescendant=function(a){d.attr("aria-activedescendant",a)},l.removeAriaActivedescendant=function(a){d.attr("aria-activedescendant")===a&&d.attr("aria-activedescendant","")},j.focus=function(){c.focus.byElement(o[0])};var p=null;o.on("keydown",function(a){a.uiGridTargetRenderContainerId=m;var b=j.grid.api.cellNav.getFocusedCell(),c=j.cellNav.handleKeyDown(a);null===c&&(j.grid.api.cellNav.raise.viewPortKeyDown(a,b),p=b)}),o.on("keypress",function(b){p&&(a(function(){j.grid.api.cellNav.raise.viewPortKeyPress(b,p)},4),p=null)}),b.$on("$destroy",function(){o.off()})}}}}}}}]),a.directive("uiGridViewport",["$timeout","$document","gridUtil","uiGridConstants","uiGridCellNavService","uiGridCellNavConstants","$log","$compile",function(a,b,c,d,e,f,g,h){return{replace:!0,priority:-99999,require:["^uiGrid","^uiGridRenderContainer","?^uiGridCellnav"],scope:!1,compile:function(){return{pre:function(a,b,c,d){},post:function(a,b,c,d){var e=d[0],f=d[1];if(e.grid.api.cellNav){var g=f.containerId;if("body"===g){var h=e.grid;h.api.core.on.scrollBegin(a,function(a){var b=e.grid.api.cellNav.getFocusedCell();null!==b&&f.colContainer.containsColumn(b.col)&&e.cellNav.clearFocus()}),h.api.core.on.scrollEnd(a,function(a){var b=e.grid.api.cellNav.getFocusedCell();null!==b&&f.colContainer.containsColumn(b.col)&&e.cellNav.broadcastCellNav(b)}),h.api.cellNav.on.navigate(a,function(){e.focus()})}}}}}}}]),a.directive("uiGridCell",["$timeout","$document","uiGridCellNavService","gridUtil","uiGridCellNavConstants","uiGridConstants","GridRowColumn",function(a,b,c,d,e,f,g){return{priority:-150,restrict:"A",require:["^uiGrid","?^uiGridCellnav"],scope:!1,link:function(a,b,c,d){function f(a){a.preventDefault()}function h(){if(!a.focused){var c=b.find("div");c.addClass("ui-grid-cell-focus"),b.attr("aria-selected",!0),k.setAriaActivedescendant(b.attr("id")),a.focused=!0}}function i(){if(a.focused){var c=b.find("div");c.removeClass("ui-grid-cell-focus"),b.attr("aria-selected",!1),k.removeAriaActivedescendant(b.attr("id")),a.focused=!1}}var j=d[0],k=d[1];if(j.grid.api.cellNav&&a.col.colDef.allowCellFocus){var l=j.grid;a.focused=!1,b.attr("tabindex",-1),b.find("div").on("click",function(b){j.cellNav.broadcastCellNav(new g(a.row,a.col),b.ctrlKey||b.metaKey,b),b.stopPropagation(),a.$apply()}),b.on("mousedown",f),j.grid.api.edit&&(j.grid.api.edit.on.beginCellEdit(a,function(){b.off("mousedown",f)}),j.grid.api.edit.on.afterCellEdit(a,function(){b.on("mousedown",f)}),j.grid.api.edit.on.cancelCellEdit(a,function(){b.on("mousedown",f)})),b.on("focus",function(b){j.cellNav.broadcastCellNav(new g(a.row,a.col),!1,b),b.stopPropagation(),a.$apply()}),a.$on(e.CELL_NAV_EVENT,function(b,c,d){var e=l.cellNav.focusedCells.some(function(b,c){return b.row===a.row&&b.col===a.col; +});e?h():i()}),a.$on("$destroy",function(){b.find("div").off(),b.off()})}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.edit",["ui.grid"]);a.constant("uiGridEditConstants",{EDITABLE_CELL_TEMPLATE:/EDITABLE_CELL_TEMPLATE/g,EDITABLE_CELL_DIRECTIVE:/editable_cell_directive/g,events:{BEGIN_CELL_EDIT:"uiGridEventBeginCellEdit",END_CELL_EDIT:"uiGridEventEndCellEdit",CANCEL_CELL_EDIT:"uiGridEventCancelCellEdit"}}),a.service("uiGridEditService",["$q","uiGridConstants","gridUtil",function(a,b,c){var d={initializeGrid:function(a){d.defaultGridOptions(a.options),a.registerColumnBuilder(d.editColumnBuilder),a.edit={};var b={events:{edit:{afterCellEdit:function(a,b,c,d){},beginCellEdit:function(a,b,c){},cancelCellEdit:function(a,b){}}},methods:{edit:{}}};a.api.registerEventsFromObject(b.events)},defaultGridOptions:function(a){a.cellEditableCondition=void 0===a.cellEditableCondition?!0:a.cellEditableCondition,a.enableCellEditOnFocus=void 0===a.enableCellEditOnFocus?!1:a.enableCellEditOnFocus},editColumnBuilder:function(b,d,e){var f=[];return b.enableCellEdit=void 0===b.enableCellEdit?void 0===e.enableCellEdit?"object"!==b.type:e.enableCellEdit:b.enableCellEdit,b.cellEditableCondition=void 0===b.cellEditableCondition?e.cellEditableCondition:b.cellEditableCondition,b.enableCellEdit&&(b.editableCellTemplate=b.editableCellTemplate||e.editableCellTemplate||"ui-grid/cellEditor",f.push(c.getTemplate(b.editableCellTemplate).then(function(a){d.editableCellTemplate=a},function(a){throw new Error("Couldn't fetch/use colDef.editableCellTemplate '"+b.editableCellTemplate+"'")}))),b.enableCellEditOnFocus=void 0===b.enableCellEditOnFocus?e.enableCellEditOnFocus:b.enableCellEditOnFocus,a.all(f)},isStartEditKey:function(a){return!(a.metaKey||a.keyCode===b.keymap.ESC||a.keyCode===b.keymap.SHIFT||a.keyCode===b.keymap.CTRL||a.keyCode===b.keymap.ALT||a.keyCode===b.keymap.WIN||a.keyCode===b.keymap.CAPSLOCK||a.keyCode===b.keymap.LEFT||a.keyCode===b.keymap.TAB&&a.shiftKey||a.keyCode===b.keymap.RIGHT||a.keyCode===b.keymap.TAB||a.keyCode===b.keymap.UP||a.keyCode===b.keymap.ENTER&&a.shiftKey||a.keyCode===b.keymap.DOWN||a.keyCode===b.keymap.ENTER)}};return d}]),a.directive("uiGridEdit",["gridUtil","uiGridEditService",function(a,b){return{replace:!0,priority:0,require:"^uiGrid",scope:!1,compile:function(){return{pre:function(a,c,d,e){b.initializeGrid(e.grid)},post:function(a,b,c,d){}}}}}]),a.directive("uiGridViewport",["uiGridEditConstants",function(a){return{replace:!0,priority:-99998,require:["^uiGrid","^uiGridRenderContainer"],scope:!1,compile:function(){return{post:function(b,c,d,e){var f=e[0];if(f.grid.api.edit&&f.grid.api.cellNav){var g=e[1].containerId;"body"===g&&(b.$on(a.events.CANCEL_CELL_EDIT,function(){f.focus()}),b.$on(a.events.END_CELL_EDIT,function(){f.focus()}))}}}}}}]),a.directive("uiGridCell",["$compile","$injector","$timeout","uiGridConstants","uiGridEditConstants","gridUtil","$parse","uiGridEditService","$rootScope","$q",function(a,b,c,d,e,f,g,h,i,j){var k=500;if(b.has("uiGridCellNavService")){b.get("uiGridCellNavService")}return{priority:-100,restrict:"A",scope:!1,require:"?^uiGrid",link:function(b,l,m,n){function o(){l.on("dblclick",u),l.on("touchstart",p),n&&n.grid.api.cellNav&&(G=n.grid.api.cellNav.on.viewPortKeyDown(b,function(a,c){null!==c&&(c.row!==b.row||c.col!==b.col||b.col.colDef.enableCellEditOnFocus||s(a))}),F=n.grid.api.cellNav.on.navigate(b,function(a,d){b.col.colDef.enableCellEditOnFocus&&(d&&a.row===d.row&&a.col===d.col||a.row!==b.row||a.col!==b.col||c(function(){u()}))})),b.beginEditEventsWired=!0}function p(a){"undefined"!=typeof a.originalEvent&&void 0!==a.originalEvent&&(a=a.originalEvent),l.on("touchend",q),C=c(function(){},k),C.then(function(){setTimeout(u,0),l.off("touchend",q)})}function q(a){c.cancel(C),l.off("touchend",q)}function r(){l.off("dblclick",u),l.off("keydown",s),l.off("touchstart",p),F(),G(),b.beginEditEventsWired=!1}function s(a){h.isStartEditKey(a)&&u(a)}function t(a,c){return!c.isSaving&&(angular.isFunction(a.colDef.cellEditableCondition)?a.colDef.cellEditableCondition(b):a.colDef.cellEditableCondition)}function u(a){b.grid.api.core.scrollToIfNecessary(b.row,b.col).then(function(){v(a)})}function v(h){if(!E&&t(b.col,b.row)){B=g(b.row.getQualifiedColField(b.col)),A=B(b),z=b.col.editableCellTemplate,z=b.col.colDef.editModelField?z.replace(d.MODEL_COL_FIELD,f.preEval("row.entity."+b.col.colDef.editModelField)):z.replace(d.MODEL_COL_FIELD,b.row.getQualifiedColField(b.col)),z=z.replace(d.COL_FIELD,"grid.getCellValue(row, col)");var k=b.col.colDef.editDropdownFilter?"|"+b.col.colDef.editDropdownFilter:"";z=z.replace(d.CUSTOM_FILTERS,k);var m="text";switch(b.col.colDef.type){case"boolean":m="checkbox";break;case"number":m="number";break;case"date":m="date"}z=z.replace("INPUT_TYPE",m);var n=b.col.colDef.editDropdownOptionsFunction;if(n)j.when(n(b.row.entity,b.col.colDef)).then(function(a){b.editDropdownOptionsArray=a});else{var o=b.col.colDef.editDropdownRowEntityOptionsArrayPath;o?b.editDropdownOptionsArray=y(b.row.entity,o):b.editDropdownOptionsArray=b.col.colDef.editDropdownOptionsArray}b.editDropdownIdLabel=b.col.colDef.editDropdownIdLabel?b.col.colDef.editDropdownIdLabel:"id",b.editDropdownValueLabel=b.col.colDef.editDropdownValueLabel?b.col.colDef.editDropdownValueLabel:"value";var p=function(){E=!0,r();var c=angular.element(z);l.append(c),D=b.$new(),a(c)(D);var d=angular.element(l.children()[0]);d.addClass("ui-grid-cell-contents-hidden")};i.$$phase?p():b.$apply(p);var q=b.col.grid.api.core.on.scrollBegin(b,function(){b.grid.disableScrolling||(w(),b.grid.api.edit.raise.afterCellEdit(b.row.entity,b.col.colDef,B(b),A),q(),s(),u())}),s=b.$on(e.events.END_CELL_EDIT,function(){w(),b.grid.api.edit.raise.afterCellEdit(b.row.entity,b.col.colDef,B(b),A),s(),q(),u()}),u=b.$on(e.events.CANCEL_CELL_EDIT,function(){x(),u(),q(),s()});b.$broadcast(e.events.BEGIN_CELL_EDIT,h),c(function(){b.grid.api.edit.raise.beginCellEdit(b.row.entity,b.col.colDef,h)})}}function w(){if(b.grid.disableScrolling=!1,E){n&&n.grid.api.cellNav&&n.focus();var a=angular.element(l.children()[0]);D.$destroy(),angular.element(l.children()[1]).remove(),a.removeClass("ui-grid-cell-contents-hidden"),E=!1,o(),b.grid.api.core.notifyDataChange(d.dataChange.EDIT)}}function x(){b.grid.disableScrolling=!1,E&&(B.assign(b,A),b.$apply(),b.grid.api.edit.raise.cancelCellEdit(b.row.entity,b.col.colDef),w())}function y(a,b){b=b.replace(/\[(\w+)\]/g,".$1"),b=b.replace(/^\./,"");for(var c=b.split(".");c.length;){var d=c.shift();if(!(d in a))return;a=a[d]}return a}var z,A,B,C,D,E=!1;if(b.col.colDef.enableCellEdit){var F=function(){},G=function(){},H=function(){b.col.colDef.enableCellEdit&&b.row.enableCellEdit!==!1?b.beginEditEventsWired||o():b.beginEditEventsWired&&r()};H();var I=b.$watch("row",function(a,b){a!==b&&H()});b.$on("$destroy",I)}}}}]),a.directive("uiGridEditor",["gridUtil","uiGridConstants","uiGridEditConstants","$timeout","uiGridEditService",function(a,b,c,d,e){return{scope:!0,require:["?^uiGrid","?^uiGridRenderContainer","ngModel"],compile:function(){return{pre:function(a,b,c){},post:function(a,f,g,h){var i,j,k;h[0]&&(i=h[0]),h[1]&&(j=h[1]),h[2]&&(k=h[2]),a.$on(c.events.BEGIN_CELL_EDIT,function(b,c){if(d(function(){if(f[0].focus(),!a.col.colDef.enableCellEditOnFocus&&i&&i.grid.api.cellNav)try{f[0].setSelectionRange(f[0].value.length,f[0].value.length)}catch(b){}else f[0].select()}),i&&i.grid.api.cellNav)var g=i.grid.api.cellNav.on.viewPortKeyPress(a,function(a,b){e.isStartEditKey(a)&&(k.$setViewValue(String.fromCharCode("number"==typeof a.which?a.which:a.keyCode),a),k.$render()),g()});f.on("blur",function(b){a.stopEdit(b)})}),a.deepEdit=!1,a.stopEdit=function(b){a.inputForm&&!a.inputForm.$valid?(b.stopPropagation(),a.$emit(c.events.CANCEL_CELL_EDIT)):a.$emit(c.events.END_CELL_EDIT),a.deepEdit=!1},f.on("click",function(b){"checkbox"!==f[0].type&&(a.deepEdit=!0,d(function(){a.grid.disableScrolling=!0}))}),f.on("keydown",function(d){switch(d.keyCode){case b.keymap.ESC:d.stopPropagation(),a.$emit(c.events.CANCEL_CELL_EDIT)}if(!a.deepEdit||d.keyCode!==b.keymap.LEFT&&d.keyCode!==b.keymap.RIGHT&&d.keyCode!==b.keymap.UP&&d.keyCode!==b.keymap.DOWN)if(i&&i.grid.api.cellNav)d.uiGridTargetRenderContainerId=j.containerId,null!==i.cellNav.handleKeyDown(d)&&a.stopEdit(d);else switch(d.keyCode){case b.keymap.ENTER:case b.keymap.TAB:d.stopPropagation(),d.preventDefault(),a.stopEdit(d)}else d.stopPropagation();return!0})}}}}}]),a.directive("uiGridEditor",["$filter",function(a){function b(a){if("undefined"==typeof a||""===a)return null;var b=a.split("-");if(3!==b.length)return null;var c=parseInt(b[0],10),d=parseInt(b[1],10),e=parseInt(b[2],10);return 1>d||1>c||1>e?null:new Date(c,d-1,e)}return{priority:-100,require:"?ngModel",link:function(c,d,e,f){2===angular.version.minor&&e.type&&"date"===e.type&&f&&(f.$formatters.push(function(b){return f.$setValidity(null,!b||!isNaN(b.getTime())),a("date")(b,"yyyy-MM-dd")}),f.$parsers.push(function(a){if(a&&a.length>0){var c=b(a);return f.$setValidity(null,c&&!isNaN(c.getTime())),c}return f.$setValidity(null,!0),null}))}}}]),a.directive("uiGridEditDropdown",["uiGridConstants","uiGridEditConstants",function(a,b){return{require:["?^uiGrid","?^uiGridRenderContainer"],scope:!0,compile:function(){return{pre:function(a,b,c){},post:function(c,d,e,f){var g=f[0],h=f[1];c.$on(b.events.BEGIN_CELL_EDIT,function(){d[0].focus(),d[0].style.width=d[0].parentElement.offsetWidth-1+"px",d.on("blur",function(a){c.stopEdit(a)})}),c.stopEdit=function(a){c.$emit(b.events.END_CELL_EDIT)},d.on("keydown",function(d){switch(d.keyCode){case a.keymap.ESC:d.stopPropagation(),c.$emit(b.events.CANCEL_CELL_EDIT)}if(g&&g.grid.api.cellNav)d.uiGridTargetRenderContainerId=h.containerId,null!==g.cellNav.handleKeyDown(d)&&c.stopEdit(d);else switch(d.keyCode){case a.keymap.ENTER:case a.keymap.TAB:d.stopPropagation(),d.preventDefault(),c.stopEdit(d)}return!0})}}}}}]),a.directive("uiGridEditFileChooser",["gridUtil","uiGridConstants","uiGridEditConstants","$timeout",function(a,b,c,d){return{scope:!0,require:["?^uiGrid","?^uiGridRenderContainer"],compile:function(){return{pre:function(a,b,c){},post:function(b,d,e,f){var g,h;f[0]&&(g=f[0]),f[1]&&(h=f[1]);var i=(g.grid,function(d){var e=d.srcElement||d.target;e&&e.files&&e.files.length>0?("function"==typeof b.col.colDef.editFileChooserCallback?b.col.colDef.editFileChooserCallback(b.row,b.col,e.files):a.logError("You need to set colDef.editFileChooserCallback to use the file chooser"),e.form.reset(),b.$emit(c.events.END_CELL_EDIT)):b.$emit(c.events.CANCEL_CELL_EDIT)});d[0].addEventListener("change",i,!1),b.$on(c.events.BEGIN_CELL_EDIT,function(){d[0].focus(),d[0].select(),d.on("blur",function(a){b.$emit(c.events.END_CELL_EDIT)})})}}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.expandable",["ui.grid"]);a.service("uiGridExpandableService",["gridUtil","$compile",function(a,b){var c={initializeGrid:function(b){b.expandable={},b.expandable.expandedAll=!1,b.options.enableExpandable=b.options.enableExpandable!==!1,b.options.expandableRowHeight=b.options.expandableRowHeight||150,b.options.expandableRowHeaderWidth=b.options.expandableRowHeaderWidth||40,b.options.enableExpandable&&!b.options.expandableRowTemplate&&(a.logError("You have not set the expandableRowTemplate, disabling expandable module"),b.options.enableExpandable=!1);var d={events:{expandable:{rowExpandedBeforeStateChanged:function(a,b){},rowExpandedStateChanged:function(a,b){}}},methods:{expandable:{toggleRowExpansion:function(a){var d=b.getRow(a);null!==d&&c.toggleRowExpansion(b,d)},expandAllRows:function(){c.expandAllRows(b)},collapseAllRows:function(){c.collapseAllRows(b)},toggleAllRows:function(){c.toggleAllRows(b)}}}};b.api.registerEventsFromObject(d.events),b.api.registerMethodsFromObject(d.methods)},toggleRowExpansion:function(a,b){a.api.expandable.raise.rowExpandedBeforeStateChanged(b),b.isExpanded=!b.isExpanded,angular.isUndefined(b.expandedRowHeight)&&(b.expandedRowHeight=a.options.expandableRowHeight),b.isExpanded?b.height=b.grid.options.rowHeight+b.expandedRowHeight:(b.height=b.grid.options.rowHeight,a.expandable.expandedAll=!1),a.api.expandable.raise.rowExpandedStateChanged(b)},expandAllRows:function(a,b){a.renderContainers.body.visibleRowCache.forEach(function(b){b.isExpanded||c.toggleRowExpansion(a,b)}),a.expandable.expandedAll=!0,a.queueGridRefresh()},collapseAllRows:function(a){a.renderContainers.body.visibleRowCache.forEach(function(b){b.isExpanded&&c.toggleRowExpansion(a,b)}),a.expandable.expandedAll=!1,a.queueGridRefresh()},toggleAllRows:function(a){a.expandable.expandedAll?c.collapseAllRows(a):c.expandAllRows(a)}};return c}]),a.directive("uiGridExpandable",["uiGridExpandableService","$templateCache",function(a,b){return{replace:!0,priority:0,require:"^uiGrid",scope:!1,compile:function(){return{pre:function(c,d,e,f){if(f.grid.options.enableExpandableRowHeader!==!1){var g={name:"expandableButtons",displayName:"",exporterSuppressExport:!0,enableColumnResizing:!1,enableColumnMenu:!1,width:f.grid.options.expandableRowHeaderWidth||40};g.cellTemplate=b.get("ui-grid/expandableRowHeader"),g.headerCellTemplate=b.get("ui-grid/expandableTopRowHeader"),f.grid.addRowHeaderColumn(g)}a.initializeGrid(f.grid)},post:function(a,b,c,d){}}}}}]),a.directive("uiGrid",["uiGridExpandableService","$templateCache",function(a,b){return{replace:!0,priority:599,require:"^uiGrid",scope:!1,compile:function(){return{pre:function(a,b,c,d){d.grid.api.core.on.renderingComplete(a,function(){a.row&&a.row.grid&&a.row.grid.options&&a.row.grid.options.enableExpandable&&(d.grid.parentRow=a.row)})},post:function(a,b,c,d){}}}}}]),a.directive("uiGridExpandableRow",["uiGridExpandableService","$timeout","$compile","uiGridConstants","gridUtil","$interval","$log",function(a,b,c,d,e,f,g){return{replace:!1,priority:0,scope:!1,compile:function(){return{pre:function(a,b,d,f){e.getTemplate(a.grid.options.expandableRowTemplate).then(function(d){if(a.grid.options.expandableRowScope){var e=a.grid.options.expandableRowScope;for(var f in e)e.hasOwnProperty(f)&&(a[f]=e[f])}var g=c(d)(a);b.append(g),a.row.expandedRendered=!0})},post:function(a,b,c,d){a.$on("$destroy",function(){a.row.expandedRendered=!1})}}}}}]),a.directive("uiGridRow",["$compile","gridUtil","$templateCache",function(a,b,c){return{priority:-200,scope:!1,compile:function(a,b){return{pre:function(a,b,c,d){a.expandableRow={},a.expandableRow.shouldRenderExpand=function(){var b="body"===a.colContainer.name&&a.grid.options.enableExpandable!==!1&&a.row.isExpanded&&(!a.grid.isScrollingVertically||a.row.expandedRendered);return b},a.expandableRow.shouldRenderFiller=function(){var b=a.row.isExpanded&&("body"!==a.colContainer.name||a.grid.isScrollingVertically&&!a.row.expandedRendered);return b}},post:function(a,b,c,d){}}}}}]),a.directive("uiGridViewport",["$compile","gridUtil","$templateCache",function(a,b,c){return{priority:-200,scope:!1,compile:function(a,b){var d=angular.element(a.children().children()[0]),e=c.get("ui-grid/expandableScrollFiller"),f=c.get("ui-grid/expandableRow");return d.append(f),d.append(e),{pre:function(a,b,c,d){},post:function(a,b,c,d){}}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.exporter",["ui.grid"]);a.constant("uiGridExporterConstants",{featureName:"exporter",ALL:"all",VISIBLE:"visible",SELECTED:"selected",CSV_CONTENT:"CSV_CONTENT",BUTTON_LABEL:"BUTTON_LABEL",FILE_NAME:"FILE_NAME"}),a.service("uiGridExporterService",["$q","uiGridExporterConstants","gridUtil","$compile","$interval","i18nService",function(a,b,c,d,e,f){var g={delay:100,initializeGrid:function(a){a.exporter={},this.defaultGridOptions(a.options);var b={events:{exporter:{}},methods:{exporter:{csvExport:function(b,c){g.csvExport(a,b,c)},pdfExport:function(b,c){g.pdfExport(a,b,c)}}}};a.api.registerEventsFromObject(b.events),a.api.registerMethodsFromObject(b.methods),a.api.core.addToGridMenu?g.addToMenu(a):e(function(){a.api.core.addToGridMenu&&g.addToMenu(a)},this.delay,1)},defaultGridOptions:function(a){a.exporterSuppressMenu=a.exporterSuppressMenu===!0,a.exporterMenuLabel=a.exporterMenuLabel?a.exporterMenuLabel:"Export",a.exporterSuppressColumns=a.exporterSuppressColumns?a.exporterSuppressColumns:[],a.exporterCsvColumnSeparator=a.exporterCsvColumnSeparator?a.exporterCsvColumnSeparator:",",a.exporterCsvFilename=a.exporterCsvFilename?a.exporterCsvFilename:"download.csv",a.exporterPdfFilename=a.exporterPdfFilename?a.exporterPdfFilename:"download.pdf",a.exporterOlderExcelCompatibility=a.exporterOlderExcelCompatibility===!0,a.exporterPdfDefaultStyle=a.exporterPdfDefaultStyle?a.exporterPdfDefaultStyle:{fontSize:11},a.exporterPdfTableStyle=a.exporterPdfTableStyle?a.exporterPdfTableStyle:{margin:[0,5,0,15]},a.exporterPdfTableHeaderStyle=a.exporterPdfTableHeaderStyle?a.exporterPdfTableHeaderStyle:{bold:!0,fontSize:12,color:"black"},a.exporterPdfHeader=a.exporterPdfHeader?a.exporterPdfHeader:null,a.exporterPdfFooter=a.exporterPdfFooter?a.exporterPdfFooter:null,a.exporterPdfOrientation=a.exporterPdfOrientation?a.exporterPdfOrientation:"landscape",a.exporterPdfPageSize=a.exporterPdfPageSize?a.exporterPdfPageSize:"A4",a.exporterPdfMaxGridWidth=a.exporterPdfMaxGridWidth?a.exporterPdfMaxGridWidth:720,a.exporterMenuAllData=void 0!==a.exporterMenuAllData?a.exporterMenuAllData:!0,a.exporterMenuVisibleData=void 0!==a.exporterMenuVisibleData?a.exporterMenuVisibleData:!0,a.exporterMenuSelectedData=void 0!==a.exporterMenuSelectedData?a.exporterMenuSelectedData:!0,a.exporterMenuCsv=void 0!==a.exporterMenuCsv?a.exporterMenuCsv:!0,a.exporterMenuPdf=void 0!==a.exporterMenuPdf?a.exporterMenuPdf:!0,a.exporterPdfCustomFormatter=a.exporterPdfCustomFormatter&&"function"==typeof a.exporterPdfCustomFormatter?a.exporterPdfCustomFormatter:function(a){return a},a.exporterHeaderFilterUseName=a.exporterHeaderFilterUseName===!0,a.exporterFieldCallback=a.exporterFieldCallback?a.exporterFieldCallback:function(a,b,c,d){return d},a.exporterAllDataFn=a.exporterAllDataFn?a.exporterAllDataFn:null,null==a.exporterAllDataFn&&a.exporterAllDataPromise&&(a.exporterAllDataFn=a.exporterAllDataPromise)},addToMenu:function(a){a.api.core.addToGridMenu(a,[{title:f.getSafeText("gridMenu.exporterAllAsCsv"),action:function(a){this.grid.api.exporter.csvExport(b.ALL,b.ALL)},shown:function(){return this.grid.options.exporterMenuCsv&&this.grid.options.exporterMenuAllData},order:200},{title:f.getSafeText("gridMenu.exporterVisibleAsCsv"),action:function(a){this.grid.api.exporter.csvExport(b.VISIBLE,b.VISIBLE)},shown:function(){return this.grid.options.exporterMenuCsv&&this.grid.options.exporterMenuVisibleData},order:201},{title:f.getSafeText("gridMenu.exporterSelectedAsCsv"),action:function(a){this.grid.api.exporter.csvExport(b.SELECTED,b.VISIBLE)},shown:function(){return this.grid.options.exporterMenuCsv&&this.grid.options.exporterMenuSelectedData&&this.grid.api.selection&&this.grid.api.selection.getSelectedRows().length>0},order:202},{title:f.getSafeText("gridMenu.exporterAllAsPdf"),action:function(a){this.grid.api.exporter.pdfExport(b.ALL,b.ALL)},shown:function(){return this.grid.options.exporterMenuPdf&&this.grid.options.exporterMenuAllData},order:203},{title:f.getSafeText("gridMenu.exporterVisibleAsPdf"),action:function(a){this.grid.api.exporter.pdfExport(b.VISIBLE,b.VISIBLE)},shown:function(){return this.grid.options.exporterMenuPdf&&this.grid.options.exporterMenuVisibleData},order:204},{title:f.getSafeText("gridMenu.exporterSelectedAsPdf"),action:function(a){this.grid.api.exporter.pdfExport(b.SELECTED,b.VISIBLE)},shown:function(){return this.grid.options.exporterMenuPdf&&this.grid.options.exporterMenuSelectedData&&this.grid.api.selection&&this.grid.api.selection.getSelectedRows().length>0},order:205}])},csvExport:function(a,b,c){var d=this;this.loadAllDataIfNeeded(a,b,c).then(function(){var e=a.options.showHeader?d.getColumnHeaders(a,c):[],f=d.getData(a,b,c),g=d.formatAsCsv(e,f,a.options.exporterCsvColumnSeparator);d.downloadFile(a.options.exporterCsvFilename,g,a.options.exporterOlderExcelCompatibility)})},loadAllDataIfNeeded:function(c,d,e){if(d===b.ALL&&c.rows.length!==c.options.totalItems&&c.options.exporterAllDataFn)return c.options.exporterAllDataFn().then(function(){c.modifyRows(c.options.data)});var f=a.defer();return f.resolve(),f.promise},getColumnHeaders:function(a,c){var d,e=[];if(c===b.ALL)d=a.columns;else{var f=a.renderContainers.left?a.renderContainers.left.visibleColumnCache.filter(function(a){return a.visible}):[],g=a.renderContainers.body?a.renderContainers.body.visibleColumnCache.filter(function(a){return a.visible}):[],h=a.renderContainers.right?a.renderContainers.right.visibleColumnCache.filter(function(a){return a.visible}):[];d=f.concat(g,h)}return d.forEach(function(b,c){b.colDef.exporterSuppressExport!==!0&&-1===a.options.exporterSuppressColumns.indexOf(b.name)&&e.push({name:b.field,displayName:a.options.exporterHeaderFilter?a.options.exporterHeaderFilterUseName?a.options.exporterHeaderFilter(b.name):a.options.exporterHeaderFilter(b.displayName):b.displayName,width:b.drawnWidth?b.drawnWidth:b.width,align:"number"===b.colDef.type?"right":"left"})}),e},getData:function(a,d,e,f){var g,h,i=[];switch(d){case b.ALL:g=a.rows;break;case b.VISIBLE:g=a.getVisibleRows();break;case b.SELECTED:a.api.selection?g=a.api.selection.getSelectedGridRows():c.logError("selection feature must be enabled to allow selected rows to be exported")}if(e===b.ALL)h=a.columns;else{var j=a.renderContainers.left?a.renderContainers.left.visibleColumnCache.filter(function(a){return a.visible}):[],k=a.renderContainers.body?a.renderContainers.body.visibleColumnCache.filter(function(a){return a.visible}):[],l=a.renderContainers.right?a.renderContainers.right.visibleColumnCache.filter(function(a){return a.visible}):[];h=j.concat(k,l)}return g.forEach(function(c,d){if(c.exporterEnableExporting!==!1){var g=[];h.forEach(function(d,h){if((d.visible||e===b.ALL)&&d.colDef.exporterSuppressExport!==!0&&-1===a.options.exporterSuppressColumns.indexOf(d.name)){var i=f?a.getCellDisplayValue(c,d):a.getCellValue(c,d),j={value:a.options.exporterFieldCallback(a,c,d,i)};d.colDef.exporterPdfAlign&&(j.alignment=d.colDef.exporterPdfAlign),g.push(j)}}),i.push(g)}}),i},formatAsCsv:function(a,b,c){var d=this,e=a.map(function(a){return{value:a.displayName}}),f=e.length>0?d.formatRowAsCsv(this,c)(e)+"\n":"";return f+=b.map(this.formatRowAsCsv(this,c)).join("\n")},formatRowAsCsv:function(a,b){return function(c){return c.map(a.formatFieldAsCsv).join(b)}},formatFieldAsCsv:function(a){return null==a.value?"":"number"==typeof a.value?a.value:"boolean"==typeof a.value?a.value?"TRUE":"FALSE":"string"==typeof a.value?'"'+a.value.replace(/"/g,'""')+'"':JSON.stringify(a.value)},isIE:function(){var a=navigator.userAgent.search(/(?:Edge|MSIE|Trident\/.*; rv:)/),b=!1;return-1!==a&&(b=!0),b},downloadFile:function(a,b,c){var d,e,f=document,g=f.createElement("a"),h="application/octet-stream;charset=utf-8";if(e=this.isIE(),e&&10>e){var i=f.createElement("iframe");return document.body.appendChild(i),i.contentWindow.document.open("text/html","replace"),i.contentWindow.document.write("sep=,\r\n"+b),i.contentWindow.document.close(),i.contentWindow.focus(),i.contentWindow.document.execCommand("SaveAs",!0,a),document.body.removeChild(i),!0}if(navigator.msSaveBlob)return navigator.msSaveOrOpenBlob(new Blob([c?"\ufeff":"",b],{type:h}),a);if("download"in g){var j=new Blob([c?"\ufeff":"",b],{type:h});d=URL.createObjectURL(j),g.setAttribute("download",a)}else d="data:"+h+","+encodeURIComponent(b),g.setAttribute("target","_blank");g.href=d,g.setAttribute("style","display:none;"),f.body.appendChild(g),setTimeout(function(){if(g.click)g.click();else if(document.createEvent){var a=document.createEvent("MouseEvents");a.initEvent("click",!0,!0),g.dispatchEvent(a)}f.body.removeChild(g)},this.delay)},pdfExport:function(a,b,c){var d=this;this.loadAllDataIfNeeded(a,b,c).then(function(){var e=d.getColumnHeaders(a,c),f=d.getData(a,b,c),g=d.prepareAsPdf(a,e,f);d.isIE()||-1!==navigator.appVersion.indexOf("Edge")?d.downloadPDF(a.options.exporterPdfFilename,g):pdfMake.createPdf(g).open()})},downloadPDF:function(a,b){var c,d=document;d.createElement("a");c=this.isIE();var e,f=pdfMake.createPdf(b);f.getBuffer(function(b){if(e=new Blob([b]),navigator.msSaveBlob)return navigator.msSaveBlob(e,a);if(c){var f=d.createElement("iframe");return document.body.appendChild(f),f.contentWindow.document.open("text/html","replace"),f.contentWindow.document.write(e),f.contentWindow.document.close(),f.contentWindow.focus(),f.contentWindow.document.execCommand("SaveAs",!0,a),document.body.removeChild(f),!0}})},prepareAsPdf:function(a,b,c){var d=this.calculatePdfHeaderWidths(a,b),e=b.map(function(a){return{text:a.displayName,style:"tableHeader"}}),f=c.map(this.formatRowAsPdf(this)),g=[e].concat(f),h={pageOrientation:a.options.exporterPdfOrientation,pageSize:a.options.exporterPdfPageSize,content:[{style:"tableStyle",table:{headerRows:1,widths:d,body:g}}],styles:{tableStyle:a.options.exporterPdfTableStyle,tableHeader:a.options.exporterPdfTableHeaderStyle},defaultStyle:a.options.exporterPdfDefaultStyle};return a.options.exporterPdfLayout&&(h.layout=a.options.exporterPdfLayout),a.options.exporterPdfHeader&&(h.header=a.options.exporterPdfHeader),a.options.exporterPdfFooter&&(h.footer=a.options.exporterPdfFooter),a.options.exporterPdfCustomFormatter&&(h=a.options.exporterPdfCustomFormatter(h)),h},calculatePdfHeaderWidths:function(a,b){var c=0;b.forEach(function(a){"number"==typeof a.width&&(c+=a.width)});var d=0;b.forEach(function(a){if("*"===a.width&&(d+=100),"string"==typeof a.width&&a.width.match(/(\d)*%/)){var b=parseInt(a.width.match(/(\d)*%/)[0]);a.width=c*b/100,d+=a.width}});var e=c+d;return b.map(function(b){return"*"===b.width?b.width:b.width*a.options.exporterPdfMaxGridWidth/e})},formatRowAsPdf:function(a){return function(b){return b.map(a.formatFieldAsPdfString)}},formatFieldAsPdfString:function(a){var b;return b=null==a.value?"":"number"==typeof a.value?a.value.toString():"boolean"==typeof a.value?a.value?"TRUE":"FALSE":"string"==typeof a.value?a.value.replace(/"/g,'""'):JSON.stringify(a.value).replace(/^"/,"").replace(/"$/,""),a.alignment&&"string"==typeof a.alignment&&(b={text:b,alignment:a.alignment}),b}};return g}]),a.directive("uiGridExporter",["uiGridExporterConstants","uiGridExporterService","gridUtil","$compile",function(a,b,c,d){return{replace:!0,priority:0,require:"^uiGrid",scope:!1,link:function(a,c,d,e){b.initializeGrid(e.grid),e.grid.exporter.$scope=a}}}])}(),function(){"use strict";var a=angular.module("ui.grid.grouping",["ui.grid","ui.grid.treeBase"]);a.constant("uiGridGroupingConstants",{featureName:"grouping",rowHeaderColName:"treeBaseRowHeaderCol",EXPANDED:"expanded",COLLAPSED:"collapsed",aggregation:{COUNT:"count",SUM:"sum",MAX:"max",MIN:"min",AVG:"avg"}}),a.service("uiGridGroupingService",["$q","uiGridGroupingConstants","gridUtil","rowSorter","GridRow","gridClassFactory","i18nService","uiGridConstants","uiGridTreeBaseService",function(a,b,c,d,e,f,g,h,i){var j={initializeGrid:function(a,b){i.initializeGrid(a,b),a.grouping={},a.grouping.groupHeaderCache={},j.defaultGridOptions(a.options),a.registerRowsProcessor(j.groupRows,400),a.registerColumnBuilder(j.groupingColumnBuilder),a.registerColumnsProcessor(j.groupingColumnProcessor,400);var c={events:{grouping:{aggregationChanged:{},groupingChanged:{}}},methods:{grouping:{getGrouping:function(b){var c=j.getGrouping(a);return c.grouping.forEach(function(a){a.colName=a.col.name,delete a.col}),c.aggregations.forEach(function(a){a.colName=a.col.name,delete a.col}),c.aggregations=c.aggregations.filter(function(a){return!a.aggregation.source||"grouping"!==a.aggregation.source}),b&&(c.rowExpandedStates=j.getRowExpandedStates(a.grouping.groupingHeaderCache)),c},setGrouping:function(b){j.setGrouping(a,b)},groupColumn:function(b){var c=a.getColumn(b);j.groupColumn(a,c)},ungroupColumn:function(b){var c=a.getColumn(b);j.ungroupColumn(a,c)},clearGrouping:function(){j.clearGrouping(a)},aggregateColumn:function(b,c,d){var e=a.getColumn(b);j.aggregateColumn(a,e,c,d)}}}};a.api.registerEventsFromObject(c.events),a.api.registerMethodsFromObject(c.methods),a.api.core.on.sortChanged(b,j.tidyPriorities)},defaultGridOptions:function(a){a.enableGrouping=a.enableGrouping!==!1,a.groupingShowCounts=a.groupingShowCounts!==!1,a.groupingNullLabel="undefined"==typeof a.groupingNullLabel?"Null":a.groupingNullLabel,a.enableGroupHeaderSelection=a.enableGroupHeaderSelection===!0},groupingColumnBuilder:function(a,d,e){if(a.enableGrouping!==!1){"undefined"==typeof d.grouping&&"undefined"!=typeof a.grouping?(d.grouping=angular.copy(a.grouping),"undefined"!=typeof d.grouping.groupPriority&&d.grouping.groupPriority>-1&&(d.treeAggregationFn=i.nativeAggregations()[b.aggregation.COUNT].aggregationFn,d.treeAggregationFinalizerFn=j.groupedFinalizerFn)):"undefined"==typeof d.grouping&&(d.grouping={}),"undefined"!=typeof d.grouping&&"undefined"!=typeof d.grouping.groupPriority&&d.grouping.groupPriority>=0&&(d.suppressRemoveSort=!0);var f={name:"ui.grid.grouping.group",title:g.get().grouping.group,icon:"ui-grid-icon-indent-right",shown:function(){return"undefined"==typeof this.context.col.grouping||"undefined"==typeof this.context.col.grouping.groupPriority||this.context.col.grouping.groupPriority<0},action:function(){j.groupColumn(this.context.col.grid,this.context.col)}},h={name:"ui.grid.grouping.ungroup",title:g.get().grouping.ungroup,icon:"ui-grid-icon-indent-left",shown:function(){return"undefined"!=typeof this.context.col.grouping&&"undefined"!=typeof this.context.col.grouping.groupPriority&&this.context.col.grouping.groupPriority>=0},action:function(){j.ungroupColumn(this.context.col.grid,this.context.col)}},k={name:"ui.grid.grouping.aggregateRemove",title:g.get().grouping.aggregate_remove,shown:function(){return"undefined"!=typeof this.context.col.treeAggregationFn},action:function(){j.aggregateColumn(this.context.col.grid,this.context.col,null)}},l=function(a,b){b=b||g.get().grouping["aggregate_"+a]||a;var e={name:"ui.grid.grouping.aggregate"+a,title:b,shown:function(){return"undefined"==typeof this.context.col.treeAggregation||"undefined"==typeof this.context.col.treeAggregation.type||this.context.col.treeAggregation.type!==a},action:function(){j.aggregateColumn(this.context.col.grid,this.context.col,a)}};c.arrayContainsObjectWithProperty(d.menuItems,"name","ui.grid.grouping.aggregate"+a)||d.menuItems.push(e)};d.colDef.groupingShowGroupingMenu!==!1&&(c.arrayContainsObjectWithProperty(d.menuItems,"name","ui.grid.grouping.group")||d.menuItems.push(f),c.arrayContainsObjectWithProperty(d.menuItems,"name","ui.grid.grouping.ungroup")||d.menuItems.push(h)),d.colDef.groupingShowAggregationMenu!==!1&&(angular.forEach(i.nativeAggregations(),function(a,b){l(b)}),angular.forEach(e.treeCustomAggregations,function(a,b){l(b,a.menuTitle)}),c.arrayContainsObjectWithProperty(d.menuItems,"name","ui.grid.grouping.aggregateRemove")||d.menuItems.push(k))}},groupingColumnProcessor:function(a,b){return a=j.moveGroupColumns(this,a,b)},groupedFinalizerFn:function(a){var b=this;"undefined"!=typeof a.groupVal?(a.rendered=a.groupVal,b.grid.options.groupingShowCounts&&"date"!==b.colDef.type&&(a.rendered+=" ("+a.value+")")):a.rendered=null},moveGroupColumns:function(a,b,c){return a.options.moveGroupColumns===!1?b:(b.forEach(function(a,b){a.groupingPosition=b}),b.sort(function(a,b){var c,d;return c=a.isRowHeader?-1e3:"undefined"==typeof a.grouping||"undefined"==typeof a.grouping.groupPriority||a.grouping.groupPriority<0?null:a.grouping.groupPriority,d=b.isRowHeader?-1e3:"undefined"==typeof b.grouping||"undefined"==typeof b.grouping.groupPriority||b.grouping.groupPriority<0?null:b.grouping.groupPriority,null!==c&&null===d?-1:null!==d&&null===c?1:null!==c&&null!==d?c-d:a.groupingPosition-b.groupingPosition}),b.forEach(function(a,b){delete a.groupingPosition}),b)},groupColumn:function(a,c){"undefined"==typeof c.grouping&&(c.grouping={});var d=j.getGrouping(a); +c.grouping.groupPriority=d.grouping.length,c.sort?"undefined"!=typeof c.sort.direction&&null!==c.sort.direction||(c.sort.direction=h.ASC):c.sort={direction:h.ASC},c.treeAggregation={type:b.aggregation.COUNT,source:"grouping"},c.treeAggregationFn=i.nativeAggregations()[b.aggregation.COUNT].aggregationFn,c.treeAggregationFinalizerFn=j.groupedFinalizerFn,a.api.grouping.raise.groupingChanged(c),a.api.core.raise.sortChanged(a,a.getColumnSorting()),a.queueGridRefresh()},ungroupColumn:function(a,b){"undefined"!=typeof b.grouping&&(delete b.grouping.groupPriority,delete b.treeAggregation,delete b.customTreeAggregationFinalizer,j.tidyPriorities(a),a.api.grouping.raise.groupingChanged(b),a.queueGridRefresh())},aggregateColumn:function(a,b,c){"undefined"!=typeof b.grouping&&"undefined"!=typeof b.grouping.groupPriority&&b.grouping.groupPriority>=0&&j.ungroupColumn(a,b);var d={};"undefined"!=typeof a.options.treeCustomAggregations[c]?d=a.options.treeCustomAggregations[c]:"undefined"!=typeof i.nativeAggregations()[c]&&(d=i.nativeAggregations()[c]),b.treeAggregation={type:c,label:g.get().aggregation[d.label]||d.label},b.treeAggregationFn=d.aggregationFn,b.treeAggregationFinalizerFn=d.finalizerFn,a.api.grouping.raise.aggregationChanged(b),a.queueGridRefresh()},setGrouping:function(a,b){"undefined"!=typeof b&&(j.clearGrouping(a),b.grouping&&b.grouping.length&&b.grouping.length>0&&b.grouping.forEach(function(b){var c=a.getColumn(b.colName);c&&j.groupColumn(a,c)}),b.aggregations&&b.aggregations.length&&b.aggregations.forEach(function(b){var c=a.getColumn(b.colName);c&&j.aggregateColumn(a,c,b.aggregation.type)}),b.rowExpandedStates&&j.applyRowExpandedStates(a.grouping.groupingHeaderCache,b.rowExpandedStates))},clearGrouping:function(a){var b=j.getGrouping(a);b.grouping.length>0&&b.grouping.forEach(function(b){b.col||(b.col=a.getColumn(b.colName)),j.ungroupColumn(a,b.col)}),b.aggregations.length>0&&b.aggregations.forEach(function(b){b.col||(b.col=a.getColumn(b.colName)),j.aggregateColumn(a,b.col,null)})},tidyPriorities:function(a){"undefined"!=typeof a&&"undefined"==typeof a.grid||"undefined"==typeof this.grid||(a=this.grid);var b=[],c=[];a.columns.forEach(function(a,d){"undefined"!=typeof a.grouping&&"undefined"!=typeof a.grouping.groupPriority&&a.grouping.groupPriority>=0?b.push(a):"undefined"!=typeof a.sort&&"undefined"!=typeof a.sort.priority&&a.sort.priority>=0&&c.push(a)}),b.sort(function(a,b){return a.grouping.groupPriority-b.grouping.groupPriority}),b.forEach(function(a,b){a.grouping.groupPriority=b,a.suppressRemoveSort=!0,"undefined"==typeof a.sort&&(a.sort={}),a.sort.priority=b});var d=b.length;c.sort(function(a,b){return a.sort.priority-b.sort.priority}),c.forEach(function(a,b){a.sort.priority=d,a.suppressRemoveSort=a.colDef.suppressRemoveSort,d++})},groupRows:function(a){if(0===a.length)return a;var b=this;b.grouping.oldGroupingHeaderCache=b.grouping.groupingHeaderCache||{},b.grouping.groupingHeaderCache={};for(var c=j.initialiseProcessingState(b),e=function(e,h){var i=b.getCellValue(g,e.col);e.initialised&&0===d.getSortFn(b,e.col,a)(i,e.currentValue)||(j.insertGroupHeader(b,a,f,c,h),f++)},f=0;f=0&&b.push({field:a.field,col:a,groupPriority:a.grouping.groupPriority,grouping:a.grouping}),a.treeAggregation&&a.treeAggregation.type&&c.push({field:a.field,col:a,aggregation:a.treeAggregation})}),b.sort(function(a,b){return a.groupPriority-b.groupPriority}),b.forEach(function(a,b){a.grouping.groupPriority=b,a.groupPriority=b,delete a.grouping}),{grouping:b,aggregations:c}},insertGroupHeader:function(a,b,c,d,g){var h=(d[g].fieldName,d[g].col),i=a.getCellValue(b[c],h),k=i;"undefined"!=typeof i&&null!==i||(k=a.options.groupingNullLabel);for(var l=function(a){return angular.isObject(a)?JSON.stringify(a):a},m=a.grouping.oldGroupingHeaderCache,n=0;g>n;n++)m&&m[l(d[n].currentValue)]&&(m=m[l(d[n].currentValue)].children);var o;for(m&&m[l(i)]?(o=m[l(i)].row,o.entity={}):(o=new e({},null,a),f.rowTemplateAssigner.call(a,o)),o.entity["$$"+d[g].col.uid]={groupVal:k},o.treeLevel=g,o.groupHeader=!0,o.internalRow=!0,o.enableCellEdit=!1,o.enableSelection=a.options.enableGroupHeaderSelection,d[g].initialised=!0,d[g].currentValue=i,d[g].currentRow=o,j.finaliseProcessingState(d,g+1),b.splice(c,0,o),m=a.grouping.groupingHeaderCache,n=0;g>n;n++)m=m[l(d[n].currentValue)].children;m[l(i)]={row:o,children:{}}},finaliseProcessingState:function(a,b){for(var c=b;c 1 or < 1 file choosers within the menu item, error, cannot continue"):h[0].addEventListener("change",g,!1)}}}])}(),function(){"use strict";var a=angular.module("ui.grid.infiniteScroll",["ui.grid"]);a.service("uiGridInfiniteScrollService",["gridUtil","$compile","$timeout","uiGridConstants","ScrollEvent","$q",function(a,b,c,d,e,f){var g={initializeGrid:function(a,b){if(g.defaultGridOptions(a.options),a.options.enableInfiniteScroll){a.infiniteScroll={dataLoading:!1},g.setScrollDirections(a,a.options.infiniteScrollUp,a.options.infiniteScrollDown),a.api.core.on.scrollEnd(b,g.handleScroll);var c={events:{infiniteScroll:{needLoadMoreData:function(a,b){},needLoadMoreDataTop:function(a,b){}}},methods:{infiniteScroll:{dataLoaded:function(b,c){g.setScrollDirections(a,b,c);var d=g.adjustScroll(a).then(function(){a.infiniteScroll.dataLoading=!1});return d},resetScroll:function(b,c){return g.setScrollDirections(a,b,c),g.adjustInfiniteScrollPosition(a,0)},saveScrollPercentage:function(){a.infiniteScroll.prevScrollTop=a.renderContainers.body.prevScrollTop,a.infiniteScroll.previousVisibleRows=a.getVisibleRowCount()},dataRemovedTop:function(b,c){g.dataRemovedTop(a,b,c)},dataRemovedBottom:function(b,c){g.dataRemovedBottom(a,b,c)},setScrollDirections:function(b,c){g.setScrollDirections(a,b,c)}}}};a.api.registerEventsFromObject(c.events),a.api.registerMethodsFromObject(c.methods)}},defaultGridOptions:function(a){a.enableInfiniteScroll=a.enableInfiniteScroll!==!1,a.infiniteScrollRowsFromEnd=a.infiniteScrollRowsFromEnd||20,a.infiniteScrollUp=a.infiniteScrollUp===!0,a.infiniteScrollDown=a.infiniteScrollDown!==!1},setScrollDirections:function(a,b,c){a.infiniteScroll.scrollUp=b===!0,a.suppressParentScrollUp=b===!0,a.infiniteScroll.scrollDown=c!==!1,a.suppressParentScrollDown=c!==!1},handleScroll:function(a){if(!(a.grid.infiniteScroll&&a.grid.infiniteScroll.dataLoading||"ui.grid.adjustInfiniteScrollPosition"===a.source)&&a.y){var b,c=a.grid.options.infiniteScrollRowsFromEnd/a.grid.renderContainers.body.visibleRowCache.length;a.grid.scrollDirection===d.scrollDirection.UP?(b=a.y.percentage,c>=b&&g.loadData(a.grid)):a.grid.scrollDirection===d.scrollDirection.DOWN&&(b=1-a.y.percentage,c>=b&&g.loadData(a.grid))}},loadData:function(a){a.infiniteScroll.previousVisibleRows=a.renderContainers.body.visibleRowCache.length,a.infiniteScroll.direction=a.scrollDirection,delete a.infiniteScroll.prevScrollTop,a.scrollDirection===d.scrollDirection.UP&&a.infiniteScroll.scrollUp?(a.infiniteScroll.dataLoading=!0,a.api.infiniteScroll.raise.needLoadMoreDataTop()):a.scrollDirection===d.scrollDirection.DOWN&&a.infiniteScroll.scrollDown&&(a.infiniteScroll.dataLoading=!0,a.api.infiniteScroll.raise.needLoadMoreData())},adjustScroll:function(a){var b=f.defer();return c(function(){var e,f,h,i,j;e=a.getViewportHeight()+a.headerHeight-a.renderContainers.body.headerHeight-a.scrollbarHeight,f=a.options.rowHeight,void 0===a.infiniteScroll.direction&&g.adjustInfiniteScrollPosition(a,0),h=a.getVisibleRowCount();var k=f*h;a.infiniteScroll.scrollDown&&e>k&&a.api.infiniteScroll.raise.needLoadMoreData(),a.infiniteScroll.direction===d.scrollDirection.UP&&(i=a.infiniteScroll.prevScrollTop||0,j=i+(h-a.infiniteScroll.previousVisibleRows)*f,g.adjustInfiniteScrollPosition(a,j),c(function(){b.resolve()})),a.infiniteScroll.direction===d.scrollDirection.DOWN&&(j=a.infiniteScroll.prevScrollTop||a.infiniteScroll.previousVisibleRows*f-e,g.adjustInfiniteScrollPosition(a,j),c(function(){b.resolve()}))},0),b.promise},adjustInfiniteScrollPosition:function(a,b){var c=new e(a,null,null,"ui.grid.adjustInfiniteScrollPosition"),d=a.getVisibleRowCount(),f=a.getViewportHeight()+a.headerHeight-a.renderContainers.body.headerHeight-a.scrollbarHeight,g=a.options.rowHeight,h=d*g-f;0===b&&a.infiniteScroll.scrollUp?c.y={percentage:1/h}:c.y={percentage:b/h},a.scrollContainers("",c)},dataRemovedTop:function(a,b,c){var d,e,f,h;return g.setScrollDirections(a,b,c),d=a.renderContainers.body.visibleRowCache.length,e=a.infiniteScroll.prevScrollTop,h=a.options.rowHeight,f=e-(a.infiniteScroll.previousVisibleRows-d)*h,g.adjustInfiniteScrollPosition(a,f)},dataRemovedBottom:function(a,b,c){var d;return g.setScrollDirections(a,b,c),d=a.infiniteScroll.prevScrollTop,g.adjustInfiniteScrollPosition(a,d)}};return g}]),a.directive("uiGridInfiniteScroll",["uiGridInfiniteScrollService",function(a){return{priority:-200,scope:!1,require:"^uiGrid",compile:function(b,c,d){return{pre:function(b,c,d,e){a.initializeGrid(e.grid,b)},post:function(a,b,c){}}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.moveColumns",["ui.grid"]);a.service("uiGridMoveColumnService",["$q","$timeout","$log","ScrollEvent","uiGridConstants","gridUtil",function(a,b,c,d,e,f){var g={initializeGrid:function(a){var b=this;this.registerPublicApi(a),this.defaultGridOptions(a.options),a.moveColumns={orderCache:[]},a.registerColumnBuilder(b.movableColumnBuilder),a.registerDataChangeCallback(b.verifyColumnOrder,[e.dataChange.COLUMN])},registerPublicApi:function(a){var b=this,c={events:{colMovable:{columnPositionChanged:function(a,b,c){}}},methods:{colMovable:{moveColumn:function(c,d){var e=a.columns;if(!angular.isNumber(c)||!angular.isNumber(d))return void f.logError("MoveColumn: Please provide valid values for originalPosition and finalPosition");for(var g=0,h=0;h=e.length-g||d>=e.length-g)return void f.logError("MoveColumn: Invalid values for originalPosition, finalPosition");var i=function(a){for(var b=a,c=0;b>=c;c++)angular.isDefined(e[c])&&(angular.isDefined(e[c].colDef.visible)&&e[c].colDef.visible===!1||e[c].isRowHeader===!0)&&b++;return b};b.redrawColumnAtPosition(a,i(c),i(d))}}}};a.api.registerEventsFromObject(c.events),a.api.registerMethodsFromObject(c.methods)},defaultGridOptions:function(a){a.enableColumnMoving=a.enableColumnMoving!==!1},movableColumnBuilder:function(b,c,d){var e=[];return b.enableColumnMoving=void 0===b.enableColumnMoving?d.enableColumnMoving:b.enableColumnMoving,a.all(e)},updateColumnCache:function(a){a.moveColumns.orderCache=a.getOnlyDataColumns()},verifyColumnOrder:function(a){var b,c=a.rowHeaderColumns.length;angular.forEach(a.moveColumns.orderCache,function(d,e){if(b=a.columns.indexOf(d),-1!==b&&b-c!==e){var f=a.columns.splice(b,1)[0];a.columns.splice(e+c,0,f)}})},redrawColumnAtPosition:function(a,c,d){if(c!==d){var f=a.columns,h=f[c];if(h.colDef.enableColumnMoving){if(c>d)for(var i=c;i>d;i--)f[i]=f[i-1];else if(d>c)for(var j=c;d>j;j++)f[j]=f[j+1];f[d]=h,g.updateColumnCache(a),a.queueGridRefresh(),b(function(){a.api.core.notifyDataChange(e.dataChange.COLUMN),a.api.colMovable.raise.columnPositionChanged(h.colDef,c,d)})}}}};return g}]),a.directive("uiGridMoveColumns",["uiGridMoveColumnService",function(a){return{replace:!0,priority:0,require:"^uiGrid",scope:!1,compile:function(){return{pre:function(b,c,d,e){a.initializeGrid(e.grid)},post:function(a,b,c,d){}}}}}]),a.directive("uiGridHeaderCell",["$q","gridUtil","uiGridMoveColumnService","$document","$log","uiGridConstants","ScrollEvent",function(a,b,c,d,e,f,g){return{priority:-10,require:"^uiGrid",compile:function(){return{post:function(a,b,e,f){if(a.col.colDef.enableColumnMoving){var h,i,j,k,l,m,n=angular.element(b[0].querySelectorAll(".ui-grid-cell-contents")),o=!1,p=!1,q=function(b){h=a.grid.element[0].getBoundingClientRect().left,a.grid.hasLeftContainer()&&(h+=a.grid.renderContainers.left.header[0].getBoundingClientRect().width),i=b.pageX,j=0,k=h+a.grid.getViewportWidth(),"mousedown"===b.type?(d.on("mousemove",r),d.on("mouseup",s)):"touchstart"===b.type&&(d.on("touchmove",r),d.on("touchend",s))},r=function(a){var b=a.pageX-i;0!==b&&(document.onselectstart=function(){return!1},p=!0,o?o&&(w(b),i=a.pageX):v())},s=function(b){if(document.onselectstart=null,l&&(l.remove(),o=!1),u(),t(),p){for(var d=a.grid.columns,e=0,f=0;fj){for(var g=0,h=e-1;h>=0;h--)if((angular.isUndefined(d[h].colDef.visible)||d[h].colDef.visible===!0)&&(g+=d[h].drawnWidth||d[h].width||d[h].colDef.width,g>Math.abs(j))){c.redrawColumnAtPosition(a.grid,e,h+1);break}g0){for(var i=0,k=e+1;kj)){c.redrawColumnAtPosition(a.grid,e,k-1);break}j>i&&c.redrawColumnAtPosition(a.grid,e,d.length-1)}}},t=function(){n.on("touchstart",q),n.on("mousedown",q)},u=function(){n.off("touchstart",q),n.off("mousedown",q),d.off("mousemove",r),d.off("touchmove",r),d.off("mouseup",s),d.off("touchend",s)};t();var v=function(){o=!0,l=b.clone(),b.parent().append(l),l.addClass("movingColumn");var c={};c.left=b[0].offsetLeft+"px";var d=a.grid.element[0].getBoundingClientRect().right,e=b[0].getBoundingClientRect().right;e>d&&(m=a.col.drawnWidth+(d-e),c.width=m+"px"),l.css(c)},w=function(b){for(var c=a.grid.columns,d=0,e=0;ei?i:k,(n>=h||b>0)&&(k>=o||0>b))l.css({visibility:"visible",left:l[0].offsetLeft+(k>i?b:k-n)+"px"});else if(d>Math.ceil(f.grid.gridWidth)){b*=8;var p=new g(a.col.grid,null,null,"uiGridHeaderCell.moveElement");p.x={pixels:b},p.grid.scrollContainers("",p)}for(var q=0,r=0;r0?a.options.paginationCurrentPage=Math.min(a.options.paginationCurrentPage+1,c.methods.pagination.getTotalPages()):a.options.paginationCurrentPage++)},previousPage:function(){a.options.enablePagination&&(a.options.paginationCurrentPage=Math.max(a.options.paginationCurrentPage-1,1))},seek:function(b){if(a.options.enablePagination){if(!angular.isNumber(b)||1>b)throw"Invalid page number: "+b;a.options.paginationCurrentPage=Math.min(b,c.methods.pagination.getTotalPages())}}}}};a.api.registerEventsFromObject(c.events),a.api.registerMethodsFromObject(c.methods);var d=function(b){if(a.options.useExternalPagination||!a.options.enablePagination)return b;var c=parseInt(a.options.paginationPageSize,10),d=parseInt(a.options.paginationCurrentPage,10),e=b.filter(function(a){return a.visible});a.options.totalItems=e.length;var f=(d-1)*c;return f>e.length&&(d=a.options.paginationCurrentPage=1,f=(d-1)*c),e.slice(f,f+c)};a.registerRowsProcessor(d,900)},defaultGridOptions:function(b){b.enablePagination=b.enablePagination!==!1,b.enablePaginationControls=b.enablePaginationControls!==!1,b.useExternalPagination=b.useExternalPagination===!0,a.isNullOrUndefined(b.totalItems)&&(b.totalItems=0),a.isNullOrUndefined(b.paginationPageSizes)&&(b.paginationPageSizes=[250,500,1e3]),a.isNullOrUndefined(b.paginationPageSize)&&(b.paginationPageSizes.length>0?b.paginationPageSize=b.paginationPageSizes[0]:b.paginationPageSize=0),a.isNullOrUndefined(b.paginationCurrentPage)&&(b.paginationCurrentPage=1),a.isNullOrUndefined(b.paginationTemplate)&&(b.paginationTemplate="ui-grid/pagination")},onPaginationChanged:function(a,b,c){a.api.pagination.raise.paginationChanged(b,c),a.options.useExternalPagination||a.queueGridRefresh()}};return b}]),a.directive("uiGridPagination",["gridUtil","uiGridPaginationService",function(a,b){return{priority:-200,scope:!1,require:"uiGrid",link:{pre:function(c,d,e,f){b.initializeGrid(f.grid),a.getTemplate(f.grid.options.paginationTemplate).then(function(a){var b=angular.element(a);d.append(b),f.innerCompile(b)})}}}}]),a.directive("uiGridPager",["uiGridPaginationService","uiGridConstants","gridUtil","i18nService",function(a,b,c,d){return{priority:-200,scope:!0,require:"^uiGrid",link:function(e,f,g,h){var i=".ui-grid-pager-control-input";e.aria=d.getSafeText("pagination.aria"),e.paginationApi=h.grid.api.pagination,e.sizesLabel=d.getSafeText("pagination.sizes"),e.totalItemsLabel=d.getSafeText("pagination.totalItems"),e.paginationOf=d.getSafeText("pagination.of"),e.paginationThrough=d.getSafeText("pagination.through");var j=h.grid.options;h.grid.renderContainers.body.registerViewportAdjuster(function(a){return a.height=a.height-c.elementHeight(f,"padding"),a});var k=h.grid.registerDataChangeCallback(function(a){a.options.useExternalPagination||(a.options.totalItems=a.rows.length)},[b.dataChange.ROW]);e.$on("$destroy",k);var l=function(){e.showingLow=(j.paginationCurrentPage-1)*j.paginationPageSize+1,e.showingHigh=Math.min(j.paginationCurrentPage*j.paginationPageSize,j.totalItems)},m=e.$watch("grid.options.totalItems + grid.options.paginationPageSize",l),n=e.$watch("grid.options.paginationCurrentPage + grid.options.paginationPageSize",function(b,c){if(b!==c&&void 0!==c){if(!angular.isNumber(j.paginationCurrentPage)||j.paginationCurrentPage<1)return void(j.paginationCurrentPage=1);if(j.totalItems>0&&j.paginationCurrentPage>e.paginationApi.getTotalPages())return void(j.paginationCurrentPage=e.paginationApi.getTotalPages());l(),a.onPaginationChanged(e.grid,j.paginationCurrentPage,j.paginationPageSize)}});e.$on("$destroy",function(){m(),n()}),e.cantPageForward=function(){return j.totalItems>0?j.paginationCurrentPage>=e.paginationApi.getTotalPages():j.data.length<1},e.cantPageToLast=function(){return j.totalItems>0?e.cantPageForward():!0},e.cantPageBackward=function(){return j.paginationCurrentPage<=1};var o=function(a){a&&c.focus.bySelector(f,i)};e.pageFirstPageClick=function(){e.paginationApi.seek(1),o(e.cantPageBackward())},e.pagePreviousPageClick=function(){e.paginationApi.previousPage(),o(e.cantPageBackward())},e.pageNextPageClick=function(){e.paginationApi.nextPage(),o(e.cantPageForward())},e.pageLastPageClick=function(){e.paginationApi.seek(e.paginationApi.getTotalPages()),o(e.cantPageToLast())}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.pinning",["ui.grid"]);a.constant("uiGridPinningConstants",{container:{LEFT:"left",RIGHT:"right",NONE:""}}),a.service("uiGridPinningService",["gridUtil","GridRenderContainer","i18nService","uiGridPinningConstants",function(a,b,c,d){var e={initializeGrid:function(a){e.defaultGridOptions(a.options),a.registerColumnBuilder(e.pinningColumnBuilder);var b={events:{pinning:{columnPinned:function(a,b){}}},methods:{pinning:{pinColumn:function(b,c){e.pinColumn(a,b,c)}}}};a.api.registerEventsFromObject(b.events),a.api.registerMethodsFromObject(b.methods)},defaultGridOptions:function(a){a.enablePinning=a.enablePinning!==!1},pinningColumnBuilder:function(b,f,g){if(b.enablePinning=void 0===b.enablePinning?g.enablePinning:b.enablePinning,b.pinnedLeft?(f.renderContainer="left",f.grid.createLeftContainer()):b.pinnedRight&&(f.renderContainer="right",f.grid.createRightContainer()),b.enablePinning){var h={name:"ui.grid.pinning.pinLeft",title:c.get().pinning.pinLeft,icon:"ui-grid-icon-left-open",shown:function(){return"undefined"==typeof this.context.col.renderContainer||!this.context.col.renderContainer||"left"!==this.context.col.renderContainer},action:function(){e.pinColumn(this.context.col.grid,this.context.col,d.container.LEFT)}},i={name:"ui.grid.pinning.pinRight",title:c.get().pinning.pinRight,icon:"ui-grid-icon-right-open",shown:function(){return"undefined"==typeof this.context.col.renderContainer||!this.context.col.renderContainer||"right"!==this.context.col.renderContainer},action:function(){e.pinColumn(this.context.col.grid,this.context.col,d.container.RIGHT)}},j={name:"ui.grid.pinning.unpin",title:c.get().pinning.unpin,icon:"ui-grid-icon-cancel",shown:function(){return"undefined"!=typeof this.context.col.renderContainer&&null!==this.context.col.renderContainer&&"body"!==this.context.col.renderContainer},action:function(){e.pinColumn(this.context.col.grid,this.context.col,d.container.UNPIN)}};a.arrayContainsObjectWithProperty(f.menuItems,"name","ui.grid.pinning.pinLeft")||f.menuItems.push(h),a.arrayContainsObjectWithProperty(f.menuItems,"name","ui.grid.pinning.pinRight")||f.menuItems.push(i),a.arrayContainsObjectWithProperty(f.menuItems,"name","ui.grid.pinning.unpin")||f.menuItems.push(j)}},pinColumn:function(a,b,c){c===d.container.NONE?b.renderContainer=null:(b.renderContainer=c,c===d.container.LEFT?a.createLeftContainer():c===d.container.RIGHT&&a.createRightContainer()),a.refresh().then(function(){a.api.pinning.raise.columnPinned(b.colDef,c)})}};return e}]),a.directive("uiGridPinning",["gridUtil","uiGridPinningService",function(a,b){return{require:"uiGrid",scope:!1,compile:function(){return{pre:function(a,c,d,e){b.initializeGrid(e.grid)},post:function(a,b,c,d){}}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.resizeColumns",["ui.grid"]);a.service("uiGridResizeColumnsService",["gridUtil","$q","$timeout",function(a,b,c){var d={defaultGridOptions:function(a){a.enableColumnResizing=a.enableColumnResizing!==!1,a.enableColumnResize===!1&&(a.enableColumnResizing=!1)},colResizerColumnBuilder:function(a,c,d){var e=[];return a.enableColumnResizing=void 0===a.enableColumnResizing?d.enableColumnResizing:a.enableColumnResizing,a.enableColumnResize===!1&&(a.enableColumnResizing=!1),b.all(e)},registerPublicApi:function(a){var b={events:{colResizable:{columnSizeChanged:function(a,b){}}}};a.api.registerEventsFromObject(b.events)},fireColumnSizeChanged:function(b,d,e){c(function(){b.api.colResizable?b.api.colResizable.raise.columnSizeChanged(d,e):a.logError("The resizeable api is not registered, this may indicate that you've included the module but not added the 'ui-grid-resize-columns' directive to your grid definition. Cannot raise any events.")})},findTargetCol:function(a,b,c){var d=a.getRenderContainer();if("left"===b){var e=d.visibleColumnCache.indexOf(a);return d.visibleColumnCache[e-1*c]}return a}};return d}]),a.directive("uiGridResizeColumns",["gridUtil","uiGridResizeColumnsService",function(a,b){return{replace:!0,priority:0,require:"^uiGrid",scope:!1,compile:function(){return{pre:function(a,c,d,e){b.defaultGridOptions(e.grid.options),e.grid.registerColumnBuilder(b.colResizerColumnBuilder),b.registerPublicApi(e.grid)},post:function(a,b,c,d){}}}}}]),a.directive("uiGridHeaderCell",["gridUtil","$templateCache","$compile","$q","uiGridResizeColumnsService","uiGridConstants","$timeout",function(a,b,c,d,e,f,g){return{priority:-10,require:"^uiGrid",compile:function(){return{post:function(a,d,h,i){var j=i.grid;if(j.options.enableColumnResizing){var k=b.get("ui-grid/columnResizer"),l=1;j.isRTL()&&(a.position="left",l=-1);var m=function(){for(var b=d[0].getElementsByClassName("ui-grid-column-resizer"),f=0;f
            '),f={priority:0,scope:{col:"=",position:"@",renderIndex:"="},require:"?^uiGrid",link:function(f,g,h,i){function j(a){i.grid.refreshCanvas(!0).then(function(){i.grid.queueGridRefresh()})}function k(a,b){var c=b;return a.minWidth&&ca.maxWidth&&(c=a.maxWidth),c}function l(a,b){a.originalEvent&&(a=a.originalEvent),a.preventDefault(),o=(a.targetTouches?a.targetTouches[0]:a).clientX-p,0>o?o=0:o>i.grid.gridWidth&&(o=i.grid.gridWidth);var g=d.findTargetCol(f.col,f.position,q); +if(g.colDef.enableColumnResizing!==!1){i.grid.element.hasClass("column-resizing")||i.grid.element.addClass("column-resizing");var h=o-n,j=parseInt(g.drawnWidth+h*q,10);o+=(k(g,j)-j)*q,e.css({left:o+"px"}),i.fireEvent(c.events.ITEM_DRAGGING)}}function m(a,b){a.originalEvent&&(a=a.originalEvent),a.preventDefault(),i.grid.element.removeClass("column-resizing"),e.remove(),o=(a.changedTouches?a.changedTouches[0]:a).clientX-p;var c=o-n;if(0===c)return t(),void s();var g=d.findTargetCol(f.col,f.position,q);if(g.colDef.enableColumnResizing!==!1){var h=parseInt(g.drawnWidth+c*q,10);g.width=k(g,h),g.hasCustomWidth=!0,j(c),d.fireColumnSizeChanged(i.grid,g.colDef,c),t(),s()}}var n=0,o=0,p=0,q=1;i.grid.isRTL()&&(f.position="left",q=-1),"left"===f.position?g.addClass("left"):"right"===f.position&&g.addClass("right");var r=function(b,c){b.originalEvent&&(b=b.originalEvent),b.stopPropagation(),p=i.grid.element[0].getBoundingClientRect().left,n=(b.targetTouches?b.targetTouches[0]:b).clientX-p,i.grid.element.append(e),e.css({left:n}),"touchstart"===b.type?(a.on("touchend",m),a.on("touchmove",l),g.off("mousedown",r)):(a.on("mouseup",m),a.on("mousemove",l),g.off("touchstart",r))},s=function(){g.on("mousedown",r),g.on("touchstart",r)},t=function(){a.off("mouseup",m),a.off("touchend",m),a.off("mousemove",l),a.off("touchmove",l),g.off("mousedown",r),g.off("touchstart",r)};s();var u=function(a,e){a.stopPropagation();var h=d.findTargetCol(f.col,f.position,q);if(h.colDef.enableColumnResizing!==!1){var l=0,m=0,n=b.closestElm(g,".ui-grid-render-container"),o=n.querySelectorAll("."+c.COL_CLASS_PREFIX+h.uid+" .ui-grid-cell-contents");Array.prototype.forEach.call(o,function(a){var c;angular.element(a).parent().hasClass("ui-grid-header-cell")&&(c=angular.element(a).parent()[0].querySelectorAll(".ui-grid-column-menu-button")),b.fakeElement(a,{},function(a){var d=angular.element(a);d.attr("style","float: left");var e=b.elementWidth(d);if(c){var f=b.elementWidth(c);e+=f}e>l&&(l=e,m=l-e)})}),h.width=k(h,l),h.hasCustomWidth=!0,j(m),d.fireColumnSizeChanged(i.grid,h.colDef,m)}};g.on("dblclick",u),g.on("$destroy",function(){g.off("dblclick",u),t()})}};return f}])}(),function(){"use strict";var a=angular.module("ui.grid.rowEdit",["ui.grid","ui.grid.edit","ui.grid.cellNav"]);a.constant("uiGridRowEditConstants",{}),a.service("uiGridRowEditService",["$interval","$q","uiGridConstants","uiGridRowEditConstants","gridUtil",function(a,b,c,d,e){var f={initializeGrid:function(a,b){b.rowEdit={};var c={events:{rowEdit:{saveRow:function(a){}}},methods:{rowEdit:{setSavePromise:function(a,c){f.setSavePromise(b,a,c)},getDirtyRows:function(){return b.rowEdit.dirtyRows?b.rowEdit.dirtyRows:[]},getErrorRows:function(){return b.rowEdit.errorRows?b.rowEdit.errorRows:[]},flushDirtyRows:function(){return f.flushDirtyRows(b)},setRowsDirty:function(a){f.setRowsDirty(b,a)},setRowsClean:function(a){f.setRowsClean(b,a)}}}};b.api.registerEventsFromObject(c.events),b.api.registerMethodsFromObject(c.methods),b.api.core.on.renderingComplete(a,function(c){b.api.edit.on.afterCellEdit(a,f.endEditCell),b.api.edit.on.beginCellEdit(a,f.beginEditCell),b.api.edit.on.cancelCellEdit(a,f.cancelEditCell),b.api.cellNav&&b.api.cellNav.on.navigate(a,f.navigate)})},defaultGridOptions:function(a){},saveRow:function(a,b){var c=this;return function(){if(b.isSaving=!0,b.rowEditSavePromise)return b.rowEditSavePromise;var d=a.api.rowEdit.raise.saveRow(b.entity);return b.rowEditSavePromise?b.rowEditSavePromise.then(c.processSuccessPromise(a,b),c.processErrorPromise(a,b)):e.logError("A promise was not returned when saveRow event was raised, either nobody is listening to event, or event handler did not return a promise"),d}},setSavePromise:function(a,b,c){var d=a.getRow(b);d.rowEditSavePromise=c},processSuccessPromise:function(a,b){var c=this;return function(){delete b.isSaving,delete b.isDirty,delete b.isError,delete b.rowEditSaveTimer,delete b.rowEditSavePromise,c.removeRow(a.rowEdit.errorRows,b),c.removeRow(a.rowEdit.dirtyRows,b)}},processErrorPromise:function(a,b){return function(){delete b.isSaving,delete b.rowEditSaveTimer,delete b.rowEditSavePromise,b.isError=!0,a.rowEdit.errorRows||(a.rowEdit.errorRows=[]),f.isRowPresent(a.rowEdit.errorRows,b)||a.rowEdit.errorRows.push(b)}},removeRow:function(a,b){"undefined"!=typeof a&&null!==a&&a.forEach(function(c,d){c.uid===b.uid&&a.splice(d,1)})},isRowPresent:function(a,b){var c=!1;return a.forEach(function(a,d){a.uid===b.uid&&(c=!0)}),c},flushDirtyRows:function(a){var c=[];return a.api.rowEdit.getDirtyRows().forEach(function(b){f.saveRow(a,b)(),c.push(b.rowEditSavePromise)}),b.all(c)},endEditCell:function(a,b,c,d){var g=this.grid,h=g.getRow(a);return h?void((c!==d||h.isDirty)&&(g.rowEdit.dirtyRows||(g.rowEdit.dirtyRows=[]),h.isDirty||(h.isDirty=!0,g.rowEdit.dirtyRows.push(h)),delete h.isError,f.considerSetTimer(g,h))):void e.logError("Unable to find rowEntity in grid data, dirty flag cannot be set")},beginEditCell:function(a,b){var c=this.grid,d=c.getRow(a);return d?void f.cancelTimer(c,d):void e.logError("Unable to find rowEntity in grid data, timer cannot be cancelled")},cancelEditCell:function(a,b){var c=this.grid,d=c.getRow(a);return d?void f.considerSetTimer(c,d):void e.logError("Unable to find rowEntity in grid data, timer cannot be set")},navigate:function(a,b){var c=this.grid;a.row.rowEditSaveTimer&&f.cancelTimer(c,a.row),b&&b.row&&b.row!==a.row&&f.considerSetTimer(c,b.row)},considerSetTimer:function(b,c){if(f.cancelTimer(b,c),c.isDirty&&!c.isSaving&&-1!==b.options.rowEditWaitInterval){var d=b.options.rowEditWaitInterval?b.options.rowEditWaitInterval:2e3;c.rowEditSaveTimer=a(f.saveRow(b,c),d,1)}},cancelTimer:function(b,c){c.rowEditSaveTimer&&!c.isSaving&&(a.cancel(c.rowEditSaveTimer),delete c.rowEditSaveTimer)},setRowsDirty:function(a,b){var c;b.forEach(function(b,d){c=a.getRow(b),c?(a.rowEdit.dirtyRows||(a.rowEdit.dirtyRows=[]),c.isDirty||(c.isDirty=!0,a.rowEdit.dirtyRows.push(c)),delete c.isError,f.considerSetTimer(a,c)):e.logError("requested row not found in rowEdit.setRowsDirty, row was: "+b)})},setRowsClean:function(a,b){var c;b.forEach(function(b,d){c=a.getRow(b),c?(delete c.isDirty,f.removeRow(a.rowEdit.dirtyRows,c),f.cancelTimer(a,c),delete c.isError,f.removeRow(a.rowEdit.errorRows,c)):e.logError("requested row not found in rowEdit.setRowsClean, row was: "+b)})}};return f}]),a.directive("uiGridRowEdit",["gridUtil","uiGridRowEditService","uiGridEditConstants",function(a,b,c){return{replace:!0,priority:0,require:"^uiGrid",scope:!1,compile:function(){return{pre:function(a,c,d,e){b.initializeGrid(a,e.grid)},post:function(a,b,c,d){}}}}}]),a.directive("uiGridViewport",["$compile","uiGridConstants","gridUtil","$parse",function(a,b,c,d){return{priority:-200,scope:!1,compile:function(a,b){var c=angular.element(a.children().children()[0]),d=c.attr("ng-class"),e="";return e=d?d.slice(0,-1)+", 'ui-grid-row-dirty': row.isDirty, 'ui-grid-row-saving': row.isSaving, 'ui-grid-row-error': row.isError}":"{'ui-grid-row-dirty': row.isDirty, 'ui-grid-row-saving': row.isSaving, 'ui-grid-row-error': row.isError}",c.attr("ng-class",e),{pre:function(a,b,c,d){},post:function(a,b,c,d){}}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.saveState",["ui.grid","ui.grid.selection","ui.grid.cellNav","ui.grid.grouping","ui.grid.pinning","ui.grid.treeView"]);a.constant("uiGridSaveStateConstants",{featureName:"saveState"}),a.service("uiGridSaveStateService",["$q","uiGridSaveStateConstants","gridUtil","$compile","$interval","uiGridConstants",function(a,b,c,d,e,f){var g={initializeGrid:function(a){a.saveState={},this.defaultGridOptions(a.options);var b={events:{saveState:{}},methods:{saveState:{save:function(){return g.save(a)},restore:function(b,c){g.restore(a,b,c)}}}};a.api.registerEventsFromObject(b.events),a.api.registerMethodsFromObject(b.methods)},defaultGridOptions:function(a){a.saveWidths=a.saveWidths!==!1,a.saveOrder=a.saveOrder!==!1,a.saveScroll=a.saveScroll===!0,a.saveFocus=a.saveScroll!==!0&&a.saveFocus!==!1,a.saveVisible=a.saveVisible!==!1,a.saveSort=a.saveSort!==!1,a.saveFilter=a.saveFilter!==!1,a.saveSelection=a.saveSelection!==!1,a.saveGrouping=a.saveGrouping!==!1,a.saveGroupingExpandedStates=a.saveGroupingExpandedStates===!0,a.savePinning=a.savePinning!==!1,a.saveTreeView=a.saveTreeView!==!1},save:function(a){var b={};return b.columns=g.saveColumns(a),b.scrollFocus=g.saveScrollFocus(a),b.selection=g.saveSelection(a),b.grouping=g.saveGrouping(a),b.treeView=g.saveTreeView(a),b},restore:function(a,b,c){c.columns&&g.restoreColumns(a,c.columns),c.scrollFocus&&g.restoreScrollFocus(a,b,c.scrollFocus),c.selection&&g.restoreSelection(a,c.selection),c.grouping&&g.restoreGrouping(a,c.grouping),c.treeView&&g.restoreTreeView(a,c.treeView),a.refresh()},saveColumns:function(a){var b=[];return a.getOnlyDataColumns().forEach(function(c){var d={};d.name=c.name,a.options.saveVisible&&(d.visible=c.visible),a.options.saveWidths&&(d.width=c.width),a.options.saveSort&&(d.sort=angular.copy(c.sort)),a.options.saveFilter&&(d.filters=[],c.filters.forEach(function(a){var b={};angular.forEach(a,function(a,c){"condition"!==c&&"$$hashKey"!==c&&"placeholder"!==c&&(b[c]=a)}),d.filters.push(b)})),a.api.pinning&&a.options.savePinning&&(d.pinned=c.renderContainer?c.renderContainer:""),b.push(d)}),b},saveScrollFocus:function(a){if(!a.api.cellNav)return{};var b={};if(a.options.saveFocus){b.focus=!0;var c=a.api.cellNav.getFocusedCell();null!==c&&(null!==c.col&&(b.colName=c.col.colDef.name),null!==c.row&&(b.rowVal=g.getRowVal(a,c.row)))}return(a.options.saveScroll||a.options.saveFocus&&!b.colName&&!b.rowVal)&&(b.focus=!1,a.renderContainers.body.prevRowScrollIndex&&(b.rowVal=g.getRowVal(a,a.renderContainers.body.visibleRowCache[a.renderContainers.body.prevRowScrollIndex])),a.renderContainers.body.prevColScrollIndex&&(b.colName=a.renderContainers.body.visibleColumnCache[a.renderContainers.body.prevColScrollIndex].name)),b},saveSelection:function(a){if(!a.api.selection||!a.options.saveSelection)return[];var b=a.api.selection.getSelectedGridRows().map(function(b){return g.getRowVal(a,b)});return b},saveGrouping:function(a){return a.api.grouping&&a.options.saveGrouping?a.api.grouping.getGrouping(a.options.saveGroupingExpandedStates):{}},saveTreeView:function(a){return a.api.treeView&&a.options.saveTreeView?a.api.treeView.getTreeView():{}},getRowVal:function(a,b){if(!b)return null;var c={};return a.options.saveRowIdentity?(c.identity=!0,c.row=a.options.saveRowIdentity(b.entity)):(c.identity=!1,c.row=a.renderContainers.body.visibleRowCache.indexOf(b)),c},restoreColumns:function(a,b){var c=!1;b.forEach(function(b,d){var e=a.getColumn(b.name);if(e&&!a.isRowHeaderColumn(e)){!a.options.saveVisible||e.visible===b.visible&&e.colDef.visible===b.visible||(e.visible=b.visible,e.colDef.visible=b.visible,a.api.core.raise.columnVisibilityChanged(e)),a.options.saveWidths&&(e.width=b.width),!a.options.saveSort||angular.equals(e.sort,b.sort)||void 0===e.sort&&angular.isEmpty(b.sort)||(e.sort=angular.copy(b.sort),c=!0),a.options.saveFilter&&!angular.equals(e.filters,b.filters)&&(b.filters.forEach(function(a,b){angular.extend(e.filters[b],a),"undefined"!=typeof a.term&&null!==a.term||delete e.filters[b].term}),a.api.core.raise.filterChanged()),a.api.pinning&&a.options.savePinning&&e.renderContainer!==b.pinned&&a.api.pinning.pinColumn(e,b.pinned);var f=a.getOnlyDataColumns().indexOf(e);if(-1!==f&&a.options.saveOrder&&f!==d){var g=a.columns.splice(f+a.rowHeaderColumns.length,1)[0];a.columns.splice(d+a.rowHeaderColumns.length,0,g)}}}),c&&a.api.core.raise.sortChanged(a,a.getColumnSorting())},restoreScrollFocus:function(a,b,c){if(a.api.cellNav){var d,e;if(c.colName){var f=a.options.columnDefs.filter(function(a){return a.name===c.colName});f.length>0&&(d=f[0])}c.rowVal&&c.rowVal.row&&(e=c.rowVal.identity?g.findRowByIdentity(a,c.rowVal):a.renderContainers.body.visibleRowCache[c.rowVal.row]);var h=e&&e.entity?e.entity:null;(d||h)&&(c.focus?a.api.cellNav.scrollToFocus(h,d):a.scrollTo(h,d))}},restoreSelection:function(a,b){a.api.selection&&(a.api.selection.clearSelectedRows(),b.forEach(function(b){if(b.identity){var c=g.findRowByIdentity(a,b);c&&a.api.selection.selectRow(c.entity)}else a.api.selection.selectRowByVisibleIndex(b.row)}))},restoreGrouping:function(a,b){a.api.grouping&&"undefined"!=typeof b&&null!==b&&!angular.equals(b,{})&&a.api.grouping.setGrouping(b)},restoreTreeView:function(a,b){a.api.treeView&&"undefined"!=typeof b&&null!==b&&!angular.equals(b,{})&&a.api.treeView.setTreeView(b)},findRowByIdentity:function(a,b){if(!a.options.saveRowIdentity)return null;var c=a.rows.filter(function(c){return a.options.saveRowIdentity(c.entity)===b.row});return c.length>0?c[0]:null}};return g}]),a.directive("uiGridSaveState",["uiGridSaveStateConstants","uiGridSaveStateService","gridUtil","$compile",function(a,b,c,d){return{replace:!0,priority:0,require:"^uiGrid",scope:!1,link:function(a,c,d,e){b.initializeGrid(e.grid)}}}])}(),function(){"use strict";var a=angular.module("ui.grid.selection",["ui.grid"]);a.constant("uiGridSelectionConstants",{featureName:"selection",selectionRowHeaderColName:"selectionRowHeaderCol"}),angular.module("ui.grid").config(["$provide",function(a){a.decorator("GridRow",["$delegate",function(a){return a.prototype.setSelected=function(a){this.isSelected=a,a?this.grid.selection.selectedCount++:this.grid.selection.selectedCount--},a}])}]),a.service("uiGridSelectionService",["$q","$templateCache","uiGridSelectionConstants","gridUtil",function(a,b,c,d){var e={initializeGrid:function(a){a.selection={},a.selection.lastSelectedRow=null,a.selection.selectAll=!1,a.selection.selectedCount=0,e.defaultGridOptions(a.options);var b={events:{selection:{rowSelectionChanged:function(a,b,c){},rowSelectionChangedBatch:function(a,b,c){}}},methods:{selection:{toggleRowSelection:function(b,c){var d=a.getRow(b);null!==d&&e.toggleRowSelection(a,d,c,a.options.multiSelect,a.options.noUnselect)},selectRow:function(b,c){var d=a.getRow(b);null===d||d.isSelected||e.toggleRowSelection(a,d,c,a.options.multiSelect,a.options.noUnselect)},selectRowByVisibleIndex:function(b,c){var d=a.renderContainers.body.visibleRowCache[b];null===d||"undefined"==typeof d||d.isSelected||e.toggleRowSelection(a,d,c,a.options.multiSelect,a.options.noUnselect)},unSelectRow:function(b,c){var d=a.getRow(b);null!==d&&d.isSelected&&e.toggleRowSelection(a,d,c,a.options.multiSelect,a.options.noUnselect)},selectAllRows:function(b){if(a.options.multiSelect!==!1){var c=[];a.rows.forEach(function(d){d.isSelected||d.enableSelection===!1||(d.setSelected(!0),e.decideRaiseSelectionEvent(a,d,c,b))}),e.decideRaiseSelectionBatchEvent(a,c,b),a.selection.selectAll=!0}},selectAllVisibleRows:function(b){if(a.options.multiSelect!==!1){var c=[];a.rows.forEach(function(d){d.visible?d.isSelected||d.enableSelection===!1||(d.setSelected(!0),e.decideRaiseSelectionEvent(a,d,c,b)):d.isSelected&&(d.setSelected(!1),e.decideRaiseSelectionEvent(a,d,c,b))}),e.decideRaiseSelectionBatchEvent(a,c,b),a.selection.selectAll=!0}},clearSelectedRows:function(b){e.clearSelectedRows(a,b)},getSelectedRows:function(){return e.getSelectedRows(a).map(function(a){return a.entity})},getSelectedGridRows:function(){return e.getSelectedRows(a)},getSelectedCount:function(){return a.selection.selectedCount},setMultiSelect:function(b){a.options.multiSelect=b},setModifierKeysToMultiSelect:function(b){a.options.modifierKeysToMultiSelect=b},getSelectAllState:function(){return a.selection.selectAll}}}};a.api.registerEventsFromObject(b.events),a.api.registerMethodsFromObject(b.methods)},defaultGridOptions:function(a){a.enableRowSelection=a.enableRowSelection!==!1,a.multiSelect=a.multiSelect!==!1,a.noUnselect=a.noUnselect===!0,a.modifierKeysToMultiSelect=a.modifierKeysToMultiSelect===!0,a.enableRowHeaderSelection=a.enableRowHeaderSelection!==!1,"undefined"==typeof a.enableFullRowSelection&&(a.enableFullRowSelection=!a.enableRowHeaderSelection),a.enableSelectAll=a.enableSelectAll!==!1,a.enableSelectionBatchEvent=a.enableSelectionBatchEvent!==!1,a.selectionRowHeaderWidth=angular.isDefined(a.selectionRowHeaderWidth)?a.selectionRowHeaderWidth:30,a.enableFooterTotalSelected=a.enableFooterTotalSelected!==!1,a.isRowSelectable=angular.isDefined(a.isRowSelectable)?a.isRowSelectable:angular.noop},toggleRowSelection:function(a,b,c,d,f){var g=b.isSelected;if(b.enableSelection!==!1||g){var h;d||g?!d&&g&&(h=e.getSelectedRows(a),h.length>1&&(g=!1,e.clearSelectedRows(a,c))):e.clearSelectedRows(a,c),g&&f||(b.setSelected(!g),b.isSelected===!0&&(a.selection.lastSelectedRow=b),h=e.getSelectedRows(a),a.selection.selectAll=a.rows.length===h.length,a.api.selection.raise.rowSelectionChanged(b,c))}},shiftSelect:function(a,b,c,d){if(d){var f=e.getSelectedRows(a),g=f.length>0?a.renderContainers.body.visibleRowCache.indexOf(a.selection.lastSelectedRow):0,h=a.renderContainers.body.visibleRowCache.indexOf(b);if(g>h){var i=g;g=h,h=i}for(var j=[],k=g;h>=k;k++){var l=a.renderContainers.body.visibleRowCache[k];l&&(l.isSelected||l.enableSelection===!1||(l.setSelected(!0),a.selection.lastSelectedRow=l,e.decideRaiseSelectionEvent(a,l,j,c)))}e.decideRaiseSelectionBatchEvent(a,j,c)}},getSelectedRows:function(a){return a.rows.filter(function(a){return a.isSelected})},clearSelectedRows:function(a,b){var c=[];e.getSelectedRows(a).forEach(function(d){d.isSelected&&(d.setSelected(!1),e.decideRaiseSelectionEvent(a,d,c,b))}),e.decideRaiseSelectionBatchEvent(a,c,b),a.selection.selectAll=!1,a.selection.selectedCount=0},decideRaiseSelectionEvent:function(a,b,c,d){a.options.enableSelectionBatchEvent?c.push(b):a.api.selection.raise.rowSelectionChanged(b,d)},decideRaiseSelectionBatchEvent:function(a,b,c){b.length>0&&a.api.selection.raise.rowSelectionChangedBatch(b,c)}};return e}]),a.directive("uiGridSelection",["uiGridSelectionConstants","uiGridSelectionService","$templateCache","uiGridConstants",function(a,b,c,d){return{replace:!0,priority:0,require:"^uiGrid",scope:!1,compile:function(){return{pre:function(c,e,f,g){if(b.initializeGrid(g.grid),g.grid.options.enableRowHeaderSelection){var h={name:a.selectionRowHeaderColName,displayName:"",width:g.grid.options.selectionRowHeaderWidth,minWidth:10,cellTemplate:"ui-grid/selectionRowHeader",headerCellTemplate:"ui-grid/selectionHeaderCell",enableColumnResizing:!1,enableColumnMenu:!1,exporterSuppressExport:!0,allowCellFocus:!0};g.grid.addRowHeaderColumn(h)}var i=!1,j=function(a){return a.forEach(function(a){a.enableSelection=g.grid.options.isRowSelectable(a)}),a},k=function(){g.grid.options.isRowSelectable!==angular.noop&&i!==!0&&(g.grid.registerRowsProcessor(j,500),i=!0)};k();var l=g.grid.registerDataChangeCallback(k,[d.dataChange.OPTIONS]);c.$on("$destroy",l)},post:function(a,b,c,d){}}}}}]),a.directive("uiGridSelectionRowHeaderButtons",["$templateCache","uiGridSelectionService","gridUtil",function(a,b,c){return{replace:!0,restrict:"E",template:a.get("ui-grid/selectionRowHeaderButtons"),scope:!0,require:"^uiGrid",link:function(a,d,e,f){function g(a,c){c.stopPropagation(),c.shiftKey?b.shiftSelect(i,a,c,i.options.multiSelect):c.ctrlKey||c.metaKey?b.toggleRowSelection(i,a,c,i.options.multiSelect,i.options.noUnselect):b.toggleRowSelection(i,a,c,i.options.multiSelect&&!i.options.modifierKeysToMultiSelect,i.options.noUnselect)}function h(a){(a.ctrlKey||a.shiftKey)&&(a.target.onselectstart=function(){return!1},window.setTimeout(function(){a.target.onselectstart=null},0))}var i=f.grid;a.selectButtonClick=g,"ie"===c.detectBrowser()&&d.on("mousedown",h)}}}]),a.directive("uiGridSelectionSelectAllButtons",["$templateCache","uiGridSelectionService",function(a,b){return{replace:!0,restrict:"E",template:a.get("ui-grid/selectionSelectAllButtons"),scope:!1,link:function(a,c,d,e){var f=a.col.grid;a.headerButtonClick=function(a,c){f.selection.selectAll?(b.clearSelectedRows(f,c),f.options.noUnselect&&f.api.selection.selectRowByVisibleIndex(0,c),f.selection.selectAll=!1):f.options.multiSelect&&(f.api.selection.selectAllVisibleRows(c),f.selection.selectAll=!0)}}}}]),a.directive("uiGridViewport",["$compile","uiGridConstants","uiGridSelectionConstants","gridUtil","$parse","uiGridSelectionService",function(a,b,c,d,e,f){return{priority:-200,scope:!1,compile:function(a,b){var c=angular.element(a.children().children()[0]),d=c.attr("ng-class"),e="";return e=d?d.slice(0,-1)+",'ui-grid-row-selected': row.isSelected}":"{'ui-grid-row-selected': row.isSelected}",c.attr("ng-class",e),{pre:function(a,b,c,d){},post:function(a,b,c,d){}}}}}]),a.directive("uiGridCell",["$compile","uiGridConstants","uiGridSelectionConstants","gridUtil","$parse","uiGridSelectionService","$timeout",function(a,b,c,d,e,f,g){return{priority:-200,restrict:"A",require:"?^uiGrid",scope:!1,link:function(a,c,d,e){function h(){a.grid.options.enableRowSelection&&a.grid.options.enableFullRowSelection&&(c.addClass("ui-grid-disable-selection"),c.on("touchstart",m),c.on("touchend",n),c.on("click",l),a.registered=!0)}function i(){a.registered&&(c.removeClass("ui-grid-disable-selection"),c.off("touchstart",m),c.off("touchend",n),c.off("click",l),a.registered=!1)}var j=0,k=300;e.grid.api.cellNav&&e.grid.api.cellNav.on.viewPortKeyDown(a,function(b,c){null!==c&&c.row===a.row&&c.col===a.col&&32===b.keyCode&&"selectionRowHeaderCol"===a.col.colDef.name&&(f.toggleRowSelection(a.grid,a.row,b,a.grid.options.multiSelect&&!a.grid.options.modifierKeysToMultiSelect,a.grid.options.noUnselect),a.$apply())});var l=function(b){c.off("touchend",n),b.shiftKey?f.shiftSelect(a.grid,a.row,b,a.grid.options.multiSelect):b.ctrlKey||b.metaKey?f.toggleRowSelection(a.grid,a.row,b,a.grid.options.multiSelect,a.grid.options.noUnselect):f.toggleRowSelection(a.grid,a.row,b,a.grid.options.multiSelect&&!a.grid.options.modifierKeysToMultiSelect,a.grid.options.noUnselect),a.$apply(),g(function(){c.on("touchend",n)},k)},m=function(a){j=(new Date).getTime(),c.off("click",l)},n=function(a){var b=(new Date).getTime(),d=b-j;k>d&&l(a),g(function(){c.on("click",l)},k)};h();var o=a.grid.registerDataChangeCallback(function(){a.grid.options.enableRowSelection&&a.grid.options.enableFullRowSelection&&!a.registered?h():a.grid.options.enableRowSelection&&a.grid.options.enableFullRowSelection||!a.registered||i()},[b.dataChange.OPTIONS]);c.on("$destroy",o)}}}]),a.directive("uiGridGridFooter",["$compile","uiGridConstants","gridUtil",function(a,b,c){return{restrict:"EA",replace:!0,priority:-1e3,require:"^uiGrid",scope:!0,compile:function(b,d){return{pre:function(b,d,e,f){f.grid.options.showGridFooter&&c.getTemplate("ui-grid/gridFooterSelectedItems").then(function(c){var e=angular.element(c),f=a(e)(b);angular.element(d[0].getElementsByClassName("ui-grid-grid-footer")[0]).append(f)})},post:function(a,b,c,d){}}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.treeBase",["ui.grid"]);a.constant("uiGridTreeBaseConstants",{featureName:"treeBase",rowHeaderColName:"treeBaseRowHeaderCol",EXPANDED:"expanded",COLLAPSED:"collapsed",aggregation:{COUNT:"count",SUM:"sum",MAX:"max",MIN:"min",AVG:"avg"}}),a.service("uiGridTreeBaseService",["$q","uiGridTreeBaseConstants","gridUtil","GridRow","gridClassFactory","i18nService","uiGridConstants","rowSorter",function(a,b,c,d,e,f,g,h){var i={initializeGrid:function(a,b){a.treeBase={},a.treeBase.numberLevels=0,a.treeBase.expandAll=!1,a.treeBase.tree=[],i.defaultGridOptions(a.options),a.registerRowsProcessor(i.treeRows,410),a.registerColumnBuilder(i.treeBaseColumnBuilder),i.createRowHeader(a);var c={events:{treeBase:{rowExpanded:{},rowCollapsed:{}}},methods:{treeBase:{expandAllRows:function(){i.expandAllRows(a)},collapseAllRows:function(){i.collapseAllRows(a)},toggleRowTreeState:function(b){i.toggleRowTreeState(a,b)},expandRow:function(b){i.expandRow(a,b)},expandRowChildren:function(b){i.expandRowChildren(a,b)},collapseRow:function(b){i.collapseRow(a,b)},collapseRowChildren:function(b){i.collapseRowChildren(a,b)},getTreeExpandedState:function(){return{expandedState:i.getTreeState(a)}},setTreeState:function(b){i.setTreeState(a,b)},getRowChildren:function(a){return a.treeNode.children.map(function(a){return a.row})}}}};a.api.registerEventsFromObject(c.events),a.api.registerMethodsFromObject(c.methods)},defaultGridOptions:function(a){a.treeRowHeaderBaseWidth=a.treeRowHeaderBaseWidth||30,a.treeIndent=a.treeIndent||10,a.showTreeRowHeader=a.showTreeRowHeader!==!1,a.showTreeExpandNoChildren=a.showTreeExpandNoChildren!==!1,a.treeRowHeaderAlwaysVisible=a.treeRowHeaderAlwaysVisible!==!1,a.treeCustomAggregations=a.treeCustomAggregations||{}},treeBaseColumnBuilder:function(a,b,c){"undefined"!=typeof a.customTreeAggregationFn&&(b.treeAggregationFn=a.customTreeAggregationFn),"undefined"!=typeof a.treeAggregationType&&(b.treeAggregation={type:a.treeAggregationType},"undefined"!=typeof c.treeCustomAggregations[a.treeAggregationType]?(b.treeAggregationFn=c.treeCustomAggregations[a.treeAggregationType].aggregationFn,b.treeAggregationFinalizerFn=c.treeCustomAggregations[a.treeAggregationType].finalizerFn,b.treeAggregation.label=c.treeCustomAggregations[a.treeAggregationType].label):"undefined"!=typeof i.nativeAggregations()[a.treeAggregationType]&&(b.treeAggregationFn=i.nativeAggregations()[a.treeAggregationType].aggregationFn,b.treeAggregation.label=i.nativeAggregations()[a.treeAggregationType].label)),"undefined"!=typeof a.treeAggregationLabel&&("undefined"==typeof b.treeAggregation&&(b.treeAggregation={}),b.treeAggregation.label=a.treeAggregationLabel),b.treeAggregationUpdateEntity=a.treeAggregationUpdateEntity!==!1,"undefined"==typeof b.customTreeAggregationFinalizerFn&&(b.customTreeAggregationFinalizerFn=a.customTreeAggregationFinalizerFn)},createRowHeader:function(a){var c={name:b.rowHeaderColName,displayName:"",width:a.options.treeRowHeaderBaseWidth,minWidth:10,cellTemplate:"ui-grid/treeBaseRowHeader",headerCellTemplate:"ui-grid/treeBaseHeaderCell",enableColumnResizing:!1,enableColumnMenu:!1,exporterSuppressExport:!0,allowCellFocus:!0};c.visible=a.options.treeRowHeaderAlwaysVisible,a.addRowHeaderColumn(c)},expandAllRows:function(a){a.treeBase.tree.forEach(function(c){i.setAllNodes(a,c,b.EXPANDED)}),a.treeBase.expandAll=!0,a.queueGridRefresh()},collapseAllRows:function(a){a.treeBase.tree.forEach(function(c){i.setAllNodes(a,c,b.COLLAPSED)}),a.treeBase.expandAll=!1,a.queueGridRefresh()},setAllNodes:function(a,c,d){"undefined"!=typeof c.state&&c.state!==d&&(c.state=d,d===b.EXPANDED?a.api.treeBase.raise.rowExpanded(c.row):a.api.treeBase.raise.rowCollapsed(c.row)),c.children&&c.children.forEach(function(b){i.setAllNodes(a,b,d)})},toggleRowTreeState:function(a,c){"undefined"==typeof c.treeLevel||null===c.treeLevel||c.treeLevel<0||(c.treeNode.state===b.EXPANDED?i.collapseRow(a,c):i.expandRow(a,c),a.queueGridRefresh())},expandRow:function(a,c){"undefined"==typeof c.treeLevel||null===c.treeLevel||c.treeLevel<0||c.treeNode.state!==b.EXPANDED&&(c.treeNode.state=b.EXPANDED,a.api.treeBase.raise.rowExpanded(c),a.treeBase.expandAll=i.allExpanded(a.treeBase.tree),a.queueGridRefresh())},expandRowChildren:function(a,c){"undefined"==typeof c.treeLevel||null===c.treeLevel||c.treeLevel<0||(i.setAllNodes(a,c.treeNode,b.EXPANDED),a.treeBase.expandAll=i.allExpanded(a.treeBase.tree),a.queueGridRefresh())},collapseRow:function(a,c){"undefined"==typeof c.treeLevel||null===c.treeLevel||c.treeLevel<0||c.treeNode.state!==b.COLLAPSED&&(c.treeNode.state=b.COLLAPSED,a.treeBase.expandAll=!1,a.api.treeBase.raise.rowCollapsed(c),a.queueGridRefresh())},collapseRowChildren:function(a,c){"undefined"==typeof c.treeLevel||null===c.treeLevel||c.treeLevel<0||(i.setAllNodes(a,c.treeNode,b.COLLAPSED),a.treeBase.expandAll=!1,a.queueGridRefresh())},allExpanded:function(a){var b=!0;return a.forEach(function(a){i.allExpandedInternal(a)||(b=!1)}),b},allExpandedInternal:function(a){if(a.children&&a.children.length>0){if(a.state===b.COLLAPSED)return!1;var c=!0;return a.children.forEach(function(a){i.allExpandedInternal(a)||(c=!1)}),c}return!0},treeRows:function(a){if(0===a.length)return a;var c=this;b.EXPANDED;return c.treeBase.tree=i.createTree(c,a),i.updateRowHeaderWidth(c),i.sortTree(c),i.fixFilter(c),i.renderTree(c.treeBase.tree)},updateRowHeaderWidth:function(a){var c=a.getColumn(b.rowHeaderColName),d=a.options.treeRowHeaderBaseWidth+a.options.treeIndent*Math.max(a.treeBase.numberLevels-1,0);c&&d!==c.width&&(c.width=d,a.queueRefresh());var e=!0;a.options.showTreeRowHeader===!1&&(e=!1),a.options.treeRowHeaderAlwaysVisible===!1&&a.treeBase.numberLevels<=0&&(e=!1),c.visible!==e&&(c.visible=e,c.colDef.visible=e,a.queueGridRefresh())},renderTree:function(a){var c=[];return a.forEach(function(a){a.row.visible&&c.push(a.row),a.state===b.EXPANDED&&a.children&&a.children.length>0&&(c=c.concat(i.renderTree(a.children)))}),c},createTree:function(a,c){var d,e=-1,f=[];a.treeBase.tree=[],a.treeBase.numberLevels=0;var g=i.getAggregations(a),h=function(c){if("undefined"!=typeof c.entity.$$treeLevel&&c.treeLevel!==c.entity.$$treeLevel&&(c.treeLevel=c.entity.$$treeLevel),c.treeLevel<=e){for(;c.treeLevel<=e;){var h=f.pop();i.finaliseAggregations(h),e--}d=f.length>0?i.setCurrentState(f):b.EXPANDED}("undefined"==typeof c.treeLevel||null===c.treeLevel||c.treeLevel<0)&&c.visible&&i.aggregate(a,c,f),i.addOrUseNode(a,c,f,g),"undefined"!=typeof c.treeLevel&&null!==c.treeLevel&&c.treeLevel>=0&&(f.push(c),e++,d=i.setCurrentState(f)),a.treeBase.numberLevels0;){var j=f.pop();i.finaliseAggregations(j)}return a.treeBase.tree},addOrUseNode:function(a,c,d,e){var f=[];e.forEach(function(a){f.push(i.buildAggregationObject(a.col))});var g={state:b.COLLAPSED,row:c,parentRow:null,aggregations:f,children:[]};c.treeNode&&(g.state=c.treeNode.state),d.length>0&&(g.parentRow=d[d.length-1]),c.treeNode=g,0===d.length?a.treeBase.tree.push(g):d[d.length-1].treeNode.children.push(g)},setCurrentState:function(a){var c=b.EXPANDED;return a.forEach(function(a){a.treeNode.state===b.COLLAPSED&&(c=b.COLLAPSED)}),c},sortTree:function(a){a.columns.forEach(function(a){a.sort&&a.sort.ignoreSort&&delete a.sort.ignoreSort}),a.treeBase.tree=i.sortInternal(a,a.treeBase.tree)},sortInternal:function(a,c){var d=c.map(function(a){return a.row});d=h.sort(a,d,a.columns);var e=d.map(function(a){return a.treeNode});return e.forEach(function(c){c.state===b.EXPANDED&&c.children&&c.children.length>0&&(c.children=i.sortInternal(a,c.children))}),e},fixFilter:function(a){var b;a.treeBase.tree.forEach(function(a){a.children&&a.children.length>0&&(b=a.row.visible,i.fixFilterInternal(a.children,b))})},fixFilterInternal:function(a,b){return a.forEach(function(a){a.row.visible&&!b&&(i.setParentsVisible(a),b=!0),a.children&&a.children.length>0&&i.fixFilterInternal(a.children,b&&a.row.visible)&&(b=!0)}),b},setParentsVisible:function(a){for(;a.parentRow;)a.parentRow.visible=!0,a=a.parentRow.treeNode},buildAggregationObject:function(a){var b={col:a};return a.treeAggregation&&a.treeAggregation.type&&(b.type=a.treeAggregation.type),a.treeAggregation&&a.treeAggregation.label&&(b.label=a.treeAggregation.label),b},getAggregations:function(a){var b=[];return a.columns.forEach(function(c){"undefined"!=typeof c.treeAggregationFn&&(b.push(i.buildAggregationObject(c)),a.options.showColumnFooter&&"undefined"==typeof c.colDef.aggregationType&&c.treeAggregation&&(c.treeFooterAggregation=i.buildAggregationObject(c),c.aggregationType=i.treeFooterAggregationType))}),b},aggregate:function(a,b,c){0===c.length&&b.treeNode&&b.treeNode.aggregations&&b.treeNode.aggregations.forEach(function(c){if("undefined"!=typeof c.col.treeFooterAggregation){var d=a.getCellValue(b,c.col),e=Number(d);c.col.treeAggregationFn(c.col.treeFooterAggregation,d,e,b)}}),c.forEach(function(c,d){c.treeNode.aggregations&&c.treeNode.aggregations.forEach(function(c){var e=a.getCellValue(b,c.col),f=Number(e);c.col.treeAggregationFn(c,e,f,b),0===d&&"undefined"!=typeof c.col.treeFooterAggregation&&c.col.treeAggregationFn(c.col.treeFooterAggregation,e,f,b)})})},nativeAggregations:function(){var a={count:{label:f.get().aggregation.count, +menuTitle:f.get().grouping.aggregate_count,aggregationFn:function(a,b,c){"undefined"==typeof a.value?a.value=1:a.value++}},sum:{label:f.get().aggregation.sum,menuTitle:f.get().grouping.aggregate_sum,aggregationFn:function(a,b,c){isNaN(c)||("undefined"==typeof a.value?a.value=c:a.value+=c)}},min:{label:f.get().aggregation.min,menuTitle:f.get().grouping.aggregate_min,aggregationFn:function(a,b,c){"undefined"==typeof a.value?a.value=b:"undefined"!=typeof b&&null!==b&&(ba.value||null===a.value)&&(a.value=b)}},avg:{label:f.get().aggregation.avg,menuTitle:f.get().grouping.aggregate_avg,aggregationFn:function(a,b,c){"undefined"==typeof a.count?a.count=1:a.count++,isNaN(c)||("undefined"==typeof a.value||"undefined"==typeof a.sum?(a.value=c,a.sum=c):(a.sum+=c,a.value=a.sum/a.count))}}};return a},finaliseAggregation:function(a,b){b.col.treeAggregationUpdateEntity&&"undefined"!=typeof a&&"undefined"!=typeof a.entity["$$"+b.col.uid]&&angular.extend(b,a.entity["$$"+b.col.uid]),"function"==typeof b.col.treeAggregationFinalizerFn&&b.col.treeAggregationFinalizerFn(b),"function"==typeof b.col.customTreeAggregationFinalizerFn&&b.col.customTreeAggregationFinalizerFn(b),"undefined"==typeof b.rendered&&(b.rendered=b.label?b.label+b.value:b.value)},finaliseAggregations:function(a){"undefined"!=typeof a.treeNode.aggregations&&a.treeNode.aggregations.forEach(function(b){if(i.finaliseAggregation(a,b),b.col.treeAggregationUpdateEntity){var c={};angular.forEach(b,function(a,d){b.hasOwnProperty(d)&&"col"!==d&&(c[d]=a)}),a.entity["$$"+b.col.uid]=c}})},treeFooterAggregationType:function(a,b){return i.finaliseAggregation(void 0,b.treeFooterAggregation),"undefined"==typeof b.treeFooterAggregation.value||null===b.treeFooterAggregation.rendered?"":b.treeFooterAggregation.rendered}};return i}]),a.directive("uiGridTreeBaseRowHeaderButtons",["$templateCache","uiGridTreeBaseService",function(a,b){return{replace:!0,restrict:"E",template:a.get("ui-grid/treeBaseRowHeaderButtons"),scope:!0,require:"^uiGrid",link:function(a,c,d,e){var f=e.grid;a.treeButtonClick=function(a,c){b.toggleRowTreeState(f,a,c)}}}}]),a.directive("uiGridTreeBaseExpandAllButtons",["$templateCache","uiGridTreeBaseService",function(a,b){return{replace:!0,restrict:"E",template:a.get("ui-grid/treeBaseExpandAllButtons"),scope:!1,link:function(a,c,d,e){var f=a.col.grid;a.headerButtonClick=function(a,c){f.treeBase.expandAll?b.collapseAllRows(f,c):b.expandAllRows(f,c)}}}}]),a.directive("uiGridViewport",["$compile","uiGridConstants","gridUtil","$parse",function(a,b,c,d){return{priority:-200,scope:!1,compile:function(a,b){var c=angular.element(a.children().children()[0]),d=c.attr("ng-class"),e="";return e=d?d.slice(0,-1)+",'ui-grid-tree-header-row': row.treeLevel > -1}":"{'ui-grid-tree-header-row': row.treeLevel > -1}",c.attr("ng-class",e),{pre:function(a,b,c,d){},post:function(a,b,c,d){}}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.treeView",["ui.grid","ui.grid.treeBase"]);a.constant("uiGridTreeViewConstants",{featureName:"treeView",rowHeaderColName:"treeBaseRowHeaderCol",EXPANDED:"expanded",COLLAPSED:"collapsed",aggregation:{COUNT:"count",SUM:"sum",MAX:"max",MIN:"min",AVG:"avg"}}),a.service("uiGridTreeViewService",["$q","uiGridTreeViewConstants","uiGridTreeBaseConstants","uiGridTreeBaseService","gridUtil","GridRow","gridClassFactory","i18nService","uiGridConstants",function(a,b,c,d,e,f,g,h,i){var j={initializeGrid:function(a,b){d.initializeGrid(a,b),a.treeView={},a.registerRowsProcessor(j.adjustSorting,60);var c={events:{treeView:{}},methods:{treeView:{}}};a.api.registerEventsFromObject(c.events),a.api.registerMethodsFromObject(c.methods)},defaultGridOptions:function(a){a.enableTreeView=a.enableTreeView!==!1},adjustSorting:function(a){var b=this;return b.columns.forEach(function(a){a.sort&&(a.sort.ignoreSort=!0)}),a}};return j}]),a.directive("uiGridTreeView",["uiGridTreeViewConstants","uiGridTreeViewService","$templateCache",function(a,b,c){return{replace:!0,priority:0,require:"^uiGrid",scope:!1,compile:function(){return{pre:function(a,c,d,e){e.grid.options.enableTreeView!==!1&&b.initializeGrid(e.grid,a)},post:function(a,b,c,d){}}}}}])}(),function(){"use strict";var a=angular.module("ui.grid.validate",["ui.grid"]);a.service("uiGridValidateService",["$sce","$q","$http","i18nService","uiGridConstants",function(a,b,c,d,e){var f={validatorFactories:{},setExternalFactoryFunction:function(a){f.externalFactoryFunction=a},clearExternalFactory:function(){delete f.externalFactoryFunction},getValidatorFromExternalFactory:function(a,b){return f.externalFactoryFunction(a,b).validatorFactory(b)},getMessageFromExternalFactory:function(a,b){return f.externalFactoryFunction(a,b).messageFunction(b)},setValidator:function(a,b,c){f.validatorFactories[a]={validatorFactory:b,messageFunction:c}},getValidator:function(a,b){if(f.externalFactoryFunction){var c=f.getValidatorFromExternalFactory(a,b);if(c)return c}if(!f.validatorFactories[a])throw"Invalid validator name: "+a;return f.validatorFactories[a].validatorFactory(b)},getMessage:function(a,b){if(f.externalFactoryFunction){var c=f.getMessageFromExternalFactory(a,b);if(c)return c}return f.validatorFactories[a].messageFunction(b)},isInvalid:function(a,b){return a["$$invalid"+b.name]},setInvalid:function(a,b){a["$$invalid"+b.name]=!0},setValid:function(a,b){delete a["$$invalid"+b.name]},setError:function(a,b,c){a["$$errors"+b.name]||(a["$$errors"+b.name]={}),a["$$errors"+b.name][c]=!0},clearError:function(a,b,c){a["$$errors"+b.name]&&c in a["$$errors"+b.name]&&delete a["$$errors"+b.name][c]},getErrorMessages:function(a,b){var c=[];return a["$$errors"+b.name]&&0!==Object.keys(a["$$errors"+b.name]).length?(Object.keys(a["$$errors"+b.name]).sort().forEach(function(a){c.push(f.getMessage(a,b.validators[a]))}),c):c},getFormattedErrors:function(b,c){var e="",g=f.getErrorMessages(b,c);return g.length?(g.forEach(function(a){e+=a+"
            "}),a.trustAsHtml("

            "+d.getSafeText("validate.error")+"

            "+e)):void 0},getTitleFormattedErrors:function(b,c){var e="\n",g="",h=f.getErrorMessages(b,c);return h.length?(h.forEach(function(a){g+=a+e}),a.trustAsHtml(d.getSafeText("validate.error")+e+g)):void 0},runValidators:function(a,c,d,e,g){if(d!==e){if("undefined"==typeof c.name||!c.name)throw new Error("colDef.name is required to perform validation");f.setValid(a,c);var h=function(a,b,c){return function(h){h||(f.setInvalid(a,b),f.setError(a,b,c),g&&g.api.validate.raise.validationFailed(a,b,d,e))}};for(var i in c.validators){f.clearError(a,c,i);var j=f.getValidator(i,c.validators[i]);b.when(j(e,d,a,c)).then(h(a,c,i))}}},createDefaultValidators:function(){f.setValidator("minLength",function(a){return function(b,c,d,e){return void 0===c||null===c||""===c?!0:c.length>=a}},function(a){return d.getSafeText("validate.minLength").replace("THRESHOLD",a)}),f.setValidator("maxLength",function(a){return function(b,c,d,e){return void 0===c||null===c||""===c?!0:c.length<=a}},function(a){return d.getSafeText("validate.maxLength").replace("THRESHOLD",a)}),f.setValidator("required",function(a){return function(b,c,d,e){return a?!(void 0===c||null===c||""===c):!0}},function(a){return d.getSafeText("validate.required")})},initializeGrid:function(a,b){b.validate={isInvalid:f.isInvalid,getFormattedErrors:f.getFormattedErrors,getTitleFormattedErrors:f.getTitleFormattedErrors,runValidators:f.runValidators};var c={events:{validate:{validationFailed:function(a,b,c,d){}}},methods:{validate:{isInvalid:function(a,c){return b.validate.isInvalid(a,c)},getErrorMessages:function(a,c){return b.validate.getErrorMessages(a,c)},getFormattedErrors:function(a,c){return b.validate.getFormattedErrors(a,c)},getTitleFormattedErrors:function(a,c){return b.validate.getTitleFormattedErrors(a,c)}}}};b.api.registerEventsFromObject(c.events),b.api.registerMethodsFromObject(c.methods),b.edit&&b.api.edit.on.afterCellEdit(a,function(a,c,d,e){b.validate.runValidators(a,c,d,e,b)}),f.createDefaultValidators()}};return f}]),a.directive("uiGridValidate",["gridUtil","uiGridValidateService",function(a,b){return{priority:0,replace:!0,require:"^uiGrid",scope:!1,compile:function(){return{pre:function(a,c,d,e){b.initializeGrid(a,e.grid)},post:function(a,b,c,d){}}}}}])}(),angular.module("ui.grid").run(["$templateCache",function(a){"use strict";a.put("ui-grid/ui-grid-filter",'
             
             
            '),a.put("ui-grid/ui-grid-footer",''),a.put("ui-grid/ui-grid-grid-footer",''),a.put("ui-grid/ui-grid-group-panel",'
            • {{group.displayName}} x
            '),a.put("ui-grid/ui-grid-header",'
            '),a.put("ui-grid/ui-grid-menu-button",'
             
            '),a.put("ui-grid/ui-grid-no-header",'
            '),a.put("ui-grid/ui-grid-row","
            "),a.put("ui-grid/ui-grid",'
            '),a.put("ui-grid/uiGridCell",'
            {{COL_FIELD CUSTOM_FILTERS}}
            '),a.put("ui-grid/uiGridColumnMenu",'
            '),a.put("ui-grid/uiGridFooterCell",'
            {{ col.getAggregationText() + ( col.getAggregationValue() CUSTOM_FILTERS ) }}
            '),a.put("ui-grid/uiGridHeaderCell",'
            {{ col.displayName CUSTOM_FILTERS }} {{col.sort.priority}}
            '),a.put("ui-grid/uiGridMenu",'
            '),a.put("ui-grid/uiGridMenuItem",''),a.put("ui-grid/uiGridRenderContainer","
            "),a.put("ui-grid/uiGridViewport",'
            '),a.put("ui-grid/cellEditor",'
            '),a.put("ui-grid/dropdownEditor",'
            '),a.put("ui-grid/fileChooserEditor",'
            '),a.put("ui-grid/expandableRow",'
            '),a.put("ui-grid/expandableRowHeader",'
            '),a.put("ui-grid/expandableScrollFiller","
            "),a.put("ui-grid/expandableTopRowHeader",'
            '),a.put("ui-grid/csvLink",'LINK_LABEL'),a.put("ui-grid/importerMenuItem",'
          • '),a.put("ui-grid/importerMenuItemContainer","
            "),a.put("ui-grid/pagination",''),a.put("ui-grid/columnResizer",'
            '),a.put("ui-grid/gridFooterSelectedItems",'({{"search.selectedItems" | t}} {{grid.selection.selectedCount}})'),a.put("ui-grid/selectionHeaderCell",'
            '),a.put("ui-grid/selectionRowHeader",'
            '),a.put("ui-grid/selectionRowHeaderButtons",'
             
            '),a.put("ui-grid/selectionSelectAllButtons",'
            '),a.put("ui-grid/treeBaseExpandAllButtons",'
            '),a.put("ui-grid/treeBaseHeaderCell",'
            '),a.put("ui-grid/treeBaseRowHeader",'
            '),a.put("ui-grid/treeBaseRowHeaderButtons","
            -1 }\" ng-click=\"treeButtonClick(row, $event)\"> -1 ) || ( row.treeNode.children && row.treeNode.children.length > 0 ) ) && row.treeNode.state === 'expanded', 'ui-grid-icon-plus-squared': ( ( grid.options.showTreeExpandNoChildren && row.treeLevel > -1 ) || ( row.treeNode.children && row.treeNode.children.length > 0 ) ) && row.treeNode.state === 'collapsed'}\" ng-style=\"{'padding-left': grid.options.treeIndent * row.treeLevel + 'px'}\">  
            "),a.put("ui-grid/cellTitleValidator",'
            {{COL_FIELD CUSTOM_FILTERS}}
            '),a.put("ui-grid/cellTooltipValidator",'
            {{COL_FIELD CUSTOM_FILTERS}}
            ')}]),function(a){function b(d){if(c[d])return c[d].exports;var e=c[d]={exports:{},id:d,loaded:!1};return a[d].call(e.exports,e,e.exports,b),e.loaded=!0,e.exports}var c={};return b.m=a,b.c=c,b.p="",b(0)}([function(a,b,c){(function(b){a.exports=b.pdfMake=c(1)}).call(b,function(){return this}())},function(a,b,c){(function(b){"use strict";function d(a,b,c){this.docDefinition=a,this.fonts=b||h,this.vfs=c}var e=c(6),f=c(105),g=f.saveAs,h={Roboto:{normal:"Roboto-Regular.ttf",bold:"Roboto-Medium.ttf",italics:"Roboto-Italic.ttf",bolditalics:"Roboto-Italic.ttf"}};d.prototype._createDoc=function(a,c){var d=new e(this.fonts);d.fs.bindFS(this.vfs);var f,g=d.createPdfKitDocument(this.docDefinition,a),h=[];g.on("data",function(a){h.push(a)}),g.on("end",function(){f=b.concat(h),c(f,g._pdfMakePages)}),g.end()},d.prototype._getPages=function(a,b){if(!b)throw"getBuffer is an async method and needs a callback argument";this._createDoc(a,function(a,c){b(c)})},d.prototype.open=function(a){var b=window.open("","_blank");try{this.getDataUrl(function(a){b.location.href=a})}catch(c){throw b.close(),c}},d.prototype.print=function(){this.getDataUrl(function(a){var b=document.createElement("iframe");b.style.position="absolute",b.style.left="-99999px",b.src=a,b.onload=function(){function a(){document.body.removeChild(b),document.removeEventListener("click",a)}document.addEventListener("click",a,!1)},document.body.appendChild(b)},{autoPrint:!0})},d.prototype.download=function(a,b){"function"==typeof a&&(b=a,a=null),a=a||"file.pdf",this.getBuffer(function(c){var d;try{d=new Blob([c],{type:"application/pdf"})}catch(e){if("InvalidStateError"==e.name){var f=new Uint8Array(c);d=new Blob([f.buffer],{type:"application/pdf"})}}if(!d)throw"Could not generate blob";g(d,a),"function"==typeof b&&b()})},d.prototype.getBase64=function(a,b){if(!a)throw"getBase64 is an async method and needs a callback argument";this._createDoc(b,function(b){a(b.toString("base64"))})},d.prototype.getDataUrl=function(a,b){if(!a)throw"getDataUrl is an async method and needs a callback argument";this._createDoc(b,function(b){a("data:application/pdf;base64,"+b.toString("base64"))})},d.prototype.getBuffer=function(a,b){if(!a)throw"getBuffer is an async method and needs a callback argument";this._createDoc(b,function(b){a(b)})},a.exports={createPdf:function(a){return new d(a,window.pdfMake.fonts,window.pdfMake.vfs)}}}).call(b,c(2).Buffer)},function(a,b,c){(function(a,d){function e(){function a(){}try{var b=new Uint8Array(1);return b.foo=function(){return 42},b.constructor=a,42===b.foo()&&b.constructor===a&&"function"==typeof b.subarray&&0===b.subarray(1,1).byteLength}catch(c){return!1}}function f(){return a.TYPED_ARRAY_SUPPORT?2147483647:1073741823}function a(b){return this instanceof a?(this.length=0,this.parent=void 0,"number"==typeof b?g(this,b):"string"==typeof b?h(this,b,arguments.length>1?arguments[1]:"utf8"):i(this,b)):arguments.length>1?new a(b,arguments[1]):new a(b)}function g(b,c){if(b=p(b,0>c?0:0|q(c)),!a.TYPED_ARRAY_SUPPORT)for(var d=0;c>d;d++)b[d]=0;return b}function h(a,b,c){"string"==typeof c&&""!==c||(c="utf8");var d=0|s(b,c);return a=p(a,d),a.write(b,c),a}function i(b,c){if(a.isBuffer(c))return j(b,c);if(Y(c))return k(b,c);if(null==c)throw new TypeError("must start with number, buffer, array or string");if("undefined"!=typeof ArrayBuffer){if(c.buffer instanceof ArrayBuffer)return l(b,c);if(c instanceof ArrayBuffer)return m(b,c)}return c.length?n(b,c):o(b,c)}function j(a,b){var c=0|q(b.length);return a=p(a,c),b.copy(a,0,0,c),a}function k(a,b){var c=0|q(b.length);a=p(a,c);for(var d=0;c>d;d+=1)a[d]=255&b[d];return a}function l(a,b){var c=0|q(b.length); +a=p(a,c);for(var d=0;c>d;d+=1)a[d]=255&b[d];return a}function m(b,c){return a.TYPED_ARRAY_SUPPORT?(c.byteLength,b=a._augment(new Uint8Array(c))):b=l(b,new Uint8Array(c)),b}function n(a,b){var c=0|q(b.length);a=p(a,c);for(var d=0;c>d;d+=1)a[d]=255&b[d];return a}function o(a,b){var c,d=0;"Buffer"===b.type&&Y(b.data)&&(c=b.data,d=0|q(c.length)),a=p(a,d);for(var e=0;d>e;e+=1)a[e]=255&c[e];return a}function p(b,c){a.TYPED_ARRAY_SUPPORT?(b=a._augment(new Uint8Array(c)),b.__proto__=a.prototype):(b.length=c,b._isBuffer=!0);var d=0!==c&&c<=a.poolSize>>>1;return d&&(b.parent=Z),b}function q(a){if(a>=f())throw new RangeError("Attempt to allocate Buffer larger than maximum size: 0x"+f().toString(16)+" bytes");return 0|a}function r(b,c){if(!(this instanceof r))return new r(b,c);var d=new a(b,c);return delete d.parent,d}function s(a,b){"string"!=typeof a&&(a=""+a);var c=a.length;if(0===c)return 0;for(var d=!1;;)switch(b){case"ascii":case"binary":case"raw":case"raws":return c;case"utf8":case"utf-8":return R(a).length;case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return 2*c;case"hex":return c>>>1;case"base64":return U(a).length;default:if(d)return R(a).length;b=(""+b).toLowerCase(),d=!0}}function t(a,b,c){var d=!1;if(b=0|b,c=void 0===c||c===1/0?this.length:0|c,a||(a="utf8"),0>b&&(b=0),c>this.length&&(c=this.length),b>=c)return"";for(;;)switch(a){case"hex":return F(this,b,c);case"utf8":case"utf-8":return B(this,b,c);case"ascii":return D(this,b,c);case"binary":return E(this,b,c);case"base64":return A(this,b,c);case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return G(this,b,c);default:if(d)throw new TypeError("Unknown encoding: "+a);a=(a+"").toLowerCase(),d=!0}}function u(a,b,c,d){c=Number(c)||0;var e=a.length-c;d?(d=Number(d),d>e&&(d=e)):d=e;var f=b.length;if(f%2!==0)throw new Error("Invalid hex string");d>f/2&&(d=f/2);for(var g=0;d>g;g++){var h=parseInt(b.substr(2*g,2),16);if(isNaN(h))throw new Error("Invalid hex string");a[c+g]=h}return g}function v(a,b,c,d){return V(R(b,a.length-c),a,c,d)}function w(a,b,c,d){return V(S(b),a,c,d)}function x(a,b,c,d){return w(a,b,c,d)}function y(a,b,c,d){return V(U(b),a,c,d)}function z(a,b,c,d){return V(T(b,a.length-c),a,c,d)}function A(a,b,c){return 0===b&&c===a.length?W.fromByteArray(a):W.fromByteArray(a.slice(b,c))}function B(a,b,c){c=Math.min(a.length,c);for(var d=[],e=b;c>e;){var f=a[e],g=null,h=f>239?4:f>223?3:f>191?2:1;if(c>=e+h){var i,j,k,l;switch(h){case 1:128>f&&(g=f);break;case 2:i=a[e+1],128===(192&i)&&(l=(31&f)<<6|63&i,l>127&&(g=l));break;case 3:i=a[e+1],j=a[e+2],128===(192&i)&&128===(192&j)&&(l=(15&f)<<12|(63&i)<<6|63&j,l>2047&&(55296>l||l>57343)&&(g=l));break;case 4:i=a[e+1],j=a[e+2],k=a[e+3],128===(192&i)&&128===(192&j)&&128===(192&k)&&(l=(15&f)<<18|(63&i)<<12|(63&j)<<6|63&k,l>65535&&1114112>l&&(g=l))}}null===g?(g=65533,h=1):g>65535&&(g-=65536,d.push(g>>>10&1023|55296),g=56320|1023&g),d.push(g),e+=h}return C(d)}function C(a){var b=a.length;if($>=b)return String.fromCharCode.apply(String,a);for(var c="",d=0;b>d;)c+=String.fromCharCode.apply(String,a.slice(d,d+=$));return c}function D(a,b,c){var d="";c=Math.min(a.length,c);for(var e=b;c>e;e++)d+=String.fromCharCode(127&a[e]);return d}function E(a,b,c){var d="";c=Math.min(a.length,c);for(var e=b;c>e;e++)d+=String.fromCharCode(a[e]);return d}function F(a,b,c){var d=a.length;(!b||0>b)&&(b=0),(!c||0>c||c>d)&&(c=d);for(var e="",f=b;c>f;f++)e+=Q(a[f]);return e}function G(a,b,c){for(var d=a.slice(b,c),e="",f=0;fa)throw new RangeError("offset is not uint");if(a+b>c)throw new RangeError("Trying to access beyond buffer length")}function I(b,c,d,e,f,g){if(!a.isBuffer(b))throw new TypeError("buffer must be a Buffer instance");if(c>f||g>c)throw new RangeError("value is out of bounds");if(d+e>b.length)throw new RangeError("index out of range")}function J(a,b,c,d){0>b&&(b=65535+b+1);for(var e=0,f=Math.min(a.length-c,2);f>e;e++)a[c+e]=(b&255<<8*(d?e:1-e))>>>8*(d?e:1-e)}function K(a,b,c,d){0>b&&(b=4294967295+b+1);for(var e=0,f=Math.min(a.length-c,4);f>e;e++)a[c+e]=b>>>8*(d?e:3-e)&255}function L(a,b,c,d,e,f){if(b>e||f>b)throw new RangeError("value is out of bounds");if(c+d>a.length)throw new RangeError("index out of range");if(0>c)throw new RangeError("index out of range")}function M(a,b,c,d,e){return e||L(a,b,c,4,3.4028234663852886e38,-3.4028234663852886e38),X.write(a,b,c,d,23,4),c+4}function N(a,b,c,d,e){return e||L(a,b,c,8,1.7976931348623157e308,-1.7976931348623157e308),X.write(a,b,c,d,52,8),c+8}function O(a){if(a=P(a).replace(aa,""),a.length<2)return"";for(;a.length%4!==0;)a+="=";return a}function P(a){return a.trim?a.trim():a.replace(/^\s+|\s+$/g,"")}function Q(a){return 16>a?"0"+a.toString(16):a.toString(16)}function R(a,b){b=b||1/0;for(var c,d=a.length,e=null,f=[],g=0;d>g;g++){if(c=a.charCodeAt(g),c>55295&&57344>c){if(!e){if(c>56319){(b-=3)>-1&&f.push(239,191,189);continue}if(g+1===d){(b-=3)>-1&&f.push(239,191,189);continue}e=c;continue}if(56320>c){(b-=3)>-1&&f.push(239,191,189),e=c;continue}c=e-55296<<10|c-56320|65536}else e&&(b-=3)>-1&&f.push(239,191,189);if(e=null,128>c){if((b-=1)<0)break;f.push(c)}else if(2048>c){if((b-=2)<0)break;f.push(c>>6|192,63&c|128)}else if(65536>c){if((b-=3)<0)break;f.push(c>>12|224,c>>6&63|128,63&c|128)}else{if(!(1114112>c))throw new Error("Invalid code point");if((b-=4)<0)break;f.push(c>>18|240,c>>12&63|128,c>>6&63|128,63&c|128)}}return f}function S(a){for(var b=[],c=0;c>8,e=c%256,f.push(e),f.push(d);return f}function U(a){return W.toByteArray(O(a))}function V(a,b,c,d){for(var e=0;d>e&&!(e+c>=b.length||e>=a.length);e++)b[e+c]=a[e];return e}var W=c(3),X=c(4),Y=c(5);b.Buffer=a,b.SlowBuffer=r,b.INSPECT_MAX_BYTES=50,a.poolSize=8192;var Z={};a.TYPED_ARRAY_SUPPORT=void 0!==d.TYPED_ARRAY_SUPPORT?d.TYPED_ARRAY_SUPPORT:e(),a.TYPED_ARRAY_SUPPORT&&(a.prototype.__proto__=Uint8Array.prototype,a.__proto__=Uint8Array),a.isBuffer=function(a){return!(null==a||!a._isBuffer)},a.compare=function(b,c){if(!a.isBuffer(b)||!a.isBuffer(c))throw new TypeError("Arguments must be Buffers");if(b===c)return 0;for(var d=b.length,e=c.length,f=0,g=Math.min(d,e);g>f&&b[f]===c[f];)++f;return f!==g&&(d=b[f],e=c[f]),e>d?-1:d>e?1:0},a.isEncoding=function(a){switch(String(a).toLowerCase()){case"hex":case"utf8":case"utf-8":case"ascii":case"binary":case"base64":case"raw":case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return!0;default:return!1}},a.concat=function(b,c){if(!Y(b))throw new TypeError("list argument must be an Array of Buffers.");if(0===b.length)return new a(0);var d;if(void 0===c)for(c=0,d=0;d0&&(a=this.toString("hex",0,c).match(/.{2}/g).join(" "),this.length>c&&(a+=" ... ")),""},a.prototype.compare=function(b){if(!a.isBuffer(b))throw new TypeError("Argument must be a Buffer");return this===b?0:a.compare(this,b)},a.prototype.indexOf=function(b,c){function d(a,b,c){for(var d=-1,e=0;c+e2147483647?c=2147483647:-2147483648>c&&(c=-2147483648),c>>=0,0===this.length)return-1;if(c>=this.length)return-1;if(0>c&&(c=Math.max(this.length+c,0)),"string"==typeof b)return 0===b.length?-1:String.prototype.indexOf.call(this,b,c);if(a.isBuffer(b))return d(this,b,c);if("number"==typeof b)return a.TYPED_ARRAY_SUPPORT&&"function"===Uint8Array.prototype.indexOf?Uint8Array.prototype.indexOf.call(this,b,c):d(this,[b],c);throw new TypeError("val must be string, number or Buffer")},a.prototype.get=function(a){return console.log(".get() is deprecated. Access using array indexes instead."),this.readUInt8(a)},a.prototype.set=function(a,b){return console.log(".set() is deprecated. Access using array indexes instead."),this.writeUInt8(a,b)},a.prototype.write=function(a,b,c,d){if(void 0===b)d="utf8",c=this.length,b=0;else if(void 0===c&&"string"==typeof b)d=b,c=this.length,b=0;else if(isFinite(b))b=0|b,isFinite(c)?(c=0|c,void 0===d&&(d="utf8")):(d=c,c=void 0);else{var e=d;d=b,b=0|c,c=e}var f=this.length-b;if((void 0===c||c>f)&&(c=f),a.length>0&&(0>c||0>b)||b>this.length)throw new RangeError("attempt to write outside buffer bounds");d||(d="utf8");for(var g=!1;;)switch(d){case"hex":return u(this,a,b,c);case"utf8":case"utf-8":return v(this,a,b,c);case"ascii":return w(this,a,b,c);case"binary":return x(this,a,b,c);case"base64":return y(this,a,b,c);case"ucs2":case"ucs-2":case"utf16le":case"utf-16le":return z(this,a,b,c);default:if(g)throw new TypeError("Unknown encoding: "+d);d=(""+d).toLowerCase(),g=!0}},a.prototype.toJSON=function(){return{type:"Buffer",data:Array.prototype.slice.call(this._arr||this,0)}};var $=4096;a.prototype.slice=function(b,c){var d=this.length;b=~~b,c=void 0===c?d:~~c,0>b?(b+=d,0>b&&(b=0)):b>d&&(b=d),0>c?(c+=d,0>c&&(c=0)):c>d&&(c=d),b>c&&(c=b);var e;if(a.TYPED_ARRAY_SUPPORT)e=a._augment(this.subarray(b,c));else{var f=c-b;e=new a(f,void 0);for(var g=0;f>g;g++)e[g]=this[g+b]}return e.length&&(e.parent=this.parent||this),e},a.prototype.readUIntLE=function(a,b,c){a=0|a,b=0|b,c||H(a,b,this.length);for(var d=this[a],e=1,f=0;++f0&&(e*=256);)d+=this[a+--b]*e;return d},a.prototype.readUInt8=function(a,b){return b||H(a,1,this.length),this[a]},a.prototype.readUInt16LE=function(a,b){return b||H(a,2,this.length),this[a]|this[a+1]<<8},a.prototype.readUInt16BE=function(a,b){return b||H(a,2,this.length),this[a]<<8|this[a+1]},a.prototype.readUInt32LE=function(a,b){return b||H(a,4,this.length),(this[a]|this[a+1]<<8|this[a+2]<<16)+16777216*this[a+3]},a.prototype.readUInt32BE=function(a,b){return b||H(a,4,this.length),16777216*this[a]+(this[a+1]<<16|this[a+2]<<8|this[a+3])},a.prototype.readIntLE=function(a,b,c){a=0|a,b=0|b,c||H(a,b,this.length);for(var d=this[a],e=1,f=0;++f=e&&(d-=Math.pow(2,8*b)),d},a.prototype.readIntBE=function(a,b,c){a=0|a,b=0|b,c||H(a,b,this.length);for(var d=b,e=1,f=this[a+--d];d>0&&(e*=256);)f+=this[a+--d]*e;return e*=128,f>=e&&(f-=Math.pow(2,8*b)),f},a.prototype.readInt8=function(a,b){return b||H(a,1,this.length),128&this[a]?-1*(255-this[a]+1):this[a]},a.prototype.readInt16LE=function(a,b){b||H(a,2,this.length);var c=this[a]|this[a+1]<<8;return 32768&c?4294901760|c:c},a.prototype.readInt16BE=function(a,b){b||H(a,2,this.length);var c=this[a+1]|this[a]<<8;return 32768&c?4294901760|c:c},a.prototype.readInt32LE=function(a,b){return b||H(a,4,this.length),this[a]|this[a+1]<<8|this[a+2]<<16|this[a+3]<<24},a.prototype.readInt32BE=function(a,b){return b||H(a,4,this.length),this[a]<<24|this[a+1]<<16|this[a+2]<<8|this[a+3]},a.prototype.readFloatLE=function(a,b){return b||H(a,4,this.length),X.read(this,a,!0,23,4)},a.prototype.readFloatBE=function(a,b){return b||H(a,4,this.length),X.read(this,a,!1,23,4)},a.prototype.readDoubleLE=function(a,b){return b||H(a,8,this.length),X.read(this,a,!0,52,8)},a.prototype.readDoubleBE=function(a,b){return b||H(a,8,this.length),X.read(this,a,!1,52,8)},a.prototype.writeUIntLE=function(a,b,c,d){a=+a,b=0|b,c=0|c,d||I(this,a,b,c,Math.pow(2,8*c),0);var e=1,f=0;for(this[b]=255&a;++f=0&&(f*=256);)this[b+e]=a/f&255;return b+c},a.prototype.writeUInt8=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,1,255,0),a.TYPED_ARRAY_SUPPORT||(b=Math.floor(b)),this[c]=255&b,c+1},a.prototype.writeUInt16LE=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,2,65535,0),a.TYPED_ARRAY_SUPPORT?(this[c]=255&b,this[c+1]=b>>>8):J(this,b,c,!0),c+2},a.prototype.writeUInt16BE=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,2,65535,0),a.TYPED_ARRAY_SUPPORT?(this[c]=b>>>8,this[c+1]=255&b):J(this,b,c,!1),c+2},a.prototype.writeUInt32LE=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,4,4294967295,0),a.TYPED_ARRAY_SUPPORT?(this[c+3]=b>>>24,this[c+2]=b>>>16,this[c+1]=b>>>8,this[c]=255&b):K(this,b,c,!0),c+4},a.prototype.writeUInt32BE=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,4,4294967295,0),a.TYPED_ARRAY_SUPPORT?(this[c]=b>>>24,this[c+1]=b>>>16,this[c+2]=b>>>8,this[c+3]=255&b):K(this,b,c,!1),c+4},a.prototype.writeIntLE=function(a,b,c,d){if(a=+a,b=0|b,!d){var e=Math.pow(2,8*c-1);I(this,a,b,c,e-1,-e)}var f=0,g=1,h=0>a?1:0;for(this[b]=255&a;++f>0)-h&255;return b+c},a.prototype.writeIntBE=function(a,b,c,d){if(a=+a,b=0|b,!d){var e=Math.pow(2,8*c-1);I(this,a,b,c,e-1,-e)}var f=c-1,g=1,h=0>a?1:0;for(this[b+f]=255&a;--f>=0&&(g*=256);)this[b+f]=(a/g>>0)-h&255;return b+c},a.prototype.writeInt8=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,1,127,-128),a.TYPED_ARRAY_SUPPORT||(b=Math.floor(b)),0>b&&(b=255+b+1),this[c]=255&b,c+1},a.prototype.writeInt16LE=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,2,32767,-32768),a.TYPED_ARRAY_SUPPORT?(this[c]=255&b,this[c+1]=b>>>8):J(this,b,c,!0),c+2},a.prototype.writeInt16BE=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,2,32767,-32768),a.TYPED_ARRAY_SUPPORT?(this[c]=b>>>8,this[c+1]=255&b):J(this,b,c,!1),c+2},a.prototype.writeInt32LE=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,4,2147483647,-2147483648),a.TYPED_ARRAY_SUPPORT?(this[c]=255&b,this[c+1]=b>>>8,this[c+2]=b>>>16,this[c+3]=b>>>24):K(this,b,c,!0),c+4},a.prototype.writeInt32BE=function(b,c,d){return b=+b,c=0|c,d||I(this,b,c,4,2147483647,-2147483648),0>b&&(b=4294967295+b+1),a.TYPED_ARRAY_SUPPORT?(this[c]=b>>>24,this[c+1]=b>>>16,this[c+2]=b>>>8,this[c+3]=255&b):K(this,b,c,!1),c+4},a.prototype.writeFloatLE=function(a,b,c){return M(this,a,b,!0,c)},a.prototype.writeFloatBE=function(a,b,c){return M(this,a,b,!1,c)},a.prototype.writeDoubleLE=function(a,b,c){return N(this,a,b,!0,c)},a.prototype.writeDoubleBE=function(a,b,c){return N(this,a,b,!1,c)},a.prototype.copy=function(b,c,d,e){if(d||(d=0),e||0===e||(e=this.length),c>=b.length&&(c=b.length),c||(c=0),e>0&&d>e&&(e=d),e===d)return 0;if(0===b.length||0===this.length)return 0;if(0>c)throw new RangeError("targetStart out of bounds");if(0>d||d>=this.length)throw new RangeError("sourceStart out of bounds");if(0>e)throw new RangeError("sourceEnd out of bounds");e>this.length&&(e=this.length),b.length-cd&&e>c)for(f=g-1;f>=0;f--)b[f+c]=this[f+d];else if(1e3>g||!a.TYPED_ARRAY_SUPPORT)for(f=0;g>f;f++)b[f+c]=this[f+d];else b._set(this.subarray(d,d+g),c);return g},a.prototype.fill=function(a,b,c){if(a||(a=0),b||(b=0),c||(c=this.length),b>c)throw new RangeError("end < start");if(c!==b&&0!==this.length){if(0>b||b>=this.length)throw new RangeError("start out of bounds");if(0>c||c>this.length)throw new RangeError("end out of bounds");var d;if("number"==typeof a)for(d=b;c>d;d++)this[d]=a;else{var e=R(a.toString()),f=e.length;for(d=b;c>d;d++)this[d]=e[d%f]}return this}},a.prototype.toArrayBuffer=function(){if("undefined"!=typeof Uint8Array){if(a.TYPED_ARRAY_SUPPORT)return new a(this).buffer;for(var b=new Uint8Array(this.length),c=0,d=b.length;d>c;c+=1)b[c]=this[c];return b.buffer}throw new TypeError("Buffer.toArrayBuffer not supported in this browser")};var _=a.prototype;a._augment=function(b){return b.constructor=a,b._isBuffer=!0,b._set=b.set,b.get=_.get,b.set=_.set,b.write=_.write,b.toString=_.toString,b.toLocaleString=_.toString,b.toJSON=_.toJSON,b.equals=_.equals,b.compare=_.compare,b.indexOf=_.indexOf,b.copy=_.copy,b.slice=_.slice,b.readUIntLE=_.readUIntLE,b.readUIntBE=_.readUIntBE,b.readUInt8=_.readUInt8,b.readUInt16LE=_.readUInt16LE,b.readUInt16BE=_.readUInt16BE,b.readUInt32LE=_.readUInt32LE,b.readUInt32BE=_.readUInt32BE,b.readIntLE=_.readIntLE,b.readIntBE=_.readIntBE,b.readInt8=_.readInt8,b.readInt16LE=_.readInt16LE,b.readInt16BE=_.readInt16BE,b.readInt32LE=_.readInt32LE,b.readInt32BE=_.readInt32BE,b.readFloatLE=_.readFloatLE,b.readFloatBE=_.readFloatBE,b.readDoubleLE=_.readDoubleLE,b.readDoubleBE=_.readDoubleBE,b.writeUInt8=_.writeUInt8,b.writeUIntLE=_.writeUIntLE,b.writeUIntBE=_.writeUIntBE,b.writeUInt16LE=_.writeUInt16LE,b.writeUInt16BE=_.writeUInt16BE,b.writeUInt32LE=_.writeUInt32LE,b.writeUInt32BE=_.writeUInt32BE,b.writeIntLE=_.writeIntLE,b.writeIntBE=_.writeIntBE,b.writeInt8=_.writeInt8,b.writeInt16LE=_.writeInt16LE,b.writeInt16BE=_.writeInt16BE,b.writeInt32LE=_.writeInt32LE,b.writeInt32BE=_.writeInt32BE,b.writeFloatLE=_.writeFloatLE,b.writeFloatBE=_.writeFloatBE,b.writeDoubleLE=_.writeDoubleLE,b.writeDoubleBE=_.writeDoubleBE,b.fill=_.fill,b.inspect=_.inspect,b.toArrayBuffer=_.toArrayBuffer,b};var aa=/[^+\/0-9A-Za-z-_]/g}).call(b,c(2).Buffer,function(){return this}())},function(a,b,c){var d="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/";!function(a){"use strict";function b(a){var b=a.charCodeAt(0);return b===g||b===l?62:b===h||b===m?63:i>b?-1:i+10>b?b-i+26+26:k+26>b?b-k:j+26>b?b-j+26:void 0}function c(a){function c(a){j[l++]=a}var d,e,g,h,i,j;if(a.length%4>0)throw new Error("Invalid string. Length must be a multiple of 4");var k=a.length;i="="===a.charAt(k-2)?2:"="===a.charAt(k-1)?1:0,j=new f(3*a.length/4-i),g=i>0?a.length-4:a.length;var l=0;for(d=0,e=0;g>d;d+=4,e+=3)h=b(a.charAt(d))<<18|b(a.charAt(d+1))<<12|b(a.charAt(d+2))<<6|b(a.charAt(d+3)),c((16711680&h)>>16),c((65280&h)>>8),c(255&h);return 2===i?(h=b(a.charAt(d))<<2|b(a.charAt(d+1))>>4,c(255&h)):1===i&&(h=b(a.charAt(d))<<10|b(a.charAt(d+1))<<4|b(a.charAt(d+2))>>2,c(h>>8&255),c(255&h)),j}function e(a){function b(a){return d.charAt(a)}function c(a){return b(a>>18&63)+b(a>>12&63)+b(a>>6&63)+b(63&a)}var e,f,g,h=a.length%3,i="";for(e=0,g=a.length-h;g>e;e+=3)f=(a[e]<<16)+(a[e+1]<<8)+a[e+2],i+=c(f);switch(h){case 1:f=a[a.length-1],i+=b(f>>2),i+=b(f<<4&63),i+="==";break;case 2:f=(a[a.length-2]<<8)+a[a.length-1],i+=b(f>>10),i+=b(f>>4&63),i+=b(f<<2&63),i+="="}return i}var f="undefined"!=typeof Uint8Array?Uint8Array:Array,g="+".charCodeAt(0),h="/".charCodeAt(0),i="0".charCodeAt(0),j="a".charCodeAt(0),k="A".charCodeAt(0),l="-".charCodeAt(0),m="_".charCodeAt(0);a.toByteArray=c,a.fromByteArray=e}(b)},function(a,b){b.read=function(a,b,c,d,e){var f,g,h=8*e-d-1,i=(1<>1,k=-7,l=c?e-1:0,m=c?-1:1,n=a[b+l];for(l+=m,f=n&(1<<-k)-1,n>>=-k,k+=h;k>0;f=256*f+a[b+l],l+=m,k-=8);for(g=f&(1<<-k)-1,f>>=-k,k+=d;k>0;g=256*g+a[b+l],l+=m,k-=8);if(0===f)f=1-j;else{if(f===i)return g?NaN:(n?-1:1)*(1/0);g+=Math.pow(2,d),f-=j}return(n?-1:1)*g*Math.pow(2,f-d)},b.write=function(a,b,c,d,e,f){var g,h,i,j=8*f-e-1,k=(1<>1,m=23===e?Math.pow(2,-24)-Math.pow(2,-77):0,n=d?0:f-1,o=d?1:-1,p=0>b||0===b&&0>1/b?1:0;for(b=Math.abs(b),isNaN(b)||b===1/0?(h=isNaN(b)?1:0,g=k):(g=Math.floor(Math.log(b)/Math.LN2),b*(i=Math.pow(2,-g))<1&&(g--,i*=2),b+=g+l>=1?m/i:m*Math.pow(2,1-l),b*i>=2&&(g++,i/=2),g+l>=k?(h=0,g=k):g+l>=1?(h=(b*i-1)*Math.pow(2,e),g+=l):(h=b*Math.pow(2,l-1)*Math.pow(2,e),g=0));e>=8;a[c+n]=255&h,n+=o,h/=256,e-=8);for(g=g<0;a[c+n]=255&g,n+=o,g/=256,j-=8);a[c+n-o]|=128*p}},function(a,b){var c=Array.isArray,d=Object.prototype.toString;a.exports=c||function(a){return!!a&&"[object Array]"==d.call(a)}},function(a,b,c){"use strict";function d(a){this.fontDescriptors=a}function e(a){if(!a)return null;if("number"==typeof a||a instanceof Number)a={left:a,right:a,top:a,bottom:a};else if(a instanceof Array)if(2===a.length)a={left:a[0],top:a[1],right:a[0],bottom:a[1]};else{if(4!==a.length)throw"Invalid pageMargins definition";a={left:a[0],top:a[1],right:a[2],bottom:a[3]}}return a}function f(a){a.registerTableLayouts({noBorders:{hLineWidth:function(a){return 0},vLineWidth:function(a){return 0},paddingLeft:function(a){return a&&4||0},paddingRight:function(a,b){return ab.options.size[1]?"landscape":"portrait";if(a.pageSize.orientation!==c){var d=b.options.size[0],e=b.options.size[1];b.options.size=[e,d]}}function i(a,b,c){c._pdfMakePages=a;for(var d=0;d0&&(h(a[d],c),c.addPage(c.options));for(var e=a[d],f=0,g=e.items.length;g>f;f++){var i=e.items[f];switch(i.type){case"vector":l(i.item,c);break;case"line":j(i.item,i.item.x,i.item.y,c);break;case"image":m(i.item,i.item.x,i.item.y,c)}}e.watermark&&k(e,c),b.setFontRefsToPdfDoc()}}function j(a,b,c,d){b=b||0,c=c||0;var e=a.getHeight(),f=a.getAscenderHeight();s.drawBackground(a,b,c,d);for(var g=0,h=a.inlines.length;h>g;g++){var i=a.inlines[g];d.fill(i.color||"black"),d.save(),d.transform(1,0,0,-1,0,d.page.height);var j=i.font.encode(i.text);d.addContent("BT"),d.addContent(""+(b+i.x)+" "+(d.page.height-c-f)+" Td"),d.addContent("/"+j.fontId+" "+i.fontSize+" Tf"),d.addContent("<"+j.encodedText+"> Tj"),d.addContent("ET"),i.link&&d.link(b+i.x,d.page.height-c-e,i.width,e,i.link),d.restore()}s.drawDecorations(a,b,c,d)}function k(a,b){var c=a.watermark;b.fill("black"),b.opacity(.6),b.save(),b.transform(1,0,0,-1,0,b.page.height);var d=180*Math.atan2(b.page.height,b.page.width)/Math.PI;b.rotate(d,{origin:[b.page.width/2,b.page.height/2]});var e=c.font.encode(c.text);b.addContent("BT"),b.addContent(""+(b.page.width/2-c.size.size.width/2)+" "+(b.page.height/2-c.size.size.height/4)+" Td"),b.addContent("/"+e.fontId+" "+c.size.fontSize+" Tf"),b.addContent("<"+e.encodedText+"> Tj"),b.addContent("ET"),b.restore()}function l(a,b){switch(b.lineWidth(a.lineWidth||1),a.dash?b.dash(a.dash.length,{space:a.dash.space||a.dash.length}):b.undash(),b.fillOpacity(a.fillOpacity||1),b.strokeOpacity(a.strokeOpacity||1),b.lineJoin(a.lineJoin||"miter"),a.type){case"ellipse":b.ellipse(a.x,a.y,a.r1,a.r2);break;case"rect":a.r?b.roundedRect(a.x,a.y,a.w,a.h,a.r):b.rect(a.x,a.y,a.w,a.h);break;case"line":b.moveTo(a.x1,a.y1),b.lineTo(a.x2,a.y2);break;case"polyline":if(0===a.points.length)break;b.moveTo(a.points[0].x,a.points[0].y);for(var c=1,d=a.points.length;d>c;c++)b.lineTo(a.points[c].x,a.points[c].y);if(a.points.length>1){var e=a.points[0],f=a.points[a.points.length-1];(a.closePath||e.x===f.x&&e.y===f.y)&&b.closePath()}}a.color&&a.lineColor?b.fillAndStroke(a.color,a.lineColor):a.color?b.fill(a.color):b.stroke(a.lineColor||"black")}function m(a,b,c,d){d.image(a.image,a.x,a.y,{width:a._width,height:a._height})}var n=(c(7),c(9)),o=c(11),p=c(24),q=(c(46),c(102)),r=c(103),s=c(104),n=c(9);d.prototype.createPdfKitDocument=function(a,b){b=b||{};var c=g(a.pageSize||"a4");if("landscape"===a.pageOrientation&&(c={width:c.height,height:c.width}),c.orientation="landscape"===a.pageOrientation?a.pageOrientation:"portrait",this.pdfKitDoc=new p({size:[c.width,c.height],compress:!1}),this.pdfKitDoc.info.Producer="pdfmake",this.pdfKitDoc.info.Creator="pdfmake",a.info){a.info;this.pdfKitDoc.info.Title=a.info.title?a.info.title:null,this.pdfKitDoc.info.Author=a.info.author?a.info.author:null,this.pdfKitDoc.info.Subject=a.info.subject?a.info.subject:null,this.pdfKitDoc.info.Keywords=a.info.keywords?a.info.keywords:null}this.fontProvider=new n(this.fontDescriptors,this.pdfKitDoc),a.images=a.images||{};var d=new o(c,e(a.pageMargins||40),new r(this.pdfKitDoc,a.images));f(d),b.tableLayouts&&d.registerTableLayouts(b.tableLayouts);var h=d.layoutDocument(a.content,this.fontProvider,a.styles||{},a.defaultStyle||{fontSize:12,font:"Roboto"},a.background,a.header,a.footer,a.images,a.watermark,a.pageBreakBefore);if(i(h,this.fontProvider,this.pdfKitDoc),b.autoPrint){var j=this.pdfKitDoc.ref({Type:"Action",S:"Named",N:"Print"});this.pdfKitDoc._root.data.OpenAction=j,j.end()}return this.pdfKitDoc};a.exports=d,d.prototype.fs=c(44)},function(a,b,c){var d;(function(a,e){(function(){function f(a,b){if(a!==b){var c=null===a,d=a===B,e=a===a,f=null===b,g=b===B,h=b===b;if(a>b&&!f||!e||c&&!g&&h||d&&h)return 1;if(b>a&&!c||!h||f&&!d&&e||g&&e)return-1}return 0}function g(a,b,c){for(var d=a.length,e=c?d:-1;c?e--:++e-1;);return c}function l(a,b){for(var c=a.length;c--&&b.indexOf(a.charAt(c))>-1;);return c}function m(a,b){return f(a.criteria,b.criteria)||a.index-b.index}function n(a,b,c){for(var d=-1,e=a.criteria,g=b.criteria,h=e.length,i=c.length;++d=i)return j;var k=c[d];return j*("asc"===k||k===!0?1:-1)}}return a.index-b.index}function o(a){return Ua[a]}function p(a){return Va[a]}function q(a,b,c){return b?a=Ya[a]:c&&(a=Za[a]),"\\"+a}function r(a){return"\\"+Za[a]}function s(a,b,c){for(var d=a.length,e=b+(c?0:-1);c?e--:++e=a&&a>=9&&13>=a||32==a||160==a||5760==a||6158==a||a>=8192&&(8202>=a||8232==a||8233==a||8239==a||8287==a||12288==a||65279==a)}function v(a,b){for(var c=-1,d=a.length,e=-1,f=[];++cb,e=c?a.length:0,f=Tc(0,e,this.__views__),g=f.start,h=f.end,i=h-g,j=d?h:g-1,k=this.__iteratees__,l=k.length,m=0,n=wg(i,this.__takeCount__);if(!c||Q>e||e==i&&n==i)return cc(d&&c?a.reverse():a,this.__actions__);var o=[];a:for(;i--&&n>m;){j+=b;for(var p=-1,q=a[j];++p=Q?oc(b):null,j=b.length;i&&(f=Za,g=!1,b=i);a:for(;++ec&&(c=-c>e?0:e+c),d=d===B||d>e?e:+d||0,0>d&&(d+=e),e=c>d?0:d>>>0,c>>>=0;e>c;)a[c++]=b;return a}function Bb(a,b){var c=[];return Jg(a,function(a,d,e){b(a,d,e)&&c.push(a)}),c}function Cb(a,b,c,d){var e;return c(a,function(a,c,f){return b(a,c,f)?(e=d?c:a,!1):void 0}),e}function Db(a,b,c,d){d||(d=[]);for(var e=-1,f=a.length;++ed;)a=a[b[d++]];return d&&d==e?a:B}}function Jb(a,b,c,d,e,f){return a===b?!0:null==a||null==b||!He(a)&&!t(b)?a!==a&&b!==b:Kb(a,b,Jb,c,d,e,f)}function Kb(a,b,c,d,e,f,g){ +var h=Ch(a),i=Ch(b),j=W,k=W;h||(j=cg.call(a),j==V?j=ba:j!=ba&&(h=Qe(a))),i||(k=cg.call(b),k==V?k=ba:k!=ba&&(i=Qe(b)));var l=j==ba,m=k==ba,n=j==k;if(n&&!h&&!l)return Mc(a,b,j);if(!e){var o=l&&ag.call(a,"__wrapped__"),p=m&&ag.call(b,"__wrapped__");if(o||p)return c(o?a.value():a,p?b.value():b,d,e,f,g)}if(!n)return!1;f||(f=[]),g||(g=[]);for(var q=f.length;q--;)if(f[q]==a)return g[q]==b;f.push(a),g.push(b);var r=(h?Lc:Nc)(a,b,c,d,e,f,g);return f.pop(),g.pop(),r}function Lb(a,b,c){var d=b.length,e=d,f=!c;if(null==a)return!e;for(a=kd(a);d--;){var g=b[d];if(f&&g[2]?g[1]!==a[g[0]]:!(g[0]in a))return!1}for(;++db&&(b=-b>e?0:e+b),c=c===B||c>e?e:+c||0,0>c&&(c+=e),e=b>c?0:c-b>>>0,b>>>=0;for(var f=Of(e);++d=Q,i=g?oc():null,j=[];i?(d=Za,f=!1):(g=!1,i=b?[]:j);a:for(;++c=e){for(;e>d;){var f=d+e>>>1,g=a[f];(c?b>=g:b>g)&&null!==g?d=f+1:e=f}return e}return ec(a,b,Bf,c)}function ec(a,b,c,d){b=c(b);for(var e=0,f=a?a.length:0,g=b!==b,h=null===b,i=b===B;f>e;){var j=rg((e+f)/2),k=c(a[j]),l=k!==B,m=k===k;if(g)var n=m||d;else n=h?m&&l&&(d||null!=k):i?m&&(d||l):null==k?!1:d?b>=k:b>k;n?e=j+1:f=j}return wg(f,Dg)}function fc(a,b,c){if("function"!=typeof a)return Bf;if(b===B)return a;switch(c){case 1:return function(c){return a.call(b,c)};case 3:return function(c,d,e){return a.call(b,c,d,e)};case 4:return function(c,d,e,f){return a.call(b,c,d,e,f)};case 5:return function(c,d,e,f,g){return a.call(b,c,d,e,f,g)}}return function(){return a.apply(b,arguments)}}function gc(a){var b=new fg(a.byteLength),c=new ng(b);return c.set(new ng(a)),b}function hc(a,b,c){for(var d=c.length,e=-1,f=vg(a.length-d,0),g=-1,h=b.length,i=Of(h+f);++g2?c[e-2]:B,g=e>2?c[2]:B,h=e>1?c[e-1]:B;for("function"==typeof f?(f=fc(f,h,5),e-=2):(f="function"==typeof h?h:B,e-=f?1:0),g&&$c(c[0],c[1],g)&&(f=3>e?B:f,e=1);++d-1?c[f]:B}return Cb(c,d,a)}}function vc(a){return function(b,c,d){return b&&b.length?(c=Oc(c,d,3),g(b,c,a)):-1}}function wc(a){return function(b,c,d){return c=Oc(c,d,3),Cb(b,c,a,!0)}}function xc(a){return function(){for(var b,c=arguments.length,e=a?c:-1,f=0,g=Of(c);a?e--:++e=Q)return b.plant(d).value();for(var e=0,f=c?g[e].apply(this,a):d;++es){var y=h?ab(h):B,z=vg(j-s,0),A=o?x:B,C=o?B:x,F=o?u:B,G=o?B:u;b|=o?I:J,b&=~(o?J:I),p||(b&=~(D|E));var H=[a,b,c,F,A,G,C,y,i,z],K=Fc.apply(B,H);return ad(a)&&Qg(K,H),K.placeholder=w,K}}var L=m?c:this,M=n?L[a]:a;return h&&(u=hd(u,h)),l&&i=b||!tg(b))return"";var e=b-d;return c=null==c?" ":c+"",qf(c,pg(e/c.length)).slice(0,e)}function Hc(a,b,c,d){function e(){for(var b=-1,h=arguments.length,i=-1,j=d.length,k=Of(j+h);++ii))return!1;for(;++h-1&&a%1==0&&b>a}function $c(a,b,c){if(!He(c))return!1;var d=typeof b;if("number"==d?Yc(c)&&Zc(b,c.length):"string"==d&&b in c){var e=c[b];return a===a?a===e:e!==e}return!1}function _c(a,b){var c=typeof a;if("string"==c&&Ba.test(a)||"number"==c)return!0;if(Ch(a))return!1;var d=!Aa.test(a);return d||null!=b&&a in kd(b)}function ad(a){var c=Pc(a);if(!(c in e.prototype))return!1;var d=b[c];if(a===d)return!0;var f=Og(d);return!!f&&a===f[0]}function bd(a){return"number"==typeof a&&a>-1&&a%1==0&&Fg>=a}function cd(a){return a===a&&!He(a)}function dd(a,b){var c=a[1],d=b[1],e=c|d,f=K>e,g=d==K&&c==G||d==K&&c==L&&a[7].length<=b[8]||d==(K|L)&&c==G;if(!f&&!g)return a;d&D&&(a[2]=b[2],e|=c&D?0:F);var h=b[3];if(h){var i=a[3];a[3]=i?hc(i,h,b[4]):ab(h),a[4]=i?v(a[3],U):ab(b[4])}return h=b[5],h&&(i=a[5],a[5]=i?ic(i,h,b[6]):ab(h),a[6]=i?v(a[5],U):ab(b[6])),h=b[7],h&&(a[7]=ab(h)),d&K&&(a[8]=null==a[8]?b[8]:wg(a[8],b[8])),null==a[9]&&(a[9]=b[9]),a[0]=b[0],a[1]=e,a}function ed(a,b){return a===B?b:Dh(a,b,ed)}function fd(a,b){a=kd(a);for(var c=-1,d=b.length,e={};++cd;)g[++f]=Wb(a,d,d+=b);return g}function od(a){for(var b=-1,c=a?a.length:0,d=-1,e=[];++bb?0:b)):[]}function qd(a,b,c){var d=a?a.length:0;return d?((c?$c(a,b,c):null==b)&&(b=1),b=d-(+b||0),Wb(a,0,0>b?0:b)):[]}function rd(a,b,c){return a&&a.length?bc(a,Oc(b,c,3),!0,!0):[]}function sd(a,b,c){return a&&a.length?bc(a,Oc(b,c,3),!0):[]}function td(a,b,c,d){var e=a?a.length:0;return e?(c&&"number"!=typeof c&&$c(a,b,c)&&(c=0,d=e),Ab(a,b,c,d)):[]}function ud(a){return a?a[0]:B}function vd(a,b,c){var d=a?a.length:0;return c&&$c(a,b,c)&&(b=!1),d?Db(a,b):[]}function wd(a){var b=a?a.length:0;return b?Db(a,!0):[]}function xd(a,b,c){var d=a?a.length:0;if(!d)return-1;if("number"==typeof c)c=0>c?vg(d+c,0):c;else if(c){var e=dc(a,b);return d>e&&(b===b?b===a[e]:a[e]!==a[e])?e:-1}return h(a,b,c||0)}function yd(a){return qd(a,1)}function zd(a){var b=a?a.length:0;return b?a[b-1]:B}function Ad(a,b,c){var d=a?a.length:0;if(!d)return-1;var e=d;if("number"==typeof c)e=(0>c?vg(d+c,0):wg(c||0,d-1))+1;else if(c){e=dc(a,b,!0)-1;var f=a[e];return(b===b?b===f:f!==f)?e:-1}if(b!==b)return s(a,e,!0);for(;e--;)if(a[e]===b)return e;return-1}function Bd(){var a=arguments,b=a[0];if(!b||!b.length)return b;for(var c=0,d=Qc(),e=a.length;++c-1;)mg.call(b,f,1);return b}function Cd(a,b,c){var d=[];if(!a||!a.length)return d;var e=-1,f=[],g=a.length;for(b=Oc(b,c,3);++eb?0:b)):[]}function Gd(a,b,c){var d=a?a.length:0;return d?((c?$c(a,b,c):null==b)&&(b=1),b=d-(+b||0),Wb(a,0>b?0:b)):[]}function Hd(a,b,c){return a&&a.length?bc(a,Oc(b,c,3),!1,!0):[]}function Id(a,b,c){return a&&a.length?bc(a,Oc(b,c,3)):[]}function Jd(a,b,c,d){var e=a?a.length:0;if(!e)return[];null!=b&&"boolean"!=typeof b&&(d=c,c=$c(a,b,d)?B:b,b=!1);var f=Oc();return null==c&&f===ub||(c=f(c,d,3)),b&&Qc()==h?w(a,c):_b(a,c)}function Kd(a){if(!a||!a.length)return[];var b=-1,c=0;a=hb(a,function(a){return Yc(a)?(c=vg(a.length,c),!0):void 0});for(var d=Of(c);++bc?vg(e+c,0):c||0,"string"==typeof a||!Ch(a)&&Pe(a)?e>=c&&a.indexOf(b,c)>-1:!!e&&Qc(a,b,c)>-1}function _d(a,b,c){var d=Ch(a)?ib:Mb;return b=Oc(b,c,3),d(a,b)}function ae(a,b){return _d(a,Hf(b))}function be(a,b,c){var d=Ch(a)?hb:Bb;return b=Oc(b,c,3),d(a,function(a,c,d){return!b(a,c,d)})}function ce(a,b,c){if(c?$c(a,b,c):null==b){a=jd(a);var d=a.length;return d>0?a[Ub(0,d-1)]:B}var e=-1,f=Ue(a),d=f.length,g=d-1;for(b=wg(0>b?0:+b||0,d);++e0&&(c=b.apply(this,arguments)),1>=a&&(b=B),c}}function me(a,b,c){function d(){n&&gg(n),j&&gg(j),p=0,j=n=o=B}function e(b,c){c&&gg(c),j=n=o=B,b&&(p=oh(),k=a.apply(m,i),n||j||(i=m=B))}function f(){var a=b-(oh()-l);0>=a||a>b?e(o,j):n=lg(f,a)}function g(){e(r,n)}function h(){if(i=arguments,l=oh(),m=this,o=r&&(n||!s),q===!1)var c=s&&!n;else{j||s||(p=l);var d=q-(l-p),e=0>=d||d>q;e?(j&&(j=gg(j)),p=l,k=a.apply(m,i)):j||(j=lg(g,d))}return e&&n?n=gg(n):n||b===q||(n=lg(f,b)),c&&(e=!0,k=a.apply(m,i)),!e||n||j||(i=m=B),k}var i,j,k,l,m,n,o,p=0,q=!1,r=!0;if("function"!=typeof a)throw new Xf(T);if(b=0>b?0:+b||0,c===!0){var s=!0;r=!1}else He(c)&&(s=!!c.leading,q="maxWait"in c&&vg(+c.maxWait||0,b),r="trailing"in c?!!c.trailing:r);return h.cancel=d,h}function ne(a,b){if("function"!=typeof a||b&&"function"!=typeof b)throw new Xf(T);var c=function(){var d=arguments,e=b?b.apply(this,d):d[0],f=c.cache;if(f.has(e))return f.get(e);var g=a.apply(this,d);return c.cache=f.set(e,g),g};return c.cache=new ne.Cache,c}function oe(a){if("function"!=typeof a)throw new Xf(T);return function(){return!a.apply(this,arguments)}}function pe(a){return le(2,a)}function qe(a,b){if("function"!=typeof a)throw new Xf(T);return b=vg(b===B?a.length-1:+b||0,0),function(){for(var c=arguments,d=-1,e=vg(c.length-b,0),f=Of(e);++db}function xe(a,b){return a>=b}function ye(a){return t(a)&&Yc(a)&&ag.call(a,"callee")&&!jg.call(a,"callee")}function ze(a){return a===!0||a===!1||t(a)&&cg.call(a)==X}function Ae(a){return t(a)&&cg.call(a)==Y}function Be(a){return!!a&&1===a.nodeType&&t(a)&&!Ne(a)}function Ce(a){return null==a?!0:Yc(a)&&(Ch(a)||Pe(a)||ye(a)||t(a)&&Ge(a.splice))?!a.length:!Nh(a).length}function De(a,b,c,d){c="function"==typeof c?fc(c,d,3):B;var e=c?c(a,b):B;return e===B?Jb(a,b,c):!!e}function Ee(a){return t(a)&&"string"==typeof a.message&&cg.call(a)==Z}function Fe(a){return"number"==typeof a&&tg(a)}function Ge(a){return He(a)&&cg.call(a)==$}function He(a){var b=typeof a;return!!a&&("object"==b||"function"==b)}function Ie(a,b,c,d){return c="function"==typeof c?fc(c,d,3):B,Lb(a,Rc(b),c)}function Je(a){return Me(a)&&a!=+a}function Ke(a){return null==a?!1:Ge(a)?eg.test(_f.call(a)):t(a)&&Ka.test(a)}function Le(a){return null===a}function Me(a){return"number"==typeof a||t(a)&&cg.call(a)==aa}function Ne(a){var b;if(!t(a)||cg.call(a)!=ba||ye(a)||!ag.call(a,"constructor")&&(b=a.constructor,"function"==typeof b&&!(b instanceof b)))return!1;var c;return Eb(a,function(a,b){c=b}),c===B||ag.call(a,c)}function Oe(a){return He(a)&&cg.call(a)==ca}function Pe(a){return"string"==typeof a||t(a)&&cg.call(a)==ea}function Qe(a){return t(a)&&bd(a.length)&&!!Sa[cg.call(a)]}function Re(a){return a===B}function Se(a,b){return b>a}function Te(a,b){return b>=a}function Ue(a){var b=a?Pg(a):0;return bd(b)?b?ab(a):[]:ef(a)}function Ve(a){return tb(a,_e(a))}function We(a,b,c){var d=Ig(a);return c&&$c(a,b,c)&&(b=B),b?rb(d,b):d}function Xe(a){return Hb(a,_e(a))}function Ye(a,b,c){var d=null==a?B:Ib(a,ld(b),b+"");return d===B?c:d}function Ze(a,b){if(null==a)return!1;var c=ag.call(a,b);if(!c&&!_c(b)){if(b=ld(b),a=1==b.length?a:Ib(a,Wb(b,0,-1)),null==a)return!1;b=zd(b),c=ag.call(a,b)}return c||bd(a.length)&&Zc(b,a.length)&&(Ch(a)||ye(a))}function $e(a,b,c){c&&$c(a,b,c)&&(b=B);for(var d=-1,e=Nh(a),f=e.length,g={};++d0;++d=wg(b,c)&&ac?0:+c||0,d),c-=b.length,c>=0&&a.indexOf(b,c)==c}function mf(a){return a=j(a),a&&wa.test(a)?a.replace(ua,p):a}function nf(a){return a=j(a),a&&Ea.test(a)?a.replace(Da,q):a||"(?:)"}function of(a,b,c){a=j(a),b=+b;var d=a.length;if(d>=b||!tg(b))return a;var e=(b-d)/2,f=rg(e),g=pg(e);return c=Gc("",g,c),c.slice(0,f)+a+c}function pf(a,b,c){return(c?$c(a,b,c):null==b)?b=0:b&&(b=+b),a=tf(a),yg(a,b||(Ja.test(a)?16:10))}function qf(a,b){var c="";if(a=j(a),b=+b,1>b||!a||!tg(b))return c;do b%2&&(c+=a),b=rg(b/2),a+=a;while(b);return c}function rf(a,b,c){return a=j(a),c=null==c?0:wg(0>c?0:+c||0,a.length),a.lastIndexOf(b,c)==c}function sf(a,c,d){var e=b.templateSettings;d&&$c(a,c,d)&&(c=d=B),a=j(a),c=qb(rb({},d||c),e,pb);var f,g,h=qb(rb({},c.imports),e.imports,pb),i=Nh(h),k=ac(h,i),l=0,m=c.interpolate||Na,n="__p += '",o=Vf((c.escape||Na).source+"|"+m.source+"|"+(m===za?Ha:Na).source+"|"+(c.evaluate||Na).source+"|$","g"),p="//# sourceURL="+("sourceURL"in c?c.sourceURL:"lodash.templateSources["+ ++Ra+"]")+"\n";a.replace(o,function(b,c,d,e,h,i){return d||(d=e),n+=a.slice(l,i).replace(Oa,r),c&&(f=!0,n+="' +\n__e("+c+") +\n'"),h&&(g=!0,n+="';\n"+h+";\n__p += '"),d&&(n+="' +\n((__t = ("+d+")) == null ? '' : __t) +\n'"),l=i+b.length,b}),n+="';\n";var q=c.variable;q||(n="with (obj) {\n"+n+"\n}\n"),n=(g?n.replace(qa,""):n).replace(ra,"$1").replace(sa,"$1;"),n="function("+(q||"obj")+") {\n"+(q?"":"obj || (obj = {});\n")+"var __t, __p = ''"+(f?", __e = _.escape":"")+(g?", __j = Array.prototype.join;\nfunction print() { __p += __j.call(arguments, '') }\n":";\n")+n+"return __p\n}";var s=Yh(function(){return Rf(i,p+"return "+n).apply(B,k)});if(s.source=n,Ee(s))throw s;return s}function tf(a,b,c){var d=a;return(a=j(a))?(c?$c(d,b,c):null==b)?a.slice(x(a),y(a)+1):(b+="",a.slice(k(a,b),l(a,b)+1)):a}function uf(a,b,c){var d=a;return a=j(a),a?(c?$c(d,b,c):null==b)?a.slice(x(a)):a.slice(k(a,b+"")):a}function vf(a,b,c){var d=a;return a=j(a),a?(c?$c(d,b,c):null==b)?a.slice(0,y(a)+1):a.slice(0,l(a,b+"")+1):a}function wf(a,b,c){c&&$c(a,b,c)&&(b=B);var d=M,e=N;if(null!=b)if(He(b)){var f="separator"in b?b.separator:f;d="length"in b?+b.length||0:d,e="omission"in b?j(b.omission):e}else d=+b||0;if(a=j(a),d>=a.length)return a;var g=d-e.length;if(1>g)return e;var h=a.slice(0,g);if(null==f)return h+e;if(Oe(f)){if(a.slice(g).search(f)){var i,k,l=a.slice(0,g);for(f.global||(f=Vf(f.source,(Ia.exec(f)||"")+"g")),f.lastIndex=0;i=f.exec(l);)k=i.index;h=h.slice(0,null==k?g:k)}}else if(a.indexOf(f,g)!=g){var m=h.lastIndexOf(f);m>-1&&(h=h.slice(0,m))}return h+e}function xf(a){return a=j(a),a&&va.test(a)?a.replace(ta,z):a}function yf(a,b,c){return c&&$c(a,b,c)&&(b=B),a=j(a),a.match(b||Pa)||[]}function zf(a,b,c){return c&&$c(a,b,c)&&(b=B),t(a)?Cf(a):ub(a,b)}function Af(a){return function(){return a}}function Bf(a){return a}function Cf(a){return Nb(vb(a,!0))}function Df(a,b){return Ob(a,vb(b,!0))}function Ef(a,b,c){if(null==c){var d=He(b),e=d?Nh(b):B,f=e&&e.length?Hb(b,e):B;(f?f.length:d)||(f=!1,c=b,b=a,a=this)}f||(f=Hb(b,Nh(b)));var g=!0,h=-1,i=Ge(a),j=f.length;c===!1?g=!1:He(c)&&"chain"in c&&(g=c.chain);for(;++ha||!tg(a))return[];var d=-1,e=Of(wg(a,Cg));for(b=fc(b,c,1);++dd?e[d]=b(d):b(d);return e}function Lf(a){var b=++bg;return j(a)+b}function Mf(a,b){return(+a||0)+(+b||0)}function Nf(a,b,c){return c&&$c(a,b,c)&&(b=B),b=Oc(b,c,3),1==b.length?nb(Ch(a)?a:jd(a),b):$b(a,b)}a=a?eb.defaults(db.Object(),a,eb.pick(db,Qa)):db;var Of=a.Array,Pf=a.Date,Qf=a.Error,Rf=a.Function,Sf=a.Math,Tf=a.Number,Uf=a.Object,Vf=a.RegExp,Wf=a.String,Xf=a.TypeError,Yf=Of.prototype,Zf=Uf.prototype,$f=Wf.prototype,_f=Rf.prototype.toString,ag=Zf.hasOwnProperty,bg=0,cg=Zf.toString,dg=db._,eg=Vf("^"+_f.call(ag).replace(/[\\^$.*+?()[\]{}|]/g,"\\$&").replace(/hasOwnProperty|(function).*?(?=\\\()| for .+?(?=\\\])/g,"$1.*?")+"$"),fg=a.ArrayBuffer,gg=a.clearTimeout,hg=a.parseFloat,ig=Sf.pow,jg=Zf.propertyIsEnumerable,kg=Sc(a,"Set"),lg=a.setTimeout,mg=Yf.splice,ng=a.Uint8Array,og=Sc(a,"WeakMap"),pg=Sf.ceil,qg=Sc(Uf,"create"),rg=Sf.floor,sg=Sc(Of,"isArray"),tg=a.isFinite,ug=Sc(Uf,"keys"),vg=Sf.max,wg=Sf.min,xg=Sc(Pf,"now"),yg=a.parseInt,zg=Sf.random,Ag=Tf.NEGATIVE_INFINITY,Bg=Tf.POSITIVE_INFINITY,Cg=4294967295,Dg=Cg-1,Eg=Cg>>>1,Fg=9007199254740991,Gg=og&&new og,Hg={};b.support={};b.templateSettings={escape:xa,evaluate:ya,interpolate:za,variable:"",imports:{_:b}};var Ig=function(){function a(){}return function(b){if(He(b)){a.prototype=b;var c=new a;a.prototype=B}return c||{}}}(),Jg=lc(Fb),Kg=lc(Gb,!0),Lg=mc(),Mg=mc(!0),Ng=Gg?function(a,b){return Gg.set(a,b),a}:Bf,Og=Gg?function(a){return Gg.get(a)}:Gf,Pg=Rb("length"),Qg=function(){var a=0,b=0;return function(c,d){var e=oh(),f=P-(e-b);if(b=e,f>0){if(++a>=O)return c}else a=0;return Ng(c,d)}}(),Rg=qe(function(a,b){return t(a)&&Yc(a)?xb(a,Db(b,!1,!0)):[]}),Sg=vc(),Tg=vc(!0),Ug=qe(function(a){for(var b=a.length,c=b,d=Of(l),e=Qc(),f=e==h,g=[];c--;){var i=a[c]=Yc(i=a[c])?i:[];d[c]=f&&i.length>=120?oc(c&&i):null}var j=a[0],k=-1,l=j?j.length:0,m=d[0];a:for(;++k2?a[b-2]:B,d=b>1?a[b-1]:B;return b>2&&"function"==typeof c?b-=2:(c=b>1&&"function"==typeof d?(--b,d):B,d=B),a.length=b,Ld(a,c,d)}),ah=qe(function(a){return a=Db(a),this.thru(function(b){return _a(Ch(b)?b:[kd(b)],a)})}),bh=qe(function(a,b){return sb(a,Db(b))}),ch=jc(function(a,b,c){ag.call(a,c)?++a[c]:a[c]=1}),dh=uc(Jg),eh=uc(Kg,!0),fh=yc(bb,Jg),gh=yc(cb,Kg),hh=jc(function(a,b,c){ag.call(a,c)?a[c].push(b):a[c]=[b]}),ih=jc(function(a,b,c){a[c]=b}),jh=qe(function(a,b,c){var d=-1,e="function"==typeof b,f=_c(b),g=Yc(a)?Of(a.length):[];return Jg(a,function(a){var h=e?b:f&&null!=a?a[b]:B;g[++d]=h?h.apply(a,c):Xc(a,b,c)}),g}),kh=jc(function(a,b,c){a[c?0:1].push(b)},function(){return[[],[]]}),lh=Ec(kb,Jg),mh=Ec(lb,Kg),nh=qe(function(a,b){if(null==a)return[];var c=b[2];return c&&$c(b[0],b[1],c)&&(b.length=1),Zb(a,Db(b),[])}),oh=xg||function(){return(new Pf).getTime()},ph=qe(function(a,b,c){var d=D;if(c.length){var e=v(c,ph.placeholder);d|=I}return Kc(a,d,b,c,e)}),qh=qe(function(a,b){b=b.length?Db(b):Xe(a);for(var c=-1,d=b.length;++c0||0>b)?new e(c):(0>a?c=c.takeRight(-a):a&&(c=c.drop(a)),b!==B&&(b=+b||0,c=0>b?c.dropRight(-b):c.take(b-a)),c)},e.prototype.takeRightWhile=function(a,b){return this.reverse().takeWhile(a,b).reverse()},e.prototype.toArray=function(){return this.take(Bg)},Fb(e.prototype,function(a,c){var f=/^(?:filter|map|reject)|While$/.test(c),g=/^(?:first|last)$/.test(c),h=b[g?"take"+("last"==c?"Right":""):c];h&&(b.prototype[c]=function(){var b=g?[1]:arguments,c=this.__chain__,i=this.__wrapped__,j=!!this.__actions__.length,k=i instanceof e,l=b[0],m=k||Ch(i);m&&f&&"function"==typeof l&&1!=l.length&&(k=m=!1);var n=function(a){return g&&c?h(a,1)[0]:h.apply(B,jb([a],b))},o={func:Qd,args:[n],thisArg:B},p=k&&!j;if(g&&!c)return p?(i=i.clone(),i.__actions__.push(o),a.call(i)):h.call(B,this.value())[0];if(!g&&m){i=p?i:new e(this);var q=a.apply(i,b);return q.__actions__.push(o),new d(q,c)}return this.thru(n)})}),bb(["join","pop","push","replace","shift","sort","splice","split","unshift"],function(a){var c=(/^(?:replace|split)$/.test(a)?$f:Yf)[a],d=/^(?:push|sort|unshift)$/.test(a)?"tap":"thru",e=/^(?:join|pop|replace|shift)$/.test(a);b.prototype[a]=function(){var a=arguments;return e&&!this.__chain__?c.apply(this.value(),a):this[d](function(b){return c.apply(b,a)})}}),Fb(e.prototype,function(a,c){var d=b[c];if(d){var e=d.name,f=Hg[e]||(Hg[e]=[]);f.push({name:c,func:d})}}),Hg[Fc(B,E).name]=[{name:"wrapper",func:B}],e.prototype.clone=u,e.prototype.reverse=_,e.prototype.value=da,b.prototype.chain=Rd,b.prototype.commit=Sd,b.prototype.concat=ah,b.prototype.plant=Td,b.prototype.reverse=Ud,b.prototype.toString=Vd,b.prototype.run=b.prototype.toJSON=b.prototype.valueOf=b.prototype.value=Wd,b.prototype.collect=b.prototype.map,b.prototype.head=b.prototype.first,b.prototype.select=b.prototype.filter,b.prototype.tail=b.prototype.rest,b}var B,C="3.10.1",D=1,E=2,F=4,G=8,H=16,I=32,J=64,K=128,L=256,M=30,N="...",O=150,P=16,Q=200,R=1,S=2,T="Expected a function",U="__lodash_placeholder__",V="[object Arguments]",W="[object Array]",X="[object Boolean]",Y="[object Date]",Z="[object Error]",$="[object Function]",_="[object Map]",aa="[object Number]",ba="[object Object]",ca="[object RegExp]",da="[object Set]",ea="[object String]",fa="[object WeakMap]",ga="[object ArrayBuffer]",ha="[object Float32Array]",ia="[object Float64Array]",ja="[object Int8Array]",ka="[object Int16Array]",la="[object Int32Array]",ma="[object Uint8Array]",na="[object Uint8ClampedArray]",oa="[object Uint16Array]",pa="[object Uint32Array]",qa=/\b__p \+= '';/g,ra=/\b(__p \+=) '' \+/g,sa=/(__e\(.*?\)|\b__t\)) \+\n'';/g,ta=/&(?:amp|lt|gt|quot|#39|#96);/g,ua=/[&<>"'`]/g,va=RegExp(ta.source),wa=RegExp(ua.source),xa=/<%-([\s\S]+?)%>/g,ya=/<%([\s\S]+?)%>/g,za=/<%=([\s\S]+?)%>/g,Aa=/\.|\[(?:[^[\]]*|(["'])(?:(?!\1)[^\n\\]|\\.)*?\1)\]/,Ba=/^\w*$/,Ca=/[^.[\]]+|\[(?:(-?\d+(?:\.\d+)?)|(["'])((?:(?!\2)[^\n\\]|\\.)*?)\2)\]/g,Da=/^[:!,]|[\\^$.*+?()[\]{}|\/]|(^[0-9a-fA-Fnrtuvx])|([\n\r\u2028\u2029])/g,Ea=RegExp(Da.source),Fa=/[\u0300-\u036f\ufe20-\ufe23]/g,Ga=/\\(\\)?/g,Ha=/\$\{([^\\}]*(?:\\.[^\\}]*)*)\}/g,Ia=/\w*$/,Ja=/^0[xX]/,Ka=/^\[object .+?Constructor\]$/,La=/^\d+$/,Ma=/[\xc0-\xd6\xd8-\xde\xdf-\xf6\xf8-\xff]/g,Na=/($^)/,Oa=/['\n\r\u2028\u2029\\]/g,Pa=function(){var a="[A-Z\\xc0-\\xd6\\xd8-\\xde]",b="[a-z\\xdf-\\xf6\\xf8-\\xff]+";return RegExp(a+"+(?="+a+b+")|"+a+"?"+b+"|"+a+"+|[0-9]+","g")}(),Qa=["Array","ArrayBuffer","Date","Error","Float32Array","Float64Array","Function","Int8Array","Int16Array","Int32Array","Math","Number","Object","RegExp","Set","String","_","clearTimeout","isFinite","parseFloat","parseInt","setTimeout","TypeError","Uint8Array","Uint8ClampedArray","Uint16Array","Uint32Array","WeakMap"],Ra=-1,Sa={};Sa[ha]=Sa[ia]=Sa[ja]=Sa[ka]=Sa[la]=Sa[ma]=Sa[na]=Sa[oa]=Sa[pa]=!0,Sa[V]=Sa[W]=Sa[ga]=Sa[X]=Sa[Y]=Sa[Z]=Sa[$]=Sa[_]=Sa[aa]=Sa[ba]=Sa[ca]=Sa[da]=Sa[ea]=Sa[fa]=!1;var Ta={};Ta[V]=Ta[W]=Ta[ga]=Ta[X]=Ta[Y]=Ta[ha]=Ta[ia]=Ta[ja]=Ta[ka]=Ta[la]=Ta[aa]=Ta[ba]=Ta[ca]=Ta[ea]=Ta[ma]=Ta[na]=Ta[oa]=Ta[pa]=!0,Ta[Z]=Ta[$]=Ta[_]=Ta[da]=Ta[fa]=!1;var Ua={"À":"A","Á":"A","Â":"A","Ã":"A","Ä":"A","Å":"A","à":"a","á":"a","â":"a","ã":"a","ä":"a","å":"a","Ç":"C","ç":"c","Ð":"D","ð":"d","È":"E","É":"E","Ê":"E","Ë":"E","è":"e","é":"e","ê":"e","ë":"e","Ì":"I","Í":"I","Î":"I","Ï":"I","ì":"i","í":"i","î":"i","ï":"i","Ñ":"N","ñ":"n","Ò":"O","Ó":"O","Ô":"O","Õ":"O","Ö":"O","Ø":"O","ò":"o","ó":"o","ô":"o","õ":"o","ö":"o","ø":"o","Ù":"U","Ú":"U","Û":"U","Ü":"U","ù":"u","ú":"u","û":"u","ü":"u","Ý":"Y","ý":"y","ÿ":"y","Æ":"Ae","æ":"ae","Þ":"Th","þ":"th","ß":"ss"},Va={"&":"&","<":"<",">":">",'"':""","'":"'","`":"`"},Wa={"&":"&","<":"<",">":">",""":'"',"'":"'","`":"`"},Xa={"function":!0,object:!0},Ya={0:"x30",1:"x31",2:"x32",3:"x33",4:"x34",5:"x35",6:"x36",7:"x37",8:"x38",9:"x39",A:"x41",B:"x42",C:"x43",D:"x44",E:"x45",F:"x46",a:"x61",b:"x62",c:"x63",d:"x64",e:"x65",f:"x66",n:"x6e",r:"x72",t:"x74",u:"x75",v:"x76",x:"x78"},Za={"\\":"\\","'":"'","\n":"n","\r":"r","\u2028":"u2028","\u2029":"u2029"},$a=Xa[typeof b]&&b&&!b.nodeType&&b,_a=Xa[typeof a]&&a&&!a.nodeType&&a,ab=$a&&_a&&"object"==typeof e&&e&&e.Object&&e,bb=Xa[typeof self]&&self&&self.Object&&self,cb=Xa[typeof window]&&window&&window.Object&&window,db=(_a&&_a.exports===$a&&$a,ab||cb!==(this&&this.window)&&cb||bb||this),eb=A();db._=eb,d=function(){return eb}.call(b,c,b,a),!(d!==B&&(a.exports=d))}).call(this)}).call(b,c(8)(a),function(){return this}())},function(a,b){a.exports=function(a){return a.webpackPolyfill||(a.deprecate=function(){},a.paths=[],a.children=[],a.webpackPolyfill=1),a}},function(a,b,c){"use strict";function d(a,b){var c="normal";return a&&b?c="bolditalics":a?c="bold":b&&(c="italics"),c}function e(a,b){this.fonts={},this.pdfDoc=b,this.fontWrappers={};for(var c in a)if(a.hasOwnProperty(c)){var d=a[c];this.fonts[c]={normal:d.normal,bold:d.bold,italics:d.italics,bolditalics:d.bolditalics}}}var f=c(7),g=c(10);e.prototype.provideFont=function(a,b,c){var e=d(b,c);if(!this.fonts[a]||!this.fonts[a][e])throw new Error("Font '"+a+"' in style '"+e+"' is not defined in the font section of the document definition.");return this.fontWrappers[a]=this.fontWrappers[a]||{},this.fontWrappers[a][e]||(this.fontWrappers[a][e]=new g(this.pdfDoc,this.fonts[a][e],a+"("+e+")")),this.fontWrappers[a][e]},e.prototype.setFontRefsToPdfDoc=function(){var a=this;f.each(a.fontWrappers,function(b){f.each(b,function(b){f.each(b.pdfFonts,function(b){a.pdfDoc.page.fonts[b.id]||(a.pdfDoc.page.fonts[b.id]=b.ref())})})})},a.exports=e},function(a,b,c){"use strict";function d(a,b,c){this.MAX_CHAR_TYPES=92,this.pdfkitDoc=a,this.path=b,this.pdfFonts=[],this.charCatalogue=[],this.name=c,Object.defineProperty(this,"ascender",{get:function(){var a=this.getFont(0);return a.ascender}}),Object.defineProperty(this,"decender",{get:function(){var a=this.getFont(0);return a.decender}})}var e=c(7);d.prototype.getFont=function(a){if(!this.pdfFonts[a]){var b=this.name+a;this.postscriptName&&delete this.pdfkitDoc._fontFamilies[this.postscriptName],this.pdfFonts[a]=this.pdfkitDoc.font(this.path,b)._font,this.postscriptName||(this.postscriptName=this.pdfFonts[a].name)}return this.pdfFonts[a]},d.prototype.widthOfString=function(){var a=this.getFont(0);return a.widthOfString.apply(a,arguments)},d.prototype.lineHeight=function(){var a=this.getFont(0);return a.lineHeight.apply(a,arguments)},d.prototype.ref=function(){var a=this.getFont(0);return a.ref.apply(a,arguments)};var f=function(a){return a.charCodeAt(0)};d.prototype.encode=function(a){var b=this,c=e.chain(a.split("")).map(f).uniq().value();if(c.length>b.MAX_CHAR_TYPES)throw new Error("Inline has more than "+b.MAX_CHAR_TYPES+": "+a+" different character types and therefore cannot be properly embedded into pdf.");var d=function(a){return e.uniq(a.concat(c)).length<=b.MAX_CHAR_TYPES},g=e.findIndex(b.charCatalogue,d);0>g&&(g=b.charCatalogue.length,b.charCatalogue[g]=[]);var h=b.getFont(g);h.use(a),e.each(c,function(a){e.includes(b.charCatalogue[g],a)||b.charCatalogue[g].push(a)});var i=e.map(h.encode(a),function(a){return a.charCodeAt(0).toString(16)}).join("");return{encodedText:i,fontId:h.id}},a.exports=d},function(a,b,c){"use strict";function d(a,b){g.each(b,function(b){a.push(b)})}function e(a,b,c){this.pageSize=a,this.pageMargins=b,this.tracker=new h,this.imageMeasure=c,this.tableLayouts={}}function f(a){var b=a.x,c=a.y;a.positions=[],g.each(a.canvas,function(a){var b=a.x,c=a.y,d=a.x1,e=a.y1,f=a.x2,g=a.y2;a.resetXY=function(){a.x=b,a.y=c,a.x1=d,a.y1=e,a.x2=f,a.y2=g}}),a.resetXY=function(){a.x=b,a.y=c,g.each(a.canvas,function(a){a.resetXY()})}}var g=c(7),h=c(12),i=c(13),j=c(19),k=c(20),l=c(16),m=c(23),n=c(22),o=c(17).pack,p=c(17).offsetVector,q=c(17).fontStringify,r=c(17).isFunction,s=c(14),t=c(15);e.prototype.registerTableLayouts=function(a){this.tableLayouts=o(this.tableLayouts,a)},e.prototype.layoutDocument=function(a,b,c,d,e,f,h,j,k,l){function m(a,b){return r(l)?(a=g.reject(a,function(a){return g.isEmpty(a.positions)}),g.each(a,function(a){var c=g.pick(a,["id","text","ul","ol","table","image","qr","canvas","columns","headlineLevel","style","pageBreak","pageOrientation","width","height"]);c.startPosition=g.first(a.positions),c.pageNumbers=g.chain(a.positions).map("pageNumber").uniq().value(),c.pages=b.length,c.stack=g.isArray(a.stack),a.nodeInfo=c}),g.any(a,function(a,b,c){if("before"!==a.pageBreak&&!a.pageBreakCalculated){a.pageBreakCalculated=!0;var d=g.first(a.nodeInfo.pageNumbers),e=g.chain(c).drop(b+1).filter(function(a){return g.contains(a.nodeInfo.pageNumbers,d)}).value(),f=g.chain(c).drop(b+1).filter(function(a){return g.contains(a.nodeInfo.pageNumbers,d+1)}).value(),h=g.chain(c).take(b).filter(function(a){return g.contains(a.nodeInfo.pageNumbers,d)}).value();if(l(a.nodeInfo,g.map(e,"nodeInfo"),g.map(f,"nodeInfo"),g.map(h,"nodeInfo")))return a.pageBreak="before",!0}})):!1}function n(a){g.each(a.linearNodeList,function(a){a.resetXY()})}this.docMeasure=new i(b,c,d,this.imageMeasure,this.tableLayouts,j);for(var o=this.tryLayoutDocument(a,b,c,d,e,f,h,j,k);m(o.linearNodeList,o.pages);)n(o),o=this.tryLayoutDocument(a,b,c,d,e,f,h,j,k);return o.pages},e.prototype.tryLayoutDocument=function(a,b,c,d,e,f,g,h,i,l){this.linearNodeList=[],a=this.docMeasure.measureDocument(a),this.writer=new k(new j(this.pageSize,this.pageMargins),this.tracker);var m=this;return this.writer.context().tracker.startTracking("pageAdded",function(){m.addBackground(e)}),this.addBackground(e),this.processNode(a),this.addHeadersAndFooters(f,g),null!=i&&this.addWatermark(i,b),{pages:this.writer.context().pages,linearNodeList:this.linearNodeList}},e.prototype.addBackground=function(a){var b=r(a)?a:function(){return a},c=b(this.writer.context().page+1);if(c){var d=this.writer.context().getCurrentPage().pageSize;this.writer.beginUnbreakableBlock(d.width,d.height),this.processNode(this.docMeasure.measureDocument(c)),this.writer.commitUnbreakableBlock(0,0)}},e.prototype.addStaticRepeatable=function(a,b){this.addDynamicRepeatable(function(){return a},b)},e.prototype.addDynamicRepeatable=function(a,b){for(var c=this.writer.context().pages,d=0,e=c.length;e>d;d++){this.writer.context().page=d;var f=a(d+1,e);if(f){var g=b(this.writer.context().getCurrentPage().pageSize,this.pageMargins);this.writer.beginUnbreakableBlock(g.width,g.height),this.processNode(this.docMeasure.measureDocument(f)),this.writer.commitUnbreakableBlock(g.x,g.y)}}},e.prototype.addHeadersAndFooters=function(a,b){var c=function(a,b){return{x:0,y:0,width:a.width,height:b.top}},d=function(a,b){return{x:0,y:a.height-b.bottom,width:a.width,height:b.bottom}};r(a)?this.addDynamicRepeatable(a,c):a&&this.addStaticRepeatable(a,c),r(b)?this.addDynamicRepeatable(b,d):b&&this.addStaticRepeatable(b,d)},e.prototype.addWatermark=function(a,b){function c(a,b,c){for(var d,e=a.width,f=a.height,g=.8*Math.sqrt(e*e+f*f),h=new s(c),i=new t,j=0,k=1e3,l=(j+k)/2;Math.abs(j-k)>1;)i.push({fontSize:l}),d=h.sizeOfString(b,i),d.width>g?(k=l,l=(j+k)/2):d.widthg;g++)f[g].watermark=e},e.prototype.processNode=function(a){function b(b){var d=a._margin;"before"===a.pageBreak&&c.writer.moveToNextPage(a.pageOrientation),d&&(c.writer.context().moveDown(d[1]),c.writer.context().addMargin(d[0],d[2])),b(),d&&(c.writer.context().addMargin(-d[0],-d[2]),c.writer.context().moveDown(d[3])),"after"===a.pageBreak&&c.writer.moveToNextPage(a.pageOrientation)}var c=this;this.linearNodeList.push(a),f(a),b(function(){var b=a.absolutePosition;if(b&&(c.writer.context().beginDetachedBlock(),c.writer.context().moveTo(b.x||0,b.y||0)),a.stack)c.processVerticalContainer(a);else if(a.columns)c.processColumns(a);else if(a.ul)c.processList(!1,a);else if(a.ol)c.processList(!0,a);else if(a.table)c.processTable(a);else if(void 0!==a.text)c.processLeaf(a);else if(a.image)c.processImage(a);else if(a.canvas)c.processCanvas(a);else if(a.qr)c.processQr(a);else if(!a._span)throw"Unrecognized document structure: "+JSON.stringify(a,q);b&&c.writer.context().endDetachedBlock()})},e.prototype.processVerticalContainer=function(a){var b=this;a.stack.forEach(function(c){b.processNode(c),d(a.positions,c.positions)})},e.prototype.processColumns=function(a){function b(a){if(!a)return null;var b=[];b.push(0);for(var d=c.length-1;d>0;d--)b.push(a);return b}var c=a.columns,e=this.writer.context().availableWidth,f=b(a._gap);f&&(e-=(f.length-1)*a._gap),l.buildColumnWidths(c,e);var g=this.processRow(c,c,f);d(a.positions,g.positions)},e.prototype.processRow=function(a,b,c,e,f){function g(a){for(var b,c=0,d=k.length;d>c;c++){var e=k[c];if(e.prevPage===a.prevPage){b=e;break}}b||(b=a,k.push(b)),b.prevY=Math.max(b.prevY,a.prevY),b.y=Math.min(b.y,a.y)}function h(a){return c&&c.length>a?c[a]:0}function i(a,b){if(a.rowSpan&&a.rowSpan>1){var c=f+a.rowSpan-1;if(c>=e.length)throw"Row span for column "+b+" (with indexes starting from 0) exceeded row count";return e[c][b]}return null}var j=this,k=[],l=[];return this.tracker.auto("pageChanged",g,function(){b=b||a,j.writer.context().beginColumnGroup();for(var e=0,f=a.length;f>e;e++){var g=a[e],k=b[e]._calcWidth,m=h(e);if(g.colSpan&&g.colSpan>1)for(var n=1;nc;c++){b.beginRow(c,this.writer);var f=this.processRow(a.table.body[c],a.table.widths,a._offsets.offsets,a.table.body,c);d(a.positions,f.positions),b.endRow(c,this.writer,f.pageBreaks)}b.endTable(this.writer)},e.prototype.processLeaf=function(a){for(var b=this.buildNextLine(a),c=b?b.getHeight():0,d=a.maxHeight||-1;b&&(-1===d||d>c);){var e=this.writer.addLine(b);a.positions.push(e),b=this.buildNextLine(a),b&&(c+=b.getHeight())}},e.prototype.buildNextLine=function(a){if(!a._inlines||0===a._inlines.length)return null;for(var b=new n(this.writer.context().availableWidth);a._inlines&&a._inlines.length>0&&b.hasEnoughSpaceForInline(a._inlines[0]);)b.addInline(a._inlines.shift());return b.lastLineInParagraph=0===a._inlines.length,b},e.prototype.processImage=function(a){var b=this.writer.addImage(a);a.positions.push(b)},e.prototype.processCanvas=function(a){var b=a._minHeight;this.writer.context().availableHeight=0&&c.splice(d,1)}},c.prototype.emit=function(a){var b=Array.prototype.slice.call(arguments,1),c=this.events[a];c&&c.forEach(function(a){a.apply(this,b)})},c.prototype.auto=function(a,b,c){this.startTracking(a,b),c(),this.stopTracking(a,b)},a.exports=c},function(a,b,c){"use strict";function d(a,b,c,d,g,h){this.textTools=new e(a),this.styleStack=new f(b,c),this.imageMeasure=d,this.tableLayouts=g,this.images=h,this.autoImageIndex=1}var e=c(14),f=c(15),g=c(16),h=c(17).fontStringify,i=c(17).pack,j=c(18);d.prototype.measureDocument=function(a){return this.measureNode(a)},d.prototype.measureNode=function(a){function b(a){var b=a._margin;return b&&(a._minWidth+=b[0]+b[2],a._maxWidth+=b[0]+b[2]),a}function c(){function b(a,b){return a.marginLeft||a.marginTop||a.marginRight||a.marginBottom?[a.marginLeft||b[0]||0,a.marginTop||b[1]||0,a.marginRight||b[2]||0,a.marginBottom||b[3]||0]:b}function c(a){for(var b={},c=a.length-1;c>=0;c--){var e=a[c],f=d.styleStack.styleDictionary[e];for(var g in f)f.hasOwnProperty(g)&&(b[g]=f[g])}return b}function e(a){return"number"==typeof a||a instanceof Number?a=[a,a,a,a]:a instanceof Array&&2===a.length&&(a=[a[0],a[1],a[0],a[1]]),a}var f=[void 0,void 0,void 0,void 0];if(a.style){var g=a.style instanceof Array?a.style:[a.style],h=c(g);h&&(f=b(h,f)),h.margin&&(f=e(h.margin))}return f=b(a,f),a.margin&&(f=e(a.margin)),void 0===f[0]&&void 0===f[1]&&void 0===f[2]&&void 0===f[3]?null:f}a instanceof Array?a={stack:a}:("string"==typeof a||a instanceof String)&&(a={text:a}),0===Object.keys(a).length&&(a={text:""});var d=this;return this.styleStack.auto(a,function(){if(a._margin=c(a),a.columns)return b(d.measureColumns(a));if(a.stack)return b(d.measureVerticalContainer(a));if(a.ul)return b(d.measureList(!1,a));if(a.ol)return b(d.measureList(!0,a));if(a.table)return b(d.measureTable(a));if(void 0!==a.text)return b(d.measureLeaf(a));if(a.image)return b(d.measureImage(a));if(a.canvas)return b(d.measureCanvas(a));if(a.qr)return b(d.measureQr(a));throw"Unrecognized document structure: "+JSON.stringify(a,h)})},d.prototype.convertIfBase64Image=function(a){if(/^data:image\/(jpeg|jpg|png);base64,/.test(a.image)){var b="$$pdfmake$$"+this.autoImageIndex++;this.images[b]=a.image,a.image=b}},d.prototype.measureImage=function(a){this.images&&this.convertIfBase64Image(a);var b=this.imageMeasure.measureImage(a.image);if(a.fit){var c=b.width/b.height>a.fit[0]/a.fit[1]?a.fit[0]/b.width:a.fit[1]/b.height;a._width=a._minWidth=a._maxWidth=b.width*c,a._height=b.height*c}else a._width=a._minWidth=a._maxWidth=a.width||b.width,a._height=a.height||b.height*a._width/b.width;return a._alignment=this.styleStack.getProperty("alignment"),a},d.prototype.measureLeaf=function(a){var b=this.styleStack.clone();b.push(a);var c=this.textTools.buildInlines(a.text,b);return a._inlines=c.items,a._minWidth=c.minWidth,a._maxWidth=c.maxWidth,a},d.prototype.measureVerticalContainer=function(a){var b=a.stack;a._minWidth=0,a._maxWidth=0;for(var c=0,d=b.length;d>c;c++)b[c]=this.measureNode(b[c]),a._minWidth=Math.max(a._minWidth,b[c]._minWidth),a._maxWidth=Math.max(a._maxWidth,b[c]._maxWidth);return a},d.prototype.gapSizeForList=function(a,b){if(a){var c=b.length.toString().replace(/./g,"9");return this.textTools.sizeOfString(c+". ",this.styleStack)}return this.textTools.sizeOfString("9. ",this.styleStack)},d.prototype.buildMarker=function(a,b,c,d){var e;if(a)e={_inlines:this.textTools.buildInlines(b,c).items};else{var f=d.fontSize/6;e={canvas:[{x:f,y:d.height/d.lineHeight+d.decender-d.fontSize/3,r1:f,r2:f,type:"ellipse",color:"black"}]}}return e._minWidth=e._maxWidth=d.width,e._minHeight=e._maxHeight=d.height,e},d.prototype.measureList=function(a,b){var c=this.styleStack.clone(),d=a?b.ol:b.ul;b._gapSize=this.gapSizeForList(a,d),b._minWidth=0,b._maxWidth=0;for(var e=1,f=0,g=d.length;g>f;f++){var h=d[f]=this.measureNode(d[f]),i=e++ +". ";h.ol||h.ul||(h.listMarker=this.buildMarker(a,h.counter||i,c,b._gapSize)),b._minWidth=Math.max(b._minWidth,d[f]._minWidth+b._gapSize.width),b._maxWidth=Math.max(b._maxWidth,d[f]._maxWidth+b._gapSize.width)}return b},d.prototype.measureColumns=function(a){var b=a.columns;a._gap=this.styleStack.getProperty("columnGap")||0;for(var c=0,d=b.length;d>c;c++)b[c]=this.measureNode(b[c]);var e=g.measureMinMax(b);return a._minWidth=e.min+a._gap*(b.length-1),a._maxWidth=e.max+a._gap*(b.length-1),a},d.prototype.measureTable=function(a){function b(a,b){return function(){return null!==b&&"object"==typeof b&&(b.fillColor=a.styleStack.getProperty("fillColor")),a.measureNode(b)}}function c(b){var c=a.layout;("string"==typeof a.layout||a instanceof String)&&(c=b[c]);var d={hLineWidth:function(a,b){return 1},vLineWidth:function(a,b){return 1},hLineColor:function(a,b){return"black"},vLineColor:function(a,b){return"black"},paddingLeft:function(a,b){return 4},paddingRight:function(a,b){return 4},paddingTop:function(a,b){return 2},paddingBottom:function(a,b){return 2}};return i(d,c)}function d(b){for(var c=[],d=0,e=0,f=0,g=a.table.widths.length;g>f;f++){var h=e+b.vLineWidth(f,a)+b.paddingLeft(f,a);c.push(h),d+=h,e=b.paddingRight(f,a)}return d+=e+b.vLineWidth(a.table.widths.length,a),{total:d,offsets:c}}function e(){for(var b,c,d=0,e=p.length;e>d;d++){var g=p[d],h=f(g.col,g.span,a._offsets),i=g.minWidth-h.minWidth,j=g.maxWidth-h.maxWidth;if(i>0)for(b=i/g.span,c=0;c0)for(b=j/g.span,c=0;cf;f++)e.minWidth+=a.table.widths[b+f]._minWidth+(f?d.offsets[b+f]:0),e.maxWidth+=a.table.widths[b+f]._maxWidth+(f?d.offsets[b+f]:0);return e}function h(a,b,c){for(var d=1;c>d;d++)a[b+d]={_span:!0,_minWidth:0,_maxWidth:0,rowSpan:a[b].rowSpan}}function j(a,b,c,d){for(var e=1;d>e;e++)a.body[b+e][c]={_span:!0,_minWidth:0,_maxWidth:0,fillColor:a.body[b][c].fillColor}}function k(a){if(a.table.widths||(a.table.widths="auto"),"string"==typeof a.table.widths||a.table.widths instanceof String)for(a.table.widths=[a.table.widths];a.table.widths.lengthb;b++){var d=a.table.widths[b];("number"==typeof d||d instanceof Number||"string"==typeof d||d instanceof String)&&(a.table.widths[b]={width:d})}}k(a),a._layout=c(this.tableLayouts),a._offsets=d(a._layout);var l,m,n,o,p=[];for(l=0,n=a.table.body[0].length;n>l;l++){var q=a.table.widths[l];for(q._minWidth=0,q._maxWidth=0,m=0,o=a.table.body.length;o>m;m++){var r=a.table.body[m],s=r[l];if(!s._span){s=r[l]=this.styleStack.auto(s,b(this,s)),s.colSpan&&s.colSpan>1?(h(r,l,s.colSpan),p.push({col:l,span:s.colSpan,minWidth:s._minWidth,maxWidth:s._maxWidth})):(q._minWidth=Math.max(q._minWidth,s._minWidth),q._maxWidth=Math.max(q._maxWidth,s._maxWidth))}s.rowSpan&&s.rowSpan>1&&j(a.table,m,l,s.rowSpan)}}e();var t=g.measureMinMax(a.table.widths);return a._minWidth=t.min+a._offsets.total,a._maxWidth=t.max+a._offsets.total,a},d.prototype.measureCanvas=function(a){for(var b=0,c=0,d=0,e=a.canvas.length;e>d;d++){var f=a.canvas[d];switch(f.type){case"ellipse":b=Math.max(b,f.x+f.r1),c=Math.max(c,f.y+f.r2);break;case"rect":b=Math.max(b,f.x+f.w),c=Math.max(c,f.y+f.h);break;case"line":b=Math.max(b,f.x1,f.x2),c=Math.max(c,f.y1,f.y2);break;case"polyline":for(var g=0,h=f.points.length;h>g;g++)b=Math.max(b,f.points[g].x),c=Math.max(c,f.points[g].y)}}return a._minWidth=a._maxWidth=b,a._minHeight=a._maxHeight=c,a},d.prototype.measureQr=function(a){return a=j.measure(a),a._alignment=this.styleStack.getProperty("alignment"),a},a.exports=d},function(a,b){"use strict";function c(a){this.fontProvider=a}function d(a,b){var c=[];a=a.replace(" "," ");var d;d=b?[a,""]:a.match(j);for(var e=0,f=d.length;f-1>e;e++){var g=d[e],h=0===g.length;if(h){var i=0===c.length||c[c.length-1].lineEnd;i?c.push({text:"",lineEnd:!0}):c[c.length-1].lineEnd=!0}else c.push({text:g})}return c}function e(a,b){b=b||{},a=a||{};for(var c in a)"text"!=c&&a.hasOwnProperty(c)&&(b[c]=a[c]);return b}function f(a){var b=[];("string"==typeof a||a instanceof String)&&(a=[a]);for(var c=0,f=a.length;f>c;c++){var g,h=a[c],i=null;"string"==typeof h||h instanceof String?g=d(h):(g=d(h.text,h.noWrap),i=e(h));for(var j=0,k=g.length;k>j;j++){var l={text:g[j].text};g[j].lineEnd&&(l.lineEnd=!0),e(i,l),b.push(l)}}return b}function g(a){return a.replace(/[^A-Za-z0-9\[\] ]/g,function(a){return m[a]||a})}function h(a,b,c,d){var e;return void 0!==a[c]&&null!==a[c]?a[c]:b?(b.auto(a,function(){e=b.getProperty(c)}),null!==e&&void 0!==e?e:d):d}function i(a,b,c){var d=f(b);return d.forEach(function(b){var d=h(b,c,"font","Roboto"),e=h(b,c,"fontSize",12),f=h(b,c,"bold",!1),i=h(b,c,"italics",!1),j=h(b,c,"color","black"),m=h(b,c,"decoration",null),n=h(b,c,"decorationColor",null),o=h(b,c,"decorationStyle",null),p=h(b,c,"background",null),q=h(b,c,"lineHeight",1),r=a.provideFont(d,f,i);b.width=r.widthOfString(g(b.text),e),b.height=r.lineHeight(e)*q;var s=b.text.match(k),t=b.text.match(l);s?b.leadingCut=r.widthOfString(s[0],e):b.leadingCut=0, +t?b.trailingCut=r.widthOfString(t[0],e):b.trailingCut=0,b.alignment=h(b,c,"alignment","left"),b.font=r,b.fontSize=e,b.color=j,b.decoration=m,b.decorationColor=n,b.decorationStyle=o,b.background=p}),d}var j=/([^ ,\/!.?:;\-\n]*[ ,\/!.?:;\-]*)|\n/g,k=/^(\s)+/g,l=/(\s)+$/g;c.prototype.buildInlines=function(a,b){function c(a){return Math.max(0,a.width-a.leadingCut-a.trailingCut)}var d,e=i(this.fontProvider,a,b),f=0,g=0;return e.forEach(function(a){f=Math.max(f,a.width-a.leadingCut-a.trailingCut),d||(d={width:0,leadingCut:a.leadingCut,trailingCut:0}),d.width+=a.width,d.trailingCut=a.trailingCut,g=Math.max(g,c(d)),a.lineEnd&&(d=null)}),h({},b,"noWrap",!1)&&(f=g),{items:e,minWidth:f,maxWidth:g}},c.prototype.sizeOfString=function(a,b){a=a.replace(" "," ");var c=h({},b,"font","Roboto"),d=h({},b,"fontSize",12),e=h({},b,"bold",!1),f=h({},b,"italics",!1),i=h({},b,"lineHeight",1),j=this.fontProvider.provideFont(c,e,f);return{width:j.widthOfString(g(a),d),height:j.lineHeight(d)*i,fontSize:d,lineHeight:i,ascender:j.ascender/1e3*d,decender:j.decender/1e3*d}};var m={"Ą":"A","Ć":"C","Ę":"E","Ł":"L","Ń":"N","Ó":"O","Ś":"S","Ź":"Z","Ż":"Z","ą":"a","ć":"c","ę":"e","ł":"l","ń":"n","ó":"o","ś":"s","ź":"z","ż":"z"};a.exports=c},function(a,b){"use strict";function c(a,b){this.defaultStyle=b||{},this.styleDictionary=a,this.styleOverrides=[]}c.prototype.clone=function(){var a=new c(this.styleDictionary,this.defaultStyle);return this.styleOverrides.forEach(function(b){a.styleOverrides.push(b)}),a},c.prototype.push=function(a){this.styleOverrides.push(a)},c.prototype.pop=function(a){for(a=a||1;a-- >0;)this.styleOverrides.pop()},c.prototype.autopush=function(a){if("string"==typeof a||a instanceof String)return 0;var b=[];a.style&&(b=a.style instanceof Array?a.style:[a.style]);for(var c=0,d=b.length;d>c;c++)this.push(b[c]);var e={},f=!1;return["font","fontSize","bold","italics","alignment","color","columnGap","fillColor","decoration","decorationStyle","decorationColor","background","lineHeight","noWrap"].forEach(function(b){void 0!==a[b]&&null!==a[b]&&(e[b]=a[b],f=!0)}),f&&this.push(e),b.length+(f?1:0)},c.prototype.auto=function(a,b){var c=this.autopush(a),d=b();return c>0&&this.pop(c),d},c.prototype.getProperty=function(a){if(this.styleOverrides)for(var b=this.styleOverrides.length-1;b>=0;b--){var c=this.styleOverrides[b];if("string"==typeof c||c instanceof String){var d=this.styleDictionary[c];if(d&&null!==d[a]&&void 0!==d[a])return d[a]}else if(void 0!==c[a]&&null!==c[a])return c[a]}return this.defaultStyle&&this.defaultStyle[a]},a.exports=c},function(a,b){"use strict";function c(a,b){var c=[],f=0,g=0,h=[],i=0,j=0,k=[],l=b;a.forEach(function(a){d(a)?(c.push(a),f+=a._minWidth,g+=a._maxWidth):e(a)?(h.push(a),i=Math.max(i,a._minWidth),j=Math.max(j,a._maxWidth)):k.push(a)}),k.forEach(function(a){"string"==typeof a.width&&/\d+%/.test(a.width)&&(a.width=parseFloat(a.width)*l/100),a.width=b)c.forEach(function(a){a._calcWidth=a._minWidth}),h.forEach(function(a){a._calcWidth=i});else{if(b>n)c.forEach(function(a){a._calcWidth=a._maxWidth,b-=a._calcWidth});else{var o=b-m,p=n-m;c.forEach(function(a){var c=a._maxWidth-a._minWidth;a._calcWidth=a._minWidth+c*o/p,b-=a._calcWidth})}if(h.length>0){var q=b/h.length;h.forEach(function(a){a._calcWidth=q})}}}function d(a){return"auto"===a.width}function e(a){return null===a.width||void 0===a.width||"*"===a.width||"star"===a.width}function f(a){for(var b={min:0,max:0},c={min:0,max:0},f=0,g=0,h=a.length;h>g;g++){var i=a[g];e(i)?(c.min=Math.max(c.min,i._minWidth),c.max=Math.max(c.max,i._maxWidth),f++):d(i)?(b.min+=i._minWidth,b.max+=i._maxWidth):(b.min+=void 0!==i.width&&i.width||i._minWidth,b.max+=void 0!==i.width&&i.width||i._maxWidth)}return f&&(b.min+=f*c.min,b.max+=f*c.max),b}a.exports={buildColumnWidths:c,measureMinMax:f,isAutoColumn:d,isStarColumn:e}},function(a,b){"use strict";function c(){for(var a={},b=0,c=arguments.length;c>b;b++){var d=arguments[b];if(d)for(var e in d)d.hasOwnProperty(e)&&(a[e]=d[e])}return a}function d(a,b,c){switch(a.type){case"ellipse":case"rect":a.x+=b,a.y+=c;break;case"line":a.x1+=b,a.x2+=b,a.y1+=c,a.y2+=c;break;case"polyline":for(var d=0,e=a.points.length;e>d;d++)a.points[d].x+=b,a.points[d].y+=c}}function e(a,b){return"font"===a?"font":b}function f(a){var b={};return a&&"[object Function]"===b.toString.call(a)}a.exports={pack:c,fontStringify:e,offsetVector:d,isFunction:f}},function(a,b){"use strict";function c(a,b){var c={numeric:h,alphanumeric:i,octet:j},d={L:o,M:p,Q:q,H:r};b=b||{};var e=b.version||-1,f=d[(b.eccLevel||"L").toUpperCase()],g=b.mode?c[b.mode.toLowerCase()]:-1,k="mask"in b?b.mask:-1;if(0>g)g="string"==typeof a?a.match(l)?h:a.match(n)?i:j:j;else if(g!=h&&g!=i&&g!=j)throw"invalid or unsupported mode";if(a=K(g,a),null===a)throw"invalid data format";if(0>f||f>3)throw"invalid ECC level";if(0>e){for(e=1;40>=e&&!(a.length<=J(e,g,f));++e);if(e>40)throw"too large data for the Qr format"}else if(1>e||e>40)throw"invalid Qr version! should be between 1 and 40";if(-1!=k&&(0>k||k>8))throw"invalid mask";return U(a,e,g,f,k)}function d(a,b){var d=[],e=a.background||"#fff",f=a.foreground||"#000",g=c(a,b),h=g.length,i=Math.floor(b.fit?b.fit/h:5),j=h*i;d.push({type:"rect",x:0,y:0,w:j,h:j,lineWidth:0,color:e});for(var k=0;h>k;++k)for(var l=0;h>l;++l)g[k][l]&&d.push({type:"rect",x:i*k,y:i*l,w:i,h:i,lineWidth:0,color:f});return{canvas:d,size:j}}function e(a){var b=d(a.qr,a);return a._canvas=b.canvas,a._width=a._height=a._minWidth=a._maxWidth=a._minHeight=a._maxHeight=b.size,a}for(var f=[null,[[10,7,17,13],[1,1,1,1],[]],[[16,10,28,22],[1,1,1,1],[4,16]],[[26,15,22,18],[1,1,2,2],[4,20]],[[18,20,16,26],[2,1,4,2],[4,24]],[[24,26,22,18],[2,1,4,4],[4,28]],[[16,18,28,24],[4,2,4,4],[4,32]],[[18,20,26,18],[4,2,5,6],[4,20,36]],[[22,24,26,22],[4,2,6,6],[4,22,40]],[[22,30,24,20],[5,2,8,8],[4,24,44]],[[26,18,28,24],[5,4,8,8],[4,26,48]],[[30,20,24,28],[5,4,11,8],[4,28,52]],[[22,24,28,26],[8,4,11,10],[4,30,56]],[[22,26,22,24],[9,4,16,12],[4,32,60]],[[24,30,24,20],[9,4,16,16],[4,24,44,64]],[[24,22,24,30],[10,6,18,12],[4,24,46,68]],[[28,24,30,24],[10,6,16,17],[4,24,48,72]],[[28,28,28,28],[11,6,19,16],[4,28,52,76]],[[26,30,28,28],[13,6,21,18],[4,28,54,80]],[[26,28,26,26],[14,7,25,21],[4,28,56,84]],[[26,28,28,30],[16,8,25,20],[4,32,60,88]],[[26,28,30,28],[17,8,25,23],[4,26,48,70,92]],[[28,28,24,30],[17,9,34,23],[4,24,48,72,96]],[[28,30,30,30],[18,9,30,25],[4,28,52,76,100]],[[28,30,30,30],[20,10,32,27],[4,26,52,78,104]],[[28,26,30,30],[21,12,35,29],[4,30,56,82,108]],[[28,28,30,28],[23,12,37,34],[4,28,56,84,112]],[[28,30,30,30],[25,12,40,34],[4,32,60,88,116]],[[28,30,30,30],[26,13,42,35],[4,24,48,72,96,120]],[[28,30,30,30],[28,14,45,38],[4,28,52,76,100,124]],[[28,30,30,30],[29,15,48,40],[4,24,50,76,102,128]],[[28,30,30,30],[31,16,51,43],[4,28,54,80,106,132]],[[28,30,30,30],[33,17,54,45],[4,32,58,84,110,136]],[[28,30,30,30],[35,18,57,48],[4,28,56,84,112,140]],[[28,30,30,30],[37,19,60,51],[4,32,60,88,116,144]],[[28,30,30,30],[38,19,63,53],[4,28,52,76,100,124,148]],[[28,30,30,30],[40,20,66,56],[4,22,48,74,100,126,152]],[[28,30,30,30],[43,21,70,59],[4,26,52,78,104,130,156]],[[28,30,30,30],[45,22,74,62],[4,30,56,82,108,134,160]],[[28,30,30,30],[47,24,77,65],[4,24,52,80,108,136,164]],[[28,30,30,30],[49,25,81,68],[4,28,56,84,112,140,168]]],g=0,h=1,i=2,j=4,k=8,l=/^\d*$/,m=/^[A-Za-z0-9 $%*+\-.\/:]*$/,n=/^[A-Z0-9 $%*+\-.\/:]*$/,o=1,p=0,q=3,r=2,s=[],t=[-1],u=0,v=1;255>u;++u)s.push(v),t[v]=u,v=2*v^(v>=128?285:0);for(var w=[[]],u=0;30>u;++u){for(var x=w[u],y=[],z=0;u>=z;++z){var A=u>z?s[x[z]]:0,B=s[(u+(x[z-1]||0))%255];y.push(t[A^B])}w.push(y)}for(var C={},u=0;45>u;++u)C["0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ $%*+-./:".charAt(u)]=u;var D=[function(a,b){return(a+b)%2===0},function(a,b){return a%2===0},function(a,b){return b%3===0},function(a,b){return(a+b)%3===0},function(a,b){return((a/2|0)+(b/3|0))%2===0},function(a,b){return a*b%2+a*b%3===0},function(a,b){return(a*b%2+a*b%3)%2===0},function(a,b){return((a+b)%2+a*b%3)%2===0}],E=function(a){return a>6},F=function(a){return 4*a+17},G=function(a){var b=f[a],c=16*a*a+128*a+64;return E(a)&&(c-=36),b[2].length&&(c-=25*b[2].length*b[2].length-10*b[2].length-55),c},H=function(a,b){var c=-8&G(a),d=f[a];return c-=8*d[0][b]*d[1][b]},I=function(a,b){switch(b){case h:return 10>a?10:27>a?12:14;case i:return 10>a?9:27>a?11:13;case j:return 10>a?8:16;case k:return 10>a?8:27>a?10:12}},J=function(a,b,c){var d=H(a,c)-4-I(a,b);switch(b){case h:return 3*(d/10|0)+(4>d%10?0:7>d%10?1:2);case i:return 2*(d/11|0)+(6>d%11?0:1);case j:return d/8|0;case k:return d/13|0}},K=function(a,b){switch(a){case h:return b.match(l)?b:null;case i:return b.match(m)?b.toUpperCase():null;case j:if("string"==typeof b){for(var c=[],d=0;de?c.push(e):2048>e?c.push(192|e>>6,128|63&e):65536>e?c.push(224|e>>12,128|e>>6&63,128|63&e):c.push(240|e>>18,128|e>>12&63,128|e>>6&63,128|63&e)}return c}return b}},L=function(a,b,c,d){var e=[],f=0,k=8,l=c.length,m=function(a,b){if(b>=k){for(e.push(f|a>>(b-=k));b>=8;)e.push(a>>(b-=8)&255);f=0,k=8}b>0&&(f|=(a&(1<o;o+=3)m(parseInt(c.substring(o-2,o+1),10),10);m(parseInt(c.substring(o-2),10),[0,4,7][l%3]);break;case i:for(var o=1;l>o;o+=2)m(45*C[c.charAt(o-1)]+C[c.charAt(o)],11);l%2==1&&m(C[c.charAt(o-1)],6);break;case j:for(var o=0;l>o;++o)m(c[o],8)}for(m(g,4),8>k&&e.push(f);e.length+1f;++f)c.push(0);for(var f=0;d>f;){var g=t[c[f++]];if(g>=0)for(var h=0;e>h;++h)c[f+h]^=s[(g+b[h])%255]}return c.slice(d)},N=function(a,b,c){for(var d=[],e=a.length/b|0,f=0,g=b-a.length%b,h=0;g>h;++h)d.push(f),f+=e;for(var h=g;b>h;++h)d.push(f),f+=e+1;d.push(f);for(var i=[],h=0;b>h;++h)i.push(M(a.slice(d[h],d[h+1]),c));for(var j=[],k=a.length/b|0,h=0;k>h;++h)for(var l=0;b>l;++l)j.push(a[d[l]+h]);for(var l=g;b>l;++l)j.push(a[d[l+1]-1]);for(var h=0;hl;++l)j.push(i[l][h]);return j},O=function(a,b,c,d){for(var e=a<=0;--f)e>>d+f&1&&(e^=c<g;++g)d.push([]),e.push([]);var h=function(a,b,c,f,g){for(var h=0;c>h;++h)for(var i=0;f>i;++i)d[a+h][b+i]=g[h]>>i&1,e[a+h][b+i]=1};h(0,0,9,9,[127,65,93,93,93,65,383,0,64]),h(c-8,0,8,9,[256,127,65,93,93,93,65,127]),h(0,c-8,9,8,[254,130,186,186,186,130,254,0,0]);for(var g=9;c-8>g;++g)d[6][g]=d[g][6]=1&~g,e[6][g]=e[g][6]=1;for(var i=b[2],j=i.length,g=0;j>g;++g)for(var k=0===g||g===j-1?1:0,l=0===g?j-1:j,m=k;l>m;++m)h(i[g],i[m],5,5,[31,17,21,17,31]);if(E(a))for(var n=O(a,6,7973,12),o=0,g=0;6>g;++g)for(var m=0;3>m;++m)d[g][c-11+m]=d[c-11+m][g]=n>>o++&1,e[g][c-11+m]=e[c-11+m][g]=1;return{matrix:d,reserved:e}},Q=function(a,b,c){for(var d=a.length,e=0,f=-1,g=d-1;g>=0;g-=2){6==g&&--g;for(var h=0>f?d-1:0,i=0;d>i;++i){for(var j=g;j>g-2;--j)b[h][j]||(a[h][j]=c[e>>3]>>(7&~e)&1,++e);h+=f}f=-f}return a},R=function(a,b,c){for(var d=D[c],e=a.length,f=0;e>f;++f)for(var g=0;e>g;++g)b[f][g]||(a[f][g]^=d(f,g));return a},S=function(a,b,c,d){for(var e=a.length,f=21522^O(c<<3|d,5,1335,10),g=0;15>g;++g){var h=[0,1,2,3,4,5,7,8,e-7,e-6,e-5,e-4,e-3,e-2,e-1][g],i=[e-1,e-2,e-3,e-4,e-5,e-6,e-7,e-8,7,5,4,3,2,1,0][g];a[h][8]=a[8][i]=f>>g&1}return a},T=function(a){for(var b=3,c=3,d=40,e=10,f=function(a){for(var c=0,e=0;e=5&&(c+=b+(a[e]-5));for(var e=5;e=4*f||a[e+1]>=4*f)&&(c+=d)}return c},g=a.length,h=0,i=0,j=0;g>j;++j){var k,l=a[j];k=[0];for(var m=0;g>m;){var n;for(n=0;g>m&&l[m];++n)++m;for(k.push(n),n=0;g>m&&!l[m];++n)++m;k.push(n)}h+=f(k),k=[0];for(var m=0;g>m;){var n;for(n=0;g>m&&a[m][j];++n)++m;for(k.push(n),n=0;g>m&&!a[m][j];++n)++m;k.push(n)}h+=f(k);var o=a[j+1]||[];i+=l[0];for(var m=1;g>m;++m){var p=l[m];i+=p,l[m-1]==p&&o[m]===p&&o[m-1]===p&&(h+=c)}}return h+=e*(Math.abs(i/g/g-.5)/.05|0)},U=function(a,b,c,d,e){var g=f[b],h=L(b,c,a,H(b,d)>>3);h=N(h,g[1][d],w[g[0][d]]);var i=P(b),j=i.matrix,k=i.reserved;if(Q(j,k,h),0>e){R(j,k,0),S(j,k,d,0);var l=0,m=T(j);for(R(j,k,0),e=1;8>e;++e){R(j,k,e),S(j,k,d,e);var n=T(j);m>n&&(m=n,l=e),R(j,k,e)}e=l}return R(j,k,e),S(j,k,d,e),j};a.exports={measure:e}},function(a,b,c){"use strict";function d(a,b){this.pages=[],this.pageMargins=b,this.x=b.left,this.availableWidth=a.width-b.left-b.right,this.availableHeight=0,this.page=-1,this.snapshots=[],this.endingCell=null,this.tracker=new g,this.addPage(a)}function e(a,b){return void 0===a?b:"landscape"===a?"landscape":"portrait"}function f(a,b){var c;return c=a.page>b.page?a:b.page>a.page?b:a.y>b.y?a:b,{page:c.page,x:c.x,y:c.y,availableHeight:c.availableHeight,availableWidth:c.availableWidth}}var g=c(12);d.prototype.beginColumnGroup=function(){this.snapshots.push({x:this.x,y:this.y,availableHeight:this.availableHeight,availableWidth:this.availableWidth,page:this.page,bottomMost:{y:this.y,page:this.page},endingCell:this.endingCell,lastColumnWidth:this.lastColumnWidth}),this.lastColumnWidth=0},d.prototype.beginColumn=function(a,b,c){var d=this.snapshots[this.snapshots.length-1];this.calculateBottomMost(d),this.endingCell=c,this.page=d.page,this.x=this.x+this.lastColumnWidth+(b||0),this.y=d.y,this.availableWidth=a,this.availableHeight=d.availableHeight,this.lastColumnWidth=a},d.prototype.calculateBottomMost=function(a){this.endingCell?(this.saveContextInEndingCell(this.endingCell),this.endingCell=null):a.bottomMost=f(this,a.bottomMost)},d.prototype.markEnding=function(a){this.page=a._columnEndingContext.page,this.x=a._columnEndingContext.x,this.y=a._columnEndingContext.y,this.availableWidth=a._columnEndingContext.availableWidth,this.availableHeight=a._columnEndingContext.availableHeight,this.lastColumnWidth=a._columnEndingContext.lastColumnWidth},d.prototype.saveContextInEndingCell=function(a){a._columnEndingContext={page:this.page,x:this.x,y:this.y,availableHeight:this.availableHeight,availableWidth:this.availableWidth,lastColumnWidth:this.lastColumnWidth}},d.prototype.completeColumnGroup=function(){var a=this.snapshots.pop();this.calculateBottomMost(a),this.endingCell=null,this.x=a.x,this.y=a.bottomMost.y,this.page=a.bottomMost.page,this.availableWidth=a.availableWidth,this.availableHeight=a.bottomMost.availableHeight,this.lastColumnWidth=a.lastColumnWidth},d.prototype.addMargin=function(a,b){this.x+=a,this.availableWidth-=a+(b||0)},d.prototype.moveDown=function(a){return this.y+=a,this.availableHeight-=a,this.availableHeight>0},d.prototype.initializePage=function(){this.y=this.pageMargins.top,this.availableHeight=this.getCurrentPage().pageSize.height-this.pageMargins.top-this.pageMargins.bottom,this.pageSnapshot().availableWidth=this.getCurrentPage().pageSize.width-this.pageMargins.left-this.pageMargins.right},d.prototype.pageSnapshot=function(){return this.snapshots[0]?this.snapshots[0]:this},d.prototype.moveTo=function(a,b){void 0!==a&&null!==a&&(this.x=a,this.availableWidth=this.getCurrentPage().pageSize.width-this.x-this.pageMargins.right),void 0!==b&&null!==b&&(this.y=b,this.availableHeight=this.getCurrentPage().pageSize.height-this.y-this.pageMargins.bottom)},d.prototype.beginDetachedBlock=function(){this.snapshots.push({x:this.x,y:this.y,availableHeight:this.availableHeight,availableWidth:this.availableWidth,page:this.page,endingCell:this.endingCell,lastColumnWidth:this.lastColumnWidth})},d.prototype.endDetachedBlock=function(){var a=this.snapshots.pop();this.x=a.x,this.y=a.y,this.availableWidth=a.availableWidth,this.availableHeight=a.availableHeight,this.page=a.page,this.endingCell=a.endingCell,this.lastColumnWidth=a.lastColumnWidth};var h=function(a,b){return b=e(b,a.pageSize.orientation),b!==a.pageSize.orientation?{orientation:b,width:a.pageSize.height,height:a.pageSize.width}:{orientation:a.pageSize.orientation,width:a.pageSize.width,height:a.pageSize.height}};d.prototype.moveToNextPage=function(a){var b=this.page+1,c=this.page,d=this.y,e=b>=this.pages.length;return e?this.addPage(h(this.getCurrentPage(),a)):(this.page=b,this.initializePage()),{newPageCreated:e,prevPage:c,prevY:d,y:this.y}},d.prototype.addPage=function(a){var b={items:[],pageSize:a};return this.pages.push(b),this.page=this.pages.length-1,this.initializePage(),this.tracker.emit("pageAdded"),b},d.prototype.getCurrentPage=function(){return this.page<0||this.page>=this.pages.length?null:this.pages[this.page]},d.prototype.getCurrentPosition=function(){var a=this.getCurrentPage().pageSize,b=a.height-this.pageMargins.top-this.pageMargins.bottom,c=a.width-this.pageMargins.left-this.pageMargins.right;return{pageNumber:this.page+1,pageOrientation:a.orientation,pageInnerHeight:b,pageInnerWidth:c,left:this.x,top:this.y,verticalRatio:(this.y-this.pageMargins.top)/b,horizontalRatio:(this.x-this.pageMargins.left)/c}},a.exports=d},function(a,b,c){"use strict";function d(a,b){this.transactionLevel=0,this.repeatables=[],this.tracker=b,this.writer=new f(a,b)}function e(a,b){var c=b(a);return c||(a.moveToNextPage(),c=b(a)),c}var f=c(21);d.prototype.addLine=function(a,b,c){return e(this,function(d){return d.writer.addLine(a,b,c)})},d.prototype.addImage=function(a,b){return e(this,function(c){return c.writer.addImage(a,b)})},d.prototype.addQr=function(a,b){return e(this,function(c){return c.writer.addQr(a,b)})},d.prototype.addVector=function(a,b,c,d){return this.writer.addVector(a,b,c,d)},d.prototype.addFragment=function(a,b,c,d){this.writer.addFragment(a,b,c,d)||(this.moveToNextPage(),this.writer.addFragment(a,b,c,d))},d.prototype.moveToNextPage=function(a){var b=this.writer.context.moveToNextPage(a);b.newPageCreated?this.repeatables.forEach(function(a){this.writer.addFragment(a,!0)},this):this.repeatables.forEach(function(a){this.writer.context.moveDown(a.height)},this),this.writer.tracker.emit("pageChanged",{prevPage:b.prevPage,prevY:b.prevY,y:b.y})},d.prototype.beginUnbreakableBlock=function(a,b){0===this.transactionLevel++&&(this.originalX=this.writer.context.x,this.writer.pushContext(a,b))},d.prototype.commitUnbreakableBlock=function(a,b){if(0===--this.transactionLevel){var c=this.writer.context;this.writer.popContext();var d=c.pages.length;if(d>0){var e=c.pages[0];if(e.xOffset=a,e.yOffset=b,d>1)if(void 0!==a||void 0!==b)e.height=c.getCurrentPage().pageSize.height-c.pageMargins.top-c.pageMargins.bottom;else{e.height=this.writer.context.getCurrentPage().pageSize.height-this.writer.context.pageMargins.top-this.writer.context.pageMargins.bottom;for(var f=0,g=this.repeatables.length;g>f;f++)e.height-=this.repeatables[f].height}else e.height=c.y;void 0!==a||void 0!==b?this.writer.addFragment(e,!0,!0,!0):this.addFragment(e)}}},d.prototype.currentBlockToRepeatable=function(){var a=this.writer.context,b={items:[]};return a.pages[0].items.forEach(function(a){b.items.push(a)}),b.xOffset=this.originalX,b.height=a.y,b},d.prototype.pushToRepeatables=function(a){this.repeatables.push(a)},d.prototype.popFromRepeatables=function(){this.repeatables.pop()},d.prototype.context=function(){return this.writer.context},a.exports=d},function(a,b,c){"use strict";function d(a,b){this.context=a,this.contextStack=[],this.tracker=b}function e(a,b,c){null===c||void 0===c||0>c||c>a.items.length?a.items.push(b):a.items.splice(c,0,b)}function f(a){var b=new g(a.maxWidth);for(var c in a)a.hasOwnProperty(c)&&(b[c]=a[c]);return b}var g=c(22),h=c(17).pack,i=c(17).offsetVector,j=c(19);d.prototype.addLine=function(a,b,c){var d=a.getHeight(),f=this.context,g=f.getCurrentPage(),h=this.getCurrentPositionOnPage();return f.availableHeight0&&a.inlines[0].alignment,e=0;switch(d){case"right":e=b-c;break;case"center":e=(b-c)/2}if(e&&(a.x=(a.x||0)+e),"justify"===d&&!a.newLineForced&&!a.lastLineInParagraph&&a.inlines.length>1)for(var f=(b-c)/(a.inlines.length-1),g=1,h=a.inlines.length;h>g;g++)e=g*f,a.inlines[g].x+=e},d.prototype.addImage=function(a,b){var c=this.context,d=c.getCurrentPage(),f=this.getCurrentPositionOnPage();return c.availableHeightf;f++){var h=a._canvas[f];h.x+=a.x,h.y+=a.y,this.addVector(h,!0,!0,b)}return c.moveDown(a._height),e},d.prototype.alignImage=function(a){var b=this.context.availableWidth,c=a._minWidth,d=0;switch(a._alignment){case"right":d=b-c;break;case"center":d=(b-c)/2}d&&(a.x=(a.x||0)+d)},d.prototype.addVector=function(a,b,c,d){var f=this.context,g=f.getCurrentPage(),h=this.getCurrentPositionOnPage();return g?(i(a,b?0:f.x,c?0:f.y),e(g,{type:"vector",item:a},d),h):void 0},d.prototype.addFragment=function(a,b,c,d){var e=this.context,g=e.getCurrentPage();return!b&&a.height>e.availableHeight?!1:(a.items.forEach(function(d){switch(d.type){case"line":var j=f(d.item);j.x=(j.x||0)+(b?a.xOffset||0:e.x),j.y=(j.y||0)+(c?a.yOffset||0:e.y),g.items.push({type:"line",item:j});break;case"vector":var k=h(d.item);i(k,b?a.xOffset||0:e.x,c?a.yOffset||0:e.y),g.items.push({type:"vector",item:k});break;case"image":var l=h(d.item);l.x=(l.x||0)+(b?a.xOffset||0:e.x),l.y=(l.y||0)+(c?a.yOffset||0:e.y),g.items.push({type:"image",item:l})}}),d||e.moveDown(a.height),!0)},d.prototype.pushContext=function(a,b){void 0===a&&(b=this.context.getCurrentPage().height-this.context.pageMargins.top-this.context.pageMargins.bottom,a=this.context.availableWidth),("number"==typeof a||a instanceof Number)&&(a=new j({width:a,height:b},{left:0,right:0,top:0,bottom:0})),this.contextStack.push(this.context),this.context=a},d.prototype.popContext=function(){this.context=this.contextStack.pop()},d.prototype.getCurrentPositionOnPage=function(){return(this.contextStack[0]||this.context).getCurrentPosition()},a.exports=d},function(a,b){"use strict";function c(a){this.maxWidth=a,this.leadingCut=0,this.trailingCut=0,this.inlineWidths=0,this.inlines=[]}c.prototype.getAscenderHeight=function(){var a=0;return this.inlines.forEach(function(b){a=Math.max(a,b.font.ascender/1e3*b.fontSize)}),a},c.prototype.hasEnoughSpaceForInline=function(a){return 0===this.inlines.length?!0:this.newLineForced?!1:this.inlineWidths+a.width-this.leadingCut-(a.trailingCut||0)<=this.maxWidth},c.prototype.addInline=function(a){0===this.inlines.length&&(this.leadingCut=a.leadingCut||0),this.trailingCut=a.trailingCut||0,a.x=this.inlineWidths-this.leadingCut,this.inlines.push(a),this.inlineWidths+=a.width,a.lineEnd&&(this.newLineForced=!0)},c.prototype.getWidth=function(){return this.inlineWidths-this.leadingCut-this.trailingCut},c.prototype.getHeight=function(){var a=0;return this.inlines.forEach(function(b){a=Math.max(a,b.height||0)}),a},a.exports=c},function(a,b,c){"use strict";function d(a){this.tableNode=a}var e=c(16);d.prototype.beginTable=function(a){function b(){var a=0;return d.table.widths.forEach(function(b){a+=b._calcWidth}),a}function c(){var a=[],b=0,c=0;a.push({left:0,rowSpan:0});for(var d=0,e=g.tableNode.table.body[0].length;e>d;d++){var f=g.layout.paddingLeft(d,g.tableNode)+g.layout.paddingRight(d,g.tableNode),h=g.layout.vLineWidth(d,g.tableNode);c=f+h+g.tableNode.table.widths[d]._calcWidth,a[a.length-1].width=c,b+=c,a.push({left:b,rowSpan:0,width:0})}return a}var d,f,g=this;d=this.tableNode,this.offsets=d._offsets,this.layout=d._layout,f=a.context().availableWidth-this.offsets.total,e.buildColumnWidths(d.table.widths,f),this.tableWidth=d._offsets.total+b(),this.rowSpanData=c(),this.cleanUpRepeatables=!1,this.headerRows=d.table.headerRows||0,this.rowsWithoutPageBreak=this.headerRows+(d.table.keepWithHeaderRows||0),this.dontBreakRows=d.table.dontBreakRows||!1,this.rowsWithoutPageBreak&&a.beginUnbreakableBlock(),this.drawHorizontalLine(0,a)},d.prototype.onRowBreak=function(a,b){var c=this;return function(){var a=c.rowPaddingTop+(c.headerRows?0:c.topLineWidth);b.context().moveDown(a)}},d.prototype.beginRow=function(a,b){this.topLineWidth=this.layout.hLineWidth(a,this.tableNode),this.rowPaddingTop=this.layout.paddingTop(a,this.tableNode),this.bottomLineWidth=this.layout.hLineWidth(a+1,this.tableNode),this.rowPaddingBottom=this.layout.paddingBottom(a,this.tableNode),this.rowCallback=this.onRowBreak(a,b),b.tracker.startTracking("pageChanged",this.rowCallback),this.dontBreakRows&&b.beginUnbreakableBlock(),this.rowTopY=b.context().y,this.reservedAtBottom=this.bottomLineWidth+this.rowPaddingBottom,b.context().availableHeight-=this.reservedAtBottom,b.context().moveDown(this.rowPaddingTop)},d.prototype.drawHorizontalLine=function(a,b,c){var d=this.layout.hLineWidth(a,this.tableNode);if(d){for(var e=d/2,f=null,g=0,h=this.rowSpanData.length;h>g;g++){var i=this.rowSpanData[g],j=!i.rowSpan;!f&&j&&(f={left:i.left,width:0}),j&&(f.width+=i.width||0);var k=(c||0)+e;j&&g!==h-1||f&&(b.addVector({type:"line",x1:f.left,x2:f.left+f.width,y1:k,y2:k,lineWidth:d,lineColor:"function"==typeof this.layout.hLineColor?this.layout.hLineColor(a,this.tableNode):this.layout.hLineColor},!1,c),f=null)}b.context().moveDown(d)}},d.prototype.drawVerticalLine=function(a,b,c,d,e){var f=this.layout.vLineWidth(d,this.tableNode);0!==f&&e.addVector({type:"line",x1:a+f/2,x2:a+f/2,y1:b,y2:c,lineWidth:f,lineColor:"function"==typeof this.layout.vLineColor?this.layout.vLineColor(d,this.tableNode):this.layout.vLineColor},!1,!0)},d.prototype.endTable=function(a){this.cleanUpRepeatables&&a.popFromRepeatables()},d.prototype.endRow=function(a,b,c){function d(){for(var b=[],c=0,d=0,e=g.tableNode.table.body[a].length;e>d;d++){if(!c){b.push({x:g.rowSpanData[d].left,index:d});var f=g.tableNode.table.body[a][d];c=f._colSpan||f.colSpan||0}c>0&&c--}return b.push({x:g.rowSpanData[g.rowSpanData.length-1].left,index:g.rowSpanData.length-1}),b}var e,f,g=this;b.tracker.stopTracking("pageChanged",this.rowCallback),b.context().moveDown(this.layout.paddingBottom(a,this.tableNode)),b.context().availableHeight+=this.reservedAtBottom;var h=b.context().page,i=b.context().y,j=d(),k=[],l=c&&c.length>0;if(k.push({y0:this.rowTopY,page:l?c[0].prevPage:h}),l)for(f=0,e=c.length;e>f;f++){var m=c[f];k[k.length-1].y1=m.prevY,k.push({y0:m.y,page:m.prevPage+1})}k[k.length-1].y1=i;for(var n=k[0].y1-k[0].y0===this.rowPaddingTop,o=n?1:0,p=k.length;p>o;o++){var q=o0&&!this.headerRows,s=r?0:this.topLineWidth,t=k[o].y0,u=k[o].y1;for(q&&(u+=this.rowPaddingBottom),b.context().page!=k[o].page&&(b.context().page=k[o].page,this.reservedAtBottom=0),f=0,e=j.length;e>f;f++)if(this.drawVerticalLine(j[f].x,t-s,u+this.bottomLineWidth,j[f].index,b),e-1>f){var v=j[f].index,w=this.tableNode.table.body[a][v].fillColor;if(w){var x=this.layout.vLineWidth(v,this.tableNode),y=j[f].x+x,z=t-s;b.addVector({type:"rect",x:y,y:z,w:j[f+1].x-y,h:u+this.bottomLineWidth-z,lineWidth:0,color:w},!1,!0,0)}}q&&this.layout.hLineWhenBroken!==!1&&this.drawHorizontalLine(a+1,b,u),r&&this.layout.hLineWhenBroken!==!1&&this.drawHorizontalLine(a,b,t)}b.context().page=h,b.context().y=i;var A=this.tableNode.table.body[a];for(f=0,e=A.length;e>f;f++){if(A[f].rowSpan&&(this.rowSpanData[f].rowSpan=A[f].rowSpan,A[f].colSpan&&A[f].colSpan>1))for(var B=1;B0&&this.rowSpanData[f].rowSpan--}this.drawHorizontalLine(a+1,b),this.headerRows&&a===this.headerRows-1&&(this.headerRepeatable=b.currentBlockToRepeatable()),this.dontBreakRows&&b.tracker.auto("pageChanged",function(){g.drawHorizontalLine(a,b)},function(){b.commitUnbreakableBlock(),g.drawHorizontalLine(a,b)}),!this.headerRepeatable||a!==this.rowsWithoutPageBreak-1&&a!==this.tableNode.table.body.length-1||(b.commitUnbreakableBlock(),b.pushToRepeatables(this.headerRepeatable),this.cleanUpRepeatables=!0,this.headerRepeatable=null)},a.exports=d},function(a,b,c){(function(b){(function(){var d,e,f,g,h,i,j={}.hasOwnProperty,k=function(a,b){function c(){this.constructor=a}for(var d in b)j.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};i=c(25),h=c(44),e=c(45),g=c(46),f=c(64),d=function(a){function d(a){var b,c,e,f;if(this.options=null!=a?a:{},d.__super__.constructor.apply(this,arguments),this.version=1.3,this.compress=null!=(e=this.options.compress)?e:!0,this._pageBuffer=[],this._pageBufferStart=0,this._offsets=[],this._waiting=0,this._ended=!1,this._offset=0,this._root=this.ref({Type:"Catalog",Pages:this.ref({Type:"Pages",Count:0,Kids:[]})}),this.page=null,this.initColor(),this.initVector(),this.initFonts(),this.initText(),this.initImages(),this.info={Producer:"PDFKit",Creator:"PDFKit",CreationDate:new Date},this.options.info){f=this.options.info;for(b in f)c=f[b],this.info[b]=c}this._write("%PDF-"+this.version),this._write("%ÿÿÿÿ"),this.addPage()}var i;return k(d,a),i=function(a){var b,c,e;e=[];for(c in a)b=a[c],e.push(d.prototype[c]=b);return e},i(c(65)),i(c(67)),i(c(69)),i(c(89)),i(c(96)),i(c(101)),d.prototype.addPage=function(a){var b;return null==a&&(a=this.options),this.options.bufferPages||this.flushPages(),this.page=new f(this,a),this._pageBuffer.push(this.page),b=this._root.data.Pages.data,b.Kids.push(this.page.dictionary),b.Count++,this.x=this.page.margins.left,this.y=this.page.margins.top,this._ctm=[1,0,0,1,0,0],this.transform(1,0,0,-1,0,this.page.height),this},d.prototype.bufferedPageRange=function(){return{start:this._pageBufferStart,count:this._pageBuffer.length}},d.prototype.switchToPage=function(a){var b;if(!(b=this._pageBuffer[a-this._pageBufferStart]))throw new Error("switchToPage("+a+") out of bounds, current buffer covers pages "+this._pageBufferStart+" to "+(this._pageBufferStart+this._pageBuffer.length-1));return this.page=b},d.prototype.flushPages=function(){var a,b,c,d;for(b=this._pageBuffer,this._pageBuffer=[],this._pageBufferStart+=b.length,c=0,d=b.length;d>c;c++)a=b[c],a.end()},d.prototype.ref=function(a){var b;return b=new g(this,this._offsets.length+1,a),this._offsets.push(null),this._waiting++,b},d.prototype._read=function(){},d.prototype._write=function(a){return b.isBuffer(a)||(a=new b(a+"\n","binary")),this.push(a),this._offset+=a.length},d.prototype.addContent=function(a){return this.page.write(a),this},d.prototype._refEnd=function(a){return this._offsets[a.id-1]=a.offset,0===--this._waiting&&this._ended?(this._finalize(),this._ended=!1):void 0},d.prototype.write=function(a,b){var c;return c=new Error("PDFDocument#write is deprecated, and will be removed in a future version of PDFKit. Please pipe the document into a Node stream."),console.warn(c.stack),this.pipe(h.createWriteStream(a)),this.end(),this.once("end",b)},d.prototype.output=function(a){throw new Error("PDFDocument#output is deprecated, and has been removed from PDFKit. Please pipe the document into a Node stream.")},d.prototype.end=function(){var a,b,c,d,e,f;this.flushPages(),this._info=this.ref(),e=this.info;for(b in e)d=e[b],"string"==typeof d&&(d=new String(d)),this._info.data[b]=d;this._info.end(),f=this._fontFamilies;for(c in f)a=f[c],a.embed();return this._root.end(),this._root.data.Pages.end(),0===this._waiting?this._finalize():this._ended=!0},d.prototype._finalize=function(a){var b,c,d,f,g;for(c=this._offset,this._write("xref"),this._write("0 "+(this._offsets.length+1)),this._write("0000000000 65535 f "),g=this._offsets,d=0,f=g.length;f>d;d++)b=g[d],b=("0000000000"+b).slice(-10),this._write(b+" 00000 n ");return this._write("trailer"),this._write(e.convert({Size:this._offsets.length+1,Root:this._root,Info:this._info})),this._write("startxref"),this._write(""+c),this._write("%%EOF"),this.push(null)},d.prototype.toString=function(){ +return"[object PDFDocument]"},d}(i.Readable),a.exports=d}).call(this)}).call(b,c(2).Buffer)},function(a,b,c){function d(){e.call(this)}a.exports=d;var e=c(26).EventEmitter,f=c(27);f(d,e),d.Readable=c(28),d.Writable=c(40),d.Duplex=c(41),d.Transform=c(42),d.PassThrough=c(43),d.Stream=d,d.prototype.pipe=function(a,b){function c(b){a.writable&&!1===a.write(b)&&j.pause&&j.pause()}function d(){j.readable&&j.resume&&j.resume()}function f(){k||(k=!0,a.end())}function g(){k||(k=!0,"function"==typeof a.destroy&&a.destroy())}function h(a){if(i(),0===e.listenerCount(this,"error"))throw a}function i(){j.removeListener("data",c),a.removeListener("drain",d),j.removeListener("end",f),j.removeListener("close",g),j.removeListener("error",h),a.removeListener("error",h),j.removeListener("end",i),j.removeListener("close",i),a.removeListener("close",i)}var j=this;j.on("data",c),a.on("drain",d),a._isStdio||b&&b.end===!1||(j.on("end",f),j.on("close",g));var k=!1;return j.on("error",h),a.on("error",h),j.on("end",i),j.on("close",i),a.on("close",i),a.emit("pipe",j),a}},function(a,b){function c(){this._events=this._events||{},this._maxListeners=this._maxListeners||void 0}function d(a){return"function"==typeof a}function e(a){return"number"==typeof a}function f(a){return"object"==typeof a&&null!==a}function g(a){return void 0===a}a.exports=c,c.EventEmitter=c,c.prototype._events=void 0,c.prototype._maxListeners=void 0,c.defaultMaxListeners=10,c.prototype.setMaxListeners=function(a){if(!e(a)||0>a||isNaN(a))throw TypeError("n must be a positive number");return this._maxListeners=a,this},c.prototype.emit=function(a){var b,c,e,h,i,j;if(this._events||(this._events={}),"error"===a&&(!this._events.error||f(this._events.error)&&!this._events.error.length)){if(b=arguments[1],b instanceof Error)throw b;throw TypeError('Uncaught, unspecified "error" event.')}if(c=this._events[a],g(c))return!1;if(d(c))switch(arguments.length){case 1:c.call(this);break;case 2:c.call(this,arguments[1]);break;case 3:c.call(this,arguments[1],arguments[2]);break;default:h=Array.prototype.slice.call(arguments,1),c.apply(this,h)}else if(f(c))for(h=Array.prototype.slice.call(arguments,1),j=c.slice(),e=j.length,i=0;e>i;i++)j[i].apply(this,h);return!0},c.prototype.addListener=function(a,b){var e;if(!d(b))throw TypeError("listener must be a function");return this._events||(this._events={}),this._events.newListener&&this.emit("newListener",a,d(b.listener)?b.listener:b),this._events[a]?f(this._events[a])?this._events[a].push(b):this._events[a]=[this._events[a],b]:this._events[a]=b,f(this._events[a])&&!this._events[a].warned&&(e=g(this._maxListeners)?c.defaultMaxListeners:this._maxListeners,e&&e>0&&this._events[a].length>e&&(this._events[a].warned=!0,console.error("(node) warning: possible EventEmitter memory leak detected. %d listeners added. Use emitter.setMaxListeners() to increase limit.",this._events[a].length),"function"==typeof console.trace&&console.trace())),this},c.prototype.on=c.prototype.addListener,c.prototype.once=function(a,b){function c(){this.removeListener(a,c),e||(e=!0,b.apply(this,arguments))}if(!d(b))throw TypeError("listener must be a function");var e=!1;return c.listener=b,this.on(a,c),this},c.prototype.removeListener=function(a,b){var c,e,g,h;if(!d(b))throw TypeError("listener must be a function");if(!this._events||!this._events[a])return this;if(c=this._events[a],g=c.length,e=-1,c===b||d(c.listener)&&c.listener===b)delete this._events[a],this._events.removeListener&&this.emit("removeListener",a,b);else if(f(c)){for(h=g;h-- >0;)if(c[h]===b||c[h].listener&&c[h].listener===b){e=h;break}if(0>e)return this;1===c.length?(c.length=0,delete this._events[a]):c.splice(e,1),this._events.removeListener&&this.emit("removeListener",a,b)}return this},c.prototype.removeAllListeners=function(a){var b,c;if(!this._events)return this;if(!this._events.removeListener)return 0===arguments.length?this._events={}:this._events[a]&&delete this._events[a],this;if(0===arguments.length){for(b in this._events)"removeListener"!==b&&this.removeAllListeners(b);return this.removeAllListeners("removeListener"),this._events={},this}if(c=this._events[a],d(c))this.removeListener(a,c);else if(c)for(;c.length;)this.removeListener(a,c[c.length-1]);return delete this._events[a],this},c.prototype.listeners=function(a){var b;return b=this._events&&this._events[a]?d(this._events[a])?[this._events[a]]:this._events[a].slice():[]},c.prototype.listenerCount=function(a){if(this._events){var b=this._events[a];if(d(b))return 1;if(b)return b.length}return 0},c.listenerCount=function(a,b){return a.listenerCount(b)}},function(a,b){"function"==typeof Object.create?a.exports=function(a,b){a.super_=b,a.prototype=Object.create(b.prototype,{constructor:{value:a,enumerable:!1,writable:!0,configurable:!0}})}:a.exports=function(a,b){a.super_=b;var c=function(){};c.prototype=b.prototype,a.prototype=new c,a.prototype.constructor=a}},function(a,b,c){b=a.exports=c(29),b.Stream=c(25),b.Readable=b,b.Writable=c(36),b.Duplex=c(35),b.Transform=c(38),b.PassThrough=c(39)},function(a,b,c){(function(b){function d(a,b){var d=c(35);a=a||{};var e=a.highWaterMark,f=a.objectMode?16:16384;this.highWaterMark=e||0===e?e:f,this.highWaterMark=~~this.highWaterMark,this.buffer=[],this.length=0,this.pipes=null,this.pipesCount=0,this.flowing=null,this.ended=!1,this.endEmitted=!1,this.reading=!1,this.sync=!0,this.needReadable=!1,this.emittedReadable=!1,this.readableListening=!1,this.objectMode=!!a.objectMode,b instanceof d&&(this.objectMode=this.objectMode||!!a.readableObjectMode),this.defaultEncoding=a.defaultEncoding||"utf8",this.ranOut=!1,this.awaitDrain=0,this.readingMore=!1,this.decoder=null,this.encoding=null,a.encoding&&(C||(C=c(37).StringDecoder),this.decoder=new C(a.encoding),this.encoding=a.encoding)}function e(a){c(35);return this instanceof e?(this._readableState=new d(a,this),this.readable=!0,void A.call(this)):new e(a)}function f(a,b,c,d,e){var f=j(b,c);if(f)a.emit("error",f);else if(B.isNullOrUndefined(c))b.reading=!1,b.ended||k(a,b);else if(b.objectMode||c&&c.length>0)if(b.ended&&!e){var h=new Error("stream.push() after EOF");a.emit("error",h)}else if(b.endEmitted&&e){var h=new Error("stream.unshift() after end event");a.emit("error",h)}else!b.decoder||e||d||(c=b.decoder.write(c)),e||(b.reading=!1),b.flowing&&0===b.length&&!b.sync?(a.emit("data",c),a.read(0)):(b.length+=b.objectMode?1:c.length,e?b.buffer.unshift(c):b.buffer.push(c),b.needReadable&&l(a)),n(a,b);else e||(b.reading=!1);return g(b)}function g(a){return!a.ended&&(a.needReadable||a.length=E)a=E;else{a--;for(var b=1;32>b;b<<=1)a|=a>>b;a++}return a}function i(a,b){return 0===b.length&&b.ended?0:b.objectMode?0===a?0:1:isNaN(a)||B.isNull(a)?b.flowing&&b.buffer.length?b.buffer[0].length:b.length:0>=a?0:(a>b.highWaterMark&&(b.highWaterMark=h(a)),a>b.length?b.ended?b.length:(b.needReadable=!0,0):a)}function j(a,b){var c=null;return B.isBuffer(b)||B.isString(b)||B.isNullOrUndefined(b)||a.objectMode||(c=new TypeError("Invalid non-string/buffer chunk")),c}function k(a,b){if(b.decoder&&!b.ended){var c=b.decoder.end();c&&c.length&&(b.buffer.push(c),b.length+=b.objectMode?1:c.length)}b.ended=!0,l(a)}function l(a){var c=a._readableState;c.needReadable=!1,c.emittedReadable||(D("emitReadable",c.flowing),c.emittedReadable=!0,c.sync?b.nextTick(function(){m(a)}):m(a))}function m(a){D("emit readable"),a.emit("readable"),s(a)}function n(a,c){c.readingMore||(c.readingMore=!0,b.nextTick(function(){o(a,c)}))}function o(a,b){for(var c=b.length;!b.reading&&!b.flowing&&!b.ended&&b.length=e)c=f?d.join(""):y.concat(d,e),d.length=0;else if(aj&&a>i;j++){var h=d[0],l=Math.min(a-i,h.length);f?c+=h.slice(0,l):h.copy(c,i,0,l),l0)throw new Error("endReadable called on non-empty stream");c.endEmitted||(c.ended=!0,b.nextTick(function(){c.endEmitted||0!==c.length||(c.endEmitted=!0,a.readable=!1,a.emit("end"))}))}function v(a,b){for(var c=0,d=a.length;d>c;c++)b(a[c],c)}function w(a,b){for(var c=0,d=a.length;d>c;c++)if(a[c]===b)return c;return-1}a.exports=e;var x=c(31),y=c(2).Buffer;e.ReadableState=d;var z=c(26).EventEmitter;z.listenerCount||(z.listenerCount=function(a,b){return a.listeners(b).length});var A=c(25),B=c(32);B.inherits=c(33);var C,D=c(34);D=D&&D.debuglog?D.debuglog("stream"):function(){},B.inherits(e,A),e.prototype.push=function(a,b){var c=this._readableState;return B.isString(a)&&!c.objectMode&&(b=b||c.defaultEncoding,b!==c.encoding&&(a=new y(a,b),b="")),f(this,c,a,b,!1)},e.prototype.unshift=function(a){var b=this._readableState;return f(this,b,a,"",!0)},e.prototype.setEncoding=function(a){return C||(C=c(37).StringDecoder),this._readableState.decoder=new C(a),this._readableState.encoding=a,this};var E=8388608;e.prototype.read=function(a){D("read",a);var b=this._readableState,c=a;if((!B.isNumber(a)||a>0)&&(b.emittedReadable=!1),0===a&&b.needReadable&&(b.length>=b.highWaterMark||b.ended))return D("read: emitReadable",b.length,b.ended),0===b.length&&b.ended?u(this):l(this),null;if(a=i(a,b),0===a&&b.ended)return 0===b.length&&u(this),null;var d=b.needReadable;D("need readable",d),(0===b.length||b.length-a0?t(a,b):null,B.isNull(e)&&(b.needReadable=!0,a=0),b.length-=a,0!==b.length||b.ended||(b.needReadable=!0),c!==a&&b.ended&&0===b.length&&u(this),B.isNull(e)||this.emit("data",e),e},e.prototype._read=function(a){this.emit("error",new Error("not implemented"))},e.prototype.pipe=function(a,c){function d(a){D("onunpipe"),a===l&&f()}function e(){D("onend"),a.end()}function f(){D("cleanup"),a.removeListener("close",i),a.removeListener("finish",j),a.removeListener("drain",q),a.removeListener("error",h),a.removeListener("unpipe",d),l.removeListener("end",e),l.removeListener("end",f),l.removeListener("data",g),!m.awaitDrain||a._writableState&&!a._writableState.needDrain||q()}function g(b){D("ondata");var c=a.write(b);!1===c&&(D("false write response, pause",l._readableState.awaitDrain),l._readableState.awaitDrain++,l.pause())}function h(b){D("onerror",b),k(),a.removeListener("error",h),0===z.listenerCount(a,"error")&&a.emit("error",b)}function i(){a.removeListener("finish",j),k()}function j(){D("onfinish"),a.removeListener("close",i),k()}function k(){D("unpipe"),l.unpipe(a)}var l=this,m=this._readableState;switch(m.pipesCount){case 0:m.pipes=a;break;case 1:m.pipes=[m.pipes,a];break;default:m.pipes.push(a)}m.pipesCount+=1,D("pipe count=%d opts=%j",m.pipesCount,c);var n=(!c||c.end!==!1)&&a!==b.stdout&&a!==b.stderr,o=n?e:f;m.endEmitted?b.nextTick(o):l.once("end",o),a.on("unpipe",d);var q=p(l);return a.on("drain",q),l.on("data",g),a._events&&a._events.error?x(a._events.error)?a._events.error.unshift(h):a._events.error=[h,a._events.error]:a.on("error",h),a.once("close",i),a.once("finish",j),a.emit("pipe",l),m.flowing||(D("pipe resume"),l.resume()),a},e.prototype.unpipe=function(a){var b=this._readableState;if(0===b.pipesCount)return this;if(1===b.pipesCount)return a&&a!==b.pipes?this:(a||(a=b.pipes),b.pipes=null,b.pipesCount=0,b.flowing=!1,a&&a.emit("unpipe",this),this);if(!a){var c=b.pipes,d=b.pipesCount;b.pipes=null,b.pipesCount=0,b.flowing=!1;for(var e=0;d>e;e++)c[e].emit("unpipe",this);return this}var e=w(b.pipes,a);return-1===e?this:(b.pipes.splice(e,1),b.pipesCount-=1,1===b.pipesCount&&(b.pipes=b.pipes[0]),a.emit("unpipe",this),this)},e.prototype.on=function(a,c){var d=A.prototype.on.call(this,a,c);if("data"===a&&!1!==this._readableState.flowing&&this.resume(),"readable"===a&&this.readable){var e=this._readableState;if(!e.readableListening)if(e.readableListening=!0,e.emittedReadable=!1,e.needReadable=!0,e.reading)e.length&&l(this,e);else{var f=this;b.nextTick(function(){D("readable nexttick read 0"),f.read(0)})}}return d},e.prototype.addListener=e.prototype.on,e.prototype.resume=function(){var a=this._readableState;return a.flowing||(D("resume"),a.flowing=!0,a.reading||(D("resume read 0"),this.read(0)),q(this,a)),this},e.prototype.pause=function(){return D("call pause flowing=%j",this._readableState.flowing),!1!==this._readableState.flowing&&(D("pause"),this._readableState.flowing=!1,this.emit("pause")),this},e.prototype.wrap=function(a){var b=this._readableState,c=!1,d=this;a.on("end",function(){if(D("wrapped end"),b.decoder&&!b.ended){var a=b.decoder.end();a&&a.length&&d.push(a)}d.push(null)}),a.on("data",function(e){if(D("wrapped data"),b.decoder&&(e=b.decoder.write(e)),e&&(b.objectMode||e.length)){var f=d.push(e);f||(c=!0,a.pause())}});for(var e in a)B.isFunction(a[e])&&B.isUndefined(this[e])&&(this[e]=function(b){return function(){return a[b].apply(a,arguments)}}(e));var f=["error","close","destroy","pause","resume"];return v(f,function(b){a.on(b,d.emit.bind(d,b))}),d._read=function(b){D("wrapped _read",b),c&&(c=!1,a.resume())},d},e._fromList=t}).call(b,c(30))},function(a,b){function c(){j=!1,g.length?i=g.concat(i):k=-1,i.length&&d()}function d(){if(!j){var a=setTimeout(c);j=!0;for(var b=i.length;b;){for(g=i,i=[];++k1)for(var c=1;cc;c++)b(a[c],c)}a.exports=d;var g=Object.keys||function(a){var b=[];for(var c in a)b.push(c);return b},h=c(32);h.inherits=c(33);var i=c(29),j=c(36);h.inherits(d,i),f(g(j.prototype),function(a){d.prototype[a]||(d.prototype[a]=j.prototype[a])})}).call(b,c(30))},function(a,b,c){(function(b){function d(a,b,c){this.chunk=a,this.encoding=b,this.callback=c}function e(a,b){var d=c(35);a=a||{};var e=a.highWaterMark,f=a.objectMode?16:16384;this.highWaterMark=e||0===e?e:f,this.objectMode=!!a.objectMode,b instanceof d&&(this.objectMode=this.objectMode||!!a.writableObjectMode),this.highWaterMark=~~this.highWaterMark,this.needDrain=!1,this.ending=!1,this.ended=!1,this.finished=!1;var g=a.decodeStrings===!1;this.decodeStrings=!g,this.defaultEncoding=a.defaultEncoding||"utf8",this.length=0,this.writing=!1,this.corked=0,this.sync=!0,this.bufferProcessing=!1,this.onwrite=function(a){n(b,a)},this.writecb=null,this.writelen=0,this.buffer=[],this.pendingcb=0,this.prefinished=!1,this.errorEmitted=!1}function f(a){var b=c(35);return this instanceof f||this instanceof b?(this._writableState=new e(a,this),this.writable=!0,void x.call(this)):new f(a)}function g(a,c,d){var e=new Error("write after end");a.emit("error",e),b.nextTick(function(){d(e)})}function h(a,c,d,e){var f=!0;if(!(w.isBuffer(d)||w.isString(d)||w.isNullOrUndefined(d)||c.objectMode)){var g=new TypeError("Invalid non-string/buffer chunk");a.emit("error",g),b.nextTick(function(){e(g)}),f=!1}return f}function i(a,b,c){return!a.objectMode&&a.decodeStrings!==!1&&w.isString(b)&&(b=new v(b,c)),b}function j(a,b,c,e,f){c=i(b,c,e),w.isBuffer(c)&&(e="buffer");var g=b.objectMode?1:c.length;b.length+=g;var h=b.length1){for(var c=[],d=0;d=this.charLength-this.charReceived?this.charLength-this.charReceived:a.length;if(a.copy(this.charBuffer,this.charReceived,0,c),this.charReceived+=c,this.charReceived=55296&&56319>=d)){if(this.charReceived=this.charLength=0,0===a.length)return b;break}this.charLength+=this.surrogateSize,b=""}this.detectIncompleteChar(a);var e=a.length;this.charLength&&(a.copy(this.charBuffer,0,a.length-this.charReceived,e),e-=this.charReceived),b+=a.toString(this.encoding,0,e);var e=b.length-1,d=b.charCodeAt(e);if(d>=55296&&56319>=d){var f=this.surrogateSize;return this.charLength+=f,this.charReceived+=f,this.charBuffer.copy(this.charBuffer,f,0,f),a.copy(this.charBuffer,0,0,f),b.substring(0,e)}return b},j.prototype.detectIncompleteChar=function(a){for(var b=a.length>=3?3:a.length;b>0;b--){var c=a[a.length-b];if(1==b&&c>>5==6){this.charLength=2;break}if(2>=b&&c>>4==14){this.charLength=3;break}if(3>=b&&c>>3==30){this.charLength=4;break}}this.charReceived=b},j.prototype.end=function(a){var b="";if(a&&a.length&&(b=this.write(a)),this.charReceived){var c=this.charReceived,d=this.charBuffer,e=this.encoding;b+=d.slice(0,c).toString(e)}return b}},function(a,b,c){function d(a,b){this.afterTransform=function(a,c){return e(b,a,c)},this.needTransform=!1,this.transforming=!1,this.writecb=null,this.writechunk=null}function e(a,b,c){var d=a._transformState;d.transforming=!1;var e=d.writecb;if(!e)return a.emit("error",new Error("no writecb in Transform class"));d.writechunk=null,d.writecb=null,i.isNullOrUndefined(c)||a.push(c),e&&e(b);var f=a._readableState;f.reading=!1,(f.needReadable||f.lengthe;c=e+=2)b=a[c],a[c]=a[c+1],a[c+1]=b;return a},a.convert=function(h){var i,j,k,l,m,n,o,p,q,r;if("string"==typeof h)return"/"+h;if(h instanceof String){for(o=h.replace(d,function(a){return c[a]}),k=!1,j=q=0,r=o.length;r>q;j=q+=1)if(o.charCodeAt(j)>127){k=!0;break}return k&&(o=g(new b("\ufeff"+o,"utf16le")).toString("binary")),"("+o+")"}if(b.isBuffer(h))return"<"+h.toString("hex")+">";if(h instanceof e)return h.toString();if(h instanceof Date)return"(D:"+f(h.getUTCFullYear(),4)+f(h.getUTCMonth(),2)+f(h.getUTCDate(),2)+f(h.getUTCHours(),2)+f(h.getUTCMinutes(),2)+f(h.getUTCSeconds(),2)+"Z)";if(Array.isArray(h))return l=function(){var b,c,d;for(d=[],b=0,c=h.length;c>b;b++)i=h[b],d.push(a.convert(i));return d}().join(" "),"["+l+"]";if("[object Object]"==={}.toString.call(h)){n=["<<"];for(m in h)p=h[m],n.push("/"+m+" "+a.convert(p));return n.push(">>"),n.join("\n")}return""+h},a}(),a.exports=d,e=c(46)}).call(this)}).call(b,c(2).Buffer)},function(a,b,c){(function(b){(function(){var d,e,f,g=function(a,b){return function(){return a.apply(b,arguments)}};f=c(47),e=function(){function a(a,b,c){this.document=a,this.id=b,this.data=null!=c?c:{},this.finalize=g(this.finalize,this),this.gen=0,this.deflate=null,this.compress=this.document.compress&&!this.data.Filter,this.uncompressedLength=0,this.chunks=[]}return a.prototype.initDeflate=function(){return this.data.Filter="FlateDecode",this.deflate=f.createDeflate(),this.deflate.on("data",function(a){return function(b){return a.chunks.push(b),a.data.Length+=b.length}}(this)),this.deflate.on("end",this.finalize)},a.prototype.write=function(a){var c;return b.isBuffer(a)||(a=new b(a+"\n","binary")),this.uncompressedLength+=a.length,null==(c=this.data).Length&&(c.Length=0),this.compress?(this.deflate||this.initDeflate(),this.deflate.write(a)):(this.chunks.push(a),this.data.Length+=a.length)},a.prototype.end=function(a){return("string"==typeof a||b.isBuffer(a))&&this.write(a),this.deflate?this.deflate.end():this.finalize()},a.prototype.finalize=function(){var a,b,c,e;if(this.offset=this.document._offset,this.document._write(""+this.id+" "+this.gen+" obj"),this.document._write(d.convert(this.data)),this.chunks.length){for(this.document._write("stream"),e=this.chunks,b=0,c=e.length;c>b;b++)a=e[b],this.document._write(a);this.chunks.length=0,this.document._write("\nendstream")}return this.document._write("endobj"),this.document._refEnd(this)},a.prototype.toString=function(){return""+this.id+" "+this.gen+" R"},a}(),a.exports=e,d=c(45)}).call(this)}).call(b,c(2).Buffer)},function(a,b,c){(function(a,d){function e(b,c,d){function e(){for(var a;null!==(a=b.read());)h.push(a),i+=a.length;b.once("readable",e)}function f(a){b.removeListener("end",g),b.removeListener("readable",e),d(a)}function g(){var c=a.concat(h,i);h=[],d(null,c),b.close()}var h=[],i=0;b.on("error",f),b.on("end",g),b.end(c),e()}function f(b,c){if("string"==typeof c&&(c=new a(c)),!a.isBuffer(c))throw new TypeError("Not a string or buffer");var d=p.Z_FINISH;return b._processChunk(c,d)}function g(a){return this instanceof g?void n.call(this,a,p.DEFLATE):new g(a)}function h(a){return this instanceof h?void n.call(this,a,p.INFLATE):new h(a)}function i(a){return this instanceof i?void n.call(this,a,p.GZIP):new i(a)}function j(a){return this instanceof j?void n.call(this,a,p.GUNZIP):new j(a)}function k(a){return this instanceof k?void n.call(this,a,p.DEFLATERAW):new k(a)}function l(a){return this instanceof l?void n.call(this,a,p.INFLATERAW):new l(a)}function m(a){return this instanceof m?void n.call(this,a,p.UNZIP):new m(a)}function n(c,d){if(this._opts=c=c||{},this._chunkSize=c.chunkSize||b.Z_DEFAULT_CHUNK,o.call(this,c),c.flush&&c.flush!==p.Z_NO_FLUSH&&c.flush!==p.Z_PARTIAL_FLUSH&&c.flush!==p.Z_SYNC_FLUSH&&c.flush!==p.Z_FULL_FLUSH&&c.flush!==p.Z_FINISH&&c.flush!==p.Z_BLOCK)throw new Error("Invalid flush flag: "+c.flush);if(this._flushFlag=c.flush||p.Z_NO_FLUSH,c.chunkSize&&(c.chunkSizeb.Z_MAX_CHUNK))throw new Error("Invalid chunk size: "+c.chunkSize);if(c.windowBits&&(c.windowBitsb.Z_MAX_WINDOWBITS))throw new Error("Invalid windowBits: "+c.windowBits);if(c.level&&(c.levelb.Z_MAX_LEVEL))throw new Error("Invalid compression level: "+c.level);if(c.memLevel&&(c.memLevelb.Z_MAX_MEMLEVEL))throw new Error("Invalid memLevel: "+c.memLevel);if(c.strategy&&c.strategy!=b.Z_FILTERED&&c.strategy!=b.Z_HUFFMAN_ONLY&&c.strategy!=b.Z_RLE&&c.strategy!=b.Z_FIXED&&c.strategy!=b.Z_DEFAULT_STRATEGY)throw new Error("Invalid strategy: "+c.strategy);if(c.dictionary&&!a.isBuffer(c.dictionary))throw new Error("Invalid dictionary: it should be a Buffer instance");this._binding=new p.Zlib(d);var e=this;this._hadError=!1,this._binding.onerror=function(a,c){e._binding=null,e._hadError=!0;var d=new Error(a);d.errno=c,d.code=b.codes[c],e.emit("error",d)};var f=b.Z_DEFAULT_COMPRESSION;"number"==typeof c.level&&(f=c.level);var g=b.Z_DEFAULT_STRATEGY;"number"==typeof c.strategy&&(g=c.strategy),this._binding.init(c.windowBits||b.Z_DEFAULT_WINDOWBITS,f,c.memLevel||b.Z_DEFAULT_MEMLEVEL,g,c.dictionary),this._buffer=new a(this._chunkSize),this._offset=0,this._closed=!1,this._level=f,this._strategy=g,this.once("end",this.close)}var o=c(42),p=c(48),q=c(60),r=c(63).ok;p.Z_MIN_WINDOWBITS=8,p.Z_MAX_WINDOWBITS=15,p.Z_DEFAULT_WINDOWBITS=15,p.Z_MIN_CHUNK=64,p.Z_MAX_CHUNK=1/0,p.Z_DEFAULT_CHUNK=16384,p.Z_MIN_MEMLEVEL=1,p.Z_MAX_MEMLEVEL=9,p.Z_DEFAULT_MEMLEVEL=8,p.Z_MIN_LEVEL=-1,p.Z_MAX_LEVEL=9,p.Z_DEFAULT_LEVEL=p.Z_DEFAULT_COMPRESSION,Object.keys(p).forEach(function(a){ +a.match(/^Z/)&&(b[a]=p[a])}),b.codes={Z_OK:p.Z_OK,Z_STREAM_END:p.Z_STREAM_END,Z_NEED_DICT:p.Z_NEED_DICT,Z_ERRNO:p.Z_ERRNO,Z_STREAM_ERROR:p.Z_STREAM_ERROR,Z_DATA_ERROR:p.Z_DATA_ERROR,Z_MEM_ERROR:p.Z_MEM_ERROR,Z_BUF_ERROR:p.Z_BUF_ERROR,Z_VERSION_ERROR:p.Z_VERSION_ERROR},Object.keys(b.codes).forEach(function(a){b.codes[b.codes[a]]=a}),b.Deflate=g,b.Inflate=h,b.Gzip=i,b.Gunzip=j,b.DeflateRaw=k,b.InflateRaw=l,b.Unzip=m,b.createDeflate=function(a){return new g(a)},b.createInflate=function(a){return new h(a)},b.createDeflateRaw=function(a){return new k(a)},b.createInflateRaw=function(a){return new l(a)},b.createGzip=function(a){return new i(a)},b.createGunzip=function(a){return new j(a)},b.createUnzip=function(a){return new m(a)},b.deflate=function(a,b,c){return"function"==typeof b&&(c=b,b={}),e(new g(b),a,c)},b.deflateSync=function(a,b){return f(new g(b),a)},b.gzip=function(a,b,c){return"function"==typeof b&&(c=b,b={}),e(new i(b),a,c)},b.gzipSync=function(a,b){return f(new i(b),a)},b.deflateRaw=function(a,b,c){return"function"==typeof b&&(c=b,b={}),e(new k(b),a,c)},b.deflateRawSync=function(a,b){return f(new k(b),a)},b.unzip=function(a,b,c){return"function"==typeof b&&(c=b,b={}),e(new m(b),a,c)},b.unzipSync=function(a,b){return f(new m(b),a)},b.inflate=function(a,b,c){return"function"==typeof b&&(c=b,b={}),e(new h(b),a,c)},b.inflateSync=function(a,b){return f(new h(b),a)},b.gunzip=function(a,b,c){return"function"==typeof b&&(c=b,b={}),e(new j(b),a,c)},b.gunzipSync=function(a,b){return f(new j(b),a)},b.inflateRaw=function(a,b,c){return"function"==typeof b&&(c=b,b={}),e(new l(b),a,c)},b.inflateRawSync=function(a,b){return f(new l(b),a)},q.inherits(n,o),n.prototype.params=function(a,c,e){if(ab.Z_MAX_LEVEL)throw new RangeError("Invalid compression level: "+a);if(c!=b.Z_FILTERED&&c!=b.Z_HUFFMAN_ONLY&&c!=b.Z_RLE&&c!=b.Z_FIXED&&c!=b.Z_DEFAULT_STRATEGY)throw new TypeError("Invalid strategy: "+c);if(this._level!==a||this._strategy!==c){var f=this;this.flush(p.Z_SYNC_FLUSH,function(){f._binding.params(a,c),f._hadError||(f._level=a,f._strategy=c,e&&e())})}else d.nextTick(e)},n.prototype.reset=function(){return this._binding.reset()},n.prototype._flush=function(b){this._transform(new a(0),"",b)},n.prototype.flush=function(b,c){var e=this._writableState;if(("function"==typeof b||void 0===b&&!c)&&(c=b,b=p.Z_FULL_FLUSH),e.ended)c&&d.nextTick(c);else if(e.ending)c&&this.once("end",c);else if(e.needDrain){var f=this;this.once("drain",function(){f.flush(c)})}else this._flushFlag=b,this.write(new a(0),"",c)},n.prototype.close=function(a){if(a&&d.nextTick(a),!this._closed){this._closed=!0,this._binding.close();var b=this;d.nextTick(function(){b.emit("close")})}},n.prototype._transform=function(b,c,d){var e,f=this._writableState,g=f.ending||f.ended,h=g&&(!b||f.length===b.length);if(null===!b&&!a.isBuffer(b))return d(new Error("invalid input"));h?e=p.Z_FINISH:(e=this._flushFlag,b.length>=f.length&&(this._flushFlag=this._opts.flush||p.Z_NO_FLUSH));this._processChunk(b,e,d)},n.prototype._processChunk=function(b,c,d){function e(k,n){if(!i._hadError){var o=g-n;if(r(o>=0,"have should not go down"),o>0){var p=i._buffer.slice(i._offset,i._offset+o);i._offset+=o,j?i.push(p):(l.push(p),m+=p.length)}if((0===n||i._offset>=i._chunkSize)&&(g=i._chunkSize,i._offset=0,i._buffer=new a(i._chunkSize)),0===n){if(h+=f-k,f=k,!j)return!0;var q=i._binding.write(c,b,h,f,i._buffer,i._offset,i._chunkSize);return q.callback=e,void(q.buffer=b)}return j?void d():!1}}var f=b&&b.length,g=this._chunkSize-this._offset,h=0,i=this,j="function"==typeof d;if(!j){var k,l=[],m=0;this.on("error",function(a){k=a});do var n=this._binding.writeSync(c,b,h,f,this._buffer,this._offset,g);while(!this._hadError&&e(n[0],n[1]));if(this._hadError)throw k;var o=a.concat(l,m);return this.close(),o}var p=this._binding.write(c,b,h,f,this._buffer,this._offset,g);p.buffer=b,p.callback=e},q.inherits(g,n),q.inherits(h,n),q.inherits(i,n),q.inherits(j,n),q.inherits(k,n),q.inherits(l,n),q.inherits(m,n)}).call(b,c(2).Buffer,c(30))},function(a,b,c){(function(a,d){function e(a){if(ab.UNZIP)throw new TypeError("Bad argument");this.mode=a,this.init_done=!1,this.write_in_progress=!1,this.pending_close=!1,this.windowBits=0,this.level=0,this.memLevel=0,this.strategy=0,this.dictionary=null}function f(a,b){for(var c=0;c4?9:0)}function f(a){for(var b=a.length;--b>=0;)a[b]=0}function g(a){var b=a.state,c=b.pending;c>a.avail_out&&(c=a.avail_out),0!==c&&(C.arraySet(a.output,b.pending_buf,b.pending_out,c,a.next_out),a.next_out+=c,b.pending_out+=c,a.total_out+=c,a.avail_out-=c,b.pending-=c,0===b.pending&&(b.pending_out=0))}function h(a,b){D._tr_flush_block(a,a.block_start>=0?a.block_start:-1,a.strstart-a.block_start,b),a.block_start=a.strstart,g(a.strm)}function i(a,b){a.pending_buf[a.pending++]=b}function j(a,b){a.pending_buf[a.pending++]=b>>>8&255,a.pending_buf[a.pending++]=255&b}function k(a,b,c,d){var e=a.avail_in;return e>d&&(e=d),0===e?0:(a.avail_in-=e,C.arraySet(b,a.input,a.next_in,e,c),1===a.state.wrap?a.adler=E(a.adler,b,e,c):2===a.state.wrap&&(a.adler=F(a.adler,b,e,c)),a.next_in+=e,a.total_in+=e,e)}function l(a,b){var c,d,e=a.max_chain_length,f=a.strstart,g=a.prev_length,h=a.nice_match,i=a.strstart>a.w_size-ja?a.strstart-(a.w_size-ja):0,j=a.window,k=a.w_mask,l=a.prev,m=a.strstart+ia,n=j[f+g-1],o=j[f+g];a.prev_length>=a.good_match&&(e>>=2),h>a.lookahead&&(h=a.lookahead);do if(c=b,j[c+g]===o&&j[c+g-1]===n&&j[c]===j[f]&&j[++c]===j[f+1]){f+=2,c++;do;while(j[++f]===j[++c]&&j[++f]===j[++c]&&j[++f]===j[++c]&&j[++f]===j[++c]&&j[++f]===j[++c]&&j[++f]===j[++c]&&j[++f]===j[++c]&&j[++f]===j[++c]&&m>f);if(d=ia-(m-f),f=m-ia,d>g){if(a.match_start=b,g=d,d>=h)break;n=j[f+g-1],o=j[f+g]}}while((b=l[b&k])>i&&0!==--e);return g<=a.lookahead?g:a.lookahead}function m(a){var b,c,d,e,f,g=a.w_size;do{if(e=a.window_size-a.lookahead-a.strstart,a.strstart>=g+(g-ja)){C.arraySet(a.window,a.window,g,g,0),a.match_start-=g,a.strstart-=g,a.block_start-=g,c=a.hash_size,b=c;do d=a.head[--b],a.head[b]=d>=g?d-g:0;while(--c);c=g,b=c;do d=a.prev[--b],a.prev[b]=d>=g?d-g:0;while(--c);e+=g}if(0===a.strm.avail_in)break;if(c=k(a.strm,a.window,a.strstart+a.lookahead,e),a.lookahead+=c,a.lookahead+a.insert>=ha)for(f=a.strstart-a.insert,a.ins_h=a.window[f],a.ins_h=(a.ins_h<a.pending_buf_size-5&&(c=a.pending_buf_size-5);;){if(a.lookahead<=1){if(m(a),0===a.lookahead&&b===H)return sa;if(0===a.lookahead)break}a.strstart+=a.lookahead,a.lookahead=0;var d=a.block_start+c;if((0===a.strstart||a.strstart>=d)&&(a.lookahead=a.strstart-d,a.strstart=d,h(a,!1),0===a.strm.avail_out))return sa;if(a.strstart-a.block_start>=a.w_size-ja&&(h(a,!1),0===a.strm.avail_out))return sa}return a.insert=0,b===K?(h(a,!0),0===a.strm.avail_out?ua:va):a.strstart>a.block_start&&(h(a,!1),0===a.strm.avail_out)?sa:sa}function o(a,b){for(var c,d;;){if(a.lookahead=ha&&(a.ins_h=(a.ins_h<=ha)if(d=D._tr_tally(a,a.strstart-a.match_start,a.match_length-ha),a.lookahead-=a.match_length,a.match_length<=a.max_lazy_match&&a.lookahead>=ha){a.match_length--;do a.strstart++,a.ins_h=(a.ins_h<=ha&&(a.ins_h=(a.ins_h<4096)&&(a.match_length=ha-1)),a.prev_length>=ha&&a.match_length<=a.prev_length){e=a.strstart+a.lookahead-ha,d=D._tr_tally(a,a.strstart-1-a.prev_match,a.prev_length-ha),a.lookahead-=a.prev_length-1,a.prev_length-=2;do++a.strstart<=e&&(a.ins_h=(a.ins_h<=ha&&a.strstart>0&&(e=a.strstart-1,d=g[e],d===g[++e]&&d===g[++e]&&d===g[++e])){f=a.strstart+ia;do;while(d===g[++e]&&d===g[++e]&&d===g[++e]&&d===g[++e]&&d===g[++e]&&d===g[++e]&&d===g[++e]&&d===g[++e]&&f>e);a.match_length=ia-(f-e),a.match_length>a.lookahead&&(a.match_length=a.lookahead)}if(a.match_length>=ha?(c=D._tr_tally(a,1,a.match_length-ha),a.lookahead-=a.match_length,a.strstart+=a.match_length,a.match_length=0):(c=D._tr_tally(a,0,a.window[a.strstart]),a.lookahead--,a.strstart++),c&&(h(a,!1),0===a.strm.avail_out))return sa}return a.insert=0,b===K?(h(a,!0),0===a.strm.avail_out?ua:va):a.last_lit&&(h(a,!1),0===a.strm.avail_out)?sa:ta}function r(a,b){for(var c;;){if(0===a.lookahead&&(m(a),0===a.lookahead)){if(b===H)return sa;break}if(a.match_length=0,c=D._tr_tally(a,0,a.window[a.strstart]),a.lookahead--,a.strstart++,c&&(h(a,!1),0===a.strm.avail_out))return sa}return a.insert=0,b===K?(h(a,!0),0===a.strm.avail_out?ua:va):a.last_lit&&(h(a,!1),0===a.strm.avail_out)?sa:ta}function s(a){a.window_size=2*a.w_size,f(a.head),a.max_lazy_match=B[a.level].max_lazy,a.good_match=B[a.level].good_length,a.nice_match=B[a.level].nice_length,a.max_chain_length=B[a.level].max_chain,a.strstart=0,a.block_start=0,a.lookahead=0,a.insert=0,a.match_length=a.prev_length=ha-1,a.match_available=0,a.ins_h=0}function t(){this.strm=null,this.status=0,this.pending_buf=null,this.pending_buf_size=0,this.pending_out=0,this.pending=0,this.wrap=0,this.gzhead=null,this.gzindex=0,this.method=Y,this.last_flush=-1,this.w_size=0,this.w_bits=0,this.w_mask=0,this.window=null,this.window_size=0,this.prev=null,this.head=null,this.ins_h=0,this.hash_size=0,this.hash_bits=0,this.hash_mask=0,this.hash_shift=0,this.block_start=0,this.match_length=0,this.prev_match=0,this.match_available=0,this.strstart=0,this.match_start=0,this.lookahead=0,this.prev_length=0,this.max_chain_length=0,this.max_lazy_match=0,this.level=0,this.strategy=0,this.good_match=0,this.nice_match=0,this.dyn_ltree=new C.Buf16(2*fa),this.dyn_dtree=new C.Buf16(2*(2*da+1)),this.bl_tree=new C.Buf16(2*(2*ea+1)),f(this.dyn_ltree),f(this.dyn_dtree),f(this.bl_tree),this.l_desc=null,this.d_desc=null,this.bl_desc=null,this.bl_count=new C.Buf16(ga+1),this.heap=new C.Buf16(2*ca+1),f(this.heap),this.heap_len=0,this.heap_max=0,this.depth=new C.Buf16(2*ca+1),f(this.depth),this.l_buf=0,this.lit_bufsize=0,this.last_lit=0,this.d_buf=0,this.opt_len=0,this.static_len=0,this.matches=0,this.insert=0,this.bi_buf=0,this.bi_valid=0}function u(a){var b;return a&&a.state?(a.total_in=a.total_out=0,a.data_type=X,b=a.state,b.pending=0,b.pending_out=0,b.wrap<0&&(b.wrap=-b.wrap),b.status=b.wrap?la:qa,a.adler=2===b.wrap?0:1,b.last_flush=H,D._tr_init(b),M):d(a,O)}function v(a){var b=u(a);return b===M&&s(a.state),b}function w(a,b){return a&&a.state?2!==a.state.wrap?O:(a.state.gzhead=b,M):O}function x(a,b,c,e,f,g){if(!a)return O;var h=1;if(b===R&&(b=6),0>e?(h=0,e=-e):e>15&&(h=2,e-=16),1>f||f>Z||c!==Y||8>e||e>15||0>b||b>9||0>g||g>V)return d(a,O);8===e&&(e=9);var i=new t;return a.state=i,i.strm=a,i.wrap=h,i.gzhead=null,i.w_bits=e,i.w_size=1<>1,i.l_buf=3*i.lit_bufsize,i.level=b,i.strategy=g,i.method=c,v(a)}function y(a,b){return x(a,b,Y,$,_,W)}function z(a,b){var c,h,k,l;if(!a||!a.state||b>L||0>b)return a?d(a,O):O;if(h=a.state,!a.output||!a.input&&0!==a.avail_in||h.status===ra&&b!==K)return d(a,0===a.avail_out?Q:O);if(h.strm=a,c=h.last_flush,h.last_flush=b,h.status===la)if(2===h.wrap)a.adler=0,i(h,31),i(h,139),i(h,8),h.gzhead?(i(h,(h.gzhead.text?1:0)+(h.gzhead.hcrc?2:0)+(h.gzhead.extra?4:0)+(h.gzhead.name?8:0)+(h.gzhead.comment?16:0)),i(h,255&h.gzhead.time),i(h,h.gzhead.time>>8&255),i(h,h.gzhead.time>>16&255),i(h,h.gzhead.time>>24&255),i(h,9===h.level?2:h.strategy>=T||h.level<2?4:0),i(h,255&h.gzhead.os),h.gzhead.extra&&h.gzhead.extra.length&&(i(h,255&h.gzhead.extra.length),i(h,h.gzhead.extra.length>>8&255)),h.gzhead.hcrc&&(a.adler=F(a.adler,h.pending_buf,h.pending,0)),h.gzindex=0,h.status=ma):(i(h,0),i(h,0),i(h,0),i(h,0),i(h,0),i(h,9===h.level?2:h.strategy>=T||h.level<2?4:0),i(h,wa),h.status=qa);else{var m=Y+(h.w_bits-8<<4)<<8,n=-1;n=h.strategy>=T||h.level<2?0:h.level<6?1:6===h.level?2:3,m|=n<<6,0!==h.strstart&&(m|=ka),m+=31-m%31,h.status=qa,j(h,m),0!==h.strstart&&(j(h,a.adler>>>16),j(h,65535&a.adler)),a.adler=1}if(h.status===ma)if(h.gzhead.extra){for(k=h.pending;h.gzindex<(65535&h.gzhead.extra.length)&&(h.pending!==h.pending_buf_size||(h.gzhead.hcrc&&h.pending>k&&(a.adler=F(a.adler,h.pending_buf,h.pending-k,k)),g(a),k=h.pending,h.pending!==h.pending_buf_size));)i(h,255&h.gzhead.extra[h.gzindex]),h.gzindex++;h.gzhead.hcrc&&h.pending>k&&(a.adler=F(a.adler,h.pending_buf,h.pending-k,k)),h.gzindex===h.gzhead.extra.length&&(h.gzindex=0,h.status=na)}else h.status=na;if(h.status===na)if(h.gzhead.name){k=h.pending;do{if(h.pending===h.pending_buf_size&&(h.gzhead.hcrc&&h.pending>k&&(a.adler=F(a.adler,h.pending_buf,h.pending-k,k)),g(a),k=h.pending,h.pending===h.pending_buf_size)){l=1;break}l=h.gzindexk&&(a.adler=F(a.adler,h.pending_buf,h.pending-k,k)),0===l&&(h.gzindex=0,h.status=oa)}else h.status=oa;if(h.status===oa)if(h.gzhead.comment){k=h.pending;do{if(h.pending===h.pending_buf_size&&(h.gzhead.hcrc&&h.pending>k&&(a.adler=F(a.adler,h.pending_buf,h.pending-k,k)),g(a),k=h.pending,h.pending===h.pending_buf_size)){l=1;break}l=h.gzindexk&&(a.adler=F(a.adler,h.pending_buf,h.pending-k,k)),0===l&&(h.status=pa)}else h.status=pa;if(h.status===pa&&(h.gzhead.hcrc?(h.pending+2>h.pending_buf_size&&g(a),h.pending+2<=h.pending_buf_size&&(i(h,255&a.adler),i(h,a.adler>>8&255),a.adler=0,h.status=qa)):h.status=qa),0!==h.pending){if(g(a),0===a.avail_out)return h.last_flush=-1,M}else if(0===a.avail_in&&e(b)<=e(c)&&b!==K)return d(a,Q);if(h.status===ra&&0!==a.avail_in)return d(a,Q);if(0!==a.avail_in||0!==h.lookahead||b!==H&&h.status!==ra){var o=h.strategy===T?r(h,b):h.strategy===U?q(h,b):B[h.level].func(h,b);if(o!==ua&&o!==va||(h.status=ra),o===sa||o===ua)return 0===a.avail_out&&(h.last_flush=-1),M;if(o===ta&&(b===I?D._tr_align(h):b!==L&&(D._tr_stored_block(h,0,0,!1),b===J&&(f(h.head),0===h.lookahead&&(h.strstart=0,h.block_start=0,h.insert=0))),g(a),0===a.avail_out))return h.last_flush=-1,M}return b!==K?M:h.wrap<=0?N:(2===h.wrap?(i(h,255&a.adler),i(h,a.adler>>8&255),i(h,a.adler>>16&255),i(h,a.adler>>24&255),i(h,255&a.total_in),i(h,a.total_in>>8&255),i(h,a.total_in>>16&255),i(h,a.total_in>>24&255)):(j(h,a.adler>>>16),j(h,65535&a.adler)),g(a),h.wrap>0&&(h.wrap=-h.wrap),0!==h.pending?M:N)}function A(a){var b;return a&&a.state?(b=a.state.status,b!==la&&b!==ma&&b!==na&&b!==oa&&b!==pa&&b!==qa&&b!==ra?d(a,O):(a.state=null,b===qa?d(a,P):M)):O}var B,C=c(52),D=c(53),E=c(54),F=c(55),G=c(49),H=0,I=1,J=3,K=4,L=5,M=0,N=1,O=-2,P=-3,Q=-5,R=-1,S=1,T=2,U=3,V=4,W=0,X=2,Y=8,Z=9,$=15,_=8,aa=29,ba=256,ca=ba+1+aa,da=30,ea=19,fa=2*ca+1,ga=15,ha=3,ia=258,ja=ia+ha+1,ka=32,la=42,ma=69,na=73,oa=91,pa=103,qa=113,ra=666,sa=1,ta=2,ua=3,va=4,wa=3,xa=function(a,b,c,d,e){this.good_length=a,this.max_lazy=b,this.nice_length=c,this.max_chain=d,this.func=e};B=[new xa(0,0,0,0,n),new xa(4,4,8,4,o),new xa(4,5,16,8,o),new xa(4,6,32,32,o),new xa(4,4,16,16,p),new xa(8,16,32,32,p),new xa(8,16,128,128,p),new xa(8,32,128,256,p),new xa(32,128,258,1024,p),new xa(32,258,258,4096,p)],b.deflateInit=y,b.deflateInit2=x,b.deflateReset=v,b.deflateResetKeep=u,b.deflateSetHeader=w,b.deflate=z,b.deflateEnd=A,b.deflateInfo="pako deflate (from Nodeca project)"},function(a,b){"use strict";var c="undefined"!=typeof Uint8Array&&"undefined"!=typeof Uint16Array&&"undefined"!=typeof Int32Array;b.assign=function(a){for(var b=Array.prototype.slice.call(arguments,1);b.length;){var c=b.shift();if(c){if("object"!=typeof c)throw new TypeError(c+"must be non-object");for(var d in c)c.hasOwnProperty(d)&&(a[d]=c[d])}}return a},b.shrinkBuf=function(a,b){return a.length===b?a:a.subarray?a.subarray(0,b):(a.length=b,a)};var d={arraySet:function(a,b,c,d,e){if(b.subarray&&a.subarray)return void a.set(b.subarray(c,c+d),e);for(var f=0;d>f;f++)a[e+f]=b[c+f]},flattenChunks:function(a){var b,c,d,e,f,g;for(d=0,b=0,c=a.length;c>b;b++)d+=a[b].length;for(g=new Uint8Array(d),e=0,b=0,c=a.length;c>b;b++)f=a[b],g.set(f,e),e+=f.length;return g}},e={arraySet:function(a,b,c,d,e){for(var f=0;d>f;f++)a[e+f]=b[c+f]},flattenChunks:function(a){return[].concat.apply([],a)}};b.setTyped=function(a){a?(b.Buf8=Uint8Array,b.Buf16=Uint16Array,b.Buf32=Int32Array,b.assign(b,d)):(b.Buf8=Array,b.Buf16=Array,b.Buf32=Array,b.assign(b,e))},b.setTyped(c)},function(a,b,c){"use strict";function d(a){for(var b=a.length;--b>=0;)a[b]=0}function e(a){return 256>a?ga[a]:ga[256+(a>>>7)]}function f(a,b){a.pending_buf[a.pending++]=255&b,a.pending_buf[a.pending++]=b>>>8&255}function g(a,b,c){a.bi_valid>V-c?(a.bi_buf|=b<>V-a.bi_valid,a.bi_valid+=c-V):(a.bi_buf|=b<>>=1,c<<=1;while(--b>0);return c>>>1}function j(a){16===a.bi_valid?(f(a,a.bi_buf),a.bi_buf=0,a.bi_valid=0):a.bi_valid>=8&&(a.pending_buf[a.pending++]=255&a.bi_buf,a.bi_buf>>=8,a.bi_valid-=8)}function k(a,b){var c,d,e,f,g,h,i=b.dyn_tree,j=b.max_code,k=b.stat_desc.static_tree,l=b.stat_desc.has_stree,m=b.stat_desc.extra_bits,n=b.stat_desc.extra_base,o=b.stat_desc.max_length,p=0;for(f=0;U>=f;f++)a.bl_count[f]=0;for(i[2*a.heap[a.heap_max]+1]=0,c=a.heap_max+1;T>c;c++)d=a.heap[c],f=i[2*i[2*d+1]+1]+1,f>o&&(f=o,p++),i[2*d+1]=f,d>j||(a.bl_count[f]++,g=0,d>=n&&(g=m[d-n]),h=i[2*d],a.opt_len+=h*(f+g),l&&(a.static_len+=h*(k[2*d+1]+g)));if(0!==p){do{for(f=o-1;0===a.bl_count[f];)f--;a.bl_count[f]--,a.bl_count[f+1]+=2,a.bl_count[o]--,p-=2}while(p>0);for(f=o;0!==f;f--)for(d=a.bl_count[f];0!==d;)e=a.heap[--c],e>j||(i[2*e+1]!==f&&(a.opt_len+=(f-i[2*e+1])*i[2*e],i[2*e+1]=f),d--)}}function l(a,b,c){var d,e,f=new Array(U+1),g=0;for(d=1;U>=d;d++)f[d]=g=g+c[d-1]<<1;for(e=0;b>=e;e++){var h=a[2*e+1];0!==h&&(a[2*e]=i(f[h]++,h))}}function m(){var a,b,c,d,e,f=new Array(U+1);for(c=0,d=0;O-1>d;d++)for(ia[d]=c,a=0;a<1<<_[d];a++)ha[c++]=d;for(ha[c-1]=d,e=0,d=0;16>d;d++)for(ja[d]=e,a=0;a<1<>=7;R>d;d++)for(ja[d]=e<<7,a=0;a<1<=b;b++)f[b]=0;for(a=0;143>=a;)ea[2*a+1]=8,a++,f[8]++;for(;255>=a;)ea[2*a+1]=9,a++,f[9]++;for(;279>=a;)ea[2*a+1]=7,a++,f[7]++;for(;287>=a;)ea[2*a+1]=8,a++,f[8]++;for(l(ea,Q+1,f),a=0;R>a;a++)fa[2*a+1]=5,fa[2*a]=i(a,5);ka=new na(ea,_,P+1,Q,U),la=new na(fa,aa,0,R,U),ma=new na(new Array(0),ba,0,S,W)}function n(a){var b;for(b=0;Q>b;b++)a.dyn_ltree[2*b]=0;for(b=0;R>b;b++)a.dyn_dtree[2*b]=0;for(b=0;S>b;b++)a.bl_tree[2*b]=0;a.dyn_ltree[2*X]=1,a.opt_len=a.static_len=0,a.last_lit=a.matches=0}function o(a){a.bi_valid>8?f(a,a.bi_buf):a.bi_valid>0&&(a.pending_buf[a.pending++]=a.bi_buf),a.bi_buf=0,a.bi_valid=0}function p(a,b,c,d){o(a),d&&(f(a,c),f(a,~c)),E.arraySet(a.pending_buf,a.window,b,c,a.pending),a.pending+=c}function q(a,b,c,d){var e=2*b,f=2*c;return a[e]c;c++)0!==f[2*c]?(a.heap[++a.heap_len]=j=c,a.depth[c]=0):f[2*c+1]=0;for(;a.heap_len<2;)e=a.heap[++a.heap_len]=2>j?++j:0,f[2*e]=1,a.depth[e]=0,a.opt_len--,h&&(a.static_len-=g[2*e+1]);for(b.max_code=j,c=a.heap_len>>1;c>=1;c--)r(a,f,c);e=i;do c=a.heap[1],a.heap[1]=a.heap[a.heap_len--],r(a,f,1),d=a.heap[1],a.heap[--a.heap_max]=c,a.heap[--a.heap_max]=d,f[2*e]=f[2*c]+f[2*d],a.depth[e]=(a.depth[c]>=a.depth[d]?a.depth[c]:a.depth[d])+1,f[2*c+1]=f[2*d+1]=e,a.heap[1]=e++,r(a,f,1);while(a.heap_len>=2);a.heap[--a.heap_max]=a.heap[1],k(a,b),l(f,j,a.bl_count)}function u(a,b,c){var d,e,f=-1,g=b[1],h=0,i=7,j=4;for(0===g&&(i=138,j=3),b[2*(c+1)+1]=65535,d=0;c>=d;d++)e=g,g=b[2*(d+1)+1],++hh?a.bl_tree[2*e]+=h:0!==e?(e!==f&&a.bl_tree[2*e]++,a.bl_tree[2*Y]++):10>=h?a.bl_tree[2*Z]++:a.bl_tree[2*$]++,h=0,f=e,0===g?(i=138,j=3):e===g?(i=6,j=3):(i=7,j=4))}function v(a,b,c){var d,e,f=-1,i=b[1],j=0,k=7,l=4;for(0===i&&(k=138,l=3),d=0;c>=d;d++)if(e=i,i=b[2*(d+1)+1],!(++jj){do h(a,e,a.bl_tree);while(0!==--j)}else 0!==e?(e!==f&&(h(a,e,a.bl_tree),j--),h(a,Y,a.bl_tree),g(a,j-3,2)):10>=j?(h(a,Z,a.bl_tree),g(a,j-3,3)):(h(a,$,a.bl_tree),g(a,j-11,7));j=0,f=e,0===i?(k=138,l=3):e===i?(k=6,l=3):(k=7,l=4)}}function w(a){var b;for(u(a,a.dyn_ltree,a.l_desc.max_code),u(a,a.dyn_dtree,a.d_desc.max_code),t(a,a.bl_desc),b=S-1;b>=3&&0===a.bl_tree[2*ca[b]+1];b--);return a.opt_len+=3*(b+1)+5+5+4,b}function x(a,b,c,d){var e;for(g(a,b-257,5),g(a,c-1,5),g(a,d-4,4),e=0;d>e;e++)g(a,a.bl_tree[2*ca[e]+1],3);v(a,a.dyn_ltree,b-1),v(a,a.dyn_dtree,c-1)}function y(a){var b,c=4093624447;for(b=0;31>=b;b++,c>>>=1)if(1&c&&0!==a.dyn_ltree[2*b])return G;if(0!==a.dyn_ltree[18]||0!==a.dyn_ltree[20]||0!==a.dyn_ltree[26])return H;for(b=32;P>b;b++)if(0!==a.dyn_ltree[2*b])return H;return G}function z(a){pa||(m(),pa=!0),a.l_desc=new oa(a.dyn_ltree,ka),a.d_desc=new oa(a.dyn_dtree,la),a.bl_desc=new oa(a.bl_tree,ma),a.bi_buf=0,a.bi_valid=0,n(a)}function A(a,b,c,d){g(a,(J<<1)+(d?1:0),3),p(a,b,c,!0)}function B(a){g(a,K<<1,3),h(a,X,ea),j(a)}function C(a,b,c,d){var e,f,h=0;a.level>0?(a.strm.data_type===I&&(a.strm.data_type=y(a)),t(a,a.l_desc),t(a,a.d_desc),h=w(a),e=a.opt_len+3+7>>>3,f=a.static_len+3+7>>>3,e>=f&&(e=f)):e=f=c+5,e>=c+4&&-1!==b?A(a,b,c,d):a.strategy===F||f===e?(g(a,(K<<1)+(d?1:0),3),s(a,ea,fa)):(g(a,(L<<1)+(d?1:0),3),x(a,a.l_desc.max_code+1,a.d_desc.max_code+1,h+1),s(a,a.dyn_ltree,a.dyn_dtree)),n(a),d&&o(a)}function D(a,b,c){return a.pending_buf[a.d_buf+2*a.last_lit]=b>>>8&255,a.pending_buf[a.d_buf+2*a.last_lit+1]=255&b,a.pending_buf[a.l_buf+a.last_lit]=255&c,a.last_lit++,0===b?a.dyn_ltree[2*c]++:(a.matches++,b--,a.dyn_ltree[2*(ha[c]+P+1)]++,a.dyn_dtree[2*e(b)]++),a.last_lit===a.lit_bufsize-1}var E=c(52),F=4,G=0,H=1,I=2,J=0,K=1,L=2,M=3,N=258,O=29,P=256,Q=P+1+O,R=30,S=19,T=2*Q+1,U=15,V=16,W=7,X=256,Y=16,Z=17,$=18,_=[0,0,0,0,0,0,0,0,1,1,1,1,2,2,2,2,3,3,3,3,4,4,4,4,5,5,5,5,0],aa=[0,0,0,0,1,1,2,2,3,3,4,4,5,5,6,6,7,7,8,8,9,9,10,10,11,11,12,12,13,13],ba=[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,2,3,7],ca=[16,17,18,0,8,7,9,6,10,5,11,4,12,3,13,2,14,1,15],da=512,ea=new Array(2*(Q+2));d(ea);var fa=new Array(2*R);d(fa);var ga=new Array(da);d(ga);var ha=new Array(N-M+1);d(ha);var ia=new Array(O);d(ia);var ja=new Array(R);d(ja);var ka,la,ma,na=function(a,b,c,d,e){this.static_tree=a,this.extra_bits=b,this.extra_base=c,this.elems=d,this.max_length=e,this.has_stree=a&&a.length},oa=function(a,b){this.dyn_tree=a,this.max_code=0,this.stat_desc=b},pa=!1;b._tr_init=z,b._tr_stored_block=A,b._tr_flush_block=C,b._tr_tally=D,b._tr_align=B},function(a,b){"use strict";function c(a,b,c,d){for(var e=65535&a|0,f=a>>>16&65535|0,g=0;0!==c;){g=c>2e3?2e3:c,c-=g;do e=e+b[d++]|0,f=f+e|0;while(--g);e%=65521,f%=65521}return e|f<<16|0}a.exports=c},function(a,b){"use strict";function c(){for(var a,b=[],c=0;256>c;c++){a=c;for(var d=0;8>d;d++)a=1&a?3988292384^a>>>1:a>>>1;b[c]=a}return b}function d(a,b,c,d){var f=e,g=d+c;a=-1^a;for(var h=d;g>h;h++)a=a>>>8^f[255&(a^b[h])];return-1^a}var e=c();a.exports=d},function(a,b,c){"use strict";function d(a){return(a>>>24&255)+(a>>>8&65280)+((65280&a)<<8)+((255&a)<<24)}function e(){this.mode=0,this.last=!1,this.wrap=0,this.havedict=!1,this.flags=0,this.dmax=0,this.check=0,this.total=0,this.head=null,this.wbits=0,this.wsize=0,this.whave=0,this.wnext=0,this.window=null,this.hold=0,this.bits=0,this.length=0,this.offset=0,this.extra=0,this.lencode=null,this.distcode=null,this.lenbits=0,this.distbits=0,this.ncode=0,this.nlen=0,this.ndist=0,this.have=0,this.next=null,this.lens=new r.Buf16(320),this.work=new r.Buf16(288),this.lendyn=null,this.distdyn=null,this.sane=0,this.back=0,this.was=0}function f(a){var b;return a&&a.state?(b=a.state,a.total_in=a.total_out=b.total=0,a.msg="",b.wrap&&(a.adler=1&b.wrap),b.mode=K,b.last=0,b.havedict=0,b.dmax=32768,b.head=null,b.hold=0,b.bits=0,b.lencode=b.lendyn=new r.Buf32(oa),b.distcode=b.distdyn=new r.Buf32(pa),b.sane=1,b.back=-1,C):F}function g(a){var b;return a&&a.state?(b=a.state,b.wsize=0,b.whave=0,b.wnext=0,f(a)):F}function h(a,b){var c,d;return a&&a.state?(d=a.state,0>b?(c=0,b=-b):(c=(b>>4)+1,48>b&&(b&=15)),b&&(8>b||b>15)?F:(null!==d.window&&d.wbits!==b&&(d.window=null),d.wrap=c,d.wbits=b,g(a))):F}function i(a,b){var c,d;return a?(d=new e,a.state=d,d.window=null,c=h(a,b),c!==C&&(a.state=null),c):F}function j(a){return i(a,ra)}function k(a){if(sa){var b;for(p=new r.Buf32(512),q=new r.Buf32(32),b=0;144>b;)a.lens[b++]=8;for(;256>b;)a.lens[b++]=9;for(;280>b;)a.lens[b++]=7;for(;288>b;)a.lens[b++]=8;for(v(x,a.lens,0,288,p,0,a.work,{bits:9}),b=0;32>b;)a.lens[b++]=5;v(y,a.lens,0,32,q,0,a.work,{bits:5}),sa=!1}a.lencode=p,a.lenbits=9,a.distcode=q,a.distbits=5}function l(a,b,c,d){var e,f=a.state;return null===f.window&&(f.wsize=1<=f.wsize?(r.arraySet(f.window,b,c-f.wsize,f.wsize,0),f.wnext=0,f.whave=f.wsize):(e=f.wsize-f.wnext,e>d&&(e=d),r.arraySet(f.window,b,c-d,e,f.wnext),d-=e,d?(r.arraySet(f.window,b,c-d,d,0),f.wnext=d,f.whave=f.wsize):(f.wnext+=e,f.wnext===f.wsize&&(f.wnext=0),f.whaven;){if(0===i)break a; +i--,m+=e[g++]<>>8&255,c.check=t(c.check,Ba,2,0),m=0,n=0,c.mode=L;break}if(c.flags=0,c.head&&(c.head.done=!1),!(1&c.wrap)||(((255&m)<<8)+(m>>8))%31){a.msg="incorrect header check",c.mode=la;break}if((15&m)!==J){a.msg="unknown compression method",c.mode=la;break}if(m>>>=4,n-=4,wa=(15&m)+8,0===c.wbits)c.wbits=wa;else if(wa>c.wbits){a.msg="invalid window size",c.mode=la;break}c.dmax=1<n;){if(0===i)break a;i--,m+=e[g++]<>8&1),512&c.flags&&(Ba[0]=255&m,Ba[1]=m>>>8&255,c.check=t(c.check,Ba,2,0)),m=0,n=0,c.mode=M;case M:for(;32>n;){if(0===i)break a;i--,m+=e[g++]<>>8&255,Ba[2]=m>>>16&255,Ba[3]=m>>>24&255,c.check=t(c.check,Ba,4,0)),m=0,n=0,c.mode=N;case N:for(;16>n;){if(0===i)break a;i--,m+=e[g++]<>8),512&c.flags&&(Ba[0]=255&m,Ba[1]=m>>>8&255,c.check=t(c.check,Ba,2,0)),m=0,n=0,c.mode=O;case O:if(1024&c.flags){for(;16>n;){if(0===i)break a;i--,m+=e[g++]<>>8&255,c.check=t(c.check,Ba,2,0)),m=0,n=0}else c.head&&(c.head.extra=null);c.mode=P;case P:if(1024&c.flags&&(q=c.length,q>i&&(q=i),q&&(c.head&&(wa=c.head.extra_len-c.length,c.head.extra||(c.head.extra=new Array(c.head.extra_len)),r.arraySet(c.head.extra,e,g,q,wa)),512&c.flags&&(c.check=t(c.check,e,q,g)),i-=q,g+=q,c.length-=q),c.length))break a;c.length=0,c.mode=Q;case Q:if(2048&c.flags){if(0===i)break a;q=0;do wa=e[g+q++],c.head&&wa&&c.length<65536&&(c.head.name+=String.fromCharCode(wa));while(wa&&i>q);if(512&c.flags&&(c.check=t(c.check,e,q,g)),i-=q,g+=q,wa)break a}else c.head&&(c.head.name=null);c.length=0,c.mode=R;case R:if(4096&c.flags){if(0===i)break a;q=0;do wa=e[g+q++],c.head&&wa&&c.length<65536&&(c.head.comment+=String.fromCharCode(wa));while(wa&&i>q);if(512&c.flags&&(c.check=t(c.check,e,q,g)),i-=q,g+=q,wa)break a}else c.head&&(c.head.comment=null);c.mode=S;case S:if(512&c.flags){for(;16>n;){if(0===i)break a;i--,m+=e[g++]<>9&1,c.head.done=!0),a.adler=c.check=0,c.mode=V;break;case T:for(;32>n;){if(0===i)break a;i--,m+=e[g++]<>>=7&n,n-=7&n,c.mode=ia;break}for(;3>n;){if(0===i)break a;i--,m+=e[g++]<>>=1,n-=1,3&m){case 0:c.mode=X;break;case 1:if(k(c),c.mode=ba,b===B){m>>>=2,n-=2;break a}break;case 2:c.mode=$;break;case 3:a.msg="invalid block type",c.mode=la}m>>>=2,n-=2;break;case X:for(m>>>=7&n,n-=7&n;32>n;){if(0===i)break a;i--,m+=e[g++]<>>16^65535)){a.msg="invalid stored block lengths",c.mode=la;break}if(c.length=65535&m,m=0,n=0,c.mode=Y,b===B)break a;case Y:c.mode=Z;case Z:if(q=c.length){if(q>i&&(q=i),q>j&&(q=j),0===q)break a;r.arraySet(f,e,g,q,h),i-=q,g+=q,j-=q,h+=q,c.length-=q;break}c.mode=V;break;case $:for(;14>n;){if(0===i)break a;i--,m+=e[g++]<>>=5,n-=5,c.ndist=(31&m)+1,m>>>=5,n-=5,c.ncode=(15&m)+4,m>>>=4,n-=4,c.nlen>286||c.ndist>30){a.msg="too many length or distance symbols",c.mode=la;break}c.have=0,c.mode=_;case _:for(;c.haven;){if(0===i)break a;i--,m+=e[g++]<>>=3,n-=3}for(;c.have<19;)c.lens[Ca[c.have++]]=0;if(c.lencode=c.lendyn,c.lenbits=7,ya={bits:c.lenbits},xa=v(w,c.lens,0,19,c.lencode,0,c.work,ya),c.lenbits=ya.bits,xa){a.msg="invalid code lengths set",c.mode=la;break}c.have=0,c.mode=aa;case aa:for(;c.have>>24,ra=Aa>>>16&255,sa=65535&Aa,!(n>=qa);){if(0===i)break a;i--,m+=e[g++]<sa)m>>>=qa,n-=qa,c.lens[c.have++]=sa;else{if(16===sa){for(za=qa+2;za>n;){if(0===i)break a;i--,m+=e[g++]<>>=qa,n-=qa,0===c.have){a.msg="invalid bit length repeat",c.mode=la;break}wa=c.lens[c.have-1],q=3+(3&m),m>>>=2,n-=2}else if(17===sa){for(za=qa+3;za>n;){if(0===i)break a;i--,m+=e[g++]<>>=qa,n-=qa,wa=0,q=3+(7&m),m>>>=3,n-=3}else{for(za=qa+7;za>n;){if(0===i)break a;i--,m+=e[g++]<>>=qa,n-=qa,wa=0,q=11+(127&m),m>>>=7,n-=7}if(c.have+q>c.nlen+c.ndist){a.msg="invalid bit length repeat",c.mode=la;break}for(;q--;)c.lens[c.have++]=wa}}if(c.mode===la)break;if(0===c.lens[256]){a.msg="invalid code -- missing end-of-block",c.mode=la;break}if(c.lenbits=9,ya={bits:c.lenbits},xa=v(x,c.lens,0,c.nlen,c.lencode,0,c.work,ya),c.lenbits=ya.bits,xa){a.msg="invalid literal/lengths set",c.mode=la;break}if(c.distbits=6,c.distcode=c.distdyn,ya={bits:c.distbits},xa=v(y,c.lens,c.nlen,c.ndist,c.distcode,0,c.work,ya),c.distbits=ya.bits,xa){a.msg="invalid distances set",c.mode=la;break}if(c.mode=ba,b===B)break a;case ba:c.mode=ca;case ca:if(i>=6&&j>=258){a.next_out=h,a.avail_out=j,a.next_in=g,a.avail_in=i,c.hold=m,c.bits=n,u(a,p),h=a.next_out,f=a.output,j=a.avail_out,g=a.next_in,e=a.input,i=a.avail_in,m=c.hold,n=c.bits,c.mode===V&&(c.back=-1);break}for(c.back=0;Aa=c.lencode[m&(1<>>24,ra=Aa>>>16&255,sa=65535&Aa,!(n>=qa);){if(0===i)break a;i--,m+=e[g++]<>ta)],qa=Aa>>>24,ra=Aa>>>16&255,sa=65535&Aa,!(n>=ta+qa);){if(0===i)break a;i--,m+=e[g++]<>>=ta,n-=ta,c.back+=ta}if(m>>>=qa,n-=qa,c.back+=qa,c.length=sa,0===ra){c.mode=ha;break}if(32&ra){c.back=-1,c.mode=V;break}if(64&ra){a.msg="invalid literal/length code",c.mode=la;break}c.extra=15&ra,c.mode=da;case da:if(c.extra){for(za=c.extra;za>n;){if(0===i)break a;i--,m+=e[g++]<>>=c.extra,n-=c.extra,c.back+=c.extra}c.was=c.length,c.mode=ea;case ea:for(;Aa=c.distcode[m&(1<>>24,ra=Aa>>>16&255,sa=65535&Aa,!(n>=qa);){if(0===i)break a;i--,m+=e[g++]<>ta)],qa=Aa>>>24,ra=Aa>>>16&255,sa=65535&Aa,!(n>=ta+qa);){if(0===i)break a;i--,m+=e[g++]<>>=ta,n-=ta,c.back+=ta}if(m>>>=qa,n-=qa,c.back+=qa,64&ra){a.msg="invalid distance code",c.mode=la;break}c.offset=sa,c.extra=15&ra,c.mode=fa;case fa:if(c.extra){for(za=c.extra;za>n;){if(0===i)break a;i--,m+=e[g++]<>>=c.extra,n-=c.extra,c.back+=c.extra}if(c.offset>c.dmax){a.msg="invalid distance too far back",c.mode=la;break}c.mode=ga;case ga:if(0===j)break a;if(q=p-j,c.offset>q){if(q=c.offset-q,q>c.whave&&c.sane){a.msg="invalid distance too far back",c.mode=la;break}q>c.wnext?(q-=c.wnext,oa=c.wsize-q):oa=c.wnext-q,q>c.length&&(q=c.length),pa=c.window}else pa=f,oa=h-c.offset,q=c.length;q>j&&(q=j),j-=q,c.length-=q;do f[h++]=pa[oa++];while(--q);0===c.length&&(c.mode=ca);break;case ha:if(0===j)break a;f[h++]=c.length,j--,c.mode=ca;break;case ia:if(c.wrap){for(;32>n;){if(0===i)break a;i--,m|=e[g++]<n;){if(0===i)break a;i--,m+=e[g++]<q&&(p+=B[f++]<>>24,p>>>=w,q-=w,w=v>>>16&255,0===w)C[h++]=65535&v;else{if(!(16&w)){if(0===(64&w)){v=r[(65535&v)+(p&(1<q&&(p+=B[f++]<>>=w,q-=w),15>q&&(p+=B[f++]<>>24,p>>>=w,q-=w,w=v>>>16&255,!(16&w)){if(0===(64&w)){v=s[(65535&v)+(p&(1<q&&(p+=B[f++]<q&&(p+=B[f++]<k){a.msg="invalid distance too far back",e.mode=c;break a}if(p>>>=w,q-=w,w=h-i,y>w){if(w=y-w,w>m&&e.sane){a.msg="invalid distance too far back",e.mode=c;break a}if(z=0,A=o,0===n){if(z+=l-w,x>w){x-=w;do C[h++]=o[z++];while(--w);z=h-y,A=C}}else if(w>n){if(z+=l+n-w,w-=n,x>w){x-=w;do C[h++]=o[z++];while(--w);if(z=0,x>n){w=n,x-=w;do C[h++]=o[z++];while(--w);z=h-y,A=C}}}else if(z+=n-w,x>w){x-=w;do C[h++]=o[z++];while(--w);z=h-y,A=C}for(;x>2;)C[h++]=A[z++],C[h++]=A[z++],C[h++]=A[z++],x-=3;x&&(C[h++]=A[z++],x>1&&(C[h++]=A[z++]))}else{z=h-y;do C[h++]=C[z++],C[h++]=C[z++],C[h++]=C[z++],x-=3;while(x>2);x&&(C[h++]=C[z++],x>1&&(C[h++]=C[z++]))}break}}break}}while(g>f&&j>h);x=q>>3,f-=x,q-=x<<3,p&=(1<f?5+(g-f):5-(f-g),a.avail_out=j>h?257+(j-h):257-(h-j),e.hold=p,e.bits=q}},function(a,b,c){"use strict";var d=c(52),e=15,f=852,g=592,h=0,i=1,j=2,k=[3,4,5,6,7,8,9,10,11,13,15,17,19,23,27,31,35,43,51,59,67,83,99,115,131,163,195,227,258,0,0],l=[16,16,16,16,16,16,16,16,17,17,17,17,18,18,18,18,19,19,19,19,20,20,20,20,21,21,21,21,16,72,78],m=[1,2,3,4,5,7,9,13,17,25,33,49,65,97,129,193,257,385,513,769,1025,1537,2049,3073,4097,6145,8193,12289,16385,24577,0,0],n=[16,16,16,16,17,17,18,18,19,19,20,20,21,21,22,22,23,23,24,24,25,25,26,26,27,27,28,28,29,29,64,64];a.exports=function(a,b,c,o,p,q,r,s){var t,u,v,w,x,y,z,A,B,C=s.bits,D=0,E=0,F=0,G=0,H=0,I=0,J=0,K=0,L=0,M=0,N=null,O=0,P=new d.Buf16(e+1),Q=new d.Buf16(e+1),R=null,S=0;for(D=0;e>=D;D++)P[D]=0;for(E=0;o>E;E++)P[b[c+E]]++;for(H=C,G=e;G>=1&&0===P[G];G--);if(H>G&&(H=G),0===G)return p[q++]=20971520,p[q++]=20971520,s.bits=1,0;for(F=1;G>F&&0===P[F];F++);for(F>H&&(H=F),K=1,D=1;e>=D;D++)if(K<<=1,K-=P[D],0>K)return-1;if(K>0&&(a===h||1!==G))return-1;for(Q[1]=0,D=1;e>D;D++)Q[D+1]=Q[D]+P[D];for(E=0;o>E;E++)0!==b[c+E]&&(r[Q[b[c+E]]++]=E);if(a===h?(N=R=r,y=19):a===i?(N=k,O-=257,R=l,S-=257,y=256):(N=m,R=n,y=-1),M=0,E=0,D=F,x=q,I=H,J=0,v=-1,L=1<f||a===j&&L>g)return 1;for(var T=0;;){T++,z=D-J,r[E]y?(A=R[S+r[E]],B=N[O+r[E]]):(A=96,B=0),t=1<>J)+u]=z<<24|A<<16|B|0;while(0!==u);for(t=1<>=1;if(0!==t?(M&=t-1,M+=t):M=0,E++,0===--P[D]){if(D===G)break;D=b[c+r[E]]}if(D>H&&(M&w)!==v){for(0===J&&(J=H),x+=F,I=D-J,K=1<I+J&&(K-=P[I+J],!(0>=K));)I++,K<<=1;if(L+=1<f||a===j&&L>g)return 1;v=M&w,p[v]=H<<24|I<<16|x-q|0}}return 0!==M&&(p[x+M]=D-J<<24|64<<16|0),s.bits=H,0}},function(a,b){a.exports={Z_NO_FLUSH:0,Z_PARTIAL_FLUSH:1,Z_SYNC_FLUSH:2,Z_FULL_FLUSH:3,Z_FINISH:4,Z_BLOCK:5,Z_TREES:6,Z_OK:0,Z_STREAM_END:1,Z_NEED_DICT:2,Z_ERRNO:-1,Z_STREAM_ERROR:-2,Z_DATA_ERROR:-3,Z_BUF_ERROR:-5,Z_NO_COMPRESSION:0,Z_BEST_SPEED:1,Z_BEST_COMPRESSION:9,Z_DEFAULT_COMPRESSION:-1,Z_FILTERED:1,Z_HUFFMAN_ONLY:2,Z_RLE:3,Z_FIXED:4,Z_DEFAULT_STRATEGY:0,Z_BINARY:0,Z_TEXT:1,Z_UNKNOWN:2,Z_DEFLATED:8}},function(a,b,c){(function(a,d){function e(a,c){var d={seen:[],stylize:g};return arguments.length>=3&&(d.depth=arguments[2]),arguments.length>=4&&(d.colors=arguments[3]),p(c)?d.showHidden=c:c&&b._extend(d,c),v(d.showHidden)&&(d.showHidden=!1),v(d.depth)&&(d.depth=2),v(d.colors)&&(d.colors=!1),v(d.customInspect)&&(d.customInspect=!0),d.colors&&(d.stylize=f),i(d,a,d.depth)}function f(a,b){var c=e.styles[b];return c?"["+e.colors[c][0]+"m"+a+"["+e.colors[c][1]+"m":a}function g(a,b){return a}function h(a){var b={};return a.forEach(function(a,c){b[a]=!0}),b}function i(a,c,d){if(a.customInspect&&c&&A(c.inspect)&&c.inspect!==b.inspect&&(!c.constructor||c.constructor.prototype!==c)){var e=c.inspect(d,a);return t(e)||(e=i(a,e,d)),e}var f=j(a,c);if(f)return f;var g=Object.keys(c),p=h(g);if(a.showHidden&&(g=Object.getOwnPropertyNames(c)),z(c)&&(g.indexOf("message")>=0||g.indexOf("description")>=0))return k(c);if(0===g.length){if(A(c)){var q=c.name?": "+c.name:"";return a.stylize("[Function"+q+"]","special")}if(w(c))return a.stylize(RegExp.prototype.toString.call(c),"regexp");if(y(c))return a.stylize(Date.prototype.toString.call(c),"date");if(z(c))return k(c)}var r="",s=!1,u=["{","}"];if(o(c)&&(s=!0,u=["[","]"]),A(c)){var v=c.name?": "+c.name:"";r=" [Function"+v+"]"}if(w(c)&&(r=" "+RegExp.prototype.toString.call(c)),y(c)&&(r=" "+Date.prototype.toUTCString.call(c)),z(c)&&(r=" "+k(c)),0===g.length&&(!s||0==c.length))return u[0]+r+u[1];if(0>d)return w(c)?a.stylize(RegExp.prototype.toString.call(c),"regexp"):a.stylize("[Object]","special");a.seen.push(c);var x;return x=s?l(a,c,d,p,g):g.map(function(b){return m(a,c,d,p,b,s)}),a.seen.pop(),n(x,r,u)}function j(a,b){if(v(b))return a.stylize("undefined","undefined");if(t(b)){var c="'"+JSON.stringify(b).replace(/^"|"$/g,"").replace(/'/g,"\\'").replace(/\\"/g,'"')+"'";return a.stylize(c,"string")}return s(b)?a.stylize(""+b,"number"):p(b)?a.stylize(""+b,"boolean"):q(b)?a.stylize("null","null"):void 0}function k(a){return"["+Error.prototype.toString.call(a)+"]"}function l(a,b,c,d,e){for(var f=[],g=0,h=b.length;h>g;++g)F(b,String(g))?f.push(m(a,b,c,d,String(g),!0)):f.push("");return e.forEach(function(e){e.match(/^\d+$/)||f.push(m(a,b,c,d,e,!0))}),f}function m(a,b,c,d,e,f){var g,h,j;if(j=Object.getOwnPropertyDescriptor(b,e)||{value:b[e]},j.get?h=j.set?a.stylize("[Getter/Setter]","special"):a.stylize("[Getter]","special"):j.set&&(h=a.stylize("[Setter]","special")),F(d,e)||(g="["+e+"]"),h||(a.seen.indexOf(j.value)<0?(h=q(c)?i(a,j.value,null):i(a,j.value,c-1),h.indexOf("\n")>-1&&(h=f?h.split("\n").map(function(a){return" "+a}).join("\n").substr(2):"\n"+h.split("\n").map(function(a){return" "+a}).join("\n"))):h=a.stylize("[Circular]","special")),v(g)){if(f&&e.match(/^\d+$/))return h;g=JSON.stringify(""+e),g.match(/^"([a-zA-Z_][a-zA-Z_0-9]*)"$/)?(g=g.substr(1,g.length-2),g=a.stylize(g,"name")):(g=g.replace(/'/g,"\\'").replace(/\\"/g,'"').replace(/(^"|"$)/g,"'"),g=a.stylize(g,"string"))}return g+": "+h}function n(a,b,c){var d=0,e=a.reduce(function(a,b){return d++,b.indexOf("\n")>=0&&d++,a+b.replace(/\u001b\[\d\d?m/g,"").length+1},0);return e>60?c[0]+(""===b?"":b+"\n ")+" "+a.join(",\n ")+" "+c[1]:c[0]+b+" "+a.join(", ")+" "+c[1]}function o(a){return Array.isArray(a)}function p(a){return"boolean"==typeof a}function q(a){return null===a}function r(a){return null==a}function s(a){return"number"==typeof a}function t(a){return"string"==typeof a}function u(a){return"symbol"==typeof a}function v(a){return void 0===a}function w(a){return x(a)&&"[object RegExp]"===C(a)}function x(a){return"object"==typeof a&&null!==a}function y(a){return x(a)&&"[object Date]"===C(a)}function z(a){return x(a)&&("[object Error]"===C(a)||a instanceof Error)}function A(a){return"function"==typeof a}function B(a){return null===a||"boolean"==typeof a||"number"==typeof a||"string"==typeof a||"symbol"==typeof a||"undefined"==typeof a}function C(a){return Object.prototype.toString.call(a)}function D(a){return 10>a?"0"+a.toString(10):a.toString(10)}function E(){var a=new Date,b=[D(a.getHours()),D(a.getMinutes()),D(a.getSeconds())].join(":");return[a.getDate(),J[a.getMonth()],b].join(" ")}function F(a,b){return Object.prototype.hasOwnProperty.call(a,b)}var G=/%[sdj%]/g;b.format=function(a){if(!t(a)){for(var b=[],c=0;c=f)return a;switch(a){case"%s":return String(d[c++]);case"%d":return Number(d[c++]);case"%j":try{return JSON.stringify(d[c++])}catch(b){return"[Circular]"}default:return a}}),h=d[c];f>c;h=d[++c])g+=q(h)||!x(h)?" "+h:" "+e(h);return g},b.deprecate=function(c,e){function f(){if(!g){if(d.throwDeprecation)throw new Error(e);d.traceDeprecation?console.trace(e):console.error(e),g=!0}return c.apply(this,arguments)}if(v(a.process))return function(){return b.deprecate(c,e).apply(this,arguments)};if(d.noDeprecation===!0)return c;var g=!1;return f};var H,I={};b.debuglog=function(a){if(v(H)&&(H=d.env.NODE_DEBUG||""),a=a.toUpperCase(),!I[a])if(new RegExp("\\b"+a+"\\b","i").test(H)){var c=d.pid;I[a]=function(){var d=b.format.apply(b,arguments);console.error("%s %d: %s",a,c,d)}}else I[a]=function(){};return I[a]},b.inspect=e,e.colors={bold:[1,22],italic:[3,23],underline:[4,24],inverse:[7,27],white:[37,39],grey:[90,39],black:[30,39],blue:[34,39],cyan:[36,39],green:[32,39],magenta:[35,39],red:[31,39],yellow:[33,39]},e.styles={special:"cyan",number:"yellow","boolean":"yellow",undefined:"grey","null":"bold",string:"green",date:"magenta",regexp:"red"},b.isArray=o,b.isBoolean=p,b.isNull=q,b.isNullOrUndefined=r,b.isNumber=s,b.isString=t,b.isSymbol=u,b.isUndefined=v,b.isRegExp=w,b.isObject=x,b.isDate=y,b.isError=z,b.isFunction=A,b.isPrimitive=B,b.isBuffer=c(61);var J=["Jan","Feb","Mar","Apr","May","Jun","Jul","Aug","Sep","Oct","Nov","Dec"];b.log=function(){console.log("%s - %s",E(),b.format.apply(b,arguments))},b.inherits=c(62),b._extend=function(a,b){if(!b||!x(b))return a;for(var c=Object.keys(b),d=c.length;d--;)a[c[d]]=b[c[d]];return a}}).call(b,function(){return this}(),c(30))},function(a,b){a.exports=function(a){return a&&"object"==typeof a&&"function"==typeof a.copy&&"function"==typeof a.fill&&"function"==typeof a.readUInt8}},function(a,b){"function"==typeof Object.create?a.exports=function(a,b){a.super_=b,a.prototype=Object.create(b.prototype,{constructor:{value:a,enumerable:!1,writable:!0,configurable:!0}})}:a.exports=function(a,b){a.super_=b;var c=function(){};c.prototype=b.prototype,a.prototype=new c,a.prototype.constructor=a}},function(a,b,c){function d(a,b){return n.isUndefined(b)?""+b:n.isNumber(b)&&!isFinite(b)?b.toString():n.isFunction(b)||n.isRegExp(b)?b.toString():b}function e(a,b){return n.isString(a)?a.length=0;f--)if(g[f]!=h[f])return!1;for(f=g.length-1;f>=0;f--)if(e=g[f],!i(a[e],b[e]))return!1;return!0}function l(a,b){return a&&b?"[object RegExp]"==Object.prototype.toString.call(b)?b.test(a):a instanceof b?!0:b.call({},a)===!0:!1}function m(a,b,c,d){var e;n.isString(c)&&(d=c,c=null);try{b()}catch(f){e=f}if(d=(c&&c.name?" ("+c.name+").":".")+(d?" "+d:"."),a&&!e&&g(e,c,"Missing expected exception"+d),!a&&l(e,c)&&g(e,c,"Got unwanted exception"+d),a&&e&&c&&!l(e,c)||!a&&e)throw e}var n=c(60),o=Array.prototype.slice,p=Object.prototype.hasOwnProperty,q=a.exports=h;q.AssertionError=function(a){this.name="AssertionError",this.actual=a.actual,this.expected=a.expected,this.operator=a.operator,a.message?(this.message=a.message,this.generatedMessage=!1):(this.message=f(this),this.generatedMessage=!0);var b=a.stackStartFunction||g;if(Error.captureStackTrace)Error.captureStackTrace(this,b);else{var c=new Error;if(c.stack){var d=c.stack,e=b.name,h=d.indexOf("\n"+e);if(h>=0){var i=d.indexOf("\n",h+1);d=d.substring(i+1)}this.stack=d}}},n.inherits(q.AssertionError,Error),q.fail=g,q.ok=h,q.equal=function(a,b,c){a!=b&&g(a,b,c,"==",q.equal)},q.notEqual=function(a,b,c){a==b&&g(a,b,c,"!=",q.notEqual)},q.deepEqual=function(a,b,c){i(a,b)||g(a,b,c,"deepEqual",q.deepEqual)},q.notDeepEqual=function(a,b,c){i(a,b)&&g(a,b,c,"notDeepEqual",q.notDeepEqual)},q.strictEqual=function(a,b,c){a!==b&&g(a,b,c,"===",q.strictEqual)},q.notStrictEqual=function(a,b,c){a===b&&g(a,b,c,"!==",q.notStrictEqual)},q["throws"]=function(a,b,c){m.apply(this,[!0].concat(o.call(arguments)))},q.doesNotThrow=function(a,b){m.apply(this,[!1].concat(o.call(arguments)))},q.ifError=function(a){if(a)throw a};var r=Object.keys||function(a){var b=[];for(var c in a)p.call(a,c)&&b.push(c);return b}},function(a,b){(function(){var b;b=function(){function a(a,d){var e;this.document=a,null==d&&(d={}),this.size=d.size||"letter",this.layout=d.layout||"portrait","number"==typeof d.margin?this.margins={top:d.margin,left:d.margin,bottom:d.margin,right:d.margin}:this.margins=d.margins||b,e=Array.isArray(this.size)?this.size:c[this.size.toUpperCase()],this.width=e["portrait"===this.layout?0:1],this.height=e["portrait"===this.layout?1:0],this.content=this.document.ref(),this.resources=this.document.ref({ProcSet:["PDF","Text","ImageB","ImageC","ImageI"]}),Object.defineProperties(this,{fonts:{get:function(a){return function(){var b;return null!=(b=a.resources.data).Font?b.Font:b.Font={}}}(this)},xobjects:{get:function(a){return function(){var b;return null!=(b=a.resources.data).XObject?b.XObject:b.XObject={}}}(this)},ext_gstates:{get:function(a){return function(){var b;return null!=(b=a.resources.data).ExtGState?b.ExtGState:b.ExtGState={}}}(this)},patterns:{get:function(a){return function(){var b;return null!=(b=a.resources.data).Pattern?b.Pattern:b.Pattern={}}}(this)},annotations:{get:function(a){return function(){var b;return null!=(b=a.dictionary.data).Annots?b.Annots:b.Annots=[]}}(this)}}),this.dictionary=this.document.ref({Type:"Page",Parent:this.document._root.data.Pages,MediaBox:[0,0,this.width,this.height],Contents:this.content,Resources:this.resources})}var b,c;return a.prototype.maxY=function(){return this.height-this.margins.bottom},a.prototype.write=function(a){return this.content.write(a)},a.prototype.end=function(){return this.dictionary.end(),this.resources.end(),this.content.end()},b={top:72,left:72,bottom:72,right:72},c={"4A0":[4767.87,6740.79],"2A0":[3370.39,4767.87],A0:[2383.94,3370.39],A1:[1683.78,2383.94],A2:[1190.55,1683.78],A3:[841.89,1190.55],A4:[595.28,841.89],A5:[419.53,595.28],A6:[297.64,419.53],A7:[209.76,297.64],A8:[147.4,209.76],A9:[104.88,147.4],A10:[73.7,104.88],B0:[2834.65,4008.19],B1:[2004.09,2834.65],B2:[1417.32,2004.09],B3:[1000.63,1417.32],B4:[708.66,1000.63],B5:[498.9,708.66],B6:[354.33,498.9],B7:[249.45,354.33],B8:[175.75,249.45],B9:[124.72,175.75],B10:[87.87,124.72],C0:[2599.37,3676.54],C1:[1836.85,2599.37],C2:[1298.27,1836.85],C3:[918.43,1298.27],C4:[649.13,918.43],C5:[459.21,649.13],C6:[323.15,459.21],C7:[229.61,323.15],C8:[161.57,229.61],C9:[113.39,161.57],C10:[79.37,113.39],RA0:[2437.8,3458.27],RA1:[1729.13,2437.8],RA2:[1218.9,1729.13],RA3:[864.57,1218.9],RA4:[609.45,864.57],SRA0:[2551.18,3628.35],SRA1:[1814.17,2551.18],SRA2:[1275.59,1814.17],SRA3:[907.09,1275.59],SRA4:[637.8,907.09],EXECUTIVE:[521.86,756],FOLIO:[612,936],LEGAL:[612,1008],LETTER:[612,792],TABLOID:[792,1224]},a}(),a.exports=b}).call(this)},function(a,b,c){(function(){var b,d,e,f,g;g=c(66),b=g.PDFGradient,d=g.PDFLinearGradient,e=g.PDFRadialGradient,a.exports={initColor:function(){return this._opacityRegistry={},this._opacityCount=0,this._gradCount=0},_normalizeColor:function(a){var c,d;return a instanceof b?a:("string"==typeof a&&("#"===a.charAt(0)?(4===a.length&&(a=a.replace(/#([0-9A-F])([0-9A-F])([0-9A-F])/i,"#$1$1$2$2$3$3")),c=parseInt(a.slice(1),16),a=[c>>16,c>>8&255,255&c]):f[a]&&(a=f[a])),Array.isArray(a)?(3===a.length?a=function(){var b,c,e;for(e=[],b=0,c=a.length;c>b;b++)d=a[b],e.push(d/255);return e}():4===a.length&&(a=function(){var b,c,e;for(e=[],b=0,c=a.length;c>b;b++)d=a[b],e.push(d/100);return e}()),a):null)},_setColor:function(a,c){var d,e,f,g;return(a=this._normalizeColor(a))?(this._sMasked&&(d=this.ref({Type:"ExtGState",SMask:"None"}),d.end(),e="Gs"+ ++this._opacityCount,this.page.ext_gstates[e]=d,this.addContent("/"+e+" gs"),this._sMasked=!1),f=c?"SCN":"scn",a instanceof b?(this._setColorSpace("Pattern",c),a.apply(f)):(g=4===a.length?"DeviceCMYK":"DeviceRGB",this._setColorSpace(g,c),a=a.join(" "),this.addContent(""+a+" "+f)),!0):!1},_setColorSpace:function(a,b){var c;return c=b?"CS":"cs",this.addContent("/"+a+" "+c)},fillColor:function(a,b){var c;return null==b&&(b=1),c=this._setColor(a,!1),c&&this.fillOpacity(b),this._fillColor=[a,b],this},strokeColor:function(a,b){var c;return null==b&&(b=1),c=this._setColor(a,!0),c&&this.strokeOpacity(b),this},opacity:function(a){return this._doOpacity(a,a),this},fillOpacity:function(a){return this._doOpacity(a,null),this},strokeOpacity:function(a){return this._doOpacity(null,a),this},_doOpacity:function(a,b){var c,d,e,f,g;if(null!=a||null!=b)return null!=a&&(a=Math.max(0,Math.min(1,a))),null!=b&&(b=Math.max(0,Math.min(1,b))),e=""+a+"_"+b,this._opacityRegistry[e]?(g=this._opacityRegistry[e],c=g[0],f=g[1]):(c={Type:"ExtGState"},null!=a&&(c.ca=a),null!=b&&(c.CA=b),c=this.ref(c),c.end(),d=++this._opacityCount,f="Gs"+d,this._opacityRegistry[e]=[c,f]),this.page.ext_gstates[f]=c,this.addContent("/"+f+" gs")},linearGradient:function(a,b,c,e){return new d(this,a,b,c,e)},radialGradient:function(a,b,c,d,f,g){return new e(this,a,b,c,d,f,g)}},f={aliceblue:[240,248,255],antiquewhite:[250,235,215],aqua:[0,255,255],aquamarine:[127,255,212],azure:[240,255,255],beige:[245,245,220],bisque:[255,228,196],black:[0,0,0],blanchedalmond:[255,235,205],blue:[0,0,255],blueviolet:[138,43,226],brown:[165,42,42],burlywood:[222,184,135],cadetblue:[95,158,160],chartreuse:[127,255,0],chocolate:[210,105,30],coral:[255,127,80],cornflowerblue:[100,149,237],cornsilk:[255,248,220],crimson:[220,20,60],cyan:[0,255,255],darkblue:[0,0,139],darkcyan:[0,139,139],darkgoldenrod:[184,134,11],darkgray:[169,169,169],darkgreen:[0,100,0],darkgrey:[169,169,169],darkkhaki:[189,183,107],darkmagenta:[139,0,139],darkolivegreen:[85,107,47],darkorange:[255,140,0],darkorchid:[153,50,204],darkred:[139,0,0],darksalmon:[233,150,122],darkseagreen:[143,188,143],darkslateblue:[72,61,139],darkslategray:[47,79,79],darkslategrey:[47,79,79],darkturquoise:[0,206,209],darkviolet:[148,0,211],deeppink:[255,20,147],deepskyblue:[0,191,255],dimgray:[105,105,105],dimgrey:[105,105,105],dodgerblue:[30,144,255],firebrick:[178,34,34],floralwhite:[255,250,240],forestgreen:[34,139,34],fuchsia:[255,0,255],gainsboro:[220,220,220],ghostwhite:[248,248,255],gold:[255,215,0],goldenrod:[218,165,32],gray:[128,128,128],grey:[128,128,128],green:[0,128,0],greenyellow:[173,255,47],honeydew:[240,255,240],hotpink:[255,105,180],indianred:[205,92,92],indigo:[75,0,130],ivory:[255,255,240],khaki:[240,230,140],lavender:[230,230,250],lavenderblush:[255,240,245],lawngreen:[124,252,0],lemonchiffon:[255,250,205],lightblue:[173,216,230],lightcoral:[240,128,128],lightcyan:[224,255,255],lightgoldenrodyellow:[250,250,210],lightgray:[211,211,211],lightgreen:[144,238,144],lightgrey:[211,211,211],lightpink:[255,182,193],lightsalmon:[255,160,122],lightseagreen:[32,178,170],lightskyblue:[135,206,250],lightslategray:[119,136,153],lightslategrey:[119,136,153],lightsteelblue:[176,196,222],lightyellow:[255,255,224],lime:[0,255,0],limegreen:[50,205,50],linen:[250,240,230],magenta:[255,0,255],maroon:[128,0,0],mediumaquamarine:[102,205,170],mediumblue:[0,0,205],mediumorchid:[186,85,211],mediumpurple:[147,112,219],mediumseagreen:[60,179,113],mediumslateblue:[123,104,238],mediumspringgreen:[0,250,154],mediumturquoise:[72,209,204],mediumvioletred:[199,21,133],midnightblue:[25,25,112],mintcream:[245,255,250],mistyrose:[255,228,225],moccasin:[255,228,181],navajowhite:[255,222,173],navy:[0,0,128],oldlace:[253,245,230],olive:[128,128,0],olivedrab:[107,142,35],orange:[255,165,0],orangered:[255,69,0],orchid:[218,112,214],palegoldenrod:[238,232,170],palegreen:[152,251,152],paleturquoise:[175,238,238],palevioletred:[219,112,147],papayawhip:[255,239,213],peachpuff:[255,218,185],peru:[205,133,63],pink:[255,192,203],plum:[221,160,221],powderblue:[176,224,230],purple:[128,0,128],red:[255,0,0],rosybrown:[188,143,143],royalblue:[65,105,225],saddlebrown:[139,69,19],salmon:[250,128,114],sandybrown:[244,164,96],seagreen:[46,139,87],seashell:[255,245,238],sienna:[160,82,45],silver:[192,192,192],skyblue:[135,206,235],slateblue:[106,90,205],slategray:[112,128,144],slategrey:[112,128,144],snow:[255,250,250],springgreen:[0,255,127],steelblue:[70,130,180],tan:[210,180,140],teal:[0,128,128],thistle:[216,191,216],tomato:[255,99,71],turquoise:[64,224,208],violet:[238,130,238],wheat:[245,222,179],white:[255,255,255],whitesmoke:[245,245,245],yellow:[255,255,0],yellowgreen:[154,205,50]}}).call(this)},function(a,b){(function(){var b,c,d,e={}.hasOwnProperty,f=function(a,b){function c(){this.constructor=a}for(var d in b)e.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};b=function(){function a(a){this.doc=a,this.stops=[],this.embedded=!1,this.transform=[1,0,0,1,0,0],this._colorSpace="DeviceRGB"}return a.prototype.stop=function(a,b,c){return null==c&&(c=1),c=Math.max(0,Math.min(1,c)),this.stops.push([a,this.doc._normalizeColor(b),c]),this},a.prototype.embed=function(){var a,b,c,d,e,f,g,h,i,j,k,l,m,n,o,p,q,r,s,t,u,v,w,x,y,z,A,B,C,D,E,F,G,H,I,J;if(!this.embedded&&0!==this.stops.length){for(this.embedded=!0,k=this.stops[this.stops.length-1],k[0]<1&&this.stops.push([1,k[1],k[2]]),a=[],d=[],C=[],j=E=0,H=this.stops.length-1;H>=0?H>E:E>H;j=H>=0?++E:--E)d.push(0,1),j+2!==this.stops.length&&a.push(this.stops[j+1][0]),e=this.doc.ref({FunctionType:2,Domain:[0,1],C0:this.stops[j+0][1],C1:this.stops[j+1][1],N:1}),C.push(e),e.end();if(1===C.length?e=C[0]:(e=this.doc.ref({FunctionType:3,Domain:[0,1],Functions:C,Bounds:a,Encode:d}),e.end()),this.id="Sh"+ ++this.doc._gradCount,l=this.doc._ctm.slice(),m=l[0],n=l[1],q=l[2],t=l[3],u=l[4],v=l[5],I=this.transform,o=I[0],p=I[1],r=I[2],s=I[3],b=I[4],c=I[5],l[0]=m*o+q*p,l[1]=n*o+t*p,l[2]=m*r+q*s,l[3]=n*r+t*s,l[4]=m*b+q*c+u,l[5]=n*b+t*c+v, +A=this.shader(e),A.end(),x=this.doc.ref({Type:"Pattern",PatternType:2,Shading:A,Matrix:function(){var a,b,c;for(c=[],a=0,b=l.length;b>a;a++)D=l[a],c.push(+D.toFixed(5));return c}()}),this.doc.page.patterns[this.id]=x,x.end(),this.stops.some(function(a){return a[2]<1})){for(g=this.opacityGradient(),g._colorSpace="DeviceGray",J=this.stops,F=0,G=J.length;G>F;F++)B=J[F],g.stop(B[0],[B[2]]);g=g.embed(),h=this.doc.ref({Type:"Group",S:"Transparency",CS:"DeviceGray"}),h.end(),y=this.doc.ref({ProcSet:["PDF","Text","ImageB","ImageC","ImageI"],Shading:{Sh1:g.data.Shading}}),y.end(),f=this.doc.ref({Type:"XObject",Subtype:"Form",FormType:1,BBox:[0,0,this.doc.page.width,this.doc.page.height],Group:h,Resources:y}),f.end("/Sh1 sh"),z=this.doc.ref({Type:"Mask",S:"Luminosity",G:f}),z.end(),i=this.doc.ref({Type:"ExtGState",SMask:z}),this.opacity_id=++this.doc._opacityCount,w="Gs"+this.opacity_id,this.doc.page.ext_gstates[w]=i,i.end()}return x}},a.prototype.apply=function(a){return this.embedded||this.embed(),this.doc.addContent("/"+this.id+" "+a),this.opacity_id?(this.doc.addContent("/Gs"+this.opacity_id+" gs"),this.doc._sMasked=!0):void 0},a}(),c=function(a){function b(a,c,d,e,f){this.doc=a,this.x1=c,this.y1=d,this.x2=e,this.y2=f,b.__super__.constructor.apply(this,arguments)}return f(b,a),b.prototype.shader=function(a){return this.doc.ref({ShadingType:2,ColorSpace:this._colorSpace,Coords:[this.x1,this.y1,this.x2,this.y2],Function:a,Extend:[!0,!0]})},b.prototype.opacityGradient=function(){return new b(this.doc,this.x1,this.y1,this.x2,this.y2)},b}(b),d=function(a){function b(a,c,d,e,f,g,h){this.doc=a,this.x1=c,this.y1=d,this.r1=e,this.x2=f,this.y2=g,this.r2=h,b.__super__.constructor.apply(this,arguments)}return f(b,a),b.prototype.shader=function(a){return this.doc.ref({ShadingType:3,ColorSpace:this._colorSpace,Coords:[this.x1,this.y1,this.r1,this.x2,this.y2,this.r2],Function:a,Extend:[!0,!0]})},b.prototype.opacityGradient=function(){return new b(this.doc,this.x1,this.y1,this.r1,this.x2,this.y2,this.r2)},b}(b),a.exports={PDFGradient:b,PDFLinearGradient:c,PDFRadialGradient:d}}).call(this)},function(a,b,c){(function(){var b,d,e=[].slice;d=c(68),b=4*((Math.sqrt(2)-1)/3),a.exports={initVector:function(){return this._ctm=[1,0,0,1,0,0],this._ctmStack=[]},save:function(){return this._ctmStack.push(this._ctm.slice()),this.addContent("q")},restore:function(){return this._ctm=this._ctmStack.pop()||[1,0,0,1,0,0],this.addContent("Q")},closePath:function(){return this.addContent("h")},lineWidth:function(a){return this.addContent(""+a+" w")},_CAP_STYLES:{BUTT:0,ROUND:1,SQUARE:2},lineCap:function(a){return"string"==typeof a&&(a=this._CAP_STYLES[a.toUpperCase()]),this.addContent(""+a+" J")},_JOIN_STYLES:{MITER:0,ROUND:1,BEVEL:2},lineJoin:function(a){return"string"==typeof a&&(a=this._JOIN_STYLES[a.toUpperCase()]),this.addContent(""+a+" j")},miterLimit:function(a){return this.addContent(""+a+" M")},dash:function(a,b){var c,d,e;return null==b&&(b={}),null==a?this:(d=null!=(e=b.space)?e:a,c=b.phase||0,this.addContent("["+a+" "+d+"] "+c+" d"))},undash:function(){return this.addContent("[] 0 d")},moveTo:function(a,b){return this.addContent(""+a+" "+b+" m")},lineTo:function(a,b){return this.addContent(""+a+" "+b+" l")},bezierCurveTo:function(a,b,c,d,e,f){return this.addContent(""+a+" "+b+" "+c+" "+d+" "+e+" "+f+" c")},quadraticCurveTo:function(a,b,c,d){return this.addContent(""+a+" "+b+" "+c+" "+d+" v")},rect:function(a,b,c,d){return this.addContent(""+a+" "+b+" "+c+" "+d+" re")},roundedRect:function(a,b,c,d,e){return null==e&&(e=0),this.moveTo(a+e,b),this.lineTo(a+c-e,b),this.quadraticCurveTo(a+c,b,a+c,b+e),this.lineTo(a+c,b+d-e),this.quadraticCurveTo(a+c,b+d,a+c-e,b+d),this.lineTo(a+e,b+d),this.quadraticCurveTo(a,b+d,a,b+d-e),this.lineTo(a,b+e),this.quadraticCurveTo(a,b,a+e,b)},ellipse:function(a,c,d,e){var f,g,h,i,j,k;return null==e&&(e=d),a-=d,c-=e,f=d*b,g=e*b,h=a+2*d,j=c+2*e,i=a+d,k=c+e,this.moveTo(a,k),this.bezierCurveTo(a,k-g,i-f,c,i,c),this.bezierCurveTo(i+f,c,h,k-g,h,k),this.bezierCurveTo(h,k+g,i+f,j,i,j),this.bezierCurveTo(i-f,j,a,k+g,a,k),this.closePath()},circle:function(a,b,c){return this.ellipse(a,b,c)},polygon:function(){var a,b,c,d;for(b=1<=arguments.length?e.call(arguments,0):[],this.moveTo.apply(this,b.shift()),c=0,d=b.length;d>c;c++)a=b[c],this.lineTo.apply(this,a);return this.closePath()},path:function(a){return d.apply(this,a),this},_windingRule:function(a){return/even-?odd/.test(a)?"*":""},fill:function(a,b){return/(even-?odd)|(non-?zero)/.test(a)&&(b=a,a=null),a&&this.fillColor(a),this.addContent("f"+this._windingRule(b))},stroke:function(a){return a&&this.strokeColor(a),this.addContent("S")},fillAndStroke:function(a,b,c){var d;return null==b&&(b=a),d=/(even-?odd)|(non-?zero)/,d.test(a)&&(c=a,a=null),d.test(b)&&(c=b,b=a),a&&(this.fillColor(a),this.strokeColor(b)),this.addContent("B"+this._windingRule(c))},clip:function(a){return this.addContent("W"+this._windingRule(a)+" n")},transform:function(a,b,c,d,e,f){var g,h,i,j,k,l,m,n,o;return g=this._ctm,h=g[0],i=g[1],j=g[2],k=g[3],l=g[4],m=g[5],g[0]=h*a+j*b,g[1]=i*a+k*b,g[2]=h*c+j*d,g[3]=i*c+k*d,g[4]=h*e+j*f+l,g[5]=i*e+k*f+m,o=function(){var g,h,i,j;for(i=[a,b,c,d,e,f],j=[],g=0,h=i.length;h>g;g++)n=i[g],j.push(+n.toFixed(5));return j}().join(" "),this.addContent(""+o+" cm")},translate:function(a,b){return this.transform(1,0,0,1,a,b)},rotate:function(a,b){var c,d,e,f,g,h,i,j;return null==b&&(b={}),d=a*Math.PI/180,c=Math.cos(d),e=Math.sin(d),f=h=0,null!=b.origin&&(j=b.origin,f=j[0],h=j[1],g=f*c-h*e,i=f*e+h*c,f-=g,h-=i),this.transform(c,e,-e,c,f,h)},scale:function(a,b,c){var d,e,f;return null==b&&(b=a),null==c&&(c={}),2===arguments.length&&(b=a,c=b),d=e=0,null!=c.origin&&(f=c.origin,d=f[0],e=f[1],d-=a*d,e-=b*e),this.transform(a,0,0,b,d,e)}}}).call(this)},function(a,b){(function(){var b;b=function(){function a(){}var b,c,d,e,f,g,h,i,j,k,l,m,n;return a.apply=function(a,c){var d;return d=g(c),b(d,a)},f={A:7,a:7,C:6,c:6,H:1,h:1,L:2,l:2,M:2,m:2,Q:4,q:4,S:4,s:4,T:2,t:2,V:1,v:1,Z:0,z:0},g=function(a){var b,c,d,e,g,h,i,j,k;for(i=[],b=[],e="",g=!1,h=0,j=0,k=a.length;k>j;j++)if(c=a[j],null!=f[c])h=f[c],d&&(e.length>0&&(b[b.length]=+e),i[i.length]={cmd:d,args:b},b=[],e="",g=!1),d=c;else if(" "===c||","===c||"-"===c&&e.length>0&&"e"!==e[e.length-1]||"."===c&&g){if(0===e.length)continue;b.length===h?(i[i.length]={cmd:d,args:b},b=[+e],"M"===d&&(d="L"),"m"===d&&(d="l")):b[b.length]=+e,g="."===c,e="-"===c||"."===c?c:""}else e+=c,"."===c&&(g=!0);return e.length>0&&(b.length===h?(i[i.length]={cmd:d,args:b},b=[+e],"M"===d&&(d="L"),"m"===d&&(d="l")):b[b.length]=+e),i[i.length]={cmd:d,args:b},i},d=e=h=i=m=n=0,b=function(a,b){var c,f,g,k,l;for(d=e=h=i=m=n=0,f=g=0,k=a.length;k>g;f=++g)c=a[f],"function"==typeof j[l=c.cmd]&&j[l](b,c.args);return d=e=h=i=0},j={M:function(a,b){return d=b[0],e=b[1],h=i=null,m=d,n=e,a.moveTo(d,e)},m:function(a,b){return d+=b[0],e+=b[1],h=i=null,m=d,n=e,a.moveTo(d,e)},C:function(a,b){return d=b[4],e=b[5],h=b[2],i=b[3],a.bezierCurveTo.apply(a,b)},c:function(a,b){return a.bezierCurveTo(b[0]+d,b[1]+e,b[2]+d,b[3]+e,b[4]+d,b[5]+e),h=d+b[2],i=e+b[3],d+=b[4],e+=b[5]},S:function(a,b){return null===h&&(h=d,i=e),a.bezierCurveTo(d-(h-d),e-(i-e),b[0],b[1],b[2],b[3]),h=b[0],i=b[1],d=b[2],e=b[3]},s:function(a,b){return null===h&&(h=d,i=e),a.bezierCurveTo(d-(h-d),e-(i-e),d+b[0],e+b[1],d+b[2],e+b[3]),h=d+b[0],i=e+b[1],d+=b[2],e+=b[3]},Q:function(a,b){return h=b[0],i=b[1],d=b[2],e=b[3],a.quadraticCurveTo(b[0],b[1],d,e)},q:function(a,b){return a.quadraticCurveTo(b[0]+d,b[1]+e,b[2]+d,b[3]+e),h=d+b[0],i=e+b[1],d+=b[2],e+=b[3]},T:function(a,b){return null===h?(h=d,i=e):(h=d-(h-d),i=e-(i-e)),a.quadraticCurveTo(h,i,b[0],b[1]),h=d-(h-d),i=e-(i-e),d=b[0],e=b[1]},t:function(a,b){return null===h?(h=d,i=e):(h=d-(h-d),i=e-(i-e)),a.quadraticCurveTo(h,i,d+b[0],e+b[1]),d+=b[0],e+=b[1]},A:function(a,b){return l(a,d,e,b),d=b[5],e=b[6]},a:function(a,b){return b[5]+=d,b[6]+=e,l(a,d,e,b),d=b[5],e=b[6]},L:function(a,b){return d=b[0],e=b[1],h=i=null,a.lineTo(d,e)},l:function(a,b){return d+=b[0],e+=b[1],h=i=null,a.lineTo(d,e)},H:function(a,b){return d=b[0],h=i=null,a.lineTo(d,e)},h:function(a,b){return d+=b[0],h=i=null,a.lineTo(d,e)},V:function(a,b){return e=b[0],h=i=null,a.lineTo(d,e)},v:function(a,b){return e+=b[0],h=i=null,a.lineTo(d,e)},Z:function(a){return a.closePath(),d=m,e=n},z:function(a){return a.closePath(),d=m,e=n}},l=function(a,b,d,e){var f,g,h,i,j,l,m,n,o,p,q,r,s;for(l=e[0],m=e[1],j=e[2],i=e[3],p=e[4],g=e[5],h=e[6],o=c(g,h,l,m,i,p,j,b,d),s=[],q=0,r=o.length;r>q;q++)n=o[q],f=k.apply(null,n),s.push(a.bezierCurveTo.apply(a,f));return s},c=function(a,b,c,d,e,f,g,j,k){var l,m,n,o,p,q,r,s,t,u,v,w,x,y,z,A,B,C,D,E,F,G,H,I,J,K;for(y=g*(Math.PI/180),x=Math.sin(y),p=Math.cos(y),c=Math.abs(c),d=Math.abs(d),h=p*(j-a)*.5+x*(k-b)*.5,i=p*(k-b)*.5-x*(j-a)*.5,s=h*h/(c*c)+i*i/(d*d),s>1&&(s=Math.sqrt(s),c*=s,d*=s),l=p/c,m=x/c,n=-x/d,o=p/d,E=l*j+m*k,H=n*j+o*k,F=l*a+m*b,I=n*a+o*b,q=(F-E)*(F-E)+(I-H)*(I-H),w=1/q-.25,0>w&&(w=0),v=Math.sqrt(w),f===e&&(v=-v),G=.5*(E+F)-v*(I-H),J=.5*(H+I)+v*(F-E),z=Math.atan2(H-J,E-G),A=Math.atan2(I-J,F-G),D=A-z,0>D&&1===f?D+=2*Math.PI:D>0&&0===f&&(D-=2*Math.PI),u=Math.ceil(Math.abs(D/(.5*Math.PI+.001))),t=[],r=K=0;u>=0?u>K:K>u;r=u>=0?++K:--K)B=z+r*D/u,C=z+(r+1)*D/u,t[r]=[G,J,B,C,c,d,x,p];return t},k=function(a,b,c,d,e,f,g,h){var i,j,k,l,m,n,o,p,q,r,s,t;return i=h*e,j=-g*f,k=g*e,l=h*f,n=.5*(d-c),m=8/3*Math.sin(.5*n)*Math.sin(.5*n)/Math.sin(n),o=a+Math.cos(c)-m*Math.sin(c),r=b+Math.sin(c)+m*Math.cos(c),q=a+Math.cos(d),t=b+Math.sin(d),p=q+m*Math.sin(d),s=t-m*Math.cos(d),[i*o+j*r,k*o+l*r,i*p+j*s,k*p+l*s,i*q+j*t,k*q+l*t]},a}(),a.exports=b}).call(this)},function(a,b,c){(function(){var b;b=c(70),a.exports={initFonts:function(){this._fontFamilies={},this._fontCount=0,this._fontSize=12,this._font=null,this._registeredFonts={}},font:function(a,c,d){var e,f,g,h;return"number"==typeof c&&(d=c,c=null),"string"==typeof a&&this._registeredFonts[a]?(e=a,h=this._registeredFonts[a],a=h.src,c=h.family):(e=c||a,"string"!=typeof e&&(e=null)),null!=d&&this.fontSize(d),(f=this._fontFamilies[e])?(this._font=f,this):(g="F"+ ++this._fontCount,this._font=new b(this,a,c,g),(f=this._fontFamilies[this._font.name])?(this._font=f,this):(e&&(this._fontFamilies[e]=this._font),this._fontFamilies[this._font.name]=this._font,this))},fontSize:function(a){return this._fontSize=a,this},currentLineHeight:function(a){return null==a&&(a=!1),this._font.lineHeight(this._fontSize,a)},registerFont:function(a,b,c){return this._registeredFonts[a]={src:b,family:c},this}}}).call(this)},function(a,b,c){(function(b,d){(function(){var e,f,g,h,i;h=c(71),e=c(87),g=c(88),i=c(44),f=function(){function a(a,d,f,i){if(this.document=a,this.id=i,"string"==typeof d){if(d in c)return this.isAFM=!0,this.font=new e(c[d]()),void this.registerAFM(d);if(/\.(ttf|ttc)$/i.test(d))this.font=h.open(d,f);else{if(!/\.dfont$/i.test(d))throw new Error("Not a supported font format or standard PDF font.");this.font=h.fromDFont(d,f)}}else if(b.isBuffer(d))this.font=h.fromBuffer(d,f);else if(d instanceof Uint8Array)this.font=h.fromBuffer(new b(d),f);else{if(!(d instanceof ArrayBuffer))throw new Error("Not a supported font format or standard PDF font.");this.font=h.fromBuffer(new b(new Uint8Array(d)),f)}this.subset=new g(this.font),this.registerTTF()}var c,f;return c={Courier:function(){return i.readFileSync(d+"/font/data/Courier.afm","utf8")},"Courier-Bold":function(){return i.readFileSync(d+"/font/data/Courier-Bold.afm","utf8")},"Courier-Oblique":function(){return i.readFileSync(d+"/font/data/Courier-Oblique.afm","utf8")},"Courier-BoldOblique":function(){return i.readFileSync(d+"/font/data/Courier-BoldOblique.afm","utf8")},Helvetica:function(){return i.readFileSync(d+"/font/data/Helvetica.afm","utf8")},"Helvetica-Bold":function(){return i.readFileSync(d+"/font/data/Helvetica-Bold.afm","utf8")},"Helvetica-Oblique":function(){return i.readFileSync(d+"/font/data/Helvetica-Oblique.afm","utf8")},"Helvetica-BoldOblique":function(){return i.readFileSync(d+"/font/data/Helvetica-BoldOblique.afm","utf8")},"Times-Roman":function(){return i.readFileSync(d+"/font/data/Times-Roman.afm","utf8")},"Times-Bold":function(){return i.readFileSync(d+"/font/data/Times-Bold.afm","utf8")},"Times-Italic":function(){return i.readFileSync(d+"/font/data/Times-Italic.afm","utf8")},"Times-BoldItalic":function(){return i.readFileSync(d+"/font/data/Times-BoldItalic.afm","utf8")},Symbol:function(){return i.readFileSync(d+"/font/data/Symbol.afm","utf8")},ZapfDingbats:function(){return i.readFileSync(d+"/font/data/ZapfDingbats.afm","utf8")}},a.prototype.use=function(a){var b;return null!=(b=this.subset)?b.use(a):void 0},a.prototype.embed=function(){return this.embedded||null==this.dictionary?void 0:(this.isAFM?this.embedAFM():this.embedTTF(),this.embedded=!0)},a.prototype.encode=function(a){var b;return this.isAFM?this.font.encodeText(a):(null!=(b=this.subset)?b.encodeText(a):void 0)||a},a.prototype.ref=function(){return null!=this.dictionary?this.dictionary:this.dictionary=this.document.ref()},a.prototype.registerTTF=function(){var a,b,c,d,e;if(this.name=this.font.name.postscriptName,this.scaleFactor=1e3/this.font.head.unitsPerEm,this.bbox=function(){var b,c,d,e;for(d=this.font.bbox,e=[],b=0,c=d.length;c>b;b++)a=d[b],e.push(Math.round(a*this.scaleFactor));return e}.call(this),this.stemV=0,this.font.post.exists?(d=this.font.post.italic_angle,b=d>>16,c=255&d,b&!0&&(b=-((65535^b)+1)),this.italicAngle=+(""+b+"."+c)):this.italicAngle=0,this.ascender=Math.round(this.font.ascender*this.scaleFactor),this.decender=Math.round(this.font.decender*this.scaleFactor),this.lineGap=Math.round(this.font.lineGap*this.scaleFactor),this.capHeight=this.font.os2.exists&&this.font.os2.capHeight||this.ascender,this.xHeight=this.font.os2.exists&&this.font.os2.xHeight||0,this.familyClass=(this.font.os2.exists&&this.font.os2.familyClass||0)>>8,this.isSerif=1===(e=this.familyClass)||2===e||3===e||4===e||5===e||7===e,this.isScript=10===this.familyClass,this.flags=0,this.font.post.isFixedPitch&&(this.flags|=1),this.isSerif&&(this.flags|=2),this.isScript&&(this.flags|=8),0!==this.italicAngle&&(this.flags|=64),this.flags|=32,!this.font.cmap.unicode)throw new Error("No unicode cmap for font")},a.prototype.embedTTF=function(){var a,b,c,d,e,g,h,i;return d=this.subset.encode(),h=this.document.ref(),h.write(d),h.data.Length1=h.uncompressedLength,h.end(),e=this.document.ref({Type:"FontDescriptor",FontName:this.subset.postscriptName,FontFile2:h,FontBBox:this.bbox,Flags:this.flags,StemV:this.stemV,ItalicAngle:this.italicAngle,Ascent:this.ascender,Descent:this.decender,CapHeight:this.capHeight,XHeight:this.xHeight}),e.end(),g=+Object.keys(this.subset.cmap)[0],a=function(){var a,b;a=this.subset.cmap,b=[];for(c in a)i=a[c],b.push(Math.round(this.font.widthOfGlyph(i)));return b}.call(this),b=this.document.ref(),b.end(f(this.subset.subset)),this.dictionary.data={Type:"Font",BaseFont:this.subset.postscriptName,Subtype:"TrueType",FontDescriptor:e,FirstChar:g,LastChar:g+a.length-1,Widths:a,Encoding:"MacRomanEncoding",ToUnicode:b},this.dictionary.end()},f=function(a){var b,c,d,e,f,g,h;for(f="/CIDInit /ProcSet findresource begin\n12 dict begin\nbegincmap\n/CIDSystemInfo <<\n /Registry (Adobe)\n /Ordering (UCS)\n /Supplement 0\n>> def\n/CMapName /Adobe-Identity-UCS def\n/CMapType 2 def\n1 begincodespacerange\n<00>\nendcodespacerange",c=Object.keys(a).sort(function(a,b){return a-b}),d=[],g=0,h=c.length;h>g;g++)b=c[g],d.length>=100&&(f+="\n"+d.length+" beginbfchar\n"+d.join("\n")+"\nendbfchar",d=[]),e=("0000"+a[b].toString(16)).slice(-4),b=(+b).toString(16),d.push("<"+b+"><"+e+">");return d.length&&(f+="\n"+d.length+" beginbfchar\n"+d.join("\n")+"\nendbfchar\n"),f+="endcmap\nCMapName currentdict /CMap defineresource pop\nend\nend"},a.prototype.registerAFM=function(a){var b;return this.name=a,b=this.font,this.ascender=b.ascender,this.decender=b.decender,this.bbox=b.bbox,this.lineGap=b.lineGap,b},a.prototype.embedAFM=function(){return this.dictionary.data={Type:"Font",BaseFont:this.name,Subtype:"Type1",Encoding:"WinAnsiEncoding"},this.dictionary.end()},a.prototype.widthOfString=function(a,b){var c,d,e,f,g,h;for(a=""+a,f=0,d=g=0,h=a.length;h>=0?h>g:g>h;d=h>=0?++g:--g)c=a.charCodeAt(d),f+=this.font.widthOfGlyph(this.font.characterToGlyph(c))||0;return e=b/1e3,f*e},a.prototype.lineHeight=function(a,b){var c;return null==b&&(b=!1),c=b?this.lineGap:0,(this.ascender+c-this.decender)/1e3*a},a}(),a.exports=f}).call(this)}).call(b,c(2).Buffer,"/")},function(a,b,c){(function(){var b,d,e,f,g,h,i,j,k,l,m,n,o,p,q;q=c(44),e=c(72),d=c(73),f=c(74),m=c(75),h=c(78),b=c(79),j=c(80),i=c(81),l=c(82),o=c(83),n=c(84),k=c(85),g=c(86),p=function(){function a(a,b){var c,d,f,g,h,i,j,k,l;if(this.rawData=a,c=this.contents=new e(this.rawData),"ttcf"===c.readString(4)){if(!b)throw new Error("Must specify a font name for TTC files.");for(i=c.readInt(),f=c.readInt(),h=[],d=j=0;f>=0?f>j:j>f;d=f>=0?++j:--j)h[d]=c.readInt();for(d=k=0,l=h.length;l>k;d=++k)if(g=h[d],c.pos=g,this.parse(),this.name.postscriptName===b)return;throw new Error("Font "+b+" not found in TTC file.")}c.pos=0,this.parse()}return a.open=function(b,c){var d;return d=q.readFileSync(b),new a(d,c)},a.fromDFont=function(b,c){var e;return e=d.open(b),new a(e.getNamedFont(c))},a.fromBuffer=function(b,c){var e,f,g;try{if(g=new a(b,c),!(g.head.exists&&g.name.exists&&g.cmap.exists||(e=new d(b),g=new a(e.getNamedFont(c)),g.head.exists&&g.name.exists&&g.cmap.exists)))throw new Error("Invalid TTF file in DFont");return g}catch(h){throw f=h,new Error("Unknown font format in buffer: "+f.message)}},a.prototype.parse=function(){return this.directory=new f(this.contents),this.head=new h(this),this.name=new m(this),this.cmap=new b(this),this.hhea=new i(this),this.maxp=new l(this),this.hmtx=new j(this),this.post=new o(this),this.os2=new n(this),this.loca=new k(this),this.glyf=new g(this),this.ascender=this.os2.exists&&this.os2.ascender||this.hhea.ascender,this.decender=this.os2.exists&&this.os2.decender||this.hhea.decender,this.lineGap=this.os2.exists&&this.os2.lineGap||this.hhea.lineGap,this.bbox=[this.head.xMin,this.head.yMin,this.head.xMax,this.head.yMax]},a.prototype.characterToGlyph=function(a){var b;return(null!=(b=this.cmap.unicode)?b.codeMap[a]:void 0)||0},a.prototype.widthOfGlyph=function(a){var b;return b=1e3/this.head.unitsPerEm,this.hmtx.forGlyph(a).advance*b},a}(),a.exports=p}).call(this)},function(a,b){(function(){var b;b=function(){function a(a){this.data=null!=a?a:[],this.pos=0,this.length=this.data.length}return a.prototype.readByte=function(){return this.data[this.pos++]},a.prototype.writeByte=function(a){return this.data[this.pos++]=a},a.prototype.byteAt=function(a){return this.data[a]},a.prototype.readBool=function(){return!!this.readByte()},a.prototype.writeBool=function(a){return this.writeByte(a?1:0)},a.prototype.readUInt32=function(){var a,b,c,d;return a=16777216*this.readByte(),b=this.readByte()<<16,c=this.readByte()<<8,d=this.readByte(),a+b+c+d},a.prototype.writeUInt32=function(a){return this.writeByte(a>>>24&255),this.writeByte(a>>16&255),this.writeByte(a>>8&255),this.writeByte(255&a)},a.prototype.readInt32=function(){var a;return a=this.readUInt32(),a>=2147483648?a-4294967296:a},a.prototype.writeInt32=function(a){return 0>a&&(a+=4294967296),this.writeUInt32(a)},a.prototype.readUInt16=function(){var a,b;return a=this.readByte()<<8,b=this.readByte(),a|b},a.prototype.writeUInt16=function(a){return this.writeByte(a>>8&255),this.writeByte(255&a)},a.prototype.readInt16=function(){var a;return a=this.readUInt16(),a>=32768?a-65536:a},a.prototype.writeInt16=function(a){return 0>a&&(a+=65536),this.writeUInt16(a)},a.prototype.readString=function(a){var b,c,d;for(c=[],b=d=0;a>=0?a>d:d>a;b=a>=0?++d:--d)c[b]=String.fromCharCode(this.readByte());return c.join("")},a.prototype.writeString=function(a){var b,c,d,e;for(e=[],b=c=0,d=a.length;d>=0?d>c:c>d;b=d>=0?++c:--c)e.push(this.writeByte(a.charCodeAt(b)));return e},a.prototype.stringAt=function(a,b){return this.pos=a,this.readString(b)},a.prototype.readShort=function(){return this.readInt16()},a.prototype.writeShort=function(a){return this.writeInt16(a)},a.prototype.readLongLong=function(){var a,b,c,d,e,f,g,h;return a=this.readByte(),b=this.readByte(),c=this.readByte(),d=this.readByte(),e=this.readByte(),f=this.readByte(),g=this.readByte(),h=this.readByte(),128&a?-1*(72057594037927940*(255^a)+281474976710656*(255^b)+1099511627776*(255^c)+4294967296*(255^d)+16777216*(255^e)+65536*(255^f)+256*(255^g)+(255^h)+1):72057594037927940*a+281474976710656*b+1099511627776*c+4294967296*d+16777216*e+65536*f+256*g+h},a.prototype.writeLongLong=function(a){var b,c;return b=Math.floor(a/4294967296),c=4294967295&a,this.writeByte(b>>24&255),this.writeByte(b>>16&255),this.writeByte(b>>8&255),this.writeByte(255&b),this.writeByte(c>>24&255),this.writeByte(c>>16&255),this.writeByte(c>>8&255),this.writeByte(255&c)},a.prototype.readInt=function(){return this.readInt32()},a.prototype.writeInt=function(a){return this.writeInt32(a)},a.prototype.slice=function(a,b){return this.data.slice(a,b)},a.prototype.read=function(a){var b,c,d;for(b=[],c=d=0;a>=0?a>d:d>a;c=a>=0?++d:--d)b.push(this.readByte());return b},a.prototype.write=function(a){var b,c,d,e;for(e=[],c=0,d=a.length;d>c;c++)b=a[c],e.push(this.writeByte(b));return e},a}(),a.exports=b}).call(this)},function(a,b,c){(function(){var b,d,e,f,g;g=c(44),d=c(72),e=c(74),f=c(75),b=function(){function a(a){this.contents=new d(a),this.parse(this.contents)}return a.open=function(b){var c;return c=g.readFileSync(b),new a(c)},a.prototype.parse=function(a){var b,c,g,h,i,j,k,l,m,n,o,p,q,r,s,t,u,v,w,x,y,z,A,B,C,D,E,F,G;for(j=a.readInt(),u=a.readInt(),i=a.readInt(),t=a.readInt(),this.map={},a.pos=u+24,E=a.readShort()+u,y=a.readShort()+u,a.pos=E,v=a.readShort(),o=F=0;v>=F;o=F+=1){for(D=a.readString(4),w=a.readShort(),C=a.readShort(),this.map[D]={list:[],named:{}},B=a.pos,a.pos=E+C,q=G=0;w>=G;q=G+=1)p=a.readShort(),z=a.readShort(),b=a.readByte(),c=a.readByte()<<16,g=a.readByte()<<8,h=a.readByte(),k=j+(0|c|g|h),n=a.readUInt32(),l={id:p,attributes:b,offset:k,handle:n},A=a.pos,-1!==z&&u+t>y+z?(a.pos=y+z,r=a.readByte(),l.name=a.readString(r)):"sfnt"===D&&(a.pos=l.offset,s=a.readUInt32(),m={},m.contents=new d(a.slice(a.pos,a.pos+s)),m.directory=new e(m.contents),x=new f(m),l.name=x.fontName[0].raw),a.pos=A,this.map[D].list.push(l),l.name&&(this.map[D].named[l.name]=l);a.pos=B}},a.prototype.getNamedFont=function(a){var b,c,d,e,f,g;if(b=this.contents,e=b.pos,c=null!=(g=this.map.sfnt)?g.named[a]:void 0,!c)throw new Error("Font "+a+" not found in DFont file.");return b.pos=c.offset,d=b.readUInt32(),f=b.slice(b.pos,b.pos+d),b.pos=e,f},a}(),a.exports=b}).call(this)},function(a,b,c){(function(b){(function(){var d,e,f=[].slice;d=c(72),e=function(){function a(a){var b,c,d,e;for(this.scalarType=a.readInt(),this.tableCount=a.readShort(),this.searchRange=a.readShort(),this.entrySelector=a.readShort(),this.rangeShift=a.readShort(),this.tables={},c=d=0,e=this.tableCount;e>=0?e>d:d>e;c=e>=0?++d:--d)b={tag:a.readString(4),checksum:a.readInt(),offset:a.readInt(),length:a.readInt()},this.tables[b.tag]=b}var c;return a.prototype.encode=function(a){var e,f,g,h,i,j,k,l,m,n,o,p,q,r;p=Object.keys(a).length,j=Math.log(2),m=16*Math.floor(Math.log(p)/j),h=Math.floor(m/j),l=16*p-m,f=new d,f.writeInt(this.scalarType),f.writeShort(p),f.writeShort(m),f.writeShort(h),f.writeShort(l),g=16*p,k=f.pos+g,i=null,q=[];for(r in a)for(o=a[r],f.writeString(r),f.writeInt(c(o)),f.writeInt(k),f.writeInt(o.length),q=q.concat(o),"head"===r&&(i=k),k+=o.length;k%4;)q.push(0),k++;return f.write(q),n=c(f.data),e=2981146554-n,f.pos=i+8,f.writeUInt32(e),new b(f.data)},c=function(a){var b,c,e,g,h;for(a=f.call(a);a.length%4;)a.push(0);for(e=new d(a),c=0,b=g=0,h=a.length;h>g;b=g+=4)c+=e.readUInt32();return 4294967295&c},a}(),a.exports=e}).call(this)}).call(b,c(2).Buffer)},function(a,b,c){(function(){var b,d,e,f,g,h={}.hasOwnProperty,i=function(a,b){function c(){this.constructor=a}for(var d in b)h.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};f=c(76),b=c(72),g=c(77),e=function(a){function c(){return c.__super__.constructor.apply(this,arguments)}var e;return i(c,a),c.prototype.tag="name",c.prototype.parse=function(a){var b,c,e,f,g,h,i,j,k,l,m,n,o;for(a.pos=this.offset,f=a.readShort(),b=a.readShort(),i=a.readShort(),c=[],g=l=0;b>=0?b>l:l>b;g=b>=0?++l:--l)c.push({platformID:a.readShort(),encodingID:a.readShort(),languageID:a.readShort(),nameID:a.readShort(),length:a.readShort(),offset:this.offset+i+a.readShort()});for(j={},g=m=0,n=c.length;n>m;g=++m)e=c[g],a.pos=e.offset,k=a.readString(e.length),h=new d(k,e),null==j[o=e.nameID]&&(j[o]=[]),j[e.nameID].push(h);return this.strings=j,this.copyright=j[0],this.fontFamily=j[1],this.fontSubfamily=j[2],this.uniqueSubfamily=j[3],this.fontName=j[4],this.version=j[5],this.postscriptName=j[6][0].raw.replace(/[\x00-\x19\x80-\xff]/g,""),this.trademark=j[7],this.manufacturer=j[8],this.designer=j[9],this.description=j[10],this.vendorUrl=j[11],this.designerUrl=j[12],this.license=j[13],this.licenseUrl=j[14],this.preferredFamily=j[15],this.preferredSubfamily=j[17],this.compatibleFull=j[18],this.sampleText=j[19]},e="AAAAAA",c.prototype.encode=function(){var a,c,f,h,i,j,k,l,m,n,o,p,q,r;m={},r=this.strings;for(a in r)o=r[a],m[a]=o;i=new d(""+e+"+"+this.postscriptName,{platformID:1,encodingID:0,languageID:0}),m[6]=[i],e=g.successorOf(e),j=0;for(a in m)c=m[a],null!=c&&(j+=c.length);n=new b,k=new b,n.writeShort(0),n.writeShort(j),n.writeShort(6+12*j);for(f in m)if(c=m[f],null!=c)for(p=0,q=c.length;q>p;p++)l=c[p],n.writeShort(l.platformID),n.writeShort(l.encodingID),n.writeShort(l.languageID),n.writeShort(f),n.writeShort(l.length),n.writeShort(k.pos),k.writeString(l.raw);return h={postscriptName:i.raw,table:n.data.concat(k.data)}},c}(f),a.exports=e,d=function(){function a(a,b){this.raw=a,this.length=this.raw.length,this.platformID=b.platformID,this.encodingID=b.encodingID,this.languageID=b.languageID}return a}()}).call(this)},function(a,b){(function(){var b;b=function(){function a(a){var b;this.file=a,b=this.file.directory.tables[this.tag],this.exists=!!b,b&&(this.offset=b.offset,this.length=b.length,this.parse(this.file.contents))}return a.prototype.parse=function(){},a.prototype.encode=function(){},a.prototype.raw=function(){return this.exists?(this.file.contents.pos=this.offset,this.file.contents.read(this.length)):null},a}(),a.exports=b}).call(this)},function(a,b){(function(){b.successorOf=function(a){var b,c,d,e,f,g,h,i,j,k;for(c="abcdefghijklmnopqrstuvwxyz",i=c.length,k=a,e=a.length;e>=0;){if(h=a.charAt(--e),isNaN(h)){if(f=c.indexOf(h.toLowerCase()),-1===f)j=h,d=!0;else if(j=c.charAt((f+1)%i),g=h===h.toUpperCase(),g&&(j=j.toUpperCase()),d=f+1>=i,d&&0===e){b=g?"A":"a",k=b+j+k.slice(1);break}}else if(j=+h+1,d=j>9,d&&(j=0),d&&0===e){k="1"+j+k.slice(1);break}if(k=k.slice(0,e)+j+k.slice(e+1),!d)break}return k},b.invert=function(a){var b,c,d;c={};for(b in a)d=a[b],c[d]=b;return c}}).call(this)},function(a,b,c){(function(){var b,d,e,f={}.hasOwnProperty,g=function(a,b){function c(){this.constructor=a}for(var d in b)f.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};e=c(76),b=c(72),d=function(a){function c(){return c.__super__.constructor.apply(this,arguments)}return g(c,a),c.prototype.tag="head",c.prototype.parse=function(a){return a.pos=this.offset,this.version=a.readInt(),this.revision=a.readInt(),this.checkSumAdjustment=a.readInt(),this.magicNumber=a.readInt(),this.flags=a.readShort(),this.unitsPerEm=a.readShort(),this.created=a.readLongLong(),this.modified=a.readLongLong(),this.xMin=a.readShort(),this.yMin=a.readShort(),this.xMax=a.readShort(),this.yMax=a.readShort(),this.macStyle=a.readShort(),this.lowestRecPPEM=a.readShort(),this.fontDirectionHint=a.readShort(),this.indexToLocFormat=a.readShort(),this.glyphDataFormat=a.readShort()},c.prototype.encode=function(a){var c;return c=new b,c.writeInt(this.version),c.writeInt(this.revision),c.writeInt(this.checkSumAdjustment),c.writeInt(this.magicNumber),c.writeShort(this.flags),c.writeShort(this.unitsPerEm),c.writeLongLong(this.created),c.writeLongLong(this.modified),c.writeShort(this.xMin),c.writeShort(this.yMin),c.writeShort(this.xMax),c.writeShort(this.yMax),c.writeShort(this.macStyle),c.writeShort(this.lowestRecPPEM),c.writeShort(this.fontDirectionHint),c.writeShort(a.type),c.writeShort(this.glyphDataFormat),c.data},c}(e),a.exports=d}).call(this)},function(a,b,c){(function(){var b,d,e,f,g={}.hasOwnProperty,h=function(a,b){function c(){this.constructor=a}for(var d in b)g.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};f=c(76),e=c(72),d=function(a){function c(){return c.__super__.constructor.apply(this,arguments)}return h(c,a),c.prototype.tag="cmap",c.prototype.parse=function(a){var c,d,e,f;for(a.pos=this.offset,this.version=a.readUInt16(),e=a.readUInt16(),this.tables=[],this.unicode=null,d=f=0;e>=0?e>f:f>e;d=e>=0?++f:--f)c=new b(a,this.offset),this.tables.push(c),c.isUnicode&&null==this.unicode&&(this.unicode=c);return!0},c.encode=function(a,c){var d,f;return null==c&&(c="macroman"),d=b.encode(a,c),f=new e,f.writeUInt16(0),f.writeUInt16(1),d.table=f.data.concat(d.subtable),d},c}(f),b=function(){function a(a,b){var c,d,e,f,g,h,i,j,k,l,m,n,o,p,q,r,s,t,u;switch(this.platformID=a.readUInt16(),this.encodingID=a.readShort(),this.offset=b+a.readInt(),l=a.pos,a.pos=this.offset,this.format=a.readUInt16(),this.length=a.readUInt16(),this.language=a.readUInt16(),this.isUnicode=3===this.platformID&&1===this.encodingID&&4===this.format||0===this.platformID&&4===this.format,this.codeMap={},this.format){case 0:for(h=r=0;256>r;h=++r)this.codeMap[h]=a.readByte();break;case 4:for(n=a.readUInt16(),m=n/2,a.pos+=6,e=function(){var b,c;for(c=[],h=b=0;m>=0?m>b:b>m;h=m>=0?++b:--b)c.push(a.readUInt16());return c}(),a.pos+=2,p=function(){var b,c;for(c=[],h=b=0;m>=0?m>b:b>m;h=m>=0?++b:--b)c.push(a.readUInt16());return c}(),i=function(){var b,c;for(c=[],h=b=0;m>=0?m>b:b>m;h=m>=0?++b:--b)c.push(a.readUInt16());return c}(),j=function(){var b,c;for(c=[],h=b=0;m>=0?m>b:b>m;h=m>=0?++b:--b)c.push(a.readUInt16());return c}(),d=(this.length-a.pos+this.offset)/2,g=function(){var b,c;for(c=[],h=b=0;d>=0?d>b:b>d;h=d>=0?++b:--b)c.push(a.readUInt16());return c}(),h=s=0,u=e.length;u>s;h=++s)for(q=e[h],o=p[h],c=t=o;q>=o?q>=t:t>=q;c=q>=o?++t:--t)0===j[h]?f=c+i[h]:(k=j[h]/2+(c-o)-(m-h),f=g[k]||0,0!==f&&(f+=i[h])),this.codeMap[c]=65535&f}a.pos=l}return a.encode=function(a,b){var c,d,f,g,h,i,j,k,l,m,n,o,p,q,r,s,t,u,v,w,x,y,z,A,B,C,D,E,F,G,H,I,J,K,L,M,N,O,P,Q,R,S,T,U,V,W,X;switch(F=new e,g=Object.keys(a).sort(function(a,b){return a-b}),b){case"macroman":for(p=0,q=function(){var a,b;for(b=[],o=a=0;256>a;o=++a)b.push(0);return b}(),s={0:0},f={},G=0,K=g.length;K>G;G++)d=g[G],null==s[U=a[d]]&&(s[U]=++p),f[d]={old:a[d],"new":s[a[d]]},q[d]=s[a[d]];return F.writeUInt16(1),F.writeUInt16(0),F.writeUInt32(12),F.writeUInt16(0),F.writeUInt16(262),F.writeUInt16(0),F.write(q),y={charMap:f,subtable:F.data,maxGlyphID:p+1};case"unicode":for(D=[],l=[],t=0,s={},c={},r=j=null,H=0,L=g.length;L>H;H++)d=g[H],v=a[d],null==s[v]&&(s[v]=++t),c[d]={old:v,"new":s[v]},h=s[v]-d,null!=r&&h===j||(r&&l.push(r),D.push(d),j=h),r=d;for(r&&l.push(r),l.push(65535),D.push(65535),A=D.length,B=2*A,z=2*Math.pow(Math.log(A)/Math.LN2,2),m=Math.log(z/2)/Math.LN2,x=2*A-z,i=[],w=[],n=[],o=I=0,M=D.length;M>I;o=++I){if(C=D[o],k=l[o],65535===C){i.push(0),w.push(0);break}if(E=c[C]["new"],C-E>=32768)for(i.push(0),w.push(2*(n.length+A-o)),d=J=C;k>=C?k>=J:J>=k;d=k>=C?++J:--J)n.push(c[d]["new"]);else i.push(E-C),w.push(0)}for(F.writeUInt16(3),F.writeUInt16(1),F.writeUInt32(12),F.writeUInt16(4),F.writeUInt16(16+8*A+2*n.length),F.writeUInt16(0),F.writeUInt16(B),F.writeUInt16(z),F.writeUInt16(m),F.writeUInt16(x),S=0,N=l.length;N>S;S++)d=l[S],F.writeUInt16(d);for(F.writeUInt16(0),T=0,O=D.length;O>T;T++)d=D[T], +F.writeUInt16(d);for(V=0,P=i.length;P>V;V++)h=i[V],F.writeUInt16(h);for(W=0,Q=w.length;Q>W;W++)u=w[W],F.writeUInt16(u);for(X=0,R=n.length;R>X;X++)p=n[X],F.writeUInt16(p);return y={charMap:c,subtable:F.data,maxGlyphID:t+1}}},a}(),a.exports=d}).call(this)},function(a,b,c){(function(){var b,d,e,f={}.hasOwnProperty,g=function(a,b){function c(){this.constructor=a}for(var d in b)f.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};e=c(76),b=c(72),d=function(a){function c(){return c.__super__.constructor.apply(this,arguments)}return g(c,a),c.prototype.tag="hmtx",c.prototype.parse=function(a){var b,c,d,e,f,g,h,i;for(a.pos=this.offset,this.metrics=[],b=f=0,h=this.file.hhea.numberOfMetrics;h>=0?h>f:f>h;b=h>=0?++f:--f)this.metrics.push({advance:a.readUInt16(),lsb:a.readInt16()});for(d=this.file.maxp.numGlyphs-this.file.hhea.numberOfMetrics,this.leftSideBearings=function(){var c,e;for(e=[],b=c=0;d>=0?d>c:c>d;b=d>=0?++c:--c)e.push(a.readInt16());return e}(),this.widths=function(){var a,b,c,d;for(c=this.metrics,d=[],a=0,b=c.length;b>a;a++)e=c[a],d.push(e.advance);return d}.call(this),c=this.widths[this.widths.length-1],i=[],b=g=0;d>=0?d>g:g>d;b=d>=0?++g:--g)i.push(this.widths.push(c));return i},c.prototype.forGlyph=function(a){var b;return a in this.metrics?this.metrics[a]:b={advance:this.metrics[this.metrics.length-1].advance,lsb:this.leftSideBearings[a-this.metrics.length]}},c.prototype.encode=function(a){var c,d,e,f,g;for(e=new b,f=0,g=a.length;g>f;f++)c=a[f],d=this.forGlyph(c),e.writeUInt16(d.advance),e.writeUInt16(d.lsb);return e.data},c}(e),a.exports=d}).call(this)},function(a,b,c){(function(){var b,d,e,f={}.hasOwnProperty,g=function(a,b){function c(){this.constructor=a}for(var d in b)f.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};e=c(76),b=c(72),d=function(a){function c(){return c.__super__.constructor.apply(this,arguments)}return g(c,a),c.prototype.tag="hhea",c.prototype.parse=function(a){return a.pos=this.offset,this.version=a.readInt(),this.ascender=a.readShort(),this.decender=a.readShort(),this.lineGap=a.readShort(),this.advanceWidthMax=a.readShort(),this.minLeftSideBearing=a.readShort(),this.minRightSideBearing=a.readShort(),this.xMaxExtent=a.readShort(),this.caretSlopeRise=a.readShort(),this.caretSlopeRun=a.readShort(),this.caretOffset=a.readShort(),a.pos+=8,this.metricDataFormat=a.readShort(),this.numberOfMetrics=a.readUInt16()},c.prototype.encode=function(a){var c,d,e,f;for(d=new b,d.writeInt(this.version),d.writeShort(this.ascender),d.writeShort(this.decender),d.writeShort(this.lineGap),d.writeShort(this.advanceWidthMax),d.writeShort(this.minLeftSideBearing),d.writeShort(this.minRightSideBearing),d.writeShort(this.xMaxExtent),d.writeShort(this.caretSlopeRise),d.writeShort(this.caretSlopeRun),d.writeShort(this.caretOffset),c=e=0,f=8;f>=0?f>e:e>f;c=f>=0?++e:--e)d.writeByte(0);return d.writeShort(this.metricDataFormat),d.writeUInt16(a.length),d.data},c}(e),a.exports=d}).call(this)},function(a,b,c){(function(){var b,d,e,f={}.hasOwnProperty,g=function(a,b){function c(){this.constructor=a}for(var d in b)f.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};e=c(76),b=c(72),d=function(a){function c(){return c.__super__.constructor.apply(this,arguments)}return g(c,a),c.prototype.tag="maxp",c.prototype.parse=function(a){return a.pos=this.offset,this.version=a.readInt(),this.numGlyphs=a.readUInt16(),this.maxPoints=a.readUInt16(),this.maxContours=a.readUInt16(),this.maxCompositePoints=a.readUInt16(),this.maxComponentContours=a.readUInt16(),this.maxZones=a.readUInt16(),this.maxTwilightPoints=a.readUInt16(),this.maxStorage=a.readUInt16(),this.maxFunctionDefs=a.readUInt16(),this.maxInstructionDefs=a.readUInt16(),this.maxStackElements=a.readUInt16(),this.maxSizeOfInstructions=a.readUInt16(),this.maxComponentElements=a.readUInt16(),this.maxComponentDepth=a.readUInt16()},c.prototype.encode=function(a){var c;return c=new b,c.writeInt(this.version),c.writeUInt16(a.length),c.writeUInt16(this.maxPoints),c.writeUInt16(this.maxContours),c.writeUInt16(this.maxCompositePoints),c.writeUInt16(this.maxComponentContours),c.writeUInt16(this.maxZones),c.writeUInt16(this.maxTwilightPoints),c.writeUInt16(this.maxStorage),c.writeUInt16(this.maxFunctionDefs),c.writeUInt16(this.maxInstructionDefs),c.writeUInt16(this.maxStackElements),c.writeUInt16(this.maxSizeOfInstructions),c.writeUInt16(this.maxComponentElements),c.writeUInt16(this.maxComponentDepth),c.data},c}(e),a.exports=d}).call(this)},function(a,b,c){(function(){var b,d,e,f={}.hasOwnProperty,g=function(a,b){function c(){this.constructor=a}for(var d in b)f.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};e=c(76),b=c(72),d=function(a){function c(){return c.__super__.constructor.apply(this,arguments)}var d;return g(c,a),c.prototype.tag="post",c.prototype.parse=function(a){var b,c,d,e,f;switch(a.pos=this.offset,this.format=a.readInt(),this.italicAngle=a.readInt(),this.underlinePosition=a.readShort(),this.underlineThickness=a.readShort(),this.isFixedPitch=a.readInt(),this.minMemType42=a.readInt(),this.maxMemType42=a.readInt(),this.minMemType1=a.readInt(),this.maxMemType1=a.readInt(),this.format){case 65536:break;case 131072:for(d=a.readUInt16(),this.glyphNameIndex=[],b=e=0;d>=0?d>e:e>d;b=d>=0?++e:--e)this.glyphNameIndex.push(a.readUInt16());for(this.names=[],f=[];a.pos=0?d>c:c>d;b=d>=0?++c:--c)e.push(a.readUInt32());return e}.call(this)}},c.prototype.glyphFor=function(a){var b;switch(this.format){case 65536:return d[a]||".notdef";case 131072:return b=this.glyphNameIndex[a],257>=b?d[b]:this.names[b-258]||".notdef";case 151552:return d[a+this.offsets[a]]||".notdef";case 196608:return".notdef";case 262144:return this.map[a]||65535}},c.prototype.encode=function(a){var c,e,f,g,h,i,j,k,l,m,n,o,p,q,r;if(!this.exists)return null;if(i=this.raw(),196608===this.format)return i;for(l=new b(i.slice(0,32)),l.writeUInt32(131072),l.pos=32,f=[],k=[],m=0,p=a.length;p>m;m++)c=a[m],h=this.glyphFor(c),g=d.indexOf(h),-1!==g?f.push(g):(f.push(257+k.length),k.push(h));for(l.writeUInt16(Object.keys(a).length),n=0,q=f.length;q>n;n++)e=f[n],l.writeUInt16(e);for(o=0,r=k.length;r>o;o++)j=k[o],l.writeByte(j.length),l.writeString(j);return l.data},d=".notdef .null nonmarkingreturn space exclam quotedbl numbersign dollar percent\nampersand quotesingle parenleft parenright asterisk plus comma hyphen period slash\nzero one two three four five six seven eight nine colon semicolon less equal greater\nquestion at A B C D E F G H I J K L M N O P Q R S T U V W X Y Z\nbracketleft backslash bracketright asciicircum underscore grave\na b c d e f g h i j k l m n o p q r s t u v w x y z\nbraceleft bar braceright asciitilde Adieresis Aring Ccedilla Eacute Ntilde Odieresis\nUdieresis aacute agrave acircumflex adieresis atilde aring ccedilla eacute egrave\necircumflex edieresis iacute igrave icircumflex idieresis ntilde oacute ograve\nocircumflex odieresis otilde uacute ugrave ucircumflex udieresis dagger degree cent\nsterling section bullet paragraph germandbls registered copyright trademark acute\ndieresis notequal AE Oslash infinity plusminus lessequal greaterequal yen mu\npartialdiff summation product pi integral ordfeminine ordmasculine Omega ae oslash\nquestiondown exclamdown logicalnot radical florin approxequal Delta guillemotleft\nguillemotright ellipsis nonbreakingspace Agrave Atilde Otilde OE oe endash emdash\nquotedblleft quotedblright quoteleft quoteright divide lozenge ydieresis Ydieresis\nfraction currency guilsinglleft guilsinglright fi fl daggerdbl periodcentered\nquotesinglbase quotedblbase perthousand Acircumflex Ecircumflex Aacute Edieresis\nEgrave Iacute Icircumflex Idieresis Igrave Oacute Ocircumflex apple Ograve Uacute\nUcircumflex Ugrave dotlessi circumflex tilde macron breve dotaccent ring cedilla\nhungarumlaut ogonek caron Lslash lslash Scaron scaron Zcaron zcaron brokenbar Eth\neth Yacute yacute Thorn thorn minus multiply onesuperior twosuperior threesuperior\nonehalf onequarter threequarters franc Gbreve gbreve Idotaccent Scedilla scedilla\nCacute cacute Ccaron ccaron dcroat".split(/\s+/g),c}(e),a.exports=d}).call(this)},function(a,b,c){(function(){var b,d,e={}.hasOwnProperty,f=function(a,b){function c(){this.constructor=a}for(var d in b)e.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};d=c(76),b=function(a){function b(){return b.__super__.constructor.apply(this,arguments)}return f(b,a),b.prototype.tag="OS/2",b.prototype.parse=function(a){var b;return a.pos=this.offset,this.version=a.readUInt16(),this.averageCharWidth=a.readShort(),this.weightClass=a.readUInt16(),this.widthClass=a.readUInt16(),this.type=a.readShort(),this.ySubscriptXSize=a.readShort(),this.ySubscriptYSize=a.readShort(),this.ySubscriptXOffset=a.readShort(),this.ySubscriptYOffset=a.readShort(),this.ySuperscriptXSize=a.readShort(),this.ySuperscriptYSize=a.readShort(),this.ySuperscriptXOffset=a.readShort(),this.ySuperscriptYOffset=a.readShort(),this.yStrikeoutSize=a.readShort(),this.yStrikeoutPosition=a.readShort(),this.familyClass=a.readShort(),this.panose=function(){var c,d;for(d=[],b=c=0;10>c;b=++c)d.push(a.readByte());return d}(),this.charRange=function(){var c,d;for(d=[],b=c=0;4>c;b=++c)d.push(a.readInt());return d}(),this.vendorID=a.readString(4),this.selection=a.readShort(),this.firstCharIndex=a.readShort(),this.lastCharIndex=a.readShort(),this.version>0&&(this.ascent=a.readShort(),this.descent=a.readShort(),this.lineGap=a.readShort(),this.winAscent=a.readShort(),this.winDescent=a.readShort(),this.codePageRange=function(){var c,d;for(d=[],b=c=0;2>c;b=++c)d.push(a.readInt());return d}(),this.version>1)?(this.xHeight=a.readShort(),this.capHeight=a.readShort(),this.defaultChar=a.readShort(),this.breakChar=a.readShort(),this.maxContext=a.readShort()):void 0},b.prototype.encode=function(){return this.raw()},b}(d),a.exports=b}).call(this)},function(a,b,c){(function(){var b,d,e,f={}.hasOwnProperty,g=function(a,b){function c(){this.constructor=a}for(var d in b)f.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};e=c(76),b=c(72),d=function(a){function c(){return c.__super__.constructor.apply(this,arguments)}return g(c,a),c.prototype.tag="loca",c.prototype.parse=function(a){var b,c;return a.pos=this.offset,b=this.file.head.indexToLocFormat,0===b?this.offsets=function(){var b,d,e;for(e=[],c=b=0,d=this.length;d>b;c=b+=2)e.push(2*a.readUInt16());return e}.call(this):this.offsets=function(){var b,d,e;for(e=[],c=b=0,d=this.length;d>b;c=b+=4)e.push(a.readUInt32());return e}.call(this)},c.prototype.indexOf=function(a){return this.offsets[a]},c.prototype.lengthOf=function(a){return this.offsets[a+1]-this.offsets[a]},c.prototype.encode=function(a){var c,d,e,f,g,h,i,j,k,l,m;for(f=new b,g=0,j=a.length;j>g;g++)if(d=a[g],d>65535){for(m=this.offsets,h=0,k=m.length;k>h;h++)c=m[h],f.writeUInt32(c);return e={format:1,table:f.data}}for(i=0,l=a.length;l>i;i++)c=a[i],f.writeUInt16(c/2);return e={format:0,table:f.data}},c}(e),a.exports=d}).call(this)},function(a,b,c){(function(){var b,d,e,f,g,h={}.hasOwnProperty,i=function(a,b){function c(){this.constructor=a}for(var d in b)h.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a},j=[].slice;g=c(76),d=c(72),e=function(a){function c(){return c.__super__.constructor.apply(this,arguments)}return i(c,a),c.prototype.tag="glyf",c.prototype.parse=function(a){return this.cache={}},c.prototype.glyphFor=function(a){var c,e,g,h,i,j,k,l,m,n;return a in this.cache?this.cache[a]:(h=this.file.loca,c=this.file.contents,e=h.indexOf(a),g=h.lengthOf(a),0===g?this.cache[a]=null:(c.pos=this.offset+e,j=new d(c.read(g)),i=j.readShort(),l=j.readShort(),n=j.readShort(),k=j.readShort(),m=j.readShort(),-1===i?this.cache[a]=new b(j,l,n,k,m):this.cache[a]=new f(j,i,l,n,k,m),this.cache[a]))},c.prototype.encode=function(a,b,c){var d,e,f,g,h,i;for(g=[],f=[],h=0,i=b.length;i>h;h++)e=b[h],d=a[e],f.push(g.length),d&&(g=g.concat(d.encode(c)));return f.push(g.length),{table:g,offsets:f}},c}(g),f=function(){function a(a,b,c,d,e,f){this.raw=a,this.numberOfContours=b,this.xMin=c,this.yMin=d,this.xMax=e,this.yMax=f,this.compound=!1}return a.prototype.encode=function(){return this.raw.data},a}(),b=function(){function a(a,d,h,i,j){var k,l;for(this.raw=a,this.xMin=d,this.yMin=h,this.xMax=i,this.yMax=j,this.compound=!0,this.glyphIDs=[],this.glyphOffsets=[],k=this.raw;;){if(l=k.readShort(),this.glyphOffsets.push(k.pos),this.glyphIDs.push(k.readShort()),!(l&c))break;l&b?k.pos+=4:k.pos+=2,l&g?k.pos+=8:l&e?k.pos+=4:l&f&&(k.pos+=2)}}var b,c,e,f,g,h;return b=1,f=8,c=32,e=64,g=128,h=256,a.prototype.encode=function(a){var b,c,e,f,g,h;for(e=new d(j.call(this.raw.data)),h=this.glyphIDs,b=f=0,g=h.length;g>f;b=++f)c=h[b],e.pos=this.glyphOffsets[b],e.writeShort(a[c]);return e.data},a}(),a.exports=e}).call(this)},function(a,b,c){(function(){var b,d;d=c(44),b=function(){function a(a){var b,d;this.contents=a,this.attributes={},this.glyphWidths={},this.boundingBoxes={},this.parse(),this.charWidths=function(){var a,b;for(b=[],d=a=0;255>=a;d=++a)b.push(this.glyphWidths[c[d]]);return b}.call(this),this.bbox=function(){var a,c,d,e;for(d=this.attributes.FontBBox.split(/\s+/),e=[],a=0,c=d.length;c>a;a++)b=d[a],e.push(+b);return e}.call(this),this.ascender=+(this.attributes.Ascender||0),this.decender=+(this.attributes.Descender||0),this.lineGap=this.bbox[3]-this.bbox[1]-(this.ascender-this.decender)}var b,c;return a.open=function(b){return new a(d.readFileSync(b,"utf8"))},a.prototype.parse=function(){var a,b,c,d,e,f,g,h,i,j;for(f="",j=this.contents.split("\n"),h=0,i=j.length;i>h;h++)if(c=j[h],d=c.match(/^Start(\w+)/))f=d[1];else if(d=c.match(/^End(\w+)/))f="";else switch(f){case"FontMetrics":d=c.match(/(^\w+)\s+(.*)/),b=d[1],g=d[2],(a=this.attributes[b])?(Array.isArray(a)||(a=this.attributes[b]=[a]),a.push(g)):this.attributes[b]=g;break;case"CharMetrics":if(!/^CH?\s/.test(c))continue;e=c.match(/\bN\s+(\.?\w+)\s*;/)[1],this.glyphWidths[e]=+c.match(/\bWX\s+(\d+)\s*;/)[1]}},b={402:131,8211:150,8212:151,8216:145,8217:146,8218:130,8220:147,8221:148,8222:132,8224:134,8225:135,8226:149,8230:133,8364:128,8240:137,8249:139,8250:155,710:136,8482:153,338:140,339:156,732:152,352:138,353:154,376:159,381:142,382:158},a.prototype.encodeText=function(a){var c,d,e,f,g;for(e="",d=f=0,g=a.length;g>=0?g>f:f>g;d=g>=0?++f:--f)c=a.charCodeAt(d),c=b[c]||c,e+=String.fromCharCode(c);return e},a.prototype.characterToGlyph=function(a){return c[b[a]||a]},a.prototype.widthOfGlyph=function(a){return this.glyphWidths[a]},c=".notdef .notdef .notdef .notdef\n.notdef .notdef .notdef .notdef\n.notdef .notdef .notdef .notdef\n.notdef .notdef .notdef .notdef\n.notdef .notdef .notdef .notdef\n.notdef .notdef .notdef .notdef\n.notdef .notdef .notdef .notdef\n.notdef .notdef .notdef .notdef\n\nspace exclam quotedbl numbersign\ndollar percent ampersand quotesingle\nparenleft parenright asterisk plus\ncomma hyphen period slash\nzero one two three\nfour five six seven\neight nine colon semicolon\nless equal greater question\n\nat A B C\nD E F G\nH I J K\nL M N O\nP Q R S\nT U V W\nX Y Z bracketleft\nbackslash bracketright asciicircum underscore\n\ngrave a b c\nd e f g\nh i j k\nl m n o\np q r s\nt u v w\nx y z braceleft\nbar braceright asciitilde .notdef\n\nEuro .notdef quotesinglbase florin\nquotedblbase ellipsis dagger daggerdbl\ncircumflex perthousand Scaron guilsinglleft\nOE .notdef Zcaron .notdef\n.notdef quoteleft quoteright quotedblleft\nquotedblright bullet endash emdash\ntilde trademark scaron guilsinglright\noe .notdef zcaron ydieresis\n\nspace exclamdown cent sterling\ncurrency yen brokenbar section\ndieresis copyright ordfeminine guillemotleft\nlogicalnot hyphen registered macron\ndegree plusminus twosuperior threesuperior\nacute mu paragraph periodcentered\ncedilla onesuperior ordmasculine guillemotright\nonequarter onehalf threequarters questiondown\n\nAgrave Aacute Acircumflex Atilde\nAdieresis Aring AE Ccedilla\nEgrave Eacute Ecircumflex Edieresis\nIgrave Iacute Icircumflex Idieresis\nEth Ntilde Ograve Oacute\nOcircumflex Otilde Odieresis multiply\nOslash Ugrave Uacute Ucircumflex\nUdieresis Yacute Thorn germandbls\n\nagrave aacute acircumflex atilde\nadieresis aring ae ccedilla\negrave eacute ecircumflex edieresis\nigrave iacute icircumflex idieresis\neth ntilde ograve oacute\nocircumflex otilde odieresis divide\noslash ugrave uacute ucircumflex\nudieresis yacute thorn ydieresis".split(/\s+/),a}(),a.exports=b}).call(this)},function(a,b,c){(function(){var b,d,e,f=[].indexOf||function(a){for(var b=0,c=this.length;c>b;b++)if(b in this&&this[b]===a)return b;return-1};b=c(79),e=c(77),d=function(){function a(a){this.font=a,this.subset={},this.unicodes={},this.next=33}return a.prototype.use=function(a){var b,c,d;{if("string"!=typeof a)return this.unicodes[a]?void 0:(this.subset[this.next]=a,this.unicodes[a]=this.next++);for(b=c=0,d=a.length;d>=0?d>c:c>d;b=d>=0?++c:--c)this.use(a.charCodeAt(b))}},a.prototype.encodeText=function(a){var b,c,d,e,f;for(d="",c=e=0,f=a.length;f>=0?f>e:e>f;c=f>=0?++e:--e)b=this.unicodes[a.charCodeAt(c)],d+=String.fromCharCode(b);return d},a.prototype.generateCmap=function(){var a,b,c,d,e;d=this.font.cmap.tables[0].codeMap,a={},e=this.subset;for(b in e)c=e[b],a[b]=d[c];return a},a.prototype.glyphIDs=function(){var a,b,c,d,e,g;d=this.font.cmap.tables[0].codeMap,a=[0],g=this.subset;for(b in g)c=g[b],e=d[c],null!=e&&f.call(a,e)<0&&a.push(e);return a.sort()},a.prototype.glyphsFor=function(a){var b,c,d,e,f,g,h;for(d={},f=0,g=a.length;g>f;f++)e=a[f],d[e]=this.font.glyf.glyphFor(e);b=[];for(e in d)c=d[e],(null!=c?c.compound:void 0)&&b.push.apply(b,c.glyphIDs);if(b.length>0){h=this.glyphsFor(b);for(e in h)c=h[e],d[e]=c}return d},a.prototype.encode=function(){var a,c,d,f,g,h,i,j,k,l,m,n,o,p,q,r,s;a=b.encode(this.generateCmap(),"unicode"),f=this.glyphsFor(this.glyphIDs()),n={0:0},r=a.charMap;for(c in r)h=r[c],n[h.old]=h["new"];m=a.maxGlyphID;for(o in f)o in n||(n[o]=m++);k=e.invert(n),l=Object.keys(k).sort(function(a,b){return a-b}),p=function(){var a,b,c;for(c=[],a=0,b=l.length;b>a;a++)g=l[a],c.push(k[g]);return c}(),d=this.font.glyf.encode(f,p,n),i=this.font.loca.encode(d.offsets),j=this.font.name.encode(),this.postscriptName=j.postscriptName,this.cmap={},s=a.charMap;for(c in s)h=s[c],this.cmap[c]=h.old;return q={cmap:a.table,glyf:d.table,loca:i.table,hmtx:this.font.hmtx.encode(p),hhea:this.font.hhea.encode(p),maxp:this.font.maxp.encode(p),post:this.font.post.encode(p),name:j.table,head:this.font.head.encode(i)},this.font.os2.exists&&(q["OS/2"]=this.font.os2.raw()),this.font.directory.encode(q)},a}(),a.exports=d}).call(this)},function(a,b,c){(function(){var b;b=c(90),a.exports={initText:function(){return this.x=0,this.y=0,this._lineGap=0},lineGap:function(a){return this._lineGap=a,this},moveDown:function(a){return null==a&&(a=1),this.y+=this.currentLineHeight(!0)*a+this._lineGap,this},moveUp:function(a){return null==a&&(a=1),this.y-=this.currentLineHeight(!0)*a+this._lineGap,this},_text:function(a,c,d,e,f){var g,h,i,j,k;if(e=this._initOptions(c,d,e),a=""+a,e.wordSpacing&&(a=a.replace(/\s{2,}/g," ")),e.width)h=this._wrapper,h||(h=new b(this,e),h.on("line",f)),this._wrapper=e.continued?h:null,this._textOptions=e.continued?e:null,h.wrap(a,e);else for(k=a.split("\n"),i=0,j=k.length;j>i;i++)g=k[i],f(g,e);return this},text:function(a,b,c,d){return this._text(a,b,c,d,this._line.bind(this))},widthOfString:function(a,b){return null==b&&(b={}),this._font.widthOfString(a,this._fontSize)+(b.characterSpacing||0)*(a.length-1)},heightOfString:function(a,b){var c,d,e,f;return null==b&&(b={}),e=this.x,f=this.y,b=this._initOptions(b),b.height=1/0,d=b.lineGap||this._lineGap||0,this._text(a,this.x,this.y,b,function(a){return function(b,c){return a.y+=a.currentLineHeight(!0)+d}}(this)),c=this.y-f,this.x=e,this.y=f,c},list:function(a,c,d,e,f){var g,h,i,j,k,l,m,n;return e=this._initOptions(c,d,e),n=Math.round(this._font.ascender/1e3*this._fontSize/3),i=e.textIndent||5*n,j=e.bulletIndent||8*n,l=1,k=[],m=[],g=function(a){var b,c,d,e,f;for(f=[],b=d=0,e=a.length;e>d;b=++d)c=a[b],Array.isArray(c)?(l++,g(c),f.push(l--)):(k.push(c),f.push(m.push(l)));return f},g(a),f=new b(this,e),f.on("line",this._line.bind(this)),l=1,h=0,f.on("firstLine",function(a){return function(){var b,c;return(c=m[h++])!==l&&(b=j*(c-l),a.x+=b,f.lineWidth-=b,l=c),a.circle(a.x-i+n,a.y+n+n/2,n),a.fill()}}(this)),f.on("sectionStart",function(a){return function(){var b;return b=i+j*(l-1),a.x+=b,f.lineWidth-=b}}(this)),f.on("sectionEnd",function(a){return function(){var b;return b=i+j*(l-1),a.x-=b,f.lineWidth+=b}}(this)),f.wrap(k.join("\n"),e),this},_initOptions:function(a,b,c){var d,e,f,g;if(null==a&&(a={}),null==c&&(c={}),"object"==typeof a&&(c=a,a=null),c=function(){var a,b,d;b={};for(a in c)d=c[a],b[a]=d;return b}(),this._textOptions){g=this._textOptions;for(d in g)f=g[d],"continued"!==d&&null==c[d]&&(c[d]=f)}return null!=a&&(this.x=a),null!=b&&(this.y=b),c.lineBreak!==!1&&(e=this.page.margins,null==c.width&&(c.width=this.page.width-this.x-e.right)),c.columns||(c.columns=0),null==c.columnGap&&(c.columnGap=18),c},_line:function(a,b,c){var d;return null==b&&(b={}),this._fragment(a,this.x,this.y,b),d=b.lineGap||this._lineGap||0,c?this.y+=this.currentLineHeight(!0)+d:this.x+=this.widthOfString(a)},_fragment:function(a,b,c,d){var e,f,g,h,i,j,k,l,m,n,o,p,q,r,s,t,u,v,w;if(a=""+a,0!==a.length){if(e=d.align||"left",r=d.wordSpacing||0,f=d.characterSpacing||0,d.width)switch(e){case"right":p=this.widthOfString(a.replace(/\s+$/,""),d),b+=d.lineWidth-p;break;case"center":b+=d.lineWidth/2-d.textWidth/2;break;case"justify":s=a.trim().split(/\s+/),p=this.widthOfString(a.replace(/\s+/g,""),d),o=this.widthOfString(" ")+f,r=Math.max(0,(d.lineWidth-p)/Math.max(1,s.length-1)-o)}if(n=d.textWidth+r*(d.wordCount-1)+f*(a.length-1),d.link&&this.link(b,c,n,this.currentLineHeight(),d.link),(d.underline||d.strike)&&(this.save(),d.stroke||this.strokeColor.apply(this,this._fillColor),k=this._fontSize<10?.5:Math.floor(this._fontSize/10),this.lineWidth(k),h=d.underline?1:2,l=c+this.currentLineHeight()/h,d.underline&&(l-=k),this.moveTo(b,l),this.lineTo(b+n,l),this.stroke(),this.restore()),this.save(),this.transform(1,0,0,-1,0,this.page.height),c=this.page.height-c-this._font.ascender/1e3*this._fontSize,null==(t=this.page.fonts)[w=this._font.id]&&(t[w]=this._font.ref()),this._font.use(a),this.addContent("BT"),this.addContent(""+b+" "+c+" Td"),this.addContent("/"+this._font.id+" "+this._fontSize+" Tf"),m=d.fill&&d.stroke?2:d.stroke?1:0,m&&this.addContent(""+m+" Tr"),f&&this.addContent(""+f+" Tc"),r){for(s=a.trim().split(/\s+/),r+=this.widthOfString(" ")+f,r*=1e3/this._fontSize,g=[],u=0,v=s.length;v>u;u++)q=s[u],i=this._font.encode(q),i=function(){var a,b,c;for(c=[],j=a=0,b=i.length;b>a;j=a+=1)c.push(i.charCodeAt(j).toString(16));return c}().join(""),g.push("<"+i+"> "+-r);this.addContent("["+g.join(" ")+"] TJ")}else i=this._font.encode(a),i=function(){var a,b,c;for(c=[],j=a=0,b=i.length;b>a;j=a+=1)c.push(i.charCodeAt(j).toString(16));return c}().join(""),this.addContent("<"+i+"> Tj");return this.addContent("ET"),this.restore()}}}}).call(this)},function(a,b,c){(function(){var b,d,e,f={}.hasOwnProperty,g=function(a,b){function c(){this.constructor=a}for(var d in b)f.call(b,d)&&(a[d]=b[d]);return c.prototype=b.prototype,a.prototype=new c,a.__super__=b.prototype,a};b=c(26).EventEmitter,d=c(91),e=function(a){function b(a,b){var c;this.document=a,this.indent=b.indent||0,this.characterSpacing=b.characterSpacing||0,this.wordSpacing=0===b.wordSpacing,this.columns=b.columns||1,this.columnGap=null!=(c=b.columnGap)?c:18,this.lineWidth=(b.width-this.columnGap*(this.columns-1))/this.columns,this.spaceLeft=this.lineWidth,this.startX=this.document.x,this.startY=this.document.y,this.column=1,this.ellipsis=b.ellipsis,this.continuedX=0,null!=b.height?(this.height=b.height,this.maxY=this.startY+b.height):this.maxY=this.document.page.maxY(),this.on("firstLine",function(a){return function(b){var c;return c=a.continuedX||a.indent,a.document.x+=c,a.lineWidth-=c,a.once("line",function(){return a.document.x-=c,a.lineWidth+=c,b.continued&&!a.continuedX&&(a.continuedX=a.indent),b.continued?void 0:a.continuedX=0})}}(this)),this.on("lastLine",function(a){return function(b){var c;return c=b.align,"justify"===c&&(b.align="left"),a.lastLine=!0,a.once("line",function(){return a.document.y+=b.paragraphGap||0,b.align=c,a.lastLine=!1})}}(this))}return g(b,a),b.prototype.wordWidth=function(a){return this.document.widthOfString(a,this)+this.characterSpacing+this.wordSpacing},b.prototype.eachWord=function(a,b){var c,e,f,g,h,i,j,k,l,m;for(e=new d(a),h=null,m={};c=e.nextBreak();){if(l=a.slice((null!=h?h.position:void 0)||0,c.position),k=null!=m[l]?m[l]:m[l]=this.wordWidth(l),k>this.lineWidth+this.continuedX)for(i=h,f={};l.length;){for(g=l.length;k>this.spaceLeft;)k=this.wordWidth(l.slice(0,--g));if(f.required=gthis.maxY||f>this.maxY)&&this.nextSection(),c="",g=0,h=0,e=0,i=this.document.y,d=function(a){return function(){return b.textWidth=g+a.wordSpacing*(h-1),b.wordCount=h,b.lineWidth=a.lineWidth,i=a.document.y,a.emit("line",c,b,a),e++}}(this),this.emit("sectionStart",b,this),this.eachWord(a,function(a){return function(e,f,i,j){var k,l;if((null==j||j.required)&&(a.emit("firstLine",b,a),a.spaceLeft=a.lineWidth),f<=a.spaceLeft&&(c+=e,g+=f,h++),i.required||f>a.spaceLeft){if(i.required&&a.emit("lastLine",b,a),k=a.document.currentLineHeight(!0),null!=a.height&&a.ellipsis&&a.document.y+2*k>a.maxY&&a.column>=a.columns){for(a.ellipsis===!0&&(a.ellipsis="…"),c=c.replace(/\s+$/,""),g=a.wordWidth(c+a.ellipsis);g>a.lineWidth;)c=c.slice(0,-1).replace(/\s+$/,""),g=a.wordWidth(c+a.ellipsis);c+=a.ellipsis}return d(),a.document.y+k>a.maxY&&(l=a.nextSection(),!l)?(h=0,c="",!1):i.required?(f>a.spaceLeft&&(c=e,g=f,h=1,d()),a.spaceLeft=a.lineWidth,c="",g=0,h=0):(a.spaceLeft=a.lineWidth-f,c=e,g=f,h=1)}return a.spaceLeft-=f}}(this)),h>0&&(this.emit("lastLine",b,this),d()),this.emit("sectionEnd",b,this),b.continued===!0?(e>1&&(this.continuedX=0),this.continuedX+=b.textWidth,this.document.y=i):this.document.x=this.startX},b.prototype.nextSection=function(a){var b;if(this.emit("sectionEnd",a,this),++this.column>this.columns){if(null!=this.height)return!1;this.document.addPage(),this.column=1,this.startY=this.document.page.margins.top,this.maxY=this.document.page.maxY(),this.document.x=this.startX,this.document._fillColor&&(b=this.document).fillColor.apply(b,this.document._fillColor),this.emit("pageBreak",a,this)}else this.document.x+=this.lineWidth+this.columnGap,this.document.y=this.startY,this.emit("columnBreak",a,this);return this.emit("sectionStart",a,this),!0},b}(b),a.exports=e}).call(this)},function(a,b,c){(function(){var b,d,e,f,g,h,i,j,k,l,m,n,o,p,q,r,s,t,u,v,w,x,y,z,A,B,C,D;w=c(92),A=new w(c(93)),C=c(94),f=C.BK,k=C.CR,o=C.LF,q=C.NL,g=C.CB,e=C.BA,v=C.SP,x=C.WJ,v=C.SP,f=C.BK,o=C.LF,q=C.NL,b=C.AI,d=C.AL,t=C.SA,u=C.SG,y=C.XX,i=C.CJ,m=C.ID,r=C.NS,z=C.characterClasses,D=c(95),l=D.DI_BRK,n=D.IN_BRK,h=D.CI_BRK,j=D.CP_BRK,s=D.PR_BRK,B=D.pairTable,p=function(){function a(a){this.string=a,this.pos=0,this.lastPos=0,this.curClass=null,this.nextClass=null}var c,m,p;return a.prototype.nextCodePoint=function(){var a,b;return a=this.string.charCodeAt(this.pos++),b=this.string.charCodeAt(this.pos),a>=55296&&56319>=a&&b>=56320&&57343>=b?(this.pos++,1024*(a-55296)+(b-56320)+65536):a},m=function(a){switch(a){case b:return d;case t:case u:case y:return d;case i:return r;default:return a}},p=function(a){switch(a){case o:case q:return f;case g:return e;case v:return x;default:return a}},a.prototype.nextCharClass=function(a){return null==a&&(a=!1),m(A.get(this.nextCodePoint()))},c=function(){function a(a,b){this.position=a,this.required=null!=b?b:!1}return a}(),a.prototype.nextBreak=function(){var a,b,d;for(null==this.curClass&&(this.curClass=p(this.nextCharClass()));this.pos=this.string.length?this.lastPos>n,g=1<>p,k=1024>>p,h=l+k,r=h,q=32,f=r+q,c=1<a||a>1114111?this.errorValue:55296>a||a>56319&&65535>=a?(b=(this.data[a>>p]<=a?(b=(this.data[l+(a-55296>>p)]<>n)],b=this.data[b+(a>>p&i)],b=(b<h?(n=i,j=i/m):(j=g,n=g*m),"center"===f.align?c=c+i/2-n/2:"right"===f.align&&(c=c+i-n),"center"===f.valign?e=e+g/2-j/2:"bottom"===f.valign&&(e=e+g-j)),this.y===e&&(this.y+=j),this.save(),this.transform(n,0,0,-j,c,e+j),this.addContent("/"+l.label+" Do"),this.restore(),this}}}).call(this)}).call(b,c(2).Buffer)},function(a,b,c){(function(b){(function(){var d,e,f,g,h;h=c(44),d=c(72),e=c(98),g=c(99),f=function(){function a(){}return a.open=function(a,c){var d,f;if(b.isBuffer(a))d=a;else if(f=/^data:.+;base64,(.*)$/.exec(a))d=new b(f[1],"base64");else if(d=h.readFileSync(a),!d)return;if(255===d[0]&&216===d[1])return new e(d,c);if(137===d[0]&&"PNG"===d.toString("ascii",1,4))return new g(d,c);throw new Error("Unknown image format.")},a}(),a.exports=f}).call(this)}).call(b,c(2).Buffer)},function(a,b,c){(function(){var b,d,e=[].indexOf||function(a){for(var b=0,c=this.length;c>b;b++)if(b in this&&this[b]===a)return b;return-1};d=c(44),b=function(){function a(a,c){var d,f,g;if(this.data=a,this.label=c,65496!==this.data.readUInt16BE(0))throw"SOI not found in JPEG";for(g=2;g=0));)g+=this.data.readUInt16BE(g);if(e.call(b,f)<0)throw"Invalid JPEG.";g+=2,this.bits=this.data[g++],this.height=this.data.readUInt16BE(g),g+=2,this.width=this.data.readUInt16BE(g),g+=2,d=this.data[g++],this.colorSpace=function(){switch(d){case 1:return"DeviceGray";case 3:return"DeviceRGB";case 4:return"DeviceCMYK"}}(),this.obj=null}var b;return b=[65472,65473,65474,65475,65477,65478,65479,65480,65481,65482,65483,65484,65485,65486,65487],a.prototype.embed=function(a){return this.obj?void 0:(this.obj=a.ref({Type:"XObject",Subtype:"Image",BitsPerComponent:this.bits,Width:this.width,Height:this.height,ColorSpace:this.colorSpace,Filter:"DCTDecode"}),"DeviceCMYK"===this.colorSpace&&(this.obj.data.Decode=[1,0,1,0,1,0,1,0]),this.obj.end(this.data),this.data=null)},a}(),a.exports=b}).call(this)},function(a,b,c){(function(b){(function(){var d,e,f;f=c(47),d=c(100),e=function(){function a(a,b){this.label=b,this.image=new d(a),this.width=this.image.width,this.height=this.image.height,this.imgData=this.image.imgData,this.obj=null}return a.prototype.embed=function(a){var c,d,e,f,g,h,i,j;if(this.document=a,!this.obj){if(this.obj=a.ref({Type:"XObject",Subtype:"Image",BitsPerComponent:this.image.bits,Width:this.width,Height:this.height,Filter:"FlateDecode"}),this.image.hasAlphaChannel||(e=a.ref({Predictor:15,Colors:this.image.colors,BitsPerComponent:this.image.bits,Columns:this.width}),this.obj.data.DecodeParms=e,e.end()),0===this.image.palette.length?this.obj.data.ColorSpace=this.image.colorSpace:(d=a.ref(),d.end(new b(this.image.palette)),this.obj.data.ColorSpace=["Indexed","DeviceRGB",this.image.palette.length/3-1,d]),this.image.transparency.grayscale)return g=this.image.transparency.greyscale,this.obj.data.Mask=[g,g];if(this.image.transparency.rgb){for(f=this.image.transparency.rgb,c=[],i=0,j=f.length;j>i;i++)h=f[i],c.push(h,h);return this.obj.data.Mask=c}return this.image.transparency.indexed?this.loadIndexedAlphaChannel():this.image.hasAlphaChannel?this.splitAlphaChannel():this.finalize()}},a.prototype.finalize=function(){var a;return this.alphaChannel&&(a=this.document.ref({Type:"XObject",Subtype:"Image",Height:this.height,Width:this.width,BitsPerComponent:8,Filter:"FlateDecode",ColorSpace:"DeviceGray",Decode:[0,1]}),a.end(this.alphaChannel),this.obj.data.SMask=a),this.obj.end(this.imgData),this.image=null,this.imgData=null},a.prototype.splitAlphaChannel=function(){return this.image.decodePixels(function(a){return function(c){var d,e,g,h,i,j,k,l,m;for(g=a.image.colors*a.image.bits/8,m=a.width*a.height,j=new b(m*g),e=new b(m),i=l=d=0,k=c.length;k>i;)j[l++]=c[i++],j[l++]=c[i++],j[l++]=c[i++],e[d++]=c[i++];return h=0,f.deflate(j,function(b,c){if(a.imgData=c,b)throw b;return 2===++h?a.finalize():void 0}),f.deflate(e,function(b,c){if(a.alphaChannel=c,b)throw b;return 2===++h?a.finalize():void 0})}}(this))},a.prototype.loadIndexedAlphaChannel=function(a){var c;return c=this.image.transparency.indexed,this.image.decodePixels(function(a){return function(d){var e,g,h,i,j;for(e=new b(a.width*a.height),g=0,h=i=0,j=d.length;j>i;h=i+=1)e[g++]=c[d[h]];return f.deflate(e,function(b,c){if(a.alphaChannel=c,b)throw b;return a.finalize()})}}(this))},a}(),a.exports=e}).call(this)}).call(b,c(2).Buffer)},function(a,b,c){(function(b){(function(){var d,e,f;e=c(44),f=c(47),a.exports=d=function(){function a(a){var c,d,e,f,g,h,i,j,k,l,m;for(this.data=a,this.pos=8,this.palette=[],this.imgData=[],this.transparency={},this.text={};;){switch(c=this.readUInt32(),h=function(){var a,b;for(b=[],e=a=0;4>a;e=++a)b.push(String.fromCharCode(this.data[this.pos++]));return b}.call(this).join("")){case"IHDR":this.width=this.readUInt32(),this.height=this.readUInt32(),this.bits=this.data[this.pos++],this.colorType=this.data[this.pos++],this.compressionMethod=this.data[this.pos++],this.filterMethod=this.data[this.pos++],this.interlaceMethod=this.data[this.pos++];break;case"PLTE":this.palette=this.read(c);break;case"IDAT":for(e=k=0;c>k;e=k+=1)this.imgData.push(this.data[this.pos++]);break;case"tRNS":switch(this.transparency={},this.colorType){case 3:if(this.transparency.indexed=this.read(c),i=255-this.transparency.indexed.length,i>0)for(e=l=0;i>=0?i>l:l>i;e=i>=0?++l:--l)this.transparency.indexed.push(255);break;case 0:this.transparency.grayscale=this.read(c)[0];break;case 2:this.transparency.rgb=this.read(c)}break;case"tEXt":j=this.read(c),f=j.indexOf(0),g=String.fromCharCode.apply(String,j.slice(0,f)),this.text[g]=String.fromCharCode.apply(String,j.slice(f+1));break;case"IEND":return this.colors=function(){switch(this.colorType){case 0:case 3:case 4:return 1;case 2:case 6:return 3}}.call(this),this.hasAlphaChannel=4===(m=this.colorType)||6===m,d=this.colors+(this.hasAlphaChannel?1:0),this.pixelBitlength=this.bits*d,this.colorSpace=function(){switch(this.colors){case 1:return"DeviceGray";case 3:return"DeviceRGB"}}.call(this),void(this.imgData=new b(this.imgData));default:this.pos+=c}if(this.pos+=4,this.pos>this.data.length)throw new Error("Incomplete or corrupt PNG file")}}return a.decode=function(b,c){return e.readFile(b,function(b,d){var e;return e=new a(d),e.decode(function(a){return c(a)})})},a.load=function(b){var c;return c=e.readFileSync(b),new a(c)},a.prototype.read=function(a){var b,c,d;for(d=[],b=c=0;a>=0?a>c:c>a;b=a>=0?++c:--c)d.push(this.data[this.pos++]);return d},a.prototype.readUInt32=function(){var a,b,c,d;return a=this.data[this.pos++]<<24,b=this.data[this.pos++]<<16,c=this.data[this.pos++]<<8,d=this.data[this.pos++],a|b|c|d},a.prototype.readUInt16=function(){var a,b;return a=this.data[this.pos++]<<8,b=this.data[this.pos++],a|b},a.prototype.decodePixels=function(a){var c=this;return f.inflate(this.imgData,function(d,e){var f,g,h,i,j,k,l,m,n,o,p,q,r,s,t,u,v,w,x,y,z,A,B;if(d)throw d;for(q=c.pixelBitlength/8,u=q*c.width,r=new b(u*c.height),k=e.length,t=0,s=0,g=0;k>s;){switch(e[s++]){case 0:for(i=x=0;u>x;i=x+=1)r[g++]=e[s++];break;case 1:for(i=y=0;u>y;i=y+=1)f=e[s++],j=q>i?0:r[g-q],r[g++]=(f+j)%256;break;case 2:for(i=z=0;u>z;i=z+=1)f=e[s++],h=(i-i%q)/q,v=t&&r[(t-1)*u+h*q+i%q],r[g++]=(v+f)%256;break;case 3:for(i=A=0;u>A;i=A+=1)f=e[s++],h=(i-i%q)/q,j=q>i?0:r[g-q],v=t&&r[(t-1)*u+h*q+i%q],r[g++]=(f+Math.floor((j+v)/2))%256;break;case 4:for(i=B=0;u>B;i=B+=1)f=e[s++],h=(i-i%q)/q,j=q>i?0:r[g-q],0===t?v=w=0:(v=r[(t-1)*u+h*q+i%q],w=h&&r[(t-1)*u+(h-1)*q+i%q]),l=j+v-w,m=Math.abs(l-j),o=Math.abs(l-v),p=Math.abs(l-w),n=o>=m&&p>=m?j:p>=o?v:w,r[g++]=(f+n)%256;break;default:throw new Error("Invalid filter algorithm: "+e[s-1])}t++}return a(r)})},a.prototype.decodePalette=function(){var a,c,d,e,f,g,h,i,j,k;for(e=this.palette,h=this.transparency.indexed||[],g=new b(h.length+e.length),f=0,d=e.length,a=0,c=i=0,j=e.length;j>i;c=i+=3)g[f++]=e[c],g[f++]=e[c+1],g[f++]=e[c+2],g[f++]=null!=(k=h[a++])?k:255;return g},a.prototype.copyToImageData=function(a,b){var c,d,e,f,g,h,i,j,k,l,m;if(d=this.colors,k=null,c=this.hasAlphaChannel,this.palette.length&&(k=null!=(m=this._decodedPalette)?m:this._decodedPalette=this.decodePalette(),d=4,c=!0),e=(null!=a?a.data:void 0)||a,j=e.length,g=k||b,f=h=0,1===d)for(;j>f;)i=k?4*b[f/4]:h,l=g[i++],e[f++]=l,e[f++]=l,e[f++]=l,e[f++]=c?g[i++]:255,h=i;else for(;j>f;)i=k?4*b[f/4]:h,e[f++]=g[i++],e[f++]=g[i++],e[f++]=g[i++],e[f++]=c?g[i++]:255,h=i},a.prototype.decode=function(a){var c,d=this;return c=new b(this.width*this.height*4),this.decodePixels(function(b){return d.copyToImageData(c,b),a(c)})},a}()}).call(this)}).call(b,c(2).Buffer)},function(a,b){(function(){a.exports={annotate:function(a,b,c,d,e){var f,g,h;e.Type="Annot",e.Rect=this._convertRect(a,b,c,d),e.Border=[0,0,0],"Link"!==e.Subtype&&null==e.C&&(e.C=this._normalizeColor(e.color||[0,0,0])),delete e.color,"string"==typeof e.Dest&&(e.Dest=new String(e.Dest));for(f in e)h=e[f],e[f[0].toUpperCase()+f.slice(1)]=h;return g=this.ref(e),this.page.annotations.push(g),g.end(),this},note:function(a,b,c,d,e,f){return null==f&&(f={}),f.Subtype="Text",f.Contents=new String(e),f.Name="Comment",null==f.color&&(f.color=[243,223,92]),this.annotate(a,b,c,d,f)},link:function(a,b,c,d,e,f){return null==f&&(f={}),f.Subtype="Link",f.A=this.ref({S:"URI",URI:new String(e)}),f.A.end(),this.annotate(a,b,c,d,f)},_markup:function(a,b,c,d,e){var f,g,h,i,j;return null==e&&(e={}),j=this._convertRect(a,b,c,d),f=j[0],h=j[1],g=j[2],i=j[3],e.QuadPoints=[f,i,g,i,f,h,g,h],e.Contents=new String,this.annotate(a,b,c,d,e)},highlight:function(a,b,c,d,e){return null==e&&(e={}),e.Subtype="Highlight",null==e.color&&(e.color=[241,238,148]),this._markup(a,b,c,d,e)},underline:function(a,b,c,d,e){return null==e&&(e={}),e.Subtype="Underline",this._markup(a,b,c,d,e)},strike:function(a,b,c,d,e){return null==e&&(e={}),e.Subtype="StrikeOut",this._markup(a,b,c,d,e)},lineAnnotation:function(a,b,c,d,e){return null==e&&(e={}),e.Subtype="Line",e.Contents=new String,e.L=[a,this.page.height-b,c,this.page.height-d],this.annotate(a,b,c,d,e)},rectAnnotation:function(a,b,c,d,e){return null==e&&(e={}),e.Subtype="Square",e.Contents=new String,this.annotate(a,b,c,d,e)},ellipseAnnotation:function(a,b,c,d,e){return null==e&&(e={}),e.Subtype="Circle",e.Contents=new String,this.annotate(a,b,c,d,e)},textAnnotation:function(a,b,c,d,e,f){return null==f&&(f={}),f.Subtype="FreeText",f.Contents=new String(e),f.DA=new String,this.annotate(a,b,c,d,f)},_convertRect:function(a,b,c,d){var e,f,g,h,i,j,k,l,m;return l=b,b+=d,k=a+c,m=this._ctm,e=m[0],f=m[1],g=m[2],h=m[3],i=m[4],j=m[5],a=e*a+g*b+i,b=f*a+h*b+j,k=e*k+g*l+i,l=f*k+h*l+j,[a,b,k,l]}}}).call(this)},function(a,b){a.exports={"4A0":[4767.87,6740.79],"2A0":[3370.39,4767.87],A0:[2383.94,3370.39],A1:[1683.78,2383.94],A2:[1190.55,1683.78],A3:[841.89,1190.55],A4:[595.28,841.89],A5:[419.53,595.28],A6:[297.64,419.53],A7:[209.76,297.64],A8:[147.4,209.76],A9:[104.88,147.4],A10:[73.7,104.88],B0:[2834.65,4008.19],B1:[2004.09,2834.65],B2:[1417.32,2004.09],B3:[1000.63,1417.32],B4:[708.66,1000.63],B5:[498.9,708.66],B6:[354.33,498.9],B7:[249.45,354.33],B8:[175.75,249.45],B9:[124.72,175.75],B10:[87.87,124.72],C0:[2599.37,3676.54],C1:[1836.85,2599.37],C2:[1298.27,1836.85],C3:[918.43,1298.27],C4:[649.13,918.43],C5:[459.21,649.13],C6:[323.15,459.21],C7:[229.61,323.15],C8:[161.57,229.61],C9:[113.39,161.57],C10:[79.37,113.39],RA0:[2437.8,3458.27],RA1:[1729.13,2437.8],RA2:[1218.9,1729.13],RA3:[864.57,1218.9],RA4:[609.45,864.57],SRA0:[2551.18,3628.35],SRA1:[1814.17,2551.18],SRA2:[1275.59,1814.17],SRA3:[907.09,1275.59],SRA4:[637.8,907.09],EXECUTIVE:[521.86,756],FOLIO:[612,936],LEGAL:[612,1008],LETTER:[612,792],TABLOID:[792,1224]}},function(a,b,c){(function(b){"use strict";function d(a,b){this.pdfDoc=a,this.imageDictionary=b||{}}var e=(c(24),c(97));d.prototype.measureImage=function(a){function c(a){var c=g.imageDictionary[a];if(!c)return a;var d=c.indexOf("base64,");if(0>d)throw"invalid image format, images dictionary should contain dataURL entries";return new b(c.substring(d+7),"base64")}var d,f,g=this;return this.pdfDoc._imageRegistry[a]?d=this.pdfDoc._imageRegistry[a]:(f="I"+ ++this.pdfDoc._imageCount,d=e.open(c(a),f),d.embed(this.pdfDoc),this.pdfDoc._imageRegistry[a]=d),{width:d.width,height:d.height}},a.exports=d}).call(b,c(2).Buffer)},function(a,b){"use strict";function c(a){for(var b=[],c=null,d=0,e=a.inlines.length;e>d;d++){var f=a.inlines[d],g=f.decoration;if(g){var h=f.decorationColor||f.color||"black",i=f.decorationStyle||"solid";g=Array.isArray(g)?g:[g];for(var j=0,k=g.length;k>j;j++){var l=g[j];c&&l===c.decoration&&i===c.decorationStyle&&h===c.decorationColor&&"lineThrough"!==l?c.inlines.push(f):(c={line:a,decoration:l,decorationColor:h,decorationStyle:i,inlines:[f]},b.push(c))}}else c=null}return b}function d(a,b,c,d){function e(){for(var b=0,c=0,d=a.inlines.length;d>c;c++){var e=a.inlines[c];b=e.fontSize>b?c:b}return a.inlines[b]}function f(){for(var b=0,c=0,d=a.inlines.length;d>c;c++)b+=a.inlines[c].width;return b}var g=a.inlines[0],h=e(),i=f(),j=a.line.getAscenderHeight(),k=h.font.ascender/1e3*h.fontSize,l=h.height,m=l-k,n=.5+.12*Math.floor(Math.max(h.fontSize-8,0)/2);switch(a.decoration){case"underline":c+=j+.45*m;break;case"overline":c+=j-.85*k;break;case"lineThrough":c+=j-.25*k;break;default:throw"Unkown decoration : "+a.decoration}if(d.save(),"double"===a.decorationStyle){var o=Math.max(.5,2*n);d.fillColor(a.decorationColor).rect(b+g.x,c-n/2,i,n/2).fill().rect(b+g.x,c+o-n/2,i,n/2).fill()}else if("dashed"===a.decorationStyle){var p=Math.ceil(i/6.8),q=b+g.x;d.rect(q,c,i,n).clip(),d.fillColor(a.decorationColor);for(var r=0;p>r;r++)d.rect(q,c-n/2,3.96,n).fill(),q+=6.8}else if("dotted"===a.decorationStyle){var s=Math.ceil(i/(3*n)),t=b+g.x;d.rect(t,c,i,n).clip(),d.fillColor(a.decorationColor);for(var u=0;s>u;u++)d.rect(t,c-n/2,n,n).fill(),t+=3*n}else if("wavy"===a.decorationStyle){var v=.7,w=1,x=Math.ceil(i/(2*v))+1,y=b+g.x-1;d.rect(b+g.x,c-w,i,c+w).clip(),d.lineWidth(.24),d.moveTo(y,c);for(var z=0;x>z;z++)d.bezierCurveTo(y+v,c-w,y+2*v,c-w,y+3*v,c).bezierCurveTo(y+4*v,c+w,y+5*v,c+w,y+6*v,c),y+=6*v;d.stroke(a.decorationColor)}else d.fillColor(a.decorationColor).rect(b+g.x,c-n/2,i,n).fill();d.restore()}function e(a,b,e,f){for(var g=c(a),h=0,i=g.length;i>h;h++)d(g[h],b,e,f)}function f(a,b,c,d){for(var e=a.getHeight(),f=0,g=a.inlines.length;g>f;f++){var h=a.inlines[f];h.background&&d.fillColor(h.background).rect(b+h.x,c,h.width,e).fill()}}a.exports={drawBackground:f,drawDecorations:e}},function(a,b,c){var d,e,f=f||function(a){"use strict";if("undefined"==typeof navigator||!/MSIE [1-9]\./.test(navigator.userAgent)){var b=a.document,c=function(){return a.URL||a.webkitURL||a},d=b.createElementNS("http://www.w3.org/1999/xhtml","a"),e="download"in d,f=function(a){var b=new MouseEvent("click");a.dispatchEvent(b)},g=a.webkitRequestFileSystem,h=a.requestFileSystem||g||a.mozRequestFileSystem,i=function(b){(a.setImmediate||a.setTimeout)(function(){throw b},0)},j="application/octet-stream",k=0,l=500,m=function(b){var d=function(){"string"==typeof b?c().revokeObjectURL(b):b.remove()};a.chrome?d():setTimeout(d,l)},n=function(a,b,c){b=[].concat(b);for(var d=b.length;d--;){var e=a["on"+b[d]];if("function"==typeof e)try{e.call(a,c||a)}catch(f){i(f)}}},o=function(a){return/^\s*(?:text\/\S*|application\/xml|\S*\/\S*\+xml)\s*;.*charset\s*=\s*utf-8/i.test(a.type)?new Blob(["\ufeff",a],{type:a.type}):a},p=function(b,i,l){l||(b=o(b));var p,q,r,s=this,t=b.type,u=!1,v=function(){n(s,"writestart progress write writeend".split(" "))},w=function(){if(!u&&p||(p=c().createObjectURL(b)),q)q.location.href=p;else{var d=a.open(p,"_blank");void 0==d&&"undefined"!=typeof safari&&(a.location.href=p)}s.readyState=s.DONE,v(),m(p)},x=function(a){return function(){return s.readyState!==s.DONE?a.apply(this,arguments):void 0}},y={create:!0,exclusive:!1};return s.readyState=s.INIT,i||(i="download"),e?(p=c().createObjectURL(b),d.href=p,d.download=i,void setTimeout(function(){f(d),v(),m(p),s.readyState=s.DONE})):(a.chrome&&t&&t!==j&&(r=b.slice||b.webkitSlice,b=r.call(b,0,b.size,j),u=!0),g&&"download"!==i&&(i+=".download"),(t===j||g)&&(q=a),h?(k+=b.size,void h(a.TEMPORARY,k,x(function(a){a.root.getDirectory("saved",y,x(function(a){var c=function(){a.getFile(i,y,x(function(a){a.createWriter(x(function(c){c.onwriteend=function(b){q.location.href=a.toURL(),s.readyState=s.DONE,n(s,"writeend",b),m(a)},c.onerror=function(){var a=c.error;a.code!==a.ABORT_ERR&&w()},"writestart progress write abort".split(" ").forEach(function(a){c["on"+a]=s["on"+a]}),c.write(b),s.abort=function(){c.abort(),s.readyState=s.DONE},s.readyState=s.WRITING}),w)}),w)};a.getFile(i,{create:!1},x(function(a){a.remove(),c()}),x(function(a){a.code===a.NOT_FOUND_ERR?c():w()}))}),w)}),w)):void w())},q=p.prototype,r=function(a,b,c){return new p(a,b,c)};return"undefined"!=typeof navigator&&navigator.msSaveOrOpenBlob?function(a,b,c){return c||(a=o(a)),navigator.msSaveOrOpenBlob(a,b||"download")}:(q.abort=function(){var a=this;a.readyState=a.DONE,n(a,"abort")},q.readyState=q.INIT=0,q.WRITING=1,q.DONE=2,q.error=q.onwritestart=q.onprogress=q.onwrite=q.onabort=q.onerror=q.onwriteend=null,r)}}("undefined"!=typeof self&&self||"undefined"!=typeof window&&window||this.content);"undefined"!=typeof a&&a.exports?a.exports.saveAs=f:null!==c(106)&&null!=c(107)&&(d=[],e=function(){return f}.apply(b,d),!(void 0!==e&&(a.exports=e)))},function(a,b){a.exports=function(){throw new Error("define cannot be used indirect")}},function(a,b){(function(b){a.exports=b}).call(b,{})}]),window.pdfMake=window.pdfMake||{},window.pdfMake.vfs={"LICENSE.txt":"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", "Roboto-Italic.ttf":"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", "Roboto-Medium.ttf":"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", "Roboto-Regular.ttf":"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", "sampleImage.jpg":"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" -},function(){function a(a,b){b=b||"";for(var c=1024,d=window.atob(a),e=[],f=0,g=d.length;g>f;f+=c){for(var h=d.slice(f,f+c),i=new Array(h.length),j=0;j=b.toIndex&&(b.toIndex+=1),e.$apply(function(){d.apply(i(),[b.fromIndex,b.toIndex])}),g.api.draggableRows.raise.rowDropped(b,this),void c.preventDefault())}};h.addEventListener("dragover",j.onDragOverEventListener,!1),h.addEventListener("dragstart",j.onDragStartEventListener,!1),h.addEventListener("dragleave",j.onDragLeaveEventListener,!1),h.addEventListener("dragenter",j.onDragEnterEventListener,!1),h.addEventListener("dragend",j.onDragEndEventListener,!1),h.addEventListener("drop",j.onDropEventListener)}}]).directive("uiGridDraggableRow",["uiGridDraggableRowService",function(a){return{restrict:"ACE",scope:{grid:"="},compile:function(){return{pre:function(b,c){a.prepareDraggableRow(b,c)}}}}}]).directive("uiGridDraggableRows",["uiGridDraggableRowsService",function(a){return{restrict:"A",replace:!0,priority:0,require:"uiGrid",scope:!1,compile:function(){return{pre:function(b,c,d,e){a.initializeGrid(e.grid,b,c)}}}}}])}(),function(a,b){"object"==typeof module&&module.exports?module.exports=b():"function"==typeof define&&define.amd?define(b):a.Spinner=b()}(this,function(){"use strict";function a(a,b){var c,d=document.createElement(a||"div");for(c in b)d[c]=b[c];return d}function b(a){for(var b=1,c=arguments.length;c>b;b++)a.appendChild(arguments[b]);return a}function c(a,b,c,d){var e=["opacity",b,~~(100*a),c,d].join("-"),f=.01+c/d*100,g=Math.max(1-(1-a)/b*(100-f),a),h=j.substring(0,j.indexOf("Animation")).toLowerCase(),i=h&&"-"+h+"-"||"";return m[e]||(k.insertRule("@"+i+"keyframes "+e+"{0%{opacity:"+g+"}"+f+"%{opacity:"+a+"}"+(f+.01)+"%{opacity:1}"+(f+b)%100+"%{opacity:"+a+"}100%{opacity:"+g+"}}",k.cssRules.length),m[e]=1),e}function d(a,b){var c,d,e=a.style;if(b=b.charAt(0).toUpperCase()+b.slice(1),void 0!==e[b])return b;for(d=0;d',c)}k.addRule(".spin-vml","behavior:url(#default#VML)"),h.prototype.lines=function(a,d){function f(){return e(c("group",{coordsize:k+" "+k,coordorigin:-j+" "+-j}),{width:k,height:k})}function h(a,h,i){b(m,b(e(f(),{rotation:360/d.lines*a+"deg",left:~~h}),b(e(c("roundrect",{arcsize:d.corners}),{width:j,height:d.scale*d.width,left:d.scale*d.radius,top:-d.scale*d.width>>1,filter:i}),c("fill",{color:g(d.color,a),opacity:d.opacity}),c("stroke",{opacity:0}))))}var i,j=d.scale*(d.length+d.width),k=2*d.scale*j,l=-(d.width+d.length)*d.scale*2+"px",m=e(f(),{position:"absolute",top:l,left:l});if(d.shadow)for(i=1;i<=d.lines;i++)h(i,-2,"progid:DXImageTransform.Microsoft.Blur(pixelradius=2,makeshadow=1,shadowopacity=.3)");for(i=1;i<=d.lines;i++)h(i);return b(a,m)},h.prototype.opacity=function(a,b,c,d){var e=a.firstChild;d=d.shadow&&d.lines||0,e&&b+d>1)+"px"})}for(var i,k=0,l=(f.lines-1)*(1-f.direction)/2;ka&&(d="-",a=-a),a=""+a;a.lengtha;a++)if(m[a]===d){m.splice(a,1),h(-1,c,"");break}}return!e&&p&&p.then&&p.then(i),d}var t=new i,u=l[0],v=!1;if(u&&u.match(a,f)){if(!u.matchData(g))throw new Error("Expected "+u+" with different data\nEXPECTED: "+r(u.data)+"\nGOT: "+g);if(!u.matchHeaders(j))throw new Error("Expected "+u+" with different headers\nEXPECTED: "+r(u.headers)+"\nGOT: "+r(j));if(l.shift(),u.response)return void m.push(s(u));v=!0}for(var w,x=-1;w=k[++x];)if(w.match(a,f,g,j||{})){if(w.response)(e?e.defer:n)(s(w));else{if(!w.passThrough)throw new Error("No response defined !");d(a,f,g,h,j,p,q)}return}throw v?new Error("No response defined !"):new Error("Unexpected request: "+a+" "+f+"\n"+(u?"Expected "+u:"No more request expected"))}function j(a){b.forEach(["GET","DELETE","JSONP"],function(b){g[a+b]=function(d,e){return g[a](b,d,c,e)}}),b.forEach(["PUT","POST","PATCH"],function(b){g[a+b]=function(c,d,e){return g[a](b,c,d,e)}})}var k=[],l=[],m=[],n=b.bind(m,m.push),o=b.copy;return g.when=function(a,b,c,d){var g=new h(a,b,c,d),i={respond:function(a,b,c,d){g.response=f(a,b,c,d)}};return e&&(i.passThrough=function(){g.passThrough=!0}),k.push(g),i},j("when"),g.expect=function(a,b,c,d){var e=new h(a,b,c,d);return l.push(e),{respond:function(a,b,c,d){e.response=f(a,b,c,d)}}},j("expect"),g.flush=function(c){if(a.$digest(),!m.length)throw new Error("No pending request to flush !");if(b.isDefined(c))for(;c--;){if(!m.length)throw new Error("No more pending request to flush !");m.shift()()}else for(;m.length;)m.shift()();g.verifyNoOutstandingExpectation()},g.verifyNoOutstandingExpectation=function(){if(a.$digest(),l.length)throw new Error("Unsatisfied requests: "+l.join(", "))},g.verifyNoOutstandingRequest=function(){if(m.length)throw new Error("Unflushed requests: "+m.length)},g.resetExpectations=function(){l.length=0,m.length=0},g}function h(a,c,d,e){this.data=d,this.headers=e,this.match=function(c,d,e,f){return a!=c?!1:this.matchUrl(d)?b.isDefined(e)&&!this.matchData(e)?!1:!b.isDefined(f)||this.matchHeaders(f):!1},this.matchUrl=function(a){return c?b.isFunction(c.test)?c.test(a):c==a:!0},this.matchHeaders=function(a){return b.isUndefined(e)?!0:b.isFunction(e)?e(a):b.equals(e,a)},this.matchData=function(a){return b.isUndefined(d)?!0:d&&b.isFunction(d.test)?d.test(a):d&&b.isFunction(d)?d(a):d&&!b.isString(d)?b.equals(d,b.fromJson(a)):d==a},this.toString=function(){return a+" "+c}}function i(){i.$$lastInstance=this,this.open=function(a,b,c){this.$$method=a,this.$$url=b,this.$$async=c,this.$$reqHeaders={},this.$$respHeaders={}},this.send=function(a){this.$$data=a},this.setRequestHeader=function(a,b){this.$$reqHeaders[a]=b},this.getResponseHeader=function(a){var d=this.$$respHeaders[a];return d?d:(a=b.lowercase(a),(d=this.$$respHeaders[a])?d:(d=c,b.forEach(this.$$respHeaders,function(c,e){d||b.lowercase(e)!=a||(d=c)}),d))},this.getAllResponseHeaders=function(){var a=[];return b.forEach(this.$$respHeaders,function(b,c){a.push(c+": "+b)}),a.join("\n")},this.abort=b.noop}b.mock={},b.mock.$BrowserProvider=function(){this.$get=function(){return new b.mock.$Browser}},b.mock.$Browser=function(){var a=this;this.isMock=!0,a.$$url="http://server/",a.$$lastUrl=a.$$url,a.pollFns=[],a.$$completeOutstandingRequest=b.noop,a.$$incOutstandingRequestCount=b.noop,a.onUrlChange=function(b){return a.pollFns.push(function(){a.$$lastUrl!=a.$$url&&(a.$$lastUrl=a.$$url,b(a.$$url))}),b},a.cookieHash={},a.lastCookieHash={},a.deferredFns=[],a.deferredNextId=0,a.defer=function(b,c){return c=c||0,a.deferredFns.push({time:a.defer.now+c,fn:b,id:a.deferredNextId}),a.deferredFns.sort(function(a,b){return a.time-b.time}),a.deferredNextId++},a.defer.now=0,a.defer.cancel=function(d){var e;return b.forEach(a.deferredFns,function(a,b){a.id===d&&(e=b)}),e!==c?(a.deferredFns.splice(e,1),!0):!1},a.defer.flush=function(c){if(b.isDefined(c))a.defer.now+=c;else{if(!a.deferredFns.length)throw new Error("No deferred tasks to be flushed");a.defer.now=a.deferredFns[a.deferredFns.length-1].time}for(;a.deferredFns.length&&a.deferredFns[0].time<=a.defer.now;)a.deferredFns.shift().fn()},a.$$baseHref="",a.baseHref=function(){return this.$$baseHref}},b.mock.$Browser.prototype={poll:function(){b.forEach(this.pollFns,function(a){a()})},addPollFn:function(a){return this.pollFns.push(a),a},url:function(a,b){return a?(this.$$url=a,this):this.$$url},cookies:function(a,c){return a?void(b.isUndefined(c)?delete this.cookieHash[a]:b.isString(c)&&c.length<=4096&&(this.cookieHash[a]=c)):(b.equals(this.cookieHash,this.lastCookieHash)||(this.lastCookieHash=b.copy(this.cookieHash),this.cookieHash=b.copy(this.cookieHash)),this.cookieHash)},notifyWhenNoOutstandingRequests:function(a){a()}},b.mock.$ExceptionHandlerProvider=function(){var a;this.mode=function(b){switch(b){case"rethrow":a=function(a){throw a};break;case"log":var c=[];a=function(a){1==arguments.length?c.push(a):c.push([].slice.call(arguments,0))},a.errors=c;break;default:throw new Error("Unknown mode '"+b+"', only 'log'/'rethrow' modes are allowed!")}},this.$get=function(){return a},this.mode("rethrow")},b.mock.$LogProvider=function(){function a(a,b,c){return a.concat(Array.prototype.slice.call(b,c))}var c=!0;this.debugEnabled=function(a){return b.isDefined(a)?(c=a,this):c},this.$get=function(){var d={log:function(){d.log.logs.push(a([],arguments,0))},warn:function(){d.warn.logs.push(a([],arguments,0))},info:function(){d.info.logs.push(a([],arguments,0))},error:function(){d.error.logs.push(a([],arguments,0))},debug:function(){c&&d.debug.logs.push(a([],arguments,0))}};return d.reset=function(){d.log.logs=[],d.info.logs=[],d.warn.logs=[],d.error.logs=[],d.debug.logs=[]},d.assertEmpty=function(){var a=[];if(b.forEach(["error","warn","info","log","debug"],function(c){b.forEach(d[c].logs,function(d){b.forEach(d,function(b){a.push("MOCK $log ("+c+"): "+String(b)+"\n"+(b.stack||""))})})}),a.length)throw a.unshift("Expected $log to be empty! Either a message was logged unexpectedly, or an expected log message was not checked and removed:"),a.push(""),new Error(a.join("\n---------\n"))},d.reset(),d}},b.mock.$IntervalProvider=function(){this.$get=["$rootScope","$q",function(a,d){var e=[],f=0,g=0,h=function(h,i,j,k){function l(){if(m.notify(o++),j>0&&o>=j){var d;m.resolve(o),b.forEach(e,function(a,b){a.id===n.$$intervalId&&(d=b)}),d!==c&&e.splice(d,1)}p||a.$apply()}var m=d.defer(),n=m.promise,o=0,p=b.isDefined(k)&&!k;return j=b.isDefined(j)?j:0,n.then(null,null,h),n.$$intervalId=f,e.push({nextTime:g+i,delay:i,fn:l,id:f,deferred:m}),e.sort(function(a,b){return a.nextTime-b.nextTime}),f++,n};return h.cancel=function(a){if(!a)return!1;var d;return b.forEach(e,function(b,c){b.id===a.$$intervalId&&(d=c)}),d!==c?(e[d].deferred.reject("canceled"),e.splice(d,1),!0):!1},h.flush=function(a){for(g+=a;e.length&&e[0].nextTime<=g;){var b=e[0];b.fn(),b.nextTime+=b.delay,e.sort(function(a,b){return a.nextTime-b.nextTime})}return a},h}]};var j=/^(\d{4})-?(\d\d)-?(\d\d)(?:T(\d\d)(?:\:?(\d\d)(?:\:?(\d\d)(?:\.(\d{3}))?)?)?(Z|([+-])(\d\d):?(\d\d)))?$/;if(b.mock.TzDate=function(a,c){var e=new Date(0);if(b.isString(c)){var g=c;if(e.origDate=d(c),c=e.origDate.getTime(),isNaN(c))throw{name:"Illegal Argument",message:"Arg '"+g+"' passed into TzDate constructor is not a valid date string"}}else e.origDate=new Date(c);var h=new Date(c).getTimezoneOffset();e.offsetDiff=60*h*1e3-1e3*a*60*60,e.date=new Date(c+e.offsetDiff),e.getTime=function(){return e.date.getTime()-e.offsetDiff},e.toLocaleDateString=function(){return e.date.toLocaleDateString()},e.getFullYear=function(){return e.date.getFullYear()},e.getMonth=function(){return e.date.getMonth()},e.getDate=function(){return e.date.getDate()},e.getHours=function(){return e.date.getHours()},e.getMinutes=function(){return e.date.getMinutes()},e.getSeconds=function(){return e.date.getSeconds()},e.getMilliseconds=function(){return e.date.getMilliseconds()},e.getTimezoneOffset=function(){return 60*a},e.getUTCFullYear=function(){return e.origDate.getUTCFullYear()},e.getUTCMonth=function(){return e.origDate.getUTCMonth()},e.getUTCDate=function(){return e.origDate.getUTCDate()},e.getUTCHours=function(){return e.origDate.getUTCHours()},e.getUTCMinutes=function(){return e.origDate.getUTCMinutes()},e.getUTCSeconds=function(){return e.origDate.getUTCSeconds()},e.getUTCMilliseconds=function(){return e.origDate.getUTCMilliseconds()},e.getDay=function(){return e.date.getDay()},e.toISOString&&(e.toISOString=function(){return f(e.origDate.getUTCFullYear(),4)+"-"+f(e.origDate.getUTCMonth()+1,2)+"-"+f(e.origDate.getUTCDate(),2)+"T"+f(e.origDate.getUTCHours(),2)+":"+f(e.origDate.getUTCMinutes(),2)+":"+f(e.origDate.getUTCSeconds(),2)+"."+f(e.origDate.getUTCMilliseconds(),3)+"Z"});var i=["getUTCDay","getYear","setDate","setFullYear","setHours","setMilliseconds","setMinutes","setMonth","setSeconds","setTime","setUTCDate","setUTCFullYear","setUTCHours","setUTCMilliseconds","setUTCMinutes","setUTCMonth","setUTCSeconds","setYear","toDateString","toGMTString","toJSON","toLocaleFormat","toLocaleString","toLocaleTimeString","toSource","toString","toTimeString","toUTCString","valueOf"];return b.forEach(i,function(a){e[a]=function(){throw new Error("Method '"+a+"' is not implemented in the TzDate mock")}}),e},b.mock.TzDate.prototype=Date.prototype,b.mock.animate=b.module("ngAnimateMock",["ng"]).config(["$provide",function(a){var c=[];a.value("$$animateReflow",function(a){var b=c.length;return c.push(a),function(){c.splice(b,1)}}),a.decorator("$animate",["$delegate","$$asyncCallback",function(a,d){var e={queue:[],enabled:a.enabled,triggerCallbacks:function(){d.flush()},triggerReflow:function(){b.forEach(c,function(a){a()}),c=[]}};return b.forEach(["enter","leave","move","addClass","removeClass","setClass"],function(b){e[b]=function(){e.queue.push({event:b,element:arguments[0],args:arguments}),a[b].apply(a,arguments)}}),e}])}]),b.mock.dump=function(a){function c(a){var e;return b.isElement(a)?(a=b.element(a),e=b.element("
            "),b.forEach(a,function(a){e.append(b.element(a).clone())}),e=e.html()):b.isArray(a)?(e=[],b.forEach(a,function(a){e.push(c(a))}),e="[ "+e.join(", ")+" ]"):e=b.isObject(a)?b.isFunction(a.$eval)&&b.isFunction(a.$apply)?d(a):a instanceof Error?a.stack||""+a.name+": "+a.message:b.toJson(a,!0):String(a),e}function d(a,c){c=c||" ";var e=[c+"Scope("+a.$id+"): {"];for(var f in a)Object.prototype.hasOwnProperty.call(a,f)&&!f.match(/^(\$|this)/)&&e.push(" "+f+": "+b.toJson(a[f]));for(var g=a.$$childHead;g;)e.push(d(g,c+" ")),g=g.$$nextSibling;return e.push("}"),e.join("\n"+c)}return c(a)},b.mock.$HttpBackendProvider=function(){this.$get=["$rootScope",g]},b.mock.$TimeoutDecorator=function(a,c){function d(a){var c=[];return b.forEach(a,function(a){c.push("{id: "+a.id+", time: "+a.time+"}")}),c.join(", ")}return a.flush=function(a){c.defer.flush(a)},a.verifyNoPendingTasks=function(){if(c.deferredFns.length)throw new Error("Deferred tasks to flush ("+c.deferredFns.length+"): "+d(c.deferredFns))},a},b.mock.$RAFDecorator=function(a){var b=[],c=function(a){var c=b.length;return b.push(a),function(){b.splice(c,1)}};return c.supported=a.supported,c.flush=function(){if(0===b.length)throw new Error("No rAF callbacks present");for(var a=b.length,c=0;a>c;c++)b[c]();b=[]},c},b.mock.$AsyncCallbackDecorator=function(a){var c=[],d=function(a){c.push(a)};return d.flush=function(){b.forEach(c,function(a){a()}),c=[]},d},b.mock.$RootElementProvider=function(){this.$get=function(){return b.element("
            ")}},b.module("ngMock",["ng"]).provider({$browser:b.mock.$BrowserProvider,$exceptionHandler:b.mock.$ExceptionHandlerProvider,$log:b.mock.$LogProvider,$interval:b.mock.$IntervalProvider,$httpBackend:b.mock.$HttpBackendProvider,$rootElement:b.mock.$RootElementProvider}).config(["$provide",function(a){a.decorator("$timeout",b.mock.$TimeoutDecorator),a.decorator("$$rAF",b.mock.$RAFDecorator),a.decorator("$$asyncCallback",b.mock.$AsyncCallbackDecorator)}]),b.module("ngMockE2E",["ng"]).config(["$provide",function(a){a.decorator("$httpBackend",b.mock.e2e.$httpBackendDecorator)}]),b.mock.e2e={},b.mock.e2e.$httpBackendDecorator=["$rootScope","$delegate","$browser",g],b.mock.clearDataCache=function(){var a,c=b.element.cache;for(a in c)if(Object.prototype.hasOwnProperty.call(c,a)){var d=c[a].handle;d&&b.element(d.elem).off(),delete c[a]}},a.jasmine||a.mocha){var k=null,l=function(){return!!k};(a.beforeEach||a.setup)(function(){k=this}),(a.afterEach||a.teardown)(function(){var a=k.$injector;k.$injector=null,k.$modules=null,k=null,a&&(a.get("$rootElement").off(),a.get("$browser").pollFns.length=0),b.mock.clearDataCache(),b.forEach(b.element.fragments,function(a,c){delete b.element.fragments[c]}),i.$$lastInstance=null,b.forEach(b.callbacks,function(a,c){delete b.callbacks[c]}),b.callbacks.counter=0}),a.module=b.mock.module=function(){function a(){if(k.$injector)throw new Error("Injector already created, can not register a module!");var a=k.$modules||(k.$modules=[]);b.forEach(c,function(c){b.isObject(c)&&!b.isArray(c)?a.push(function(a){b.forEach(c,function(b,c){a.value(c,b)})}):a.push(c)})}var c=Array.prototype.slice.call(arguments,0);return l()?a():a};var m=function(a,b){this.message=a.message,this.name=a.name,a.line&&(this.line=a.line),a.sourceId&&(this.sourceId=a.sourceId),a.stack&&b&&(this.stack=a.stack+"\n"+b.stack),a.stackArray&&(this.stackArray=a.stackArray)};m.prototype.toString=Error.prototype.toString,a.inject=b.mock.inject=function(){function a(){var a=k.$modules||[];a.unshift("ngMock"),a.unshift("ng");var e=k.$injector;e||(e=k.$injector=b.injector(a));for(var f=0,g=c.length;g>f;f++)try{e.invoke(c[f]||b.noop,this)}catch(h){if(h.stack&&d)throw new m(h,d);throw h}finally{d=null}}var c=Array.prototype.slice.call(arguments,0),d=new Error("Declaration Location");return l()?a.call(k):a}}}(window,window.angular),function(){var a;a=function(a,b){var c,d;for(c in a)d=a[c],"$$hashKey"!==c&&(b[c]=d)},angular.module("builder.controller",["builder.provider"]).controller("fbFormObjectEditableController",["$scope","$injector",function(b,c){var d;return d=c.get("$builder"),b.setupScope=function(c){var e;return a(c,b),b.optionsText=c.options.join("\n"),b.$watch("[label, description, placeholder, required, options, validation]",function(){return c.label=b.label,c.description=b.description,c.placeholder=b.placeholder,c.required=b.required,c.options=b.options,c.validation=b.validation},!0),b.$watch("optionsText",function(a){var c;return b.options=function(){var b,d,e,f;for(e=a.split("\n"),f=[],b=0,d=e.length;d>b;b++)c=e[b],c.length>0&&f.push(c);return f}(),b.inputText=b.options[0]}),e=d.components[c.component],b.validationOptions=e.validationOptions},b.data={model:null,backup:function(){return this.model={label:b.label,description:b.description,placeholder:b.placeholder,required:b.required,optionsText:b.optionsText,validation:b.validation}},rollback:function(){return this.model?(b.label=this.model.label,b.description=this.model.description,b.placeholder=this.model.placeholder,b.required=this.model.required,b.optionsText=this.model.optionsText,b.validation=this.model.validation):void 0}}}]).controller("fbComponentsController",["$scope","$injector",function(a,b){var c;return c=b.get("$builder"),a.selectGroup=function(b,d){var e,f,g,h;null!=b&&b.preventDefault(),a.activeGroup=d,a.components=[],g=c.components,h=[];for(f in g)e=g[f],e.group===d&&h.push(a.components.push(e));return h},a.groups=c.groups,a.activeGroup=a.groups[0],a.allComponents=c.components,a.$watch("allComponents",function(){return a.selectGroup(null,a.activeGroup)})}]).controller("fbComponentController",["$scope",function(b){return b.copyObjectToScope=function(c){return a(c,b)}}]).controller("fbFormController",["$scope","$injector",function(a,b){var c,d;return c=b.get("$builder"),d=b.get("$timeout"),null==a.input&&(a.input=[]),a.$watch("form",function(){return a.input.length>a.form.length&&a.input.splice(a.form.length),d(function(){return a.$broadcast(c.broadcastChannel.updateInput)})},!0)}]).controller("fbFormObjectController",["$scope","$injector",function(b,c){var d;return d=c.get("$builder"),b.copyObjectToScope=function(c){return a(c,b)},b.updateInput=function(a){var c;return c={id:b.formObject.id,label:b.formObject.label,value:null!=a?a:""},b.$parent.input.splice(b.$index,1,c)}}])}.call(this),function(){angular.module("builder.directive",["builder.provider","builder.controller","builder.drag","validator"]).directive("fbBuilder",["$injector",function(a){var b,c;return b=a.get("$builder"),c=a.get("$drag"),{restrict:"A",scope:{fbBuilder:"="},template:"
            \n
            \n
            ",link:function(a,d,e){var f,g,h;return a.formName=e.fbBuilder,null==(g=b.forms)[h=a.formName]&&(g[h]=[]),a.formObjects=b.forms[a.formName],f=!0,$(d).addClass("fb-builder"),c.droppable($(d),{move:function(a){var b,c,e,g,h,i,j,k,l,m,n;if(f&&($("div.fb-form-object-editable").popover("hide"),f=!1),e=$(d).find(".fb-form-object-editable:not(.empty,.dragging)"),0===e.length)return void(0===$(d).find(".fb-form-object-editable.empty").length&&$(d).find(">div:first").append($("
            ")));for(j=[],j.push(-1e3),h=k=0,m=e.length;m>k;h=k+=1)c=$(e[h]),i=c.offset(),g=c.height(),j.push(i.top+g/2);for(j.push(j[j.length-1]+1e3),h=l=1,n=j.length;n>l;h=l+=1)if(a.pageY>j[h-1]&&a.pageY<=j[h]){$(d).find(".empty").remove(),b=$("
            "),h-1l&&k--,b.updateFormObjectIndex(a.formName,l,k))):(j=i.object.formObject,j.editable&&b.removeFormObject(e.fbBuilder,j.index)),$(d).find(".empty").remove()):void $(d).find(".empty").remove()}})}}}]).directive("fbFormObjectEditable",["$injector",function(a){var b,c,d,e;return b=a.get("$builder"),d=a.get("$drag"),c=a.get("$compile"),e=a.get("$validator"),{restrict:"A",controller:"fbFormObjectEditableController",scope:{formObject:"=fbFormObjectEditable"},link:function(a,f){var g;return a.inputArray=[],a.$component=b.components[a.formObject.component],a.setupScope(a.formObject),a.$watch("$component.template",function(b){var d;if(b)return d=c(b)(a),$(f).html(d)}),$(f).on("click",function(){return!1}),d.draggable($(f),{object:{formObject:a.formObject}}),a.formObject.editable?(g={},a.$watch("$component.popoverTemplate",function(b){return b?($(f).removeClass(g.id),g={id:"fb-"+Math.random().toString().substr(2),isClickedSave:!1,view:null,html:b},g.html=$(g.html).addClass(g.id),g.view=c(g.html)(a),$(f).addClass(g.id),$(f).popover({html:!0,title:a.$component.label,content:g.view,container:"body"})):void 0}),a.popover={save:function(b){b.preventDefault(),e.validate(a).success(function(){return g.isClickedSave=!0,$(f).popover("hide")})},remove:function(c){c.preventDefault(),b.removeFormObject(a.$parent.formName,a.$parent.$index),$(f).popover("hide")},shown:function(){return a.data.backup(),g.isClickedSave=!1},cancel:function(b){a.data.rollback(),b&&(b.preventDefault(),$(f).popover("hide"))}},$(f).on("show.bs.popover",function(){var a,b,c;return d.isMouseMoved()?!1:($("div.fb-form-object-editable:not(."+g.id+")").popover("hide"),a=$("form."+g.id).closest(".popover"),a.length>0?(b=$(f).offset().top+$(f).height()/2,c=b-a.height()/2,a.css({position:"absolute",top:c}),a.show(),setTimeout(function(){return a.addClass("in"),$(f).triggerHandler("shown.bs.popover")},0),!1):void 0)}),$(f).on("shown.bs.popover",function(){$(".popover ."+g.id+" input:first").select(),a.$apply(function(){return a.popover.shown()})}),$(f).on("hide.bs.popover",function(){var b;return b=$("form."+g.id).closest(".popover"),g.isClickedSave||(a.$$phase||a.$root.$$phase?a.popover.cancel():a.$apply(function(){return a.popover.cancel()})),b.removeClass("in"),setTimeout(function(){return b.hide()},300),!1})):void 0}}}]).directive("fbComponents",function(){return{restrict:"A",template:'\n
            \n
            \n
            ',controller:"fbComponentsController"}}).directive("fbComponent",["$injector",function(a){var b,c,d;return b=a.get("$builder"),d=a.get("$drag"),c=a.get("$compile"),{restrict:"A",scope:{component:"=fbComponent"},controller:"fbComponentController",link:function(a,b){return a.copyObjectToScope(a.component),d.draggable($(b),{ -mode:"mirror",defer:!1,object:{componentName:a.component.name}}),a.$watch("component.template",function(d){var e;if(d)return e=c(d)(a),$(b).html(e)})}}}]).directive("fbForm",["$injector",function(a){return{restrict:"A",require:"ngModel",scope:{formName:"@fbForm",input:"=ngModel","default":"=fbDefault"},template:'
            ',controller:"fbFormController",link:function(b,c,d){var e,f,g;return e=a.get("$builder"),null==(f=e.forms)[g=b.formName]&&(f[g]=[]),b.form=e.forms[b.formName]}}}]).directive("fbFormObject",["$injector",function(a){var b,c,d;return b=a.get("$builder"),c=a.get("$compile"),d=a.get("$parse"),{restrict:"A",controller:"fbFormObjectController",link:function(a,e,f){return a.formObject=d(f.fbFormObject)(a),a.$component=b.components[a.formObject.component],a.$on(b.broadcastChannel.updateInput,function(){return a.updateInput(a.inputText)}),a.$component.arrayToText&&(a.inputArray=[],a.$watch("inputArray",function(b,c){var d,e;if(b!==c){d=[];for(e in a.inputArray)a.inputArray[e]&&d.push(a.options[e]);return a.inputText=d.join(", ")}},!0)),a.$watch("inputText",function(){return a.updateInput(a.inputText)}),a.$watch(f.fbFormObject,function(){return a.copyObjectToScope(a.formObject)},!0),a.$watch("$component.template",function(b){var d,f,g;if(b)return f=$(b),d=f.find("[ng-model='inputText']"),d.attr({validator:"{{validation}}"}),g=c(f)(a),$(e).html(g)}),!a.$component.arrayToText&&a.formObject.options.length>0&&(a.inputText=a.formObject.options[0]),a.$watch("default["+a.formObject.id+"]",function(b){return b?a.$component.arrayToText?a.inputArray=b:a.inputText=b:void 0})}}}])}.call(this),function(){angular.module("builder.drag",[]).provider("$drag",function(){var a,b,c;a=null,b=null,this.data={draggables:{},droppables:{}},this.mouseMoved=!1,this.isMouseMoved=function(a){return function(){return a.mouseMoved}}(this),this.hooks={down:{},move:{},up:{}},this.eventMouseMove=function(){},this.eventMouseUp=function(){},$(function(a){return function(){return $(document).on("mousedown",function(b){var c,d,e;a.mouseMoved=!1,e=a.hooks.down;for(d in e)(c=e[d])(b)}),$(document).on("mousemove",function(b){var c,d,e;a.mouseMoved=!0,e=a.hooks.move;for(d in e)(c=e[d])(b)}),$(document).on("mouseup",function(b){var c,d,e;e=a.hooks.up;for(d in e)(c=e[d])(b)})}}(this)),this.currentId=0,this.getNewId=function(a){return function(){return""+a.currentId++}}(this),this.setupEasing=function(){return jQuery.extend(jQuery.easing,{easeOutQuad:function(a,b,c,d,e){return-d*(b/=e)*(b-2)+c}})},this.setupProviders=function(c){return a=c,b=a.get("$rootScope")},this.isHover=function(a){return function(a,b){var c,d,e,f,g;return d=a.offset(),e=b.offset(),f={width:a.width(),height:a.height()},g={width:b.width(),height:b.height()},c={x:!1,y:!1},c.x=d.left>e.left&&d.lefte.left&&d.left+f.widthe.top&&d.tope.top&&d.top+f.height$(window).innerHeight()-50))return a.autoScroll.up=!1,a.autoScroll.down=!1;if(a.autoScroll.up=!1,a.autoScroll.down=!0,!a.autoScroll.scrolling)return a.autoScroll.scroll()}}}(this),stop:function(a){return function(){return a.autoScroll.up=!1,a.autoScroll.down=!1}}(this)},this.dragMirrorMode=function(a){return function(b,c,d){var e;return null==c&&(c=!0),e={id:a.getNewId(),mode:"mirror",maternal:b[0],element:null,object:d},b.on("mousedown",function(d){var f;return d.preventDefault(),f=b.clone(),e.element=f[0],f.addClass("fb-draggable form-horizontal prepare-dragging"),a.hooks.move.drag=function(c,d){var g,h,i,j;if(!f.hasClass("prepare-dragging")||(f.css({width:b.width(),height:b.height()}),f.removeClass("prepare-dragging"),f.addClass("dragging"),!d)){f.offset({left:c.pageX-f.width()/2,top:c.pageY-f.height()/2}),a.autoScroll.start(c),i=a.data.droppables,j=[];for(h in i)g=i[h],a.isHover(f,$(g.element))?j.push(g.move(c,e)):j.push(g.out(c,e));return j}},a.hooks.up.drag=function(b){var c,d,g,h;h=a.data.droppables;for(d in h)c=h[d],g=a.isHover(f,$(c.element)),c.up(b,g,e);return delete a.hooks.move.drag,delete a.hooks.up.drag,e.element=null,f.remove(),a.autoScroll.stop()},$("body").append(f),c?void 0:a.hooks.move.drag(d,c)}),e}}(this),this.dragDragMode=function(a){return function(b,c,d){var e;return null==c&&(c=!0),e={id:a.getNewId(),mode:"drag",maternal:null,element:b[0],object:d},b.addClass("fb-draggable"),b.on("mousedown",function(d){return d.preventDefault(),b.hasClass("dragging")?void 0:(b.addClass("prepare-dragging"),a.hooks.move.drag=function(c,d){var f,g,h;if(!b.hasClass("prepare-dragging")||(b.css({width:b.width(),height:b.height()}),b.removeClass("prepare-dragging"),b.addClass("dragging"),!d)){b.offset({left:c.pageX-b.width()/2,top:c.pageY-b.height()/2}),a.autoScroll.start(c),h=a.data.droppables;for(g in h)f=h[g],a.isHover(b,$(f.element))?f.move(c,e):f.out(c,e)}},a.hooks.up.drag=function(c){var d,f,g,h;h=a.data.droppables;for(f in h)d=h[f],g=a.isHover(b,$(d.element)),d.up(c,g,e);return delete a.hooks.move.drag,delete a.hooks.up.drag,b.css({width:"",height:"",left:"",top:""}),b.removeClass("dragging defer-dragging"),a.autoScroll.stop()},c?void 0:a.hooks.move.drag(d,c))}),e}}(this),this.dropMode=function(a){return function(c,d){var e;return e={id:a.getNewId(),element:c[0],move:function(a,c){return b.$apply(function(){return"function"==typeof d.move?d.move(a,c):void 0})},up:function(a,c,e){return b.$apply(function(){return"function"==typeof d.up?d.up(a,c,e):void 0})},out:function(a,c){return b.$apply(function(){return"function"==typeof d.out?d.out(a,c):void 0})}}}}(this),this.draggable=function(a){return function(b,c){var d,e,f,g,h,i,j;if(null==c&&(c={}),f=[],"mirror"===c.mode)for(g=0,i=b.length;i>g;g++)e=b[g],d=a.dragMirrorMode($(e),c.defer,c.object),f.push(d.id),a.data.draggables[d.id]=d;else for(h=0,j=b.length;j>h;h++)e=b[h],d=a.dragDragMode($(e),c.defer,c.object),f.push(d.id),a.data.draggables[d.id]=d;return f}}(this),this.droppable=function(a){return function(b,c){var d,e,f,g,h;for(null==c&&(c={}),f=[],g=0,h=b.length;h>g;g++)e=b[g],d=a.dropMode($(e),c),f.push(d),a.data.droppables[d.id]=d;return f}}(this),this.get=function(a){return this.setupEasing(),this.setupProviders(a),{isMouseMoved:this.isMouseMoved,data:this.data,draggable:this.draggable,droppable:this.droppable}},this.get.$inject=["$injector"],this.$get=this.get})}.call(this),function(){angular.module("builder",["builder.directive"])}.call(this),function(){var a=[].indexOf||function(a){for(var b=0,c=this.length;c>b;b++)if(b in this&&this[b]===a)return b;return-1};angular.module("builder.provider",[]).provider("$builder",function(){var b,c,d;c=null,b=null,d=null,this.version="0.0.2",this.components={},this.groups=[],this.broadcastChannel={updateInput:"$updateInput"},this.forms={"default":[]},this.formsId={"default":0},this.convertComponent=function(a,b){var c,d,e,f,g,h,i,j,k,l,m;return c={name:a,group:null!=(d=b.group)?d:"Default",label:null!=(e=b.label)?e:"",description:null!=(f=b.description)?f:"",placeholder:null!=(g=b.placeholder)?g:"",editable:null!=(h=b.editable)?h:!0,required:null!=(i=b.required)?i:!1,validation:null!=(j=b.validation)?j:"/.*/",validationOptions:null!=(k=b.validationOptions)?k:[],options:null!=(l=b.options)?l:[],arrayToText:null!=(m=b.arrayToText)?m:!1,template:b.template,templateUrl:b.templateUrl,popoverTemplate:b.popoverTemplate,popoverTemplateUrl:b.popoverTemplateUrl},c.template||c.templateUrl||console.error("The template is empty."),c.popoverTemplate||c.popoverTemplateUrl||console.error("The popoverTemplate is empty."),c},this.convertFormObject=function(a,b){var c,d,e,f,g,h,i,j,k,l,m,n,o,p,q,r;if(null==b&&(b={}),c=this.components[b.component],null==c)throw"The component "+b.component+" was not registered.";if(b.id){for(d=!1,i=this.forms[a],g=0,h=i.length;h>g;g++)if(e=i[g],b.id<=e.id){b.id=this.formsId[a]++,d=!0;break}d||(this.formsId[a]=b.id+1)}return f={id:null!=(j=b.id)?j:this.formsId[a]++,component:b.component,editable:null!=(k=b.editable)?k:c.editable,index:null!=(l=b.index)?l:0,label:null!=(m=b.label)?m:c.label,description:null!=(n=b.description)?n:c.description,placeholder:null!=(o=b.placeholder)?o:c.placeholder,options:null!=(p=b.options)?p:c.options,required:null!=(q=b.required)?q:c.required,validation:null!=(r=b.validation)?r:c.validation}},this.reindexFormObject=function(a){return function(b){var c,d,e,f;for(c=a.forms[b],d=e=0,f=c.length;f>e;d=e+=1)c[d].index=d}}(this),this.setupProviders=function(a){return function(a){return c=a,b=c.get("$http"),d=c.get("$templateCache")}}(this),this.loadTemplate=function(a){return null==a.template&&b.get(a.templateUrl,{cache:d}).success(function(b){return a.template=b}),null==a.popoverTemplate?b.get(a.popoverTemplateUrl,{cache:d}).success(function(b){return a.popoverTemplate=b}):void 0},this.registerComponent=function(b){return function(d,e){var f,g;null==e&&(e={}),null==b.components[d]?(f=b.convertComponent(d,e),b.components[d]=f,null!=c&&b.loadTemplate(f),g=f.group,a.call(b.groups,g)<0&&b.groups.push(f.group)):console.error("The component "+d+" was registered.")}}(this),this.addFormObject=function(a){return function(b,c){var d;return null==c&&(c={}),null==(d=a.forms)[b]&&(d[b]=[]),a.insertFormObject(b,a.forms[b].length,c)}}(this),this.insertFormObject=function(a){return function(b,c,d){var e,f;return null==d&&(d={}),null==(e=a.forms)[b]&&(e[b]=[]),null==(f=a.formsId)[b]&&(f[b]=0),c>a.forms[b].length?c=a.forms[b].length:0>c&&(c=0),a.forms[b].splice(c,0,a.convertFormObject(b,d)),a.reindexFormObject(b),a.forms[b][c]}}(this),this.removeFormObject=function(a){return function(b,c){var d;return d=a.forms[b],d.splice(c,1),a.reindexFormObject(b)}}(this),this.updateFormObjectIndex=function(a){return function(b,c,d){var e,f;if(c!==d)return f=a.forms[b],e=f.splice(c,1)[0],f.splice(d,0,e),a.reindexFormObject(b)}}(this),this.$get=["$injector",function(a){return function(b){var c,d,e;a.setupProviders(b),e=a.components;for(d in e)c=e[d],a.loadTemplate(c);return{version:a.version,components:a.components,groups:a.groups,forms:a.forms,broadcastChannel:a.broadcastChannel,registerComponent:a.registerComponent,addFormObject:a.addFormObject,insertFormObject:a.insertFormObject,removeFormObject:a.removeFormObject,updateFormObjectIndex:a.updateFormObjectIndex}}}(this)]})}.call(this),function(){angular.module("builder.components",["builder","validator.rules"]).config(["$builderProvider",function(a){return a.registerComponent("textInput",{group:"Default",label:"Text Input",description:"description",placeholder:"placeholder",required:!1,validationOptions:[{label:"none",rule:"/.*/"},{label:"number",rule:"[number]"},{label:"email",rule:"[email]"},{label:"url",rule:"[url]"}],template:'
            \n \n
            \n \n

            {{description}}

            \n
            \n
            ',popoverTemplate:"
            \n
            \n \n \n
            \n
            \n \n \n
            \n
            \n \n \n
            \n
            \n \n
            \n
            0\">\n \n \n
            \n\n
            \n
            \n \n \n \n
            \n
            "}),a.registerComponent("textArea",{group:"Default",label:"Text Area",description:"description",placeholder:"placeholder",required:!1,template:'
            \n \n
            \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n\n'),a.put("app/analytic/metric/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_DEFAULT_METRICS\' | translate }} \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_METRICS\' | translate }} \n
            \n
            \n \n
            \n \n
            \n \n
            \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/analytic/metric/list/view.modal.html",'\n\n\n'),a.put("app/analytic/metric/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{metric.name}}\n
            \n \n
            \n \n\n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/analytic/metric/view/view.settings.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_METRIC\' | translate}} {{ \'APPLICATION_SETTINGS\' | translate}} \n
            \n \n
            \n\n
            \n
            \n \n
            \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{\'APPLICATION_\'+$select.selected.value.toUpperCase() | translate}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n \n
            \n
            \n \n\n
            \n
            \n
            \n
            \n
            \n'),a.put("app/analytic/report/list/copy.modal.html",'\n\n\n'),a.put("app/analytic/report/list/create.modal.html",'\n\n\n'),a.put("app/analytic/report/list/extract.modal.html",'\n\n\n'), +id:d.accountId},a.account).$promise.then(function(){h.show(f.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){h.show(a.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.sms.accounts.view",{url:"/view/:accountId",templateUrl:"app/channels/sms/account/view/view.html",controller:"SmsAccountViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load({insertBefore:"#ng_load_plugins_before",files:["assets/css/profile.css","assets/css/tasks.css"]})}]}}).state("main.channels.sms.accounts.view.settings",{url:"/settings",templateUrl:"app/channels/sms/account/view/view.settings.html",controller:"SmsAccountViewCtrl"})}]),angular.module("xCallyShuttleApp").controller("SmsAccountWizardCtrl",["$scope","$http","$location","$translate","WizardHandler","xAlert","SmsAccount",function(a,b,c,d,e,f,g){a.isActive=!0,a.size="small",a.animate=!0,a.radioOff=!0,a.handleWidth="auto",a.labelWidth="auto",a.inverse=!0,a.offColor="danger",a.onColor="success",a.initWizard=function(){a.forms={},a.item={}},a.goNext=function(){e.wizard().next()},a.formValidation=function(a){return a},a.createItem=function(){return console.log(a.item),g.save(a.item).$promise.then(function(){c.path("/channels/sms/accounts/list")})["catch"](function(a){f.show(a.data.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.sms.accounts.wizard",{url:"/wizard",templateUrl:"app/channels/sms/account/wizard/wizard.html",controller:"SmsAccountWizardCtrl"})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.sms.inbox",{url:"/inbox",template:"
            ",data:{permissions:{only:["admin","user","agent"],redirectTo:"landing",id:11}}})}]),angular.module("xCallyShuttleApp").directive("smsRoom",["$cookieStore","$translate","$uibModal","$timeout","Tag","CannedAnswer","Auth","SmsAccount","SmsRoom","SmsMessage","xAlert","usSpinnerService","socket","$stateParams",function(a,b,c,d,e,f,g,h,i,j,k,l,m,n){return{restrict:"E",scope:{workspace:"=",index:"=",submit:"&onSubmit"},templateUrl:"app/channels/sms/inbox/room/room.directive.html",link:function(a){a.modelOptions={debounce:{"default":500,blur:250},getterSetter:!0},a.panelLeft=null,a.panelRight="col-md-12",a.togglePanelLeft=function(){a.panelLeft?(a.panelLeft=null,a.panelRight="col-md-12"):(a.panelLeft="col-md-6",a.panelRight="col-md-6")},a.form={disabled:!1,from:[],to:"",body:""},m.socket.on("sms_message:save",function(b){return a.workspace.id&&b.SmsRoomId==a.workspace.id?j.get({id:b.id}).$promise.then(function(c){a.SmsRoom.SmsMessages.unshift(b),a.panelLeft="col-md-6",a.panelRight="col-md-6"})["catch"](function(a){console.error(a)}):void 0}),m.socket.on("sms_message:update",function(b){if(a.SmsRoom&&b.SmsRoomId==a.workspace.id){var c=_.find(a.SmsRoom.SmsMessages,{id:b.id});c&&_.merge(c,b)}}),m.socket.on("sms_room:update",function(b){a.SmsRoom&&b.id==a.workspace.id&&(a.SmsRoom.status=b.status)}),a.closeRoom=function(b){return c.open({animation:!0,templateUrl:"app/channels/sms/inbox/view/view.status.modal.html",controller:"SmsInboxViewModalStatusCtrl",resolve:{closed:function(){return"CLOSED"===a.SmsRoom.status},accountId:function(){return a.SmsRoom.SmsAccountId}}}).result.then(function(a){var c={id:b,status:"CLOSED"};return a.disposition&&(c.disposition=a.disposition),i.update(c).$promise["catch"](function(a){k.show(a.data.message,"danger")})})},e.get().$promise.then(function(b){a.tags=_.map(b.rows,"name")})["catch"](function(a){console.error(a)}),h.get().$promise.then(function(b){a.smsAccounts=b.rows})["catch"](function(a){console.error(a)}),a.workspace.id?(a.panelLeft="col-md-6",a.panelRight="col-md-6",i.get({id:a.workspace.id}).$promise.then(function(b){return a.SmsRoom=b,a.form.from[0]=a.SmsRoom.SmsAccount.phone,a.form.to=a.SmsRoom.from,a.form.tags=a.SmsRoom.tags,"NEW"==a.SmsRoom.status||"UNMANAGED"==a.SmsRoom.status?i.update({id:a.SmsRoom.id,status:"OPEN"}):void 0}).then(function(b){if(b){var c=[];return c[0]=g.getCurrentUser().id,i.update({id:a.SmsRoom.id,controller:"agents",agents:c})}})["catch"](function(a){console.error(a)})["finally"](function(){l.stop("spinner-grid"),a.form.disabled=!1})):l.stop("spinner-grid"),a.onSelect=function(){a.form.body+=a.modelOptions.selectedAnswer,delete a.modelOptions.selectedAnswer},a.onSelectAnswer=function(b){a.form.body+=b,a.selectedAnswer=""},a.getAnswers=function(a){return f.get({key:a}).$promise.then(function(a){return _.map(a.rows,"value")})},a.loadAgents=function(){c.open({animation:!0,templateUrl:"app/channels/sms/inbox/view/view.agents.modal.html",controller:"SmsInboxViewModalAgentCtrl",resolve:{roomId:function(){return a.workspace.id}}})},a.onChanged=function(){return i.patch({id:a.workspace.id},{tags:a.form.tags}).$promise["catch"](function(a){console.error(a)})},a.cancel=function(){a.forms.compose.$setPristine(),a.form.body=""},a.sendSMS=function(){l.spin("spinner-grid");var c=_.find(a.smsAccounts,{phone:a.form.from[0]}).id;if(c){var d={from:a.form.from[0],to:a.form.to,body:a.form.body};return h.save({accountId:c,controller:"send"},d).$promise.then(function(b){return a.SmsRoom?(a.forms.compose.$setPristine(),void(a.form.body="")):i.get({id:b.SmsRoomId}).$promise}).then(function(b){b&&(a.forms.compose.$setPristine(),a.form.body="",a.workspace.id=b.id,a.workspace.name=b.from,a.SmsRoom=b,a.panelLeft="col-md-6",a.panelRight="col-md-6")})["catch"](function(){k.show(b.instant("MESSAGE_SOMETHING_WENT_WRONG"),"danger")})["finally"](function(){l.stop("spinner-grid")})}}}}}]),angular.module("xCallyShuttleApp").directive("sms",["$rootScope","SmsMessage","List","xAlert","$translate",function(a,b,c,d,e){return{restrict:"E",scope:{smsMessage:"=message",accounttype:"=accounttype",listId:"="},templateUrl:"app/channels/sms/inbox/sms/sms.html",link:function(b){b.openContact=function(f){return b.listId?c.get({listId:b.listId,controller:"contacts",phone:f}).$promise.then(function(b){a.$root.workspaces.push({active:!0,"class":"icon-user",contactID:b.id,name:b.phone,type:"contact"})})["catch"](function(a){console.error(a)}):void d.show(e.instant("MESSAGE_NO_LIST_CONFIGURED"),"info")}}}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.sms.inbox.view.account",{url:"/account/:id",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user","agent"],redirectTo:"landing",id:11}}})}]),angular.module("xCallyShuttleApp").controller("SmsInboxViewAccountListCtrl",["$scope","$rootScope","$uibModal","$translate","$stateParams","$state","Tag","usSpinnerService","socket","SmsAccount","SmsRoom","gridOptions","uiGridConstants","Auth","Modal","xAlert",function(a,b,c,d,e,f,g,h,i,j,k,l,m,n,o,p){a.loadAgents=function(a){c.open({animation:!0,templateUrl:"app/channels/sms/inbox/view/view.agents.modal.html",controller:"SmsInboxViewModalAgentCtrl",resolve:{roomId:a}})},a.initView=function(){a.id=[],a.isAdmin=n.isAdmin(),a.isAgent=n.isAgent(),a.uncheckable=!1,a.query={status:"UNMANAGED",tags:[]},a.$watch("query.status",function(){a.getPage(a.query)},!0),g.get().$promise.then(function(b){a.tags=_.map(b.rows,"name")})["catch"](function(a){console.error(a)}),a.gridOptions={exporterCsvFilename:"sms_rooms.csv",enableRowHeaderSelection:!0,paginationPageSizes:[10,25,50,75],paginationPageSize:10,enableFiltering:!0,enableSorting:!0,enableGridMenu:!1,flatEntityAccess:!0,showGridFooter:!0,fastWatch:!0,enableSelectAll:!1,enableHorizontalScrollbar:0,enableVerticalScrollbar:0,columnDefs:[{name:"tags",displayName:"",headerCellTemplate:'',cellClass:"text-center ui-grid-vcenter",cellTemplate:"
            ",width:30,enableSorting:!1,enableFiltering:!1,enableColumnMenu:!1},{name:"id",displayName:"Id",cellClass:"text-center ui-grid-vcenter",cellTemplate:"
            #{{row.entity.id}}
            ",width:75,enableSorting:!1,enableFiltering:!0,enableColumnMenu:!1},{name:"from",cellClass:"text-center"},{name:"disposition",cellClass:"text-center"},{name:"updatedAt",cellFilter:"date:'MM/dd/yyyy HH:mm'",width:120,enableSorting:!1,enableFiltering:!1,sort:{direction:m.DESC}},{name:"action",cellTemplate:'',enableSorting:!1,cellClass:"ui-grid-vcenter",width:90,enableFiltering:!1,enableColumnMenu:!1}],data:[],onRegisterApi:function(b){a.gridApi=b,a.gridApi.selection&&(a.gridApi.selection.on.rowSelectionChanged(a,function(b){b.isSelected?a.id.push(a.gridApi.grid.options.primaryKey?b.entity[a.gridApi.grid.options.primaryKey]:b.entity.id):_.pull(a.id,a.gridApi.grid.options.primaryKey?b.entity[a.gridApi.grid.options.primaryKey]:b.entity.id)}),a.gridApi.selection.on.rowSelectionChangedBatch(a,function(b){b[0].isSelected?a.id=_.union(a.id,_.map(b,a.gridApi.grid.options.primaryKey?"entity."+a.gridApi.grid.options.primaryKey:"entity.id")):_.map(b,a.gridApi.grid.options.primaryKey?"entity."+a.gridApi.grid.options.primaryKey:"entity.id").forEach(function(b){_.pull(a.id,b)})}))}},i.socket.on("sms_room:remove",function(b){_.remove(a.workspaces,{id:b.id,type:"smsRoom"}),_.remove(a.gridOptions.data,{id:b.id})}),a.getPage(a.query)},a.onChanged=function(){a.getPage(a.query)},a.tagItems=function(){c.open({animation:!0,templateUrl:"app/channels/sms/inbox/view/view.tags.modal.html",controller:"SmsInboxViewModalTagCtrl",resolve:{id:function(){return a.id}}}).result.then(function(){a.gridApi.selection.clearSelectedRows(),a.id=[],a.getPage()})},a.openRoom=function(b,c){var d=_.find(a.workspaces,{id:b,type:"smsRoom"});d?d.active=!0:a.workspaces.push({id:b,name:c,type:"smsRoom","class":"glyphicon glyphicon-comment",active:!0})},a.getPage=function(b){h.spin("spinner-grid");var c={accountId:e.id,controller:"rooms"};return b&&_.merge(c,b),a.query&&_.merge(c,a.query),j.query(c).$promise.then(function(b){a.gridOptions.data=b})["catch"](function(a){console.error(a)})["finally"](function(){h.stop("spinner-grid")})},a.deleteItem=o.confirm["delete"](function(b){var c={id:b};return console.log(c),k["delete"](c).$promise.then(function(){a.getPage(),p.show(d.instant("MESSAGE_WELL_DONE")+"!","success"),a.gridApi.selection.clearSelectedRows(),a.id=[]})["catch"](function(a){p.show(a.message?a.message:a.data.message,"danger")})}),a.deleteItems=o.confirm["delete"](function(){var b={ids:a.id};return k["delete"](b).$promise.then(function(){a.getPage(),p.show(d.instant("MESSAGE_WELL_DONE")+"!","success"),a.gridApi.selection.clearSelectedRows(),a.id=[]})["catch"](function(a){p.show(a.message?a.message:a.data.message,"danger")})})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.sms.inbox.view.account.list",{url:"/list",templateUrl:"app/channels/sms/inbox/view/account/list/list.html",controller:"SmsInboxViewAccountListCtrl",data:{permissions:{only:["admin","user","agent"],redirectTo:"landing",id:11}}})}]),angular.module("xCallyShuttleApp").controller("SmsInboxViewModalAgentCtrl",["$scope","$uibModalInstance","$translate","$stateParams","Agent","SmsRoom","xAlert","roomId",function(a,b,c,d,e,f,g,h){a.initModal=function(){a.agents=[],a.item={agents:[]},e.get().$promise.then(function(b){b.rows.forEach(function(b){a.agents.push(b)})})["catch"](function(a){console.error(a)}),f.query({id:h,controller:"agents"}).$promise.then(function(b){b.forEach(function(b){a.agents.push(b),a.item.agents.push(b.id)})})["catch"](function(a){console.error(a)}),a.modal={dismissable:!0,title:"APPLICATION_MESSAGE_SEND_RETRY",text:"MESSAGE_MESSAGE_SEND_RETRY",buttons:[{classes:"btn btn-success",text:"APPLICATION_RETRY",click:function(a){b.close(a)}},{classes:"btn default",text:"APPLICATION_CANCEL",click:function(a){b.dismiss(a)}}]}},a.ok=function(){return f.update({id:h,controller:"agents",agents:a.item.agents}).$promise.then(function(){g.show(c.instant("MESSAGE_WELL_DONE"),"success"),b.close()})["catch"](function(a){console.error(a)})},a.cancel=function(){b.dismiss("cancel")}}]),angular.module("xCallyShuttleApp").controller("SmsInboxViewCtrl",["$scope","$location","$stateParams","$translate","$uibModal","socket","Auth","xAlert","SmsRoom","SmsAccount",function(a,b,c,d,e,f,g,h,i,j){a.initView=function(){j.get().$promise.then(function(c){var d=null;a.smsAccounts=c.rows,a.smsAccounts.length&&(d="/channels/sms/inbox/view/account/"+a.smsAccounts[0].id+"/list"),d&&b.path(d)})["catch"](function(a){console.error(a)})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.sms.inbox.view",{url:"/view",templateUrl:"app/channels/sms/inbox/view/view.html",controller:"SmsInboxViewCtrl",reload:!0,resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/css/inbox.css"]}])}]}})}]),angular.module("xCallyShuttleApp").controller("SmsInboxViewModalStatusCtrl",["$scope","$uibModalInstance","closed","SmsAccount","accountId",function(a,b,c,d,e){a.modal={title:"APPLICATION_CONFIRM_CLOSE",text:"MESSAGE_ARE_YOU_SURE_YOU_WANT_TO_CLOSE_THE_SMS"},a.initDisposition=function(){return d.get({accountId:e,controller:"dispositions"}).$promise.then(function(b){a.dispositions=b.rows})["catch"](function(a){console.error(a)})},a.item={},a.closed=c,a.ok=function(){b.close(a.item)},a.cancel=function(){b.dismiss()}}]),angular.module("xCallyShuttleApp").controller("SmsInboxViewModalTagCtrl",["$scope","$uibModalInstance","$translate","$stateParams","Tag","SmsRoom","xAlert","id",function(a,b,c,d,e,f,g,h){console.log(h),a.initModal=function(){return a.tags=[],a.item={tags:[]},a.modal={dismissable:!0,title:"APPLICATION_MESSAGE_SEND_RETRY",text:"MESSAGE_MESSAGE_SEND_RETRY",buttons:[{"class":"btn-success",text:"APPLICATION_TAG",click:function(){var d={status:!0};return f.patch({id:h},{tags:a.item.tags}).$promise.then(function(){g.show(c.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(){d.status=!1,g.show(c.instant("MESSAGE_SOMETHING_WENT_WRONG"),"danger")})["finally"](function(){b.close(d)})}},{"class":"default",text:"APPLICATION_CANCEL",click:function(a){b.dismiss(a)}}]},e.get().$promise.then(function(b){a.tags=_.map(b.rows,"name")})["catch"](function(a){console.error(a)})}}]),angular.module("xCallyShuttleApp").controller("SmsInboxViewModalTemplateCtrl",["$scope","$uibModalInstance","Template",function(a,b,c){a.initModal=function(){return a.item={},c.get().$promise.then(function(b){a.templates=b.rows})["catch"](function(a){console.error(a)})},a.ok=function(){b.close(a.item)},a.cancel=function(){b.dismiss("cancel")}}]),angular.module("xCallyShuttleApp").controller("SmsQueueListCtrl",["$scope","SmsQueue","gridOptions","$uibModal","xAlert","Auth",function(a,b,c,d,e,f){a.initView=function(){a.Auth=f;var d={exporterCsvFilename:"voice_queues.csv",columnDefs:[{name:"name"},{name:"description"},{name:"timeout"},{name:"strategy"},{name:"action",width:200,buttons:[{"class":"blue-hoki",href:"/channels/sms/queues/view/{{row.entity.id}}/settings",name:"settings"},{"class":"green-meadow",href:"/channels/sms/queues/view/{{row.entity.id}}/agents",name:"agents",hide:"user"===f.getCurrentUser().role},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=c.gridOptions(b,d,a)},a.createItem=function(){var b=d.open({animation:!0,templateUrl:"app/channels/sms/queue/list/list.create.modal.html",controller:"SmsQueueListCreateCtrl"});b.result.then(function(b){console.log(b),a.gridOptions.getPage()})}}]),angular.module("xCallyShuttleApp").controller("SmsQueueListCreateCtrl",["$scope","$uibModalInstance","$translate","xAlert","SmsQueue",function(a,b,c,d,e){a.form={},a.item={},a.save=function(){return console.log(a.item),e.save(a.item).$promise.then(function(a){b.close(a)})["catch"](function(a){d.show(a.data.message,"danger")})},a.cancel=function(){b.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.sms.queues.list",{url:"/list",templateUrl:"app/channels/sms/queue/list/list.html",controller:"SmsQueueListCtrl"})}]),angular.module("xCallyShuttleApp").factory("SmsQueue",["$resource",function(a){return a("/api/sms/queues/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.sms.queues",{url:"/queues",template:"
            ",data:{permissions:{only:["admin","user"],redirectTo:"landing",id:11}}})}]),angular.module("xCallyShuttleApp").controller("SmsQueueViewCtrl",["$scope","$http","$translate","$stateParams","xAlert","Team","SmsQueue",function(a,b,c,d,e,f,g){var h=function(){return{select:function(b){return g.save({id:a.queue.id,controller:"agents"},{agents:b,penalty:a.queue.penalty}).$promise.then(function(b){console.log("sel",b),a.getTeams()})["catch"](function(a){console.error(a)})},deselect:function(b){return g["delete"]({id:a.queue.id,controller:"agents",agents:b}).$promise.then(function(b){console.log("desel",b),a.getTeams()})["catch"](function(a){console.error(a)})}}};a.getQueue=function(){return g.get({id:d.id}).$promise.then(function(b){b.penalty=0,a.queue=b})["catch"](function(a){console.error(a)})},a.updateSmsQueue=function(){return g.update({id:d.id},{name:a.queue.name,description:a.queue.description,timeout:a.queue.timeout,strategy:a.queue.strategy}).$promise.then(function(){e.show(c.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){e.show(a.data.message,"danger")})},a.getTeams=function(){return f.get({controller:"associations"}).$promise.then(function(b){return a.teams=b.rows,console.log(b.rows),g.get({id:d.id,controller:"agents"}).$promise}).then(function(b){console.log(b),ComponentsDropdowns.bindSmsQueue(a.teams,_.map(b.rows,function(a){return{id:a.id,penalty:a.UserHasSmsQueue.penalty}}),h)})["catch"](function(a){console.error(a)})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.sms.queues.view",{url:"/view/:id",templateUrl:"app/channels/sms/queue/view/view.html",controller:"SmsQueueViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.channels.sms.queues.view.settings",{url:"/settings",templateUrl:"app/channels/sms/queue/view/view.settings.html"}).state("main.channels.sms.queues.view.agents",{url:"/agents",templateUrl:"app/channels/sms/queue/view/view.agents.html",cache:!1,serie:!0,resolve:{deps:["$ocLazyLoad",function(a){return a.load({insertBefore:"#ng_load_plugins_before",files:["assets/plugins/jquery-multi-select/css/multi-select.css","assets/plugins/jquery-multi-select/js/jquery.multi-select.js","assets/plugins/jquery-quicksearch/jquery.quicksearch.js","assets/scripts/components-dropdowns.js"]})}]}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.sms.realtime",{url:"/realtime",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:11}}})}]),angular.module("xCallyShuttleApp").controller("SmsRealtimeViewAgentCtrl",["$scope","$log","$uibModal","$translate","socket","xAlert","Agent","Pause","Action","SmsQueue","usSpinnerService","$q","uiGridConstants",function(a,b,c,d,e,f,g,h,i,j,k,l,m){function n(){return k.spin("spinner-grid"),g.get(s).$promise.then(function(b){a.gridOptions.totalItems=b.count,a.gridOptions.data=[],b.rows.forEach(function(a){p(a)})})["catch"](function(a){f.error(a)})["finally"](function(){k.stop("spinner-grid")})}function o(){e.socket.on("agent:save",function(b){var c=_.find(a.gridOptions.data,{agentId:b.id,isAgent:!0});c&&(c.online=b.online,c.lastLoginAt=b.lastLoginAt,c.pause=b.smsPause,c.pauseType=b.pauseType,c.lastPauseAt=b.lastPauseAt,c.ipaddr=b.ipaddr,c.port=b.port,c.fullcontact=b.fullcontact,c.useragent=b.useragent,c.lastms=b.lastms,c.internal=b.internal,_.map(a.gridOptions.data,function(a){a.isAgent||a.agentId!==b.id||(a.online=b.online)}))}),e.socket.on("agent:remove",function(b){_.remove(a.gridOptions.data,{agentId:b.id})}),e.socket.on("user_has_sms_queue:save",function(b){console.log("new queue",b);var c=_.find(a.gridOptions.data,{agentId:b.UserId,isAgent:!0}),d=a.gridOptions.data.indexOf(c);a.gridOptions.data.splice(d+1,0,{isAgent:!1,agentId:b.UserId,queue:b.queue,queueId:b.SmsQueueId,agent:b.membername,online:c.online})}),e.socket.on("user_has_sms_queue:remove",function(b){_.remove(a.gridOptions.data,{agentId:b.UserId,queueId:b.SmsQueueId})})}function p(b){a.gridOptions.data.push({isAgent:!0,agentId:b.id,agent:b.name,online:b.online,lastLoginAt:b.lastLoginAt,lastPauseAt:b.lastPauseAt,pause:b.smsPause,pauseType:b.pauseType,ipaddr:b.ipaddr,port:b.port,fullcontact:b.fullcontact,useragent:b.useragent,lastms:b.lastms,internal:b.internal,$$treeLevel:0}),b.SmsQueues.forEach(function(c){a.gridOptions.data.push({isAgent:!1,agentId:b.id,queue:c.name,queueId:c.id,agent:b.name,online:b.online})})}function q(){return h.get().$promise.then(function(b){a.pauses=b.rows,e.syncUpdates("pause",a.pauses)})["catch"](function(a){f.error(a)})}function r(){return j.get().$promise.then(function(b){a.smsQueues=b.rows,e.syncUpdates("sms_queue",a.smsQueues)})["catch"](function(a){f.error(a)})}a.smsQueues=[];var s={controller:"realtime",controller1:"sms",offset:0,limit:10};a.moment=moment,a.initAgents=function(){a.gridOptions={enableGridMenu:!0,headerCellFilter:"translate",enableHorizontalScrollbar:0,enableVerticalScrollbar:0,enableFiltering:!0,showGridFooter:!0,paginationPageSizes:[10,25,50,75],paginationPageSize:10,useExternalPagination:!0,useExternalSorting:!0,useExternalFiltering:!0,multiSelect:!0,showTreeExpandNoChildren:!0,columnDefs:[{name:"name",displayName:"APPLICATION_AGENT",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            {{row.entity.agent}} <{{row.entity.internal}}>
            '},{name:"online",displayName:"APPLICATION_ONLINE",width:150,headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            ({{hhours}}:{{mminutes}}:{{sseconds}})
            ',filter:{type:m.filter.SELECT,selectOptions:[{value:"true",label:"ONLINE"},{value:"false",label:"OFFLINE"}]}},{name:"smsPause",displayName:"APPLICATION_PAUSE",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            ({{row.entity.pauseType}}){{hhours}}:{{mminutes}}:{{sseconds}}
            ',filter:{type:m.filter.SELECT,selectOptions:[{value:"true",label:"PAUSED"},{value:"false",label:"NOT PAUSED"}]},enableSorting:!1,enableColumnMenu:!1},{name:"queue",displayName:"APPLICATION_QUEUE",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",enableSorting:!1,enableFiltering:!1,enableColumnMenu:!1},{name:"action",displayName:"",width:170,cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            ',enableSorting:!1,enableFiltering:!1,enableColumnMenu:!1}],data:[],gridMenuTitleFilter:function(a){var b=l.defer();return b.resolve(d.instant(a)),b.promise},onRegisterApi:function(b){a.gridApi=b,a.gridApi.grid.registerDataChangeCallback(function(){t(a.gridApi.core.getVisibleRows(a.gridApi.grid).length)}),a.gridApi.treeBase.on.rowExpanded(a,function(b){t(a.gridApi.core.getVisibleRows(a.gridApi.grid).length+b.treeNode.children.length)}),a.gridApi.treeBase.on.rowCollapsed(a,function(b){t(a.gridApi.core.getVisibleRows(a.gridApi.grid).length-b.treeNode.children.length)}),a.gridApi.core.on.sortChanged(a,function(a,b){0===b.length?s.order=null:s.order=b[0].name+" "+b[0].sort.direction.toUpperCase(),n()}),a.gridApi.pagination.on.paginationChanged(a,function(a,b){s.offset=(a-1)*b,s.limit=b,n()}),a.gridApi.core.on.filterChanged(a,function(){var a=this.grid;a.columns.forEach(function(a){a.filters[0].term?s[a.name]=a.filters[0].term:delete s[a.name]}),n()})}},n(),q(),r(),o()};var t=function(a){var b=a?30*a+130:270;angular.element(document.getElementsByClassName("ui-grid")[0]).css("height",b+"px"),angular.element(document.getElementsByClassName("ui-grid-viewport")).css("height",30*a+"px")};a.$on("$destroy",function(){e.unsyncUpdates("agent"),e.unsyncUpdates("user_has_sms_queue")}),a.pause=function(b,c,e){return e&&(a.pauseType=null),i.save({name:c,agent:b,type:e||"DEFAULT PAUSE",data4:e||"DEFAULT PAUSE",channel:"sms"}).$promise.then(function(){f.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){f.error(a)})},a.joinQueue=function(e){var g=c.open({animation:!0,templateUrl:"app/channels/sms/realtime/view/agent/queue.modal.add.html",controller:"SmsRealtimeViewAgentQueueModalCtrl",resolve:{queues:function(){return _.reject(a.smsQueues,function(b){return!!_.find(a.gridOptions.data,{queue:b.name,isAgent:!1,agentId:e})})}}});g.result.then(function(b){return i.save({name:"QueueAdd",agent:e,queues:_.filter(a.smsQueues,function(a){return _.includes(b.queues,a.id)}),channel:"sms",data1:e,data3:b.queues.join(","),data4:"sms"}).$promise.then(function(){f.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){f.error(a)})},function(){b.info("Modal dismissed at: "+new Date)})},a.leaveQueue=function(a,b){return i.save({name:"QueueRemove",agent:a,queueId:b,channel:"sms",data1:a,data3:b,data4:"sms"}).$promise.then(function(){f.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){f.error(a)})},a.showInfo=function(a){c.open({animation:!0,templateUrl:"app/channels/sms/realtime/view/agent/agent.modal.info.html",controller:"SmsRealtimeViewAgentInfoModalCtrl",resolve:{agent:a}})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.sms.realtime.view.agents",{url:"/agents",templateUrl:"app/channels/sms/realtime/view/agent/agent.html",controller:"SmsRealtimeViewAgentCtrl"})}]),angular.module("xCallyShuttleApp").controller("SmsRealtimeViewAgentInfoModalCtrl",["$scope","$uibModalInstance","$http","agent",function(a,b,c,d){a.agent=d,a.close=function(){b.dismiss("cancel")}}]),angular.module("xCallyShuttleApp").controller("SmsRealtimeViewAgentQueueModalCtrl",["$scope","$uibModalInstance","$http","queues",function(a,b,c,d){a.queues=d,a.item={},a.save=function(){b.close(a.item)},a.cancel=function(){b.dismiss("cancel")}}]),angular.module("xCallyShuttleApp").controller("SmsRealtimeViewApplicationCtrl",["$scope","$log","$uibModal","$translate","socket","xAlert","ReportSmsSession","usSpinnerService","$q","uiGridConstants","$timeout",function(a,b,c,d,e,f,g,h,i,j,k){function l(){return h.spin("spinner-grid"),g.get({controller:"waiting",controller2:"applications"}).$promise.then(function(b){a.gridOptions.data=b.rows})["catch"](function(a){f.show(a.message,"danger")})["finally"](function(){m(),h.stop("spinner-grid")})}function m(){e.socket.on("report_sms_session:save",function(b){var c=_.find(a.gridOptions.data,{id:b.id});c?(_.merge(c,b),b.leaveAt&&k(function(){_.remove(a.gridOptions.data,{id:b.id})},1e4)):b.leaveAt||a.gridOptions.data.push(b)}),e.socket.on("report_sms_session:remove",function(b){_.remove(a.gridOptions.data,{id:b.id})})}a.moment=moment,a.initApplications=function(){a.gridOptions={headerCellFilter:"translate",enableHorizontalScrollbar:0,enableVerticalScrollbar:0,enableFiltering:!0,columnDefs:[{name:"uniqueid",displayName:"APPLICATION_UNIQUEID",headerCellFilter:"translate"},{name:"accountname",displayName:"APPLICATION_ACCOUNT",headerCellFilter:"translate"},{name:"application",displayName:"APPLICATION_APPLICATION",headerCellFilter:"translate"},{name:"status",displayName:"APPLICATION_STATUS",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            {{\'APPLICATION_QUEUESTATUS_WAITING\' | translate}}{{\'APPLICATION_QUEUESTATUS_COMPLETE\' | translate}}{{\'APPLICATION_UNMANAGED\' | translate}} [{{hhours}}:{{mminutes}}:{{sseconds}}]
            ',enableSorting:!1,enableColumnMenu:!1,enableFiltering:!1},{name:"membername",displayName:"APPLICATION_AGENT",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:"
            {{row.entity.membername || ''}}
            "},{name:"queuename",displayName:"APPLICATION_QUEUE",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:"
            {{row.entity.queuename || ''}}
            "}],data:[],gridMenuTitleFilter:function(a){var b=i.defer();return b.resolve(d.instant(a)),b.promise},onRegisterApi:function(b){a.gridApi=b,a.gridApi.grid.registerDataChangeCallback(function(){n(a.gridOptions.data.length)})}},l()};var n=function(a){var b=a?30*a+60:270;angular.element(document.getElementsByClassName("ui-grid")[0]).css("height",b+"px"),angular.element(document.getElementsByClassName("ui-grid-viewport")).css("height",30*a+"px")};a.$on("$destroy",function(){e.unsyncUpdates("report_sms_session")})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.sms.realtime.view.applications",{url:"/applications", +templateUrl:"app/channels/sms/realtime/view/application/application.html",controller:"SmsRealtimeViewApplicationCtrl"})}]),angular.module("xCallyShuttleApp").controller("SmsRealtimeViewCtrl",["$scope","$state",function(a,b){a.agents=[{name:"Queues",link:"main.channels.sms.realtime.view.agents.queues"},{name:"Timeline",link:"main.channels.sms.realtime.view.agents.timeline"}],a.sessions=[{name:"Sessions",link:"main.channels.sms.realtime.view.sessions"}],a.tree={queues:[{name:"Queues",link:"main.channels.sms.realtime.view.agents.queues"},{name:"Timeline",link:"main.channels.sms.realtime.view.agents.timeline"}],sessions:[{name:"Sessions",link:"main.channels.sms.realtime.view.sessions"}]}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.sms.realtime.view",{url:"/view",templateUrl:"app/channels/sms/realtime/view/view.html",controller:"SmsRealtimeViewCtrl"})}]),angular.module("xCallyShuttleApp").factory("ReportSmsRoom",["$resource",function(a){return a("/api/report/sms/rooms/:id/:controller",{id:"@id",controller:"@controller"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").factory("ReportSmsSession",["$resource",function(a){return a("/api/report/sms/sessions/:id/:controller/:controller2",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.sms",{url:"/sms",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").factory("SmsQueue",["$resource",function(a){return a("/api/sms/queues/:id/:controller",{name:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").factory("SmsReport",["$resource",function(a){return a("/api/report/sms/:id/:controller1/:controller2",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").factory("SmsMessage",["$resource",function(a){return a("/api/sms/messages/:id/:controller",{id:"@id",controller:"@controller"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").factory("SmsRoom",["$resource",function(a){return a("/api/sms/rooms/:id/:controller",{id:"@id",controller:"@controller"},{update:{method:"PUT"},patch:{method:"PATCH"}})}]),angular.module("xCallyShuttleApp").factory("ChanSpy",["$resource",function(a){return a("/api/chanspy/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.chanspy",{url:"/chanspy",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("ChanSpyListCtrl",["$scope","$uibModal","ChanSpy","gridOptions",function(a,b,c,d){a.initView=function(){var b={exporterCsvFilename:"chanspy.csv",columnDefs:[{name:"name"},{name:"prefix"},{name:"description"},{name:"action",width:160,buttons:[{"class":"blue-hoki",href:"/channels/voice/chanspy/view/{{row.entity.id}}/settings",name:"settings"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=d.gridOptions(c,b,a)},a.createItem=function(){var c=b.open({animation:!0,templateUrl:"app/channels/voice/chanspy/list/list.create.modal.html",controller:"ChanSpyListCreateCtrl"});c.result.then(function(b){a.gridOptions.getPage()})}}]),angular.module("xCallyShuttleApp").controller("ChanSpyListCreateCtrl",["$scope","$translate","$uibModalInstance","ChanSpy","xAlert",function(a,b,c,d,e){a.form={},a.item={},a.recordSwitch={onText:"On",offText:"Off",isActive:!0,size:"small",animate:!0,radioOff:!0,handleWidth:"auto",labelWidth:"auto",inverse:!0,offColor:"danger",onColor:"success"},a.options=[{name:"APPLICATION_CHANSPY_OPTION_b",value:"b"},{name:"APPLICATION_CHANSPY_OPTION_B",value:"B"},{name:"APPLICATION_CHANSPY_OPTION_E",value:"E"},{name:"APPLICATION_CHANSPY_OPTION_o",value:"o"},{name:"APPLICATION_CHANSPY_OPTION_q",value:"q"},{name:"APPLICATION_CHANSPY_OPTION_s",value:"s"},{name:"APPLICATION_CHANSPY_OPTION_S",value:"S"},{name:"APPLICATION_CHANSPY_OPTION_w",value:"w"},{name:"APPLICATION_CHANSPY_OPTION_W",value:"W"}],a.save=function(){return a.item.options=a.item.options.join(""),d.save(a.item).$promise.then(function(a){e.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)})["catch"](function(a){e.show(a.data.message,"danger")})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.chanspy.list",{url:"/list",templateUrl:"app/channels/voice/chanspy/list/list.html",controller:"ChanSpyListCtrl"})}]),angular.module("xCallyShuttleApp").controller("ChanSpyViewCtrl",["$scope","$translate","$stateParams","xAlert","ChanSpy",function(a,b,c,d,e){a.recordSwitch={onText:"On",offText:"Off",isActive:!0,size:"small",animate:!0,radioOff:!0,handleWidth:"auto",labelWidth:"auto",inverse:!0,offColor:"danger",onColor:"success"},a.options=[{name:"APPLICATION_CHANSPY_OPTION_b",value:"b"},{name:"APPLICATION_CHANSPY_OPTION_B",value:"B"},{name:"APPLICATION_CHANSPY_OPTION_E",value:"E"},{name:"APPLICATION_CHANSPY_OPTION_o",value:"o"},{name:"APPLICATION_CHANSPY_OPTION_q",value:"q"},{name:"APPLICATION_CHANSPY_OPTION_s",value:"s"},{name:"APPLICATION_CHANSPY_OPTION_S",value:"S"},{name:"APPLICATION_CHANSPY_OPTION_w",value:"w"},{name:"APPLICATION_CHANSPY_OPTION_W",value:"W"}],a.getChanSpy=function(){return e.get({id:c.id}).$promise.then(function(b){b.options=b.options.split(""),a.chanspy=b})["catch"](function(a){d.show(a.data.message,"danger")})},a.updateChanSpy=function(){var f=angular.copy(a.chanspy);return f.options=f.options.join(""),e.update({id:c.id},f).$promise.then(function(){d.show(b.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){d.show(a.data.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.chanspy.view",{url:"/view/:id",templateUrl:"app/channels/voice/chanspy/view/view.html",controller:"ChanSpyViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.channels.voice.chanspy.view.settings",{url:"/settings",templateUrl:"app/channels/voice/chanspy/view/view.settings.html"})}]),angular.module("xCallyShuttleApp").factory("VoiceContext",["$resource",function(a){return a("/api/voice/contexts/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.contexts",{url:"/contexts",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("VoiceContextListCtrl",["$scope","VoiceContext","gridOptions","$uibModal","xAlert","$translate",function(a,b,c,d,e,f){a.initView=function(){var d={exporterCsvFilename:"voice_contexts.csv",columnDefs:[{name:"name"},{name:"description"},{name:"action",width:170,buttons:[{"class":"{{row.entity.defaultEntry ? 'blue-hoki disabled' : 'blue-hoki'}}",href:"/channels/voice/contexts/view/{{row.entity.id}}/settings",name:"settings"},{"class":"{{row.entity.defaultEntry ? 'red-sunglo disabled' : 'red-sunglo'}}",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=c.gridOptions(b,d,a)},a.createItem=function(){var c=d.open({animation:!0,templateUrl:"app/channels/voice/context/list/create.modal.html",controller:["$scope","$uibModalInstance",function(a,b){a.form={},a.item={},a.ok=function(){b.close(a.item)},a.cancel=function(){b.dismiss("cancel")}}]});c.result.then(function(c){return b.save(c).$promise.then(function(){a.gridOptions.getPage(),e.show(f.instant("MESSAGE_WELL_DONE")+"!","success")})["catch"](function(a){e.show(a.data.message,"danger")})})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.contexts.list",{url:"/list",templateUrl:"app/channels/voice/context/list/list.html",controller:"VoiceContextListCtrl"})}]),angular.module("xCallyShuttleApp").controller("VoiceContextViewCtrl",["$scope","$http","xAlert","$translate","$stateParams","$location",function(a,b,c,d,e,f){a.getContext=function(){b.get("/api/voice/contexts/"+e.id).success(function(b){a.context=b}).error(function(a){console.error(a),f.path("/voice/contexts/list")})},a.updateVoiceContext=function(){var f=angular.copy(a.context);b.put("/api/voice/contexts/"+e.id,f).success(function(){c.show(d.instant("MESSAGE_WELL_DONE")+"!","success")}).error(function(b){c.show(d.instant(b.message),"danger"),a.context=f})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.contexts.view",{url:"/view/:id",templateUrl:"app/channels/voice/context/view/view.html",controller:"VoiceContextViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.channels.voice.contexts.view.settings",{url:"/settings",templateUrl:"app/channels/voice/context/view/view.settings.html"})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.dispositions",{url:"/dispositions",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("VoiceDispositionListCtrl",["$scope","$stateParams","$uibModal","$translate","VoiceDisposition","xAlert",function(a,b,c,d,e,f){a.initView=function(){return e.get().$promise.then(function(b){a.dispositions=b.rows})["catch"](function(a){console.error(a),f.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG"),"danger")})},a.updateDisposition=function(a,b){return e.update({id:a},{name:b}).$promise.then(function(){f.show(d.instant("MESSAGE_WELL_DONE")+"!","success")})["catch"](function(a){console.error(a),f.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG"),"danger")})},a.deleteDisposition=function(b){return e.remove({id:b}).$promise.then(function(){_.remove(a.dispositions,{id:b}),f.show(d.instant("MESSAGE_WELL_DONE")+"!","success")})["catch"](function(a){console.error(a),f.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG"),"danger")})},a.addDisposition=function(){return c.open({animation:!0,templateUrl:"app/channels/voice/disposition/list/modal/add.modal.html",controller:"VoiceDispositionListModalDispositionAddCtrl"}).result.then(function(b){b&&(a.dispositions.push(b),f.show(d.instant("MESSAGE_WELL_DONE")+"!","success"))})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.dispositions.list",{url:"/list",templateUrl:"app/channels/voice/disposition/list/list.html",controller:"VoiceDispositionListCtrl"})}]),angular.module("xCallyShuttleApp").controller("VoiceDispositionListModalDispositionAddCtrl",["$scope","$uibModalInstance","VoiceDisposition","xAlert","$translate",function(a,b,c,d,e){a.ok=function(){return c.save({name:a.name}).$promise.then(function(a){b.close(a)})["catch"](function(a){d.show(e.instant("MESSAGE_SOMETHING_WENT_WRONG"),"danger")})},a.cancel=function(){b.dismiss("cancel")}}]),angular.module("xCallyShuttleApp").factory("VoiceDisposition",["$resource",function(a){return a("/api/voice/dispositions/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").controller("VoiceMohListCtrl",["$scope","gridOptions","VoiceMoh","$uibModal","xAlert","$translate",function(a,b,c,d,e,f){a.initView=function(){var d={exporterCsvFilename:"voice_mohs.csv",columnDefs:[{name:"name"},{name:"directory"},{name:"sort"},{name:"description"},{name:"action",width:125,buttons:[{"class":"blue-hoki",href:"/channels/voice/mohs/view/{{row.entity.id}}/settings",name:"settings"},{"class":"{{row.entity.defaultEntry ? 'red-sunglo disabled' : 'red-sunglo'}}",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=b.gridOptions(c,d,a)},a.createItem=function(){var b=d.open({animation:!0,templateUrl:"app/channels/voice/moh/list/create.modal.html",controller:["$scope","$uibModalInstance",function(a,b){a.form={},a.item={},a.ok=function(){b.close(a.item)},a.cancel=function(){b.dismiss("cancel")}}]});b.result.then(function(b){return c.save(b).$promise.then(function(){a.gridOptions.getPage(),e.show(f.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){e.show(a.data.message,"danger")})})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.mohs.list",{url:"/list",templateUrl:"app/channels/voice/moh/list/list.html",controller:"VoiceMohListCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{name:"smart-table",insertBefore:"#ng_load_plugins_before",files:["assets/css/smart_table.css"]}])}]}})}]),angular.module("xCallyShuttleApp").factory("VoiceMoh",["$resource",function(a){return a("/api/voice/musiconholds/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.mohs",{url:"/mohs",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("VoiceMohViewCtrl",["$scope","$http","xAlert","$translate","VoiceMoh","$stateParams","socket","Modal","$sce","$location","Sound",function(a,b,c,d,e,f,g,h,i,j,k){a.$translate=d,a.audioSources={},a.sortOptions=[{value:"random",name:"APPLICATION_RANDOM"},{value:"alpha",name:"APPLICATION_ALPHABETICAL"}],a.getMoh=function(){return e.get({id:f.id}).$promise.then(function(b){delete b.stamp,a.moh=b})["catch"](function(a){c.show(a.message,"danger")})},a.updateVoiceMoh=function(){return console.log("update"),e.update({id:f.id},a.moh).$promise.then(function(){c.show(d.instant("MESSAGE_WELL_DONE")+"!","success")})["catch"](function(a){c.show(a.message,"danger")})},a.addMohs=function(){return e.save({id:f.id,controller:"files"},a.item).$promise.then(function(){j.path("/channels/voice/mohs/view/"+f.id+"/audios")})["catch"](function(a){c.show(a.message,"danger")})},a.getAudioFiles=function(){return e.get({id:f.id,controller:"files"}).$promise.then(function(b){a.audioFiles=b.files,a.mohPath=b.path,a.audioFiles.forEach(function(a){l(a)})})["catch"](function(a){c.show(a.message,"danger")})},a.getSecureSrc=function(a){return i.trustAsResourceUrl(a)},a.getSounds=function(){return a.item={sounds:[]},k.get().$promise.then(function(b){a.soundList=b.rows})["catch"](function(a){c.show(a.message,"danger")})};var l=function(c){b.get("/api/voice/musiconholds/stream",{params:{path:encodeURI(a.mohPath+"/"+c)}}).success(function(b){a.audioSources[c]="data:audio/ogg;base64,"+b}).error(function(a){console.error(a)})};a.deleteItem=h.confirm["delete"](function(e){b["delete"]("/api/voice/musiconholds/"+f.id+"/files",{params:{filename:e}}).success(function(){_.remove(a.audioFiles,function(a){return a===e}),c.show(d.instant("MESSAGE_WELL_DONE")+"!","success")}).error(function(a){c.show(a.message,"danger")})})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.mohs.view",{url:"/view/:id",templateUrl:"app/channels/voice/moh/view/view.html",controller:"VoiceMohViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.channels.voice.mohs.view.settings",{url:"/settings",templateUrl:"app/channels/voice/moh/view/view.settings.html"}).state("main.channels.voice.mohs.view.audios",{url:"/audios",templateUrl:"app/channels/voice/moh/view/view.audios.html",cache:!1}).state("main.channels.voice.mohs.view.add",{url:"/add",templateUrl:"app/channels/voice/moh/view/view.addFiles.html",cache:!1})}]),angular.module("xCallyShuttleApp").controller("VoiceQueueListCtrl",["$scope","$rootScope","$uibModal","VoiceQueue","gridOptions","Auth",function(a,b,c,d,e,f){a.initView=function(){a.Auth=f;var b={exporterCsvFilename:"voice_queues.csv",primaryKey:"name",columnDefs:[{name:"name"},{name:"strategy"},{name:"description"},{name:"action",width:200,buttons:[{"class":"blue-hoki",href:"/channels/voice/queues/view/{{row.entity.name}}/settings",name:"settings"},{"class":"green-meadow",href:"/channels/voice/queues/view/{{row.entity.name}}/agents",name:"agents",hide:"user"===f.getCurrentUser().role},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.name)"}]}]};a.gridOptions=e.gridOptions(d,b,a)},a.createItem=function(){var b=c.open({animation:!0,templateUrl:"app/channels/voice/queue/list/list.create.modal.html",controller:"VoiceQueueListCreateCtrl"});b.result.then(function(){a.gridOptions.getPage()})}}]),angular.module("xCallyShuttleApp").controller("VoiceQueueListCreateCtrl",["$scope","$uibModalInstance","$translate","xAlert","VoiceQueue",function(a,b,c,d,e){a.form={},a.item={},a.save=function(){return e.save(a.item).$promise.then(function(a){d.show(c.instant("MESSAGE_WELL_DONE"),"success"),b.close(a)})["catch"](function(a){d.show(a.data.message,"danger")})},a.cancel=function(){b.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.queues.list",{url:"/list",templateUrl:"app/channels/voice/queue/list/list.html",controller:"VoiceQueueListCtrl"})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.queues",{url:"/queues",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").factory("ReportQueue",["$resource",function(a){return a("/api/report/queues/:id/:controller/:controller2",{name:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").controller("VoiceQueueViewCtrl",["$scope","$http","xAlert","$translate","$stateParams","socket","$location","VoiceQueue","VoiceContext","Team","Auth",function(a,b,c,d,e,f,g,h,i,j,k){a.penalty=0,a.Auth=k,a.binaryChoices=[{name:"Yes",value:1},{name:"No",value:0}],a.recordSwitch={onText:"On",offText:"Off",isActive:!0,size:"small",animate:!0,radioOff:!0,handleWidth:"auto",labelWidth:"auto",inverse:!0,offColor:"danger",onColor:"success"},a.monitorFormats=[{name:"wav",value:"wav"},{name:"gsm",value:"gsm"},{name:"Inactive",value:""}],a.queueAnnounces=[{display_name:"Default",value:null},{display_name:"Disabled",value:""}];var l=function(){return{select:function(b){return h.save({id:a.queue.name,controller:"agents"},{agents:b,penalty:a.queue.penalty}).$promise.then(function(){a.getTeams()})["catch"](function(a){console.log(a)})},deselect:function(b){return h["delete"]({id:a.queue.name,controller:"agents",agents:b}).$promise.then(function(){a.getTeams()})["catch"](function(a){console.log(a)})}}};a.getQueue=function(){return h.get({id:e.id}).$promise.then(function(b){b.joinempty=""===b.joinempty?[]:b.joinempty.split(","),b.leavewhenempty=""===b.leavewhenempty?[]:b.leavewhenempty.split(","),b.periodic_announce=""===b.periodic_announce?[]:b.periodic_announce.split(","),b.penalty=0,a.queue=b})["catch"](function(a){g.path("/channels/voice/queues/list")})},a.updateVoiceQueue=function(){var b=angular.copy(a.queue);return b.joinempty=b.joinempty.join(","),b.leavewhenempty=b.leavewhenempty.join(","),b.periodic_announce=b.periodic_announce.join(","),b.context||(b.context=null),h.update({id:e.id},b).$promise.then(function(){c.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){c.show(d.instant(a.data.message),"danger")})},a.getTeams=function(){return j.get({controller:"associations"}).$promise.then(function(b){return a.teams=b.rows,h.get({id:e.id,controller:"agents"}).$promise}).then(function(b){ComponentsDropdowns.bindQueue(a.teams,_.map(b.rows,function(a){return{id:a.id,penalty:a.UserHasVoiceQueue.penalty}}),l)})["catch"](function(a){console.error(a)})},a.getContexts=function(){return i.get().$promise.then(function(b){a.voiceContexts=b.rows})["catch"](function(a){c.show(d.instant(data.message),"danger")})},a.getMohs=function(){b.get("/api/voice/musiconholds").success(function(b){a.voiceMohs=b.rows,f.syncUpdates("voice_musiconhold",a.voiceMohs)}).error(function(a){c.show(a.message,"danger")})},a.getSounds=function(){b.get("/api/sounds").success(function(b){a.queueAnnounces=a.queueAnnounces.concat(b),a.periodicAnnounces=angular.copy(b.rows),b.rows.unshift({display_name:"None",converted_path:null,value:""}),a.sounds=b.rows,f.syncUpdates("sound",a.sounds)}).error(function(a){console.error(a)})},a.returnAnnouncePath=function(a){return a.converted_path?a.converted_path+"/"+a.save_name:null===a.value?null:a.value}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.queues.view",{url:"/view/:id",templateUrl:"app/channels/voice/queue/view/view.html",controller:"VoiceQueueViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.channels.voice.queues.view.settings",{url:"/settings",templateUrl:"app/channels/voice/queue/view/view.settings.html"}).state("main.channels.voice.queues.view.agents",{url:"/agents",templateUrl:"app/channels/voice/queue/view/view.agents.html",cache:!1,serie:!0,resolve:{deps:["$ocLazyLoad",function(a){return a.load({insertBefore:"#ng_load_plugins_before",files:["assets/plugins/jquery-multi-select/css/multi-select.css","assets/plugins/jquery-multi-select/js/jquery.multi-select.js","assets/plugins/jquery-quicksearch/jquery.quicksearch.js","assets/scripts/components-dropdowns.js"]})}]}})}]),angular.module("xCallyShuttleApp").factory("VoiceQueue",["$resource",function(a){return a("/api/voice/queues/:id/:controller",{name:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.realtime",{url:"/realtime",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("VoiceRealtimeViewAgentCtrl",["$scope","$log","$uibModal","$translate","socket","xAlert","Agent","Pause","Action","VoiceQueue","usSpinnerService","$q","uiGridConstants","Auth",function(a,b,c,d,e,f,g,h,i,j,k,l,m,n){function o(){return k.spin("spinner-grid"),g.get(t).$promise.then(function(b){a.gridOptions.totalItems=b.count,a.gridOptions.data=[],b.rows.forEach(function(a){q(a)})})["catch"](function(a){f.show(a.message,"danger")})["finally"](function(){k.stop("spinner-grid")})}function p(){e.socket.on("agent:save",function(b){var c=_.find(a.gridOptions.data,{agentId:b.id,isAgent:!0});c&&(c.online=b.online,c.lastLoginAt=b.lastLoginAt,c.pause=b.voicePause,c.pauseType=b.pauseType,c.lastPauseAt=b.lastPauseAt,c.status=b.status,c.statusAt=b.statusAt,c.queueStatus=b.queueStatus,c.queueStatusAt=b.queueStatusAt,c.lastQueue=b.lastQueue,c.ipaddr=b.ipaddr,c.port=b.port,c.fullcontact=b.fullcontact,c.useragent=b.useragent,c.lastms=b.lastms,c.internal=b.internal,_.map(a.gridOptions.data,function(a){a.isAgent||a.agentId!==b.id||(a.online=b.online)}))}),e.socket.on("agent:remove",function(b){_.remove(a.gridOptions.data,{agentId:b.id})}),e.socket.on("user_has_voice_queue:save",function(b){var c=_.includes(_.map(a.CurrentUser.PVoiceQueues,"name"),b.queue)||n.isAdmin(),d=_.find(a.gridOptions.data,{agentId:b.UserId,queue:b.queue});if(!d&&b.logged&&c){var e=_.find(a.gridOptions.data,{agentId:b.UserId,isAgent:!0}),f=a.gridOptions.data.indexOf(e);a.gridOptions.data.splice(f+1,0,{isAgent:!1,agentId:b.UserId,queue:b.queue,agent:b.membername,callstaken:b.callstaken?b.callstaken:0,online:e.online})}else d&&!b.logged?_.remove(a.gridOptions.data,{agentId:b.UserId,queue:b.queue}):d&&b.logged&&c&&(d.callstaken=b.callstaken?b.callstaken:0)}),e.socket.on("user_has_voice_queue:remove",function(b){_.remove(a.gridOptions.data,{agentId:b.UserId,queue:b.queue})})}function q(b){a.gridOptions.data.push({isAgent:!0,agentId:b.id,agent:b.name,online:b.online,lastLoginAt:b.lastLoginAt,lastPauseAt:b.lastPauseAt,pause:b.voicePause,pauseType:b.pauseType,status:b.status||"UNKNOWN",statusAt:b.statusAt,queueStatus:b.queueStatus||"READY",queueStatusAt:b.queueStatusAt,lastQueue:b.lastQueue,ipaddr:b.ipaddr,port:b.port,fullcontact:b.fullcontact,useragent:b.useragent,lastms:b.lastms,internal:b.internal,$$treeLevel:0}),b.VoiceQueues.forEach(function(c){c.UserHasVoiceQueue.logged&&a.gridOptions.data.push({isAgent:!1,agentId:b.id,queue:c.name,agent:b.name,callstaken:c.UserHasVoiceQueue.callstaken?c.UserHasVoiceQueue.callstaken:0,online:b.online})})}function r(){return h.get().$promise.then(function(b){a.pauses=b.rows,e.syncUpdates("pause",a.pauses)})["catch"](function(a){f.show(a.message,"danger")})}function s(){return j.get().$promise.then(function(b){a.voiceQueues=b.rows,e.syncUpdates("voice_queue",a.voiceQueues)})["catch"](function(a){f.show(a.message,"danger")})}a.voiceQueues=[];var t={controller:"realtime",controller1:"voice",offset:0,limit:10};a.moment=moment,a.initAgents=function(){a.gridOptions={enableGridMenu:!0,headerCellFilter:"translate",enableHorizontalScrollbar:0,enableVerticalScrollbar:0,enableFiltering:!0,showGridFooter:!0,paginationPageSizes:[10,25,50,75],paginationPageSize:10,useExternalPagination:!0,useExternalSorting:!0,useExternalFiltering:!0,multiSelect:!0,showTreeExpandNoChildren:!0,columnDefs:[{name:"name",displayName:"APPLICATION_AGENT",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            {{row.entity.agent}} <{{row.entity.internal}}>
            '},{name:"online",displayName:"APPLICATION_ONLINE",width:150,headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            ({{hhours}}:{{mminutes}}:{{sseconds}})
            ',filter:{type:m.filter.SELECT,selectOptions:[{value:"true",label:"ONLINE"},{value:"false",label:"OFFLINE"}]}},{name:"status",displayName:"APPLICATION_PHONE_STATUS",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:"
            {{'APPLICATION_'+row.entity.status | translate}} ({{hhours}}:{{mminutes}}:{{sseconds}})
            ",filter:{type:m.filter.SELECT,selectOptions:[{value:"UNKNOWN",label:d.instant("APPLICATION_UNKNOWN")},{value:"NOT_INUSE",label:d.instant("APPLICATION_NOT_INUSE")},{value:"INUSE",label:d.instant("APPLICATION_INUSE")},{value:"BUSY",label:d.instant("APPLICATION_BUSY")},{value:"INVALID",label:d.instant("APPLICATION_INVALID")},{value:"UNAVAILABLE",label:d.instant("APPLICATION_UNAVAILABLE")},{value:"RINGING",label:d.instant("APPLICATION_RINGING")},{value:"RINGINUSE",label:d.instant("APPLICATION_RINGINUSE")},{value:"ONHOLD",label:d.instant("APPLICATION_ONHOLD")}]}},{name:"queueStatus",displayName:"APPLICATION_QUEUE_STATUS",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            {{\'APPLICATION_\'+row.entity.queueStatus.toUpperCase() | translate}} [{{row.entity.lastQueue}}] [{{row.entity.pauseType}}] [{{hhours}}:{{mminutes}}:{{sseconds}}]
            ',filter:{type:m.filter.SELECT,selectOptions:[{value:"paused",label:d.instant("APPLICATION_PAUSED")},{value:"connect",label:d.instant("APPLICATION_CONNECT")},{value:"complete",label:d.instant("APPLICATION_COMPLETE")},{value:"called",label:d.instant("APPLICATION_CALLED")}]},enableSorting:!1,enableColumnMenu:!1},{name:"queue",displayName:"APPLICATION_QUEUE",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",enableSorting:!1,enableFiltering:!1,enableColumnMenu:!1},{name:"callstaken",displayName:"APPLICATION_CALLS_TAKEN",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            {{row.entity.callstaken}}
            ',enableSorting:!1,enableFiltering:!1,enableColumnMenu:!1,width:100},{name:"action",displayName:"",width:170,cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            ',enableSorting:!1,enableFiltering:!1,enableColumnMenu:!1}],data:[],gridMenuTitleFilter:function(a){var b=l.defer();return b.resolve(d.instant(a)),b.promise},onRegisterApi:function(b){a.gridApi=b,a.gridApi.grid.registerDataChangeCallback(function(){u(a.gridApi.core.getVisibleRows(a.gridApi.grid).length)}),a.gridApi.treeBase.on.rowExpanded(a,function(b){u(a.gridApi.core.getVisibleRows(a.gridApi.grid).length+b.treeNode.children.length)}),a.gridApi.treeBase.on.rowCollapsed(a,function(b){u(a.gridApi.core.getVisibleRows(a.gridApi.grid).length-b.treeNode.children.length)}),a.gridApi.core.on.sortChanged(a,function(a,b){0===b.length?t.order=null:t.order=b[0].name+" "+b[0].sort.direction.toUpperCase(),o()}),a.gridApi.pagination.on.paginationChanged(a,function(a,b){t.offset=(a-1)*b,t.limit=b,o()}),a.gridApi.core.on.filterChanged(a,function(){var a=this.grid;a.columns.forEach(function(a){a.filters[0].term?t[a.name]=a.filters[0].term:delete t[a.name]}),o()})}},o(),r(),s(),p()};var u=function(a){var b=a?30*a+130:270;angular.element(document.getElementsByClassName("ui-grid")[0]).css("height",b+"px"),angular.element(document.getElementsByClassName("ui-grid-viewport")).css("height",30*a+"px")};a.$on("$destroy",function(){e.unsyncUpdates("agent"),e.unsyncUpdates("user_has_voice_queue")}),a.pause=function(b,c,e){return e&&(a.pauseType=null),i.save({name:c,agent:b,type:e||"DEFAULT PAUSE",data4:e||"DEFAULT PAUSE",channel:"voice"}).$promise.then(function(){f.show(d.instant("MESSAGE_WELL_DONE"),"success"); +})["catch"](function(a){f.show(d.instant(a.message||a.data.message||a.translatedMessage||a.data.translatedMessage),"danger")})},a.joinQueue=function(e){var g=c.open({animation:!0,templateUrl:"app/channels/voice/realtime/view/agent/queue.modal.add.html",controller:"VoiceRealtimeViewAgentQueueModalCtrl",resolve:{queues:function(){return _.reject(a.voiceQueues,function(b){return!!_.find(a.gridOptions.data,{queue:b.name,isAgent:!1,agentId:e})})}}});g.result.then(function(a){return i.save({name:"QueueAdd",agent:e,queues:a.queues,channel:"voice",data1:e,data3:a.queues.join(","),data4:"voice"}).$promise.then(function(){f.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){f.show(a.message,"danger")})},function(){b.info("Modal dismissed at: "+new Date)})},a.leaveQueue=function(a,b){return i.save({name:"QueueRemove",agent:a,queue:b,channel:"voice",data1:a,data3:b,data4:"voice"}).$promise.then(function(){f.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){f.show(a.message,"danger")})},a.showInfo=function(a){c.open({animation:!0,templateUrl:"app/channels/voice/realtime/view/agent/agent.modal.info.html",controller:"VoiceRealtimeViewAgentInfoModalCtrl",resolve:{agent:a}})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.realtime.view.agents",{url:"/agents",templateUrl:"app/channels/voice/realtime/view/agent/agent.html",controller:"VoiceRealtimeViewAgentCtrl"})}]),angular.module("xCallyShuttleApp").controller("VoiceRealtimeViewAgentInfoModalCtrl",["$scope","$uibModalInstance","agent",function(a,b,c){a.agent=c,a.close=function(){b.dismiss("cancel")}}]),angular.module("xCallyShuttleApp").controller("VoiceRealtimeViewAgentQueueModalCtrl",["$scope","$uibModalInstance","$http","queues",function(a,b,c,d){a.queues=d,a.item={},a.save=function(){b.close(a.item)},a.cancel=function(){b.dismiss("cancel")}}]),angular.module("xCallyShuttleApp").controller("VoiceRealtimeViewParameterCtrl",["$scope","$log","$uibModal","$translate","socket","xAlert","ReportQueue","usSpinnerService","$q","uiGridConstants",function(a,b,c,d,e,f,g,h,i,j){function k(){return h.spin("spinner-grid"),g.get(m).$promise.then(function(b){a.gridOptions.totalItems=b.count,a.gridOptions.data=b.rows})["catch"](function(a){f.show(a.message,"danger")})["finally"](function(){h.stop("spinner-grid")})}function l(){e.socket.on("voice_queue:save",function(b){_.find(a.gridOptions.data,{name:b.name})||a.gridOptions.data.push({abandoned:0,active:0,answered:0,holdtime:"00:00:00",name:b.name,talktime:"00:00:00",totalcalls:0,unmanaged:0,waiting:0})}),e.socket.on("voice_queue:remove",function(b){_.remove(a.gridOptions.data,{name:b.name})}),e.socket.on("report_queue:parameters",function(b){var c=_.find(a.gridOptions.data,{name:b.name});c&&_.merge(c,b)})}var m={offset:0,limit:10,controller:"parameters"};a.moment=moment,a.initParameters=function(){a.gridOptions={headerCellFilter:"translate",enableHorizontalScrollbar:0,enableVerticalScrollbar:0,enableFiltering:!0,enableSorting:!0,showGridFooter:!0,paginationPageSizes:[10,25,50,75],paginationPageSize:10,useExternalPagination:!0,useExternalFiltering:!0,useExternalSorting:!0,columnDefs:[{name:"name",displayName:"APPLICATION_QUEUE",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter"},{name:"waiting",displayName:"APPLICATION_WAITING",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",enableSorting:!1,enableFiltering:!1,enableColumnMenu:!1},{name:"active",displayName:"APPLICATION_ACTIVE",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",enableSorting:!1,enableFiltering:!1,enableColumnMenu:!1},{name:"answered",displayName:"APPLICATION_ANSWERED",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",enableSorting:!1,enableColumnMenu:!1,filters:[{condition:j.filter.GREATER_THAN_OR_EQUAL,placeholder:"from"},{condition:j.filter.LESS_THAN_OR_EQUAL,placeholder:"to"}]},{name:"abandoned",displayName:"APPLICATION_ABANDONED",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",enableSorting:!1,enableColumnMenu:!1,filters:[{condition:j.filter.GREATER_THAN,placeholder:"from"},{condition:j.filter.LESS_THAN,placeholder:"to"}]},{name:"unmanaged",displayName:"APPLICATION_UNMANAGED",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",enableSorting:!1,enableColumnMenu:!1,filters:[{condition:j.filter.GREATER_THAN,placeholder:"from"},{condition:j.filter.LESS_THAN,placeholder:"to"}]},{name:"holdtime",displayName:"APPLICATION_HOLDTIME",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",enableSorting:!1,enableFiltering:!1,enableColumnMenu:!1},{name:"talktime",displayName:"APPLICATION_TALKTIME",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",enableSorting:!1,enableFiltering:!1,enableColumnMenu:!1},{name:"totalcalls",displayName:"APPLICATION_TOTAL_CALLS",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",enableSorting:!1,enableFiltering:!1,enableColumnMenu:!1}],data:[],gridMenuTitleFilter:function(a){var b=i.defer();return b.resolve(d.instant(a)),b.promise},onRegisterApi:function(b){a.gridApi=b,a.gridApi.grid.registerDataChangeCallback(function(){n(a.gridOptions.data.length)}),a.gridApi.core.on.sortChanged(a,function(a,b){0===b.length?m.order=null:m.order=b[0].name+" "+b[0].sort.direction.toUpperCase(),k()}),a.gridApi.pagination.on.paginationChanged(a,function(a,b){m.offset=(a-1)*b,m.limit=b,k()}),a.gridApi.core.on.filterChanged(a,function(){var a=this.grid;a.columns.forEach(function(a){if(a.filters.length>1){a.filters.forEach(function(b){b.term?64===b.condition?m[a.name+"_uiFrom"]=b.term:256===b.condition&&(m[a.name+"_uiTo"]=b.term):64===b.condition?delete m[a.name+"_uiFrom"]:256===b.condition&&delete m[a.name+"_uiTo"]})}else a.filters.length&&a.filters[0].term?m[a.name]=a.filters[0].term:delete m[a.name]}),k()})}},k(),l()};var n=function(a){var b=a?30*a+130:270;angular.element(document.getElementsByClassName("ui-grid")[0]).css("height",b+"px"),angular.element(document.getElementsByClassName("ui-grid-viewport")).css("height",30*a+"px")};a.$on("$destroy",function(){e.unsyncUpdates("voice_queue")})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.realtime.view.parameters",{url:"/parameters",templateUrl:"app/channels/voice/realtime/view/parameter/parameter.html",controller:"VoiceRealtimeViewParameterCtrl"})}]),angular.module("xCallyShuttleApp").controller("VoiceRealtimeViewQueueCtrl",["$scope","$log","$uibModal","$translate","socket","xAlert","ReportQueue","Pause","Action","usSpinnerService","$q","uiGridConstants","$timeout","Auth",function(a,b,c,d,e,f,g,h,i,j,k,l,m,n){function o(){return j.spin("spinner-grid"),g.get({controller:"waiting",controller2:"calls"}).$promise.then(function(b){a.gridOptions.data=b.rows})["catch"](function(a){f.show(a.message,"danger")})["finally"](function(){p(),j.stop("spinner-grid")})}function p(){e.socket.on("report_queue:save",function(b){var c=_.find(a.gridOptions.data,{id:b.id});c?(_.merge(c,b),b.queuecallerleaveAt&&m(function(){_.remove(a.gridOptions.data,{id:b.id})},1e4)):b.queuecallerleaveAt||a.gridOptions.data.push(b)}),e.socket.on("report_queue:remove",function(b){_.remove(a.gridOptions.data,{id:b.id})})}a.moment=moment,a.initQueues=function(){a.gridOptions={headerCellFilter:"translate",enableHorizontalScrollbar:0,enableVerticalScrollbar:0,enableFiltering:!0,columnDefs:[{name:"queue",displayName:"APPLICATION_QUEUE",headerCellFilter:"translate"},{name:"status",displayName:"APPLICATION_STATUS",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            {{\'APPLICATION_QUEUESTATUS_WAITING\' | translate}}{{\'APPLICATION_QUEUESTATUS_ABANDON\' | translate}}{{\'APPLICATION_QUEUESTATUS_COMPLETE\' | translate}} [{{hhours}}:{{mminutes}}:{{sseconds}}]
            ',enableSorting:!1,enableColumnMenu:!1,enableFiltering:!1},{name:"caller",displayName:"APPLICATION_CALLER",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:"
            {{row.entity.calleridnum || ''}} {{row.entity.calleridname || ''}}
            ",enableSorting:!1,enableColumnMenu:!1,enableFiltering:!1},{name:"agent",displayName:"APPLICATION_AGENT",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:"
            {{row.entity.connectedlinenum || ''}} {{row.entity.connectedlinename || ''}}
            ",enableSorting:!1,enableColumnMenu:!1,enableFiltering:!1}],data:[],gridMenuTitleFilter:function(a){var b=k.defer();return b.resolve(d.instant(a)),b.promise},onRegisterApi:function(b){a.gridApi=b,a.gridApi.grid.registerDataChangeCallback(function(){q(a.gridOptions.data.length)})}},o()};var q=function(a){var b=a?30*a+60:270;angular.element(document.getElementsByClassName("ui-grid")[0]).css("height",b+"px"),angular.element(document.getElementsByClassName("ui-grid-viewport")).css("height",30*a+"px")};a.$on("$destroy",function(){e.unsyncUpdates("report_queue")})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.realtime.view.queues",{url:"/queues",templateUrl:"app/channels/voice/realtime/view/queue/queue.html",controller:"VoiceRealtimeViewQueueCtrl"})}]),angular.module("xCallyShuttleApp").controller("VoiceRealtimeViewTelephoneCtrl",["$scope","$log","$uibModal","$translate","socket","xAlert","Telephone","Pause","Action","usSpinnerService","$q","uiGridConstants",function(a,b,c,d,e,f,g,h,i,j,k,l){function m(){return j.spin("spinner-grid"),g.get(o).$promise.then(function(b){a.gridOptions.totalItems=b.count,a.gridOptions.data=b.rows})["catch"](function(a){f.show(a.message,"danger")})["finally"](function(){j.stop("spinner-grid")})}function n(){e.socket.on("telephone:save",function(b){var c=_.find(a.gridOptions.data,{id:b.id});c&&(c.status=b.status,c.statusAt=b.statusAt,c.ipaddr=b.ipaddr,c.port=b.port,c.fullcontact=b.fullcontact,c.useragent=b.useragent,c.lastms=b.lastms,c.internal=b.internal)}),e.socket.on("telephone:remove",function(b){_.remove(a.gridOptions.data,{id:b.id})})}var o={offset:0,limit:10};a.moment=moment,a.initTelephones=function(){a.gridOptions={enableGridMenu:!0,headerCellFilter:"translate",enableHorizontalScrollbar:0,enableVerticalScrollbar:0,enableFiltering:!0,showGridFooter:!0,paginationPageSizes:[10,25,50,75],paginationPageSize:10,useExternalPagination:!0,useExternalSorting:!0,useExternalFiltering:!0,multiSelect:!0,showTreeExpandNoChildren:!0,columnDefs:[{name:"name",displayName:"APPLICATION_TELEPHONE",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:"
            {{row.entity.name}} <{{row.entity.internal}}>
            "},{name:"status",displayName:"APPLICATION_PHONE_STATUS",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:"
            {{'APPLICATION_'+row.entity.status | translate}} ({{hhours}}:{{mminutes}}:{{sseconds}})
            ",filter:{type:l.filter.SELECT,selectOptions:[{value:"UNKNOWN",label:d.instant("APPLICATION_UNKNOWN")},{value:"NOT_INUSE",label:d.instant("APPLICATION_NOT_INUSE")},{value:"INUSE",label:d.instant("APPLICATION_INUSE")},{value:"BUSY",label:d.instant("APPLICATION_BUSY")},{value:"INVALID",label:d.instant("APPLICATION_INVALID")},{value:"UNAVAILABLE",label:d.instant("APPLICATION_UNAVAILABLE")},{value:"RINGING",label:d.instant("APPLICATION_RINGING")},{value:"RINGINUSE",label:d.instant("APPLICATION_RINGINUSE")},{value:"ONHOLD",label:d.instant("APPLICATION_ONHOLD")}]}},{name:"action",displayName:"",width:170,cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            ',enableSorting:!1,enableFiltering:!1,enableColumnMenu:!1}],data:[],gridMenuTitleFilter:function(a){var b=k.defer();return b.resolve(d.instant(a)),b.promise},onRegisterApi:function(b){a.gridApi=b,a.gridApi.grid.registerDataChangeCallback(function(){p(a.gridOptions.data.length)}),a.gridApi.core.on.sortChanged(a,function(a,b){0===b.length?o.order=null:o.order=b[0].name+" "+b[0].sort.direction.toUpperCase(),m()}),a.gridApi.pagination.on.paginationChanged(a,function(a,b){o.offset=(a-1)*b,o.limit=b,m()}),a.gridApi.core.on.filterChanged(a,function(){var a=this.grid;a.columns.forEach(function(a){a.filters[0].term?o[a.name]=a.filters[0].term:delete o[a.name]}),m()})}},m(),n()};var p=function(a){var b=a?30*a+130:270;angular.element(document.getElementsByClassName("ui-grid")[0]).css("height",b+"px"),angular.element(document.getElementsByClassName("ui-grid-viewport")).css("height",30*a+"px")};a.$on("$destroy",function(){e.unsyncUpdates("telephone")}),a.showInfo=function(a){c.open({animation:!0,templateUrl:"app/channels/voice/realtime/view/telephone/telephone.modal.info.html",controller:"VoiceRealtimeViewTelephoneInfoModalCtrl",resolve:{telephone:a}})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.realtime.view.telephones",{url:"/telephones",templateUrl:"app/channels/voice/realtime/view/telephone/telephone.html",controller:"VoiceRealtimeViewTelephoneCtrl",data:{permissions:{only:["admin"],redirectTo:"main.dashboard.voice"}}})}]),angular.module("xCallyShuttleApp").controller("VoiceRealtimeViewTelephoneInfoModalCtrl",["$scope","$uibModalInstance","$http","telephone",function(a,b,c,d){a.telephone=d,a.close=function(){b.dismiss("cancel")}}]),angular.module("xCallyShuttleApp").controller("VoiceRealtimeViewCtrl",["$scope","Auth",function(a,b){a.Auth=b}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.realtime.view",{url:"/view",templateUrl:"app/channels/voice/realtime/view/view.html",controller:"VoiceRealtimeViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/css/profile.css","assets/css/tasks.css"]}])}]}})}]),angular.module("xCallyShuttleApp").controller("VoiceRecordingListCtrl",["$scope","$translate","$sce","Recording","gridOptions","xAlert","uiGridConstants",function(a,b,c,d,e,f,g){a.Recording=d;a.updateRating=function(a,c){return d.update({id:a,rating:c}).$promise.then(function(){f.show(b.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){f.show(a.message,"danger")})},a.initView=function(){var b={exporterCsvFilename:"recordings.csv",rowHeight:30,primaryKey:"uniqueid",columnDefs:[{name:"uniqueid"},{name:"calleridnum"},{name:"membername"},{name:"type",cellClass:"text-center ui-grid-vcenter",cellTemplate:"
            ",filter:{type:g.filter.SELECT,selectOptions:[{value:"inbound",label:"Inbound"},{value:"outbound",label:"Outbound"}]}},{name:"queue"},{name:"createdAt",displayName:"APPLICATION_DATE",headerCellFilter:"translate",filterHeaderTemplate:'
            ',filters:[{name:"From",condition:g.filter.GREATER_THAN_OR_EQUAL},{name:"To",condition:g.filter.LESS_THAN_OR_EQUAL}]},{name:"rating",filter:{type:g.filter.SELECT,selectOptions:[{value:1,label:"1"},{value:2,label:"2"},{value:3,label:"3"},{value:4,label:"4"},{value:5,label:"5"}]},cellClass:"ui-grid-vcenter",width:"100",cellTemplate:'
            '},{name:"audio",displayName:"",width:225,enableSorting:!1,enableFiltering:!1,buttons:[{directive:''}]},{name:"action",width:150,buttons:[{directive:''},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.uniqueid, row.entity.uniqueid)"}]}]};a.gridOptions=e.gridOptions(d,b,a,{order:"createdAt DESC"})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.recordings.list",{url:"/list",templateUrl:"app/channels/voice/recording/list/list.html",controller:"VoiceRecordingListCtrl"})}]),angular.module("xCallyShuttleApp").factory("Recording",["$resource",function(a){return a("/api/voice/recordings/:id/:controller",{id:"@id"},{update:{method:"PUT"},patch:{method:"PATCH"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.recordings",{url:"/recordings",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.routes.inbound",{url:"/inbound",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("VoiceRouteInboundListCreateCtrl",["$scope","$uibModalInstance","Route","application","xAlert","$location","$translate",function(a,b,c,d,e,f,g){a.$application=d.application,a.forms={route:void 0},a.item={type:"inbound",appdata:"Inbound Call",context:"from-voip-provider"},a.ok=function(){return a.item.exten=a.$application.checkUnderscore(a.item.exten),c.save(a.item).$promise.then(function(a){b.close(a),f.path("/channels/voice/routes/inbound/view/"+a.id+"/applications")})["catch"](function(a){console.log(a),e.show(g.instant(a.data.translatedMessage),"danger")})},a.cancel=function(){b.dismiss("cancel")}}]),angular.module("xCallyShuttleApp").controller("VoiceRouteInboundListCtrl",["$scope","$http","socket","$translate","$rootScope","xAlert","Modal","stResource","gridOptions","Route","$uibModal","$location","$log",function(a,b,c,d,e,f,g,h,i,j,k,l,m){a.initView=function(){var b={exporterCsvFilename:"InboundRoutes.csv",columnDefs:[{name:"exten",displayName:"APPLICATION_PHONE_NUMBER"},{name:"description"},{name:"applications",cellClass:"ui-grid-vcenter",cellTemplate:'
            {{app.app}}({{app.appdata[0]}}), ...
            {{\'MESSAGE_NO_AVAILABLE_APPLICATIONS\' | translate}}
            ',enableSorting:!1,enableFiltering:!1,enableColumnMenu:!1},{name:"action",width:170,buttons:[{"class":"blue-hoki",href:"/channels/voice/routes/inbound/view/{{row.entity.id}}/settings",name:"settings"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.exten, row.entity.id)"}]}]};a.gridOptions=i.gridOptions(j,b,a,{type:"inbound"})},a.create=function(){k.open({animation:!0,templateUrl:"app/channels/voice/route/inbound/list/create.modal.html",controller:"VoiceRouteInboundListCreateCtrl"})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.routes.inbound.list",{url:"/list",templateUrl:"app/channels/voice/route/inbound/list/list.html",controller:"VoiceRouteInboundListCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{name:"smart-table",insertBefore:"#ng_load_plugins_before",files:["assets/css/smart_table.css"]}])}]}})}]),angular.module("xCallyShuttleApp").controller("VoiceRouteInboundViewCtrl",["$scope","$translate","$stateParams","socket","$location","WizardHandler","Modal","xAlert","application","interval","Route","VoiceQueue","Sound","SquareProject","VoiceContext","Interval","VoiceMail","User","Trunk",function(a,b,c,d,e,f,g,h,i,j,k,l,m,n,o,p,q,r,s){function t(b){var c,d;if("always"===b.intType)c=null,d="*,*,*,*";else if("list"===b.intType)d=b.IntervalId?_.find(a.Intervals,{id:b.IntervalId}).name:"*,*,*,*",c=b.IntervalId||null;else if("custom"===b.intType){c=null;var e,f,g,h;e=b.t_from&&b.t_to&&!b.alwaysTime?moment(b.t_from).format("HH:mm")+"-"+moment(b.t_to).format("HH:mm"):"*",b.wd_from&&"always"!==b.wd_from?(f=b.wd_from,b.wd_to&&"always"!==b.wd_to&&(f+="-"+b.wd_to)):f="*",b.md_from&&"always"!==b.md_from?(g=b.md_from,b.md_to&&"always"!==b.md_to&&(g+="-"+b.md_to)):g="*",b.m_from&&"always"!==b.m_from?(h=b.m_from,b.m_to&&"always"!==b.m_to&&(h+="-"+b.m_to)):h="*",d=e+","+f+","+g+","+h}void 0===a.selectedApp?(a.application.interval=d,a.application.IntervalId=c):(a.route.Applications[a.selectedApp].interval=d,a.route.Applications[a.selectedApp].IntervalId=c)}function u(){if(a.interval.intType=a.route.Applications[a.selectedApp].IntervalId?"list":"*,*,*,*"===a.route.Applications[a.selectedApp].interval?"always":"custom",a.interval.IntervalId=a.route.Applications[a.selectedApp].IntervalId,!a.route.Applications[a.selectedApp].IntervalId){var b,c=a.route.Applications[a.selectedApp].interval?a.route.Applications[a.selectedApp].interval.split(","):["*","*","*","*"];c.forEach(function(c,d){switch(d){case 0:"*"!==c?(b=c.split("-"),a.interval.t_from=moment(b[0],"HH:mm"),a.interval.t_to=moment(b[1],"HH:mm"),a.interval.alwaysTime=!1):(a.interval.t_from=moment(new Date),a.interval.t_to=moment(new Date),a.interval.alwaysTime=!0);break;case 1:"*"!==c?(b=c.split("-"),a.interval.wd_from=b[0],a.interval.wd_to=b[1]?b[1]:"always"):(a.interval.wd_from="always",a.interval.wd_to="always");break;case 2:"*"!==c?(b=c.split("-"),a.interval.md_from=b[0],a.interval.md_to=b[1]?b[1]:"always"):(a.interval.md_from="always",a.interval.md_to="always");break;case 3:"*"!==c?(b=c.split("-"),a.interval.m_from=b[0],a.interval.m_to=b[1]?b[1]:"always"):(a.interval.m_from="always",a.interval.m_to="always")}})}}a.$translate=b,a.selectedApp=void 0,a.showGrid=!0,a.$application=i.application,a.$interval=j.interval,a.gridOptions={enableHorizontalScrollbar:0,enableVerticalScrollbar:0,enableSorting:!1,rowTemplate:'
            ',columnDefs:[{name:"app",headerCellFilter:"translate",displayName:"APPLICATION_APPLICATION",enableColumnMenu:!1},{name:"appType",headerCellFilter:"translate",displayName:"APPLICATION_INFO",enableColumnMenu:!1},{name:"appdata",headerCellFilter:"translate",displayName:"APPLICATION_ARGUMENTS",cellClass:"ui-grid-vcenter",cellTemplate:"
            {{row.entity.appdata.join(',')}}
            ",enableColumnMenu:!1},{name:"interval",headerCellFilter:"translate",displayName:"APPLICATION_TIME",enableColumnMenu:!1},{name:"action",enableColumnMenu:!1,headerCellFilter:"translate",displayName:"APPLICATION_ACTION",width:125,cellClass:"ui-grid-vcenter",cellTemplate:'
            '}],onRegisterApi:function(b){b.draggableRows.on.rowDropped(a,function(b,c){a.updateRoute()}),b.grid.registerDataChangeCallback(function(){v(a.gridOptions.data.length)})}};var v=function(a){var b=a?30*a+120:177;angular.element(document.getElementsByClassName("ui-grid")[0]).css("height",b+"px")};a.initApplication=function(b){b&&(a.selectedApp=void 0),a.forms={settings:void 0,interval:void 0},a.application={},a.interval={intType:"always",t_from:moment(new Date),t_to:moment(new Date),wd_from:"always",wd_to:"always",md_from:"always",md_to:"always",m_from:"always",m_to:"always",alwaysTime:!0},a.main={app:""}},a.$watch("interval",function(a){a&&t(a)},!0),a.updateSetAppdata=function(){a.application.variable&&a.application.value&&"Set"===a.main.app.value?a.application.appdata[0]=a.application.variable+"="+a.application.value:a.application.appdata[0]=null},a.updateSetAppdataSettings=function(){a.application.variable&&a.application.value&&"Set"===a.main.app.value?a.route.Applications[a.selectedApp].appdata[0]=a.application.variable+"="+a.application.value:a.route.Applications[a.selectedApp].appdata[0]=null},a.$watch("main.app",function(c){if(c){var d,e,f={},g={};switch(void 0===a.selectedApp&&(a.application={appType:c.type,app:c.value,interval:a.application.interval||"*,*,*,*",appdata:[]}),c.value){case"Queue":d=l,e=m;break;case"Playback":d=m;break;case"AGI":d=n;break;case"Goto":d=o;break;case"Voicemail":d=q;break;case"Dial":switch(c.type){case"internalDial":d=r,f={controller:"all"};break;case"externalDial":d=s}}if(d)return d.get(f).$promise.then(function(b){a.items=b.rows}).then(function(){return e?e.get(g).$promise:void 0}).then(function(b){b&&(a.items2=b.rows)})["catch"](function(a){h.show(b.instant(a.message),"danger")})}},!0),a.deselectAndRedirect=function(b){a.selectedApp=void 0,e.path(b)},a.getIntervals=function(){return p.get().$promise.then(function(b){a.Intervals=b.rows,d.syncUpdates("interval",a.Intervals)})["catch"](function(a){h.show(b.instant(a.message),"danger")})},a.getRoute=function(){return k.get({id:c.id}).$promise.then(function(b){a.route=b,a.gridOptions.data=b.Applications,v(a.gridOptions.data.length)})["catch"](function(a){e.path("/channels/voice/routes/inbound/list")})},a.getApplication=function(){if(void 0===a.selectedApp)e.path("/channels/voice/routes/inbound/list");else{if(a.showGrid=!0,a.route.Applications[a.selectedApp].appType)a.main.app=_.find(a.$application.applications,{type:a.route.Applications[a.selectedApp].appType});else{var b=_.find(a.$application.applications,{value:a.route.Applications[a.selectedApp].app});if(b)a.main.app=b;else{a.main.app=_.find(a.$application.applications,{type:"custom"});var c=a.route.Applications[a.selectedApp].appdata.join(",");a.route.Applications[a.selectedApp].appdata=[c],a.route.Applications[a.selectedApp].appType="custom"}}if("Set"===a.main.app.value){var d=a.route.Applications[a.selectedApp].appdata[0].split("=");a.application.variable=d[0],a.application.value=d[1]}u()}},a.openApplication=function(b){a.selectedApp=b,a.showGrid=!1,e.path("/channels/voice/routes/inbound/view/"+c.id+"/applications/settings")},a.updateRoute=function(d){return a.route.exten=a.$application.checkUnderscore(a.route.exten),k.update({id:a.route.id},a.route).$promise.then(function(f){a.selectedApp=void 0,h.show(b.instant("MESSAGE_WELL_DONE")+"!","success"),d&&e.path("/channels/voice/routes/inbound/view/"+c.id+"/"+d)})["catch"](function(a){console.log(a),h.show(b.instant(a.data.translatedMessage),"danger")})},a.getContexts=function(){return o.get().$promise.then(function(b){a.voiceContexts=b.rows,d.syncUpdates("voice_context",a.voiceContexts)})["catch"](function(a){h.show(b.instant(a.message),"danger")})},a.deleteApp=g.confirm["delete"](function(b){a.route.Applications.splice(b,1),a.updateRoute()}),a.addApplication=function(){a.route.Applications.push(a.application),a.updateRoute("applications")},a.goNext=function(){f.wizard().next()},a.previous=function(){f.wizard().previous()},a.formValidation=function(a){return a},a.$on("destroy",function(){d.unsyncUpdates("voice_extension")})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.routes.inbound.view",{url:"/view/:id",templateUrl:"app/channels/voice/route/inbound/view/view.html",controller:"VoiceRouteInboundViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.channels.voice.routes.inbound.view.settings",{url:"/settings",templateUrl:"app/channels/voice/route/inbound/view/view.settings.html"}).state("main.channels.voice.routes.inbound.view.applications",{url:"/applications",templateUrl:"app/channels/voice/route/inbound/view/view.applications.html"}).state("main.channels.voice.routes.inbound.view.application",{url:"/application",templateUrl:"app/channels/voice/route/view.application.html"}).state("main.channels.voice.routes.inbound.view.applications.settings",{url:"/settings",templateUrl:"app/channels/voice/route/view.applicationSettings.html"})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.routes.internal",{url:"/internal",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("VoiceRouteInternalListCreateCtrl",["$scope","$uibModalInstance","Route","application","$location","$translate","xAlert",function(a,b,c,d,e,f,g){a.$application=d.application,a.forms={route:void 0},a.item={type:"internal",appdata:"Internal Call",context:"from-sip"},a.ok=function(){return a.item.exten=a.$application.checkUnderscore(a.item.exten),c.save(a.item).$promise.then(function(a){b.close(a),e.path("/channels/voice/routes/internal/view/"+a.id+"/applications")})["catch"](function(a){g.error(a)})},a.cancel=function(){b.dismiss("cancel")}}]),angular.module("xCallyShuttleApp").controller("VoiceRouteInternalListCtrl",["$scope","$http","socket","$translate","$rootScope","xAlert","Modal","stResource","gridOptions","Route","$uibModal","$location","$log",function(a,b,c,d,e,f,g,h,i,j,k,l,m){a.initView=function(){var b={exporterCsvFilename:"InternalRoutes.csv",columnDefs:[{name:"exten",displayName:"APPLICATION_PHONE_NUMBER"},{name:"description"},{name:"applications",cellClass:"ui-grid-vcenter",cellTemplate:'
            {{app.app}}({{app.appdata[0]}}),...
            {{\'MESSAGE_NO_AVAILABLE_APPLICATIONS\' | translate}}
            ',enableSorting:!1,enableFiltering:!1,enableColumnMenu:!1},{name:"action",width:170,buttons:[{"class":"blue-hoki",href:"/channels/voice/routes/internal/view/{{row.entity.id}}/settings",name:"settings"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.exten, row.entity.id)"}]}]};a.gridOptions=i.gridOptions(j,b,a,{type:"internal"})},a.create=function(){k.open({animation:!0,templateUrl:"app/channels/voice/route/internal/list/create.modal.html",controller:"VoiceRouteInternalListCreateCtrl"})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.routes.internal.list",{url:"/list",templateUrl:"app/channels/voice/route/internal/list/list.html",controller:"VoiceRouteInternalListCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{name:"smart-table",insertBefore:"#ng_load_plugins_before",files:["assets/css/smart_table.css"]}])}]}})}]),angular.module("xCallyShuttleApp").controller("VoiceRouteInternalViewCtrl",["$scope","$translate","$stateParams","socket","$location","WizardHandler","Modal","xAlert","application","interval","Route","VoiceQueue","Sound","SquareProject","VoiceContext","Interval","VoiceMail","User","Trunk",function(a,b,c,d,e,f,g,h,i,j,k,l,m,n,o,p,q,r,s){function t(b){var c,d;if("always"===b.intType)c=null,d="*,*,*,*";else if("list"===b.intType)d=b.IntervalId?_.find(a.Intervals,{ +id:b.IntervalId}).name:"*,*,*,*",c=b.IntervalId||null;else if("custom"===b.intType){c=null;var e,f,g,h;e=b.t_from&&b.t_to&&!b.alwaysTime?moment(b.t_from).format("HH:mm")+"-"+moment(b.t_to).format("HH:mm"):"*",b.wd_from&&"always"!==b.wd_from?(f=b.wd_from,b.wd_to&&"always"!==b.wd_to&&(f+="-"+b.wd_to)):f="*",b.md_from&&"always"!==b.md_from?(g=b.md_from,b.md_to&&"always"!==b.md_to&&(g+="-"+b.md_to)):g="*",b.m_from&&"always"!==b.m_from?(h=b.m_from,b.m_to&&"always"!==b.m_to&&(h+="-"+b.m_to)):h="*",d=e+","+f+","+g+","+h}void 0===a.selectedApp?(a.application.interval=d,a.application.IntervalId=c):(a.route.Applications[a.selectedApp].interval=d,a.route.Applications[a.selectedApp].IntervalId=c)}function u(){if(a.interval.intType=a.route.Applications[a.selectedApp].IntervalId?"list":"*,*,*,*"===a.route.Applications[a.selectedApp].interval?"always":"custom",a.interval.IntervalId=a.route.Applications[a.selectedApp].IntervalId,!a.route.Applications[a.selectedApp].IntervalId){var b,c=a.route.Applications[a.selectedApp].interval?a.route.Applications[a.selectedApp].interval.split(","):["*","*","*","*"];c.forEach(function(c,d){switch(d){case 0:"*"!==c?(b=c.split("-"),a.interval.t_from=moment(b[0],"HH:mm"),a.interval.t_to=moment(b[1],"HH:mm"),a.interval.alwaysTime=!1):(a.interval.t_from=moment(new Date),a.interval.t_to=moment(new Date),a.interval.alwaysTime=!0);break;case 1:"*"!==c?(b=c.split("-"),a.interval.wd_from=b[0],a.interval.wd_to=b[1]?b[1]:"always"):(a.interval.wd_from="always",a.interval.wd_to="always");break;case 2:"*"!==c?(b=c.split("-"),a.interval.md_from=b[0],a.interval.md_to=b[1]?b[1]:"always"):(a.interval.md_from="always",a.interval.md_to="always");break;case 3:"*"!==c?(b=c.split("-"),a.interval.m_from=b[0],a.interval.m_to=b[1]?b[1]:"always"):(a.interval.m_from="always",a.interval.m_to="always")}})}}a.$translate=b,a.selectedApp=void 0,a.showGrid=!0,a.$application=i.application,a.$interval=j.interval,a.gridOptions={enableHorizontalScrollbar:0,enableVerticalScrollbar:0,enableSorting:!1,rowTemplate:'
            ',columnDefs:[{name:"app",headerCellFilter:"translate",displayName:"APPLICATION_APPLICATION",enableColumnMenu:!1},{name:"appType",headerCellFilter:"translate",displayName:"APPLICATION_INFO",enableColumnMenu:!1},{name:"appdata",headerCellFilter:"translate",displayName:"APPLICATION_ARGUMENTS",cellClass:"ui-grid-vcenter",cellTemplate:"
            {{row.entity.appdata.join(',')}}
            ",enableColumnMenu:!1},{name:"interval",headerCellFilter:"translate",displayName:"APPLICATION_TIME",enableColumnMenu:!1},{name:"action",enableColumnMenu:!1,headerCellFilter:"translate",displayName:"APPLICATION_ACTION",width:125,cellClass:"ui-grid-vcenter",cellTemplate:'
            '}],onRegisterApi:function(b){b.draggableRows.on.rowDropped(a,function(b,c){a.updateRoute()}),b.grid.registerDataChangeCallback(function(){v(a.gridOptions.data.length)})}};var v=function(a){var b=a?30*a+120:177;angular.element(document.getElementsByClassName("ui-grid")[0]).css("height",b+"px")};a.initApplication=function(b){b&&(a.selectedApp=void 0),a.forms={settings:void 0,interval:void 0},a.application={},a.interval={intType:"always",t_from:moment(new Date),t_to:moment(new Date),wd_from:"always",wd_to:"always",md_from:"always",md_to:"always",m_from:"always",m_to:"always",alwaysTime:!0},a.main={app:""}},a.$watch("interval",function(a){a&&t(a)},!0),a.updateSetAppdata=function(){a.application.variable&&a.application.value&&"Set"===a.main.app.value?a.application.appdata[0]=a.application.variable+"="+a.application.value:a.application.appdata[0]=null},a.updateSetAppdataSettings=function(){a.application.variable&&a.application.value&&"Set"===a.main.app.value?a.route.Applications[a.selectedApp].appdata[0]=a.application.variable+"="+a.application.value:a.application.appdata[0]=null},a.$watch("main.app",function(c){if(c){var d,e,f={},g={};switch(void 0===a.selectedApp&&(a.application={appType:c.type,app:c.value,interval:a.application.interval||"*,*,*,*",appdata:[]}),c.value){case"Queue":d=l,e=m;break;case"Playback":d=m;break;case"AGI":d=n;break;case"Goto":d=o;break;case"Voicemail":d=q;break;case"Dial":switch(c.type){case"internalDial":d=r,f={controller:"all"};break;case"externalDial":d=s}}if(d)return d.get(f).$promise.then(function(b){a.items=b.rows}).then(function(){return e?e.get(g).$promise:void 0}).then(function(b){b&&(a.items2=b.rows)})["catch"](function(a){h.show(b.instant(a.message),"danger")})}},!0),a.deselectAndRedirect=function(b){a.selectedApp=void 0,e.path(b)},a.getIntervals=function(){return p.get().$promise.then(function(b){a.Intervals=b.rows,d.syncUpdates("interval",a.Intervals)})["catch"](function(a){h.show(b.instant(a.message),"danger")})},a.getRoute=function(){return k.get({id:c.id}).$promise.then(function(b){a.route=b,a.gridOptions.data=b.Applications,v(a.gridOptions.data.length)})["catch"](function(a){e.path("/channels/voice/routes/internal/list")})},a.getApplication=function(){if(void 0===a.selectedApp)e.path("/channels/voice/routes/inbound/list");else{if(a.showGrid=!0,a.route.Applications[a.selectedApp].appType)a.main.app=_.find(a.$application.applications,{type:a.route.Applications[a.selectedApp].appType});else{var b=_.find(a.$application.applications,{value:a.route.Applications[a.selectedApp].app});if(b)a.main.app=b;else{a.main.app=_.find(a.$application.applications,{type:"custom"});var c=a.route.Applications[a.selectedApp].appdata.join(",");a.route.Applications[a.selectedApp].appdata=[c],a.route.Applications[a.selectedApp].appType="custom"}}if("Set"===a.main.app.value){var d=a.route.Applications[a.selectedApp].appdata[0].split("=");a.application.variable=d[0],a.application.value=d[1]}u()}},a.openApplication=function(b){a.selectedApp=b,a.showGrid=!1,e.path("/channels/voice/routes/internal/view/"+c.id+"/applications/settings")},a.updateRoute=function(d){return a.route.exten=a.$application.checkUnderscore(a.route.exten),k.update({id:a.route.id},a.route).$promise.then(function(f){a.selectedApp=void 0,h.show(b.instant("MESSAGE_WELL_DONE")+"!","success"),d&&e.path("/channels/voice/routes/internal/view/"+c.id+"/"+d)})["catch"](function(a){h.show(b.instant(a.data.translatedMessage),"danger")})},a.getContexts=function(){return o.get().$promise.then(function(b){a.voiceContexts=b.rows,d.syncUpdates("voice_context",a.voiceContexts)})["catch"](function(a){h.show(b.instant(a.message),"danger")})},a.deleteApp=g.confirm["delete"](function(b){a.route.Applications.splice(b,1),a.updateRoute()}),a.addApplication=function(){a.route.Applications.push(a.application),a.updateRoute("applications")},a.goNext=function(){f.wizard().next()},a.previous=function(){f.wizard().previous()},a.formValidation=function(a){return a},a.$on("destroy",function(){d.unsyncUpdates("voice_extension")})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.routes.internal.view",{url:"/view/:id",templateUrl:"app/channels/voice/route/internal/view/view.html",controller:"VoiceRouteInternalViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.channels.voice.routes.internal.view.settings",{url:"/settings",templateUrl:"app/channels/voice/route/internal/view/view.settings.html"}).state("main.channels.voice.routes.internal.view.applications",{url:"/applications",templateUrl:"app/channels/voice/route/internal/view/view.applications.html"}).state("main.channels.voice.routes.internal.view.application",{url:"/application",templateUrl:"app/channels/voice/route/view.application.html"}).state("main.channels.voice.routes.internal.view.applications.settings",{url:"/settings",templateUrl:"app/channels/voice/route/view.applicationSettings.html"})}]),angular.module("xCallyShuttleApp").controller("VoiceRouteOutboundListCreateCtrl",["$scope","$uibModalInstance","Route","application","xAlert","$translate","$location","Tag",function(a,b,c,d,e,f,g,h){a.$application=d.application,a.forms={route:void 0},a.item={type:"outbound",appdata:"Outbound Call",context:"from-sip"},a.getTags=function(){return h.get().$promise.then(function(b){b.rows.unshift({name:"--"}),a.tags=b.rows})["catch"](function(a){e.show(f.instant(a.data.translatedMessage),"danger")})},a.ok=function(){return a.item.exten=a.$application.checkUnderscore(a.item.exten),c.save(a.item).$promise.then(function(a){b.close(a),g.path("/channels/voice/routes/outbound/view/"+a.id+"/routes")})["catch"](function(a){e.show(f.instant(a.data.translatedMessage),"danger")})},a.cancel=function(){b.dismiss("cancel")}}]),angular.module("xCallyShuttleApp").controller("VoiceRouteOutboundListCtrl",["$scope","$http","socket","$translate","$rootScope","xAlert","Modal","stResource","gridOptions","Route","$uibModal","$location","$log",function(a,b,c,d,e,f,g,h,i,j,k,l,m){a.initView=function(){var b={exporterCsvFilename:"OutboundRoutes.csv",columnDefs:[{name:"exten",displayName:"APPLICATION_DESTINATION_PATTERN"},{name:"description"},{name:"applications",cellClass:"ui-grid-vcenter",cellTemplate:'
            {{app.app}}({{app.appdata[0]}}),...
            {{\'MESSAGE_NO_AVAILABLE_APPLICATIONS\' | translate}}
            ',enableSorting:!1,enableFiltering:!1,enableColumnMenu:!1},{name:"action",width:170,buttons:[{"class":"blue-hoki",href:"/channels/voice/routes/outbound/view/{{row.entity.id}}/settings",name:"settings"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.exten, row.entity.id)"}]}]};a.gridOptions=i.gridOptions(j,b,a,{type:"outbound"})},a.create=function(){k.open({animation:!0,templateUrl:"app/channels/voice/route/outbound/list/create.modal.html",controller:"VoiceRouteOutboundListCreateCtrl"})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.routes.outbound.list",{url:"/list",templateUrl:"app/channels/voice/route/outbound/list/list.html",controller:"VoiceRouteOutboundListCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{name:"smart-table",insertBefore:"#ng_load_plugins_before",files:["assets/css/smart_table.css"]}])}]}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.routes.outbound",{url:"/outbound",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("VoiceRouteOutboundViewCtrl",["$scope","xAlert","$translate","$stateParams","socket","$location","WizardHandler","Modal","application","interval","Route","Trunk","VoiceContext","Interval","Tag",function(a,b,c,d,e,f,g,h,i,j,k,l,m,n,o){function p(b){var c,d;if("always"===b.intType)c=null,d="*,*,*,*";else if("list"===b.intType)d=b.IntervalId?_.find(a.Intervals,{id:b.IntervalId}).name:"*,*,*,*",c=b.IntervalId||null;else if("custom"===b.intType){c=null;var e,f,g,h;e=b.t_from&&b.t_to&&!b.alwaysTime?moment(b.t_from).format("HH:mm")+"-"+moment(b.t_to).format("HH:mm"):"*",b.wd_from&&"always"!==b.wd_from?(f=b.wd_from,b.wd_to&&"always"!==b.wd_to&&(f+="-"+b.wd_to)):f="*",b.md_from&&"always"!==b.md_from?(g=b.md_from,b.md_to&&"always"!==b.md_to&&(g+="-"+b.md_to)):g="*",b.m_from&&"always"!==b.m_from?(h=b.m_from,b.m_to&&"always"!==b.m_to&&(h+="-"+b.m_to)):h="*",d=e+","+f+","+g+","+h}void 0===a.selectedApp?(a.application.interval=d,a.application.IntervalId=c):(a.route.Applications[a.selectedApp].interval=d,a.route.Applications[a.selectedApp].IntervalId=c)}function q(){if(a.interval.intType=a.route.Applications[a.selectedApp].IntervalId?"list":"*,*,*,*"===a.route.Applications[a.selectedApp].interval?"always":"custom",a.interval.IntervalId=a.route.Applications[a.selectedApp].IntervalId,!a.route.Applications[a.selectedApp].IntervalId){var b,c=a.route.Applications[a.selectedApp].interval?a.route.Applications[a.selectedApp].interval.split(","):["*","*","*","*"];c.forEach(function(c,d){switch(d){case 0:"*"!==c?(b=c.split("-"),a.interval.t_from=moment(b[0],"HH:mm"),a.interval.t_to=moment(b[1],"HH:mm"),a.interval.alwaysTime=!1):(a.interval.t_from=moment(new Date),a.interval.t_to=moment(new Date),a.interval.alwaysTime=!0);break;case 1:"*"!==c?(b=c.split("-"),a.interval.wd_from=b[0],a.interval.wd_to=b[1]?b[1]:"always"):(a.interval.wd_from="always",a.interval.wd_to="always");break;case 2:"*"!==c?(b=c.split("-"),a.interval.md_from=b[0],a.interval.md_to=b[1]?b[1]:"always"):(a.interval.md_from="always",a.interval.md_to="always");break;case 3:"*"!==c?(b=c.split("-"),a.interval.m_from=b[0],a.interval.m_to=b[1]?b[1]:"always"):(a.interval.m_from="always",a.interval.m_to="always")}})}}a.$translate=c,a.selectedApp=void 0,a.showGrid=!0,a.$application=i.application,a.$interval=j.interval,a.recordSwitch={onText:"On",offText:"Off",isActive:!0,size:"small",animate:!0,radioOff:!0,handleWidth:"auto",labelWidth:"auto",inverse:!0,offColor:"danger",onColor:"success"},a.gridOptions={enableHorizontalScrollbar:0,enableVerticalScrollbar:0,enableSorting:!1,rowTemplate:'
            ',columnDefs:[{name:"app",displayName:"APPLICATION_APPLICATION",cellClass:"ui-grid-vcenter",cellTemplate:"
            Default{{row.entity.app}}
            ",headerCellFilter:"translate",enableColumnMenu:!1},{name:"appdata",displayName:"APPLICATION_ARGUMENTS",headerCellFilter:"translate",cellClass:"ui-grid-vcenter",cellTemplate:"
            {{row.entity.appdata.join(',')}}
            ",enableColumnMenu:!1},{name:"interval",displayName:"APPLICATION_TIME",headerCellFilter:"translate",enableColumnMenu:!1},{name:"action",displayName:"APPLICATION_ACTION",headerCellFilter:"translate",width:125,enableColumnMenu:!1,cellClass:"ui-grid-vcenter",cellTemplate:'
            '}],onRegisterApi:function(b){b.draggableRows.on.rowDropped(a,function(b,c){a.updateRoute()}),b.grid.registerDataChangeCallback(function(){r(a.gridOptions.data.length)})}};var r=function(a){var b=a?30*a+120:177;angular.element(document.getElementsByClassName("ui-grid")[0]).css("height",b+"px")};a.initApplication=function(b){b&&(a.selectedApp=void 0),a.forms={info:void 0,interval:void 0},a.application={},a.interval={intType:"always",t_from:moment(new Date),t_to:moment(new Date),wd_from:"always",wd_to:"always",md_from:"always",md_to:"always",m_from:"always",m_to:"always",alwaysTime:!0},a.main={app:""},a.applications=[{name:"Default",value:"Dial",type:"outboundDial"},{name:"Custom",value:"custom",type:"custom"}]},a.$watch("interval",function(a){a&&p(a)},!0),a.$watch("main.app",function(d){if(d){var e,f;switch(void 0===a.selectedApp&&(a.application={appType:d.type,app:d.value,interval:a.application.interval||"*,*,*,*",appdata:[]}),d.value){case"Dial":e=l;break;default:e=null,f=null}if(e)return e.get().$promise.then(function(b){a.items=b.rows}).then(function(){return f?f.get().$promise:void 0}).then(function(b){b&&(a.items2=b.rows)})["catch"](function(a){b.show(c.instant(a.message),"danger")})}},!0),a.deselectAndRedirect=function(b){a.selectedApp=void 0,f.path(b)},a.getIntervals=function(){return n.get().$promise.then(function(b){a.Intervals=b.rows,e.syncUpdates("interval",a.Intervals)})["catch"](function(a){b.show(c.instant(a.message),"danger")})},a.getRoute=function(){return k.get({id:d.id}).$promise.then(function(b){a.route=b,a.gridOptions.data=b.Applications,r(a.gridOptions.data.length)})["catch"](function(a){f.path("/channels/voice/routes/outbound/list")})},a.getApplication=function(){if(void 0===a.selectedApp)f.path("/channels/voice/routes/outbound/list");else{if(a.showGrid=!0,a.route.Applications[a.selectedApp].appType)a.main.app=_.find(a.applications,{type:a.route.Applications[a.selectedApp].appType});else{a.main.app=_.find(a.applications,{type:"custom"});var b=a.route.Applications[a.selectedApp].appdata.join(",");a.route.Applications[a.selectedApp].appdata=[b],a.route.Applications[a.selectedApp].appType="custom"}q()}},a.openApplication=function(b){a.selectedApp=b,a.showGrid=!1,f.path("/channels/voice/routes/outbound/view/"+d.id+"/routes/settings")},a.updateRoute=function(e){return a.route.exten=a.$application.checkUnderscore(a.route.exten),k.update({id:a.route.id},a.route).$promise.then(function(g){a.selectedApp=void 0,b.show(c.instant("MESSAGE_WELL_DONE")+"!","success"),e&&f.path("/channels/voice/routes/outbound/view/"+d.id+"/"+e)})["catch"](function(a){b.show(c.instant(a.data.translatedMessage),"danger")})},a.getContexts=function(){return m.get().$promise.then(function(b){a.voiceContexts=b.rows,e.syncUpdates("voice_context",a.voiceContexts)})["catch"](function(a){b.show(c.instant(a.message),"danger")})},a.getTags=function(){return o.get().$promise.then(function(b){b.rows.unshift({name:"--"}),a.tags=b.rows})["catch"](function(a){b.show(c.instant(a.data.translatedMessage),"danger")})},a.deleteApp=h.confirm["delete"](function(b){a.route.Applications.splice(b,1),a.updateRoute()}),a.addApplication=function(){a.route.Applications.push(a.application),a.updateRoute("routes")},a.goNext=function(){g.wizard().next()},a.previous=function(){g.wizard().previous()},a.formValidation=function(a){return a},a.$on("destroy",function(){e.unsyncUpdates("voice_extension")})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.routes.outbound.view",{url:"/view/:id",templateUrl:"app/channels/voice/route/outbound/view/view.html",controller:"VoiceRouteOutboundViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.channels.voice.routes.outbound.view.settings",{url:"/settings",templateUrl:"app/channels/voice/route/outbound/view/view.settings.html"}).state("main.channels.voice.routes.outbound.view.routes",{url:"/routes",templateUrl:"app/channels/voice/route/outbound/view/view.routes.html"}).state("main.channels.voice.routes.outbound.view.route",{url:"/route",templateUrl:"app/channels/voice/route/outbound/view/view.route.html"}).state("main.channels.voice.routes.outbound.view.routes.settings",{url:"/settings",templateUrl:"app/channels/voice/route/outbound/view/view.routeSettings.html"})}]),angular.module("xCallyShuttleApp").factory("Route",["$resource",function(a){return a("/api/voice/extensions/:id",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.routes",{url:"/routes",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice",{url:"/voice",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:7}}})}]),angular.module("xCallyShuttleApp").controller("VoiceVoicemailListCtrl",["$scope","VoiceMail","gridOptions",function(a,b,c){a.initView=function(){var d={exporterCsvFilename:"voice_mails.csv",primaryKey:"uniqueid",columnDefs:[{name:"mailbox"},{name:"fullname"},{name:"email"},{name:"action",width:125,buttons:[{"class":"blue-hoki",href:"/channels/voice/voicemails/view/{{row.entity.uniqueid}}/settings",name:"profile"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.mailbox, row.entity.uniqueid)"}]}]};a.gridOptions=c.gridOptions(b,d,a)}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.voicemails.list",{url:"/list",templateUrl:"app/channels/voice/voicemail/list/list.html",controller:"VoiceVoicemailListCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{name:"smart-table",insertBefore:"#ng_load_plugins_before",files:["assets/css/smart_table.css"]}])}]}})}]),angular.module("xCallyShuttleApp").controller("VoiceVoicemailViewCtrl",["$scope","VoiceMail","VoiceMailMessage","VoiceContext","$http","xAlert","$translate","$stateParams","socket","$sce","Modal","$location","gridOptions",function(a,b,c,d,e,f,g,h,i,j,k,l,m){function n(){var b={exporterCsvFilename:"voice_mail_messages.csv",primaryKey:"id",columnDefs:[{name:"callerid"},{name:"stamp",displayName:"APPLICATION_DATE",cellClass:"ui-grid-vcenter",cellTemplate:"
            {{grid.appScope.moment(row.entity.stamp).format('YYYY-MM-DD HH:mm:ss')}}
            "},{name:"duration",cellClass:"ui-grid-vcenter",cellTemplate:"
            {{row.entity.duration*1000 | date:'mm:ss'}}
            "},{name:"audio",displayName:"",width:225,enableSorting:!1,enableFiltering:!1,buttons:[{directive:''}]},{name:"action",width:150,buttons:[{directive:''},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.id, row.entity.id)"}]}]};a.gridOptions=m.gridOptions(c,b,a,{mailbox:a.voicemail.mailbox,context:a.voicemail.context})}a.moment=moment,a.VoiceMailMessage=c,a.getVoicemail=function(){return b.get({id:h.uniqueid}).$promise.then(function(b){delete b.password,delete b.stamp,a.voicemail=b})["catch"](function(a){l.path("/voice/voicemails/list")})},a.updateVoiceVoicemail=function(){return b.update({id:h.uniqueid},a.voicemail).$promise.then(function(){f.show(g.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){f.show(g.instant(a.message),"danger")})},a.getContexts=function(){return d.get().$promise.then(function(b){a.voiceContexts=b.rows})["catch"](function(a){f.show(a.message,"danger")})},a.getMessages=function(){return a.voicemail?void n():b.get({id:h.uniqueid}).$promise.then(function(b){delete b.password,delete b.stamp,a.voicemail=b,n()})["catch"](function(a){l.path("/voice/voicemails/view/"+h.uniqueid+"/settings")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.voicemails.view",{url:"/view/:uniqueid",templateUrl:"app/channels/voice/voicemail/view/view.html",controller:"VoiceVoicemailViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.channels.voice.voicemails.view.settings",{url:"/settings",templateUrl:"app/channels/voice/voicemail/view/view.settings.html"}).state("main.channels.voice.voicemails.view.messages",{url:"/messages",templateUrl:"app/channels/voice/voicemail/view/view.messages.html"})}]),angular.module("xCallyShuttleApp").factory("VoiceMail",["$resource",function(a){return a("/api/voice/voicemails/:id/:controller",{uniqueid:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.voicemails",{url:"/voicemails",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").factory("VoiceMailMessage",["$resource",function(a){return a("/api/voice/voicemails/messages/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").controller("VoiceVoicemailWizardCtrl",["$scope","VoiceMail","xAlert","WizardHandler","$location","Setting",function(a,b,c,d,e,f){a.initWizard=function(){a.form={},a.item={context:"from-voicemail"}},a.next=function(){d.wizard().next()},a.previous=function(){d.wizard().previous()},a.exitValidation=function(a){return a},a.getFirstFreeMailbox=function(){f.get({controller:"mailbox"}).$promise.then(function(b){a.item.mailbox=b.value})["catch"](function(a){c.show(a.data.message,"danger")})},a.createItem=function(){return a.item.customer_id=a.item.mailbox,b.save(a.item).$promise.then(function(){e.path("/channels/voice/voicemails/list")})["catch"](function(a){c.show(data.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.channels.voice.voicemails.wizard",{url:"/wizard",templateUrl:"app/channels/voice/voicemail/wizard/wizard.html",controller:"VoiceVoicemailWizardCtrl"})}]),angular.module("xCallyShuttleApp").factory("VoiceQueue",["$resource",function(a){return a("/api/voice/queues/:id/:controller",{name:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").factory("Company",["$resource",function(a){return a("/api/contactmanager/companies/:id/:controller",{name:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.contactmanager.companies",{url:"/companies",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:5}}})}]),angular.module("xCallyShuttleApp").controller("CompanyListCreateModalCtrl",["$scope","$uibModalInstance",function(a,b){a.forms={dashboard:void 0},a.item={},a.ok=function(){a.item.model=JSON.stringify({title:a.item.name}),b.close(a.item)},a.cancel=function(){b.dismiss("cancel")}}]),angular.module("xCallyShuttleApp").controller("ContactManagerCompanyListCtrl",["$scope","$translate","xAlert","Modal","$uibModal","$log","Company","gridOptions","$location",function(a,b,c,d,e,f,g,h,i){a.initView=function(){var b={exporterCsvFilename:"Companies.csv",columnDefs:[{name:"name"},{name:"description"},{name:"action",width:190,buttons:[{"class":"blue-hoki",name:"profile",href:"/contactmanager/companies/view/{{row.entity.id}}/settings"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"},{"class":"green-turquoise",name:"contacts",uisref:"main.contactmanager.contacts.list({companyId:{{row.entity.id}}})"}]}]};a.gridOptions=h.gridOptions(g,b,a)},a.create=function(){var a=e.open({animation:!0,size:"lg",templateUrl:"app/contactmanager/company/list/create.modal.html",controller:"CompanyListCreateModalCtrl"});a.result.then(function(a){return g.save(a).$promise.then(function(a){i.path("/contactmanager/companies/view/"+a.id+"/settings")})["catch"](function(a){c.show(a.data.message,"danger")})},function(){f.info("Modal dismissed at: "+new Date)})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.contactmanager.companies.list",{url:"/list",templateUrl:"app/contactmanager/company/list/list.html",controller:"ContactManagerCompanyListCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{name:"smart-table",insertBefore:"#ng_load_plugins_before",files:["assets/css/smart_table.css"]}])}]}})}]),angular.module("xCallyShuttleApp").controller("ContactManagerCompanyViewCtrl",["$scope","xAlert","$translate","$stateParams","$location","Company",function(a,b,c,d,e,f){a.getCompany=function(){return f.get({id:d.id}).$promise.then(function(b){a.company=b})["catch"](function(a){e.path("/contactmanager/companies/list")})},a.updateCompany=function(){return f.update({id:d.id},a.company).$promise.then(function(){b.show(c.instant("MESSAGE_WELL_DONE")+"!","success")})["catch"](function(a){b.show(c.instant(a.message),"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.contactmanager.companies.view",{url:"/view/:id",templateUrl:"app/contactmanager/company/view/view.html",controller:"ContactManagerCompanyViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.contactmanager.companies.view.settings",{url:"/settings",templateUrl:"app/contactmanager/company/view/view.settings.html"})}]),angular.module("xCallyShuttleApp").factory("Contact",["$resource",function(a){return a("/api/contactmanager/contacts/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.contactmanager.contacts",{url:"/contacts",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("ContactManagerListImportModalCtrl",["$scope","$uibModalInstance","indexes","csv","xAlert","socket","List","Contact","$translate",function(a,b,c,d,e,f,g,h,i){function j(b){if(b>=a.csvLength||a.stopped)return void(a.importing=!1);for(var c,f=[],g=b;b+200>g&&g
            ","abstract":!0,data:{permissions:{only:["admin","user","agent"],redirectTo:"landing",id:5}}})}]),angular.module("xCallyShuttleApp").factory("List",["$resource",function(a){return a("/api/contactmanager/lists/:listId/:controller/:id",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.contactmanager.lists",{url:"/lists",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:5}}})}]),angular.module("xCallyShuttleApp").controller("ContactManagerListListCreateModalCtrl",["$scope","$uibModalInstance",function(a,b){a.forms={dashboard:void 0},a.item={},a.ok=function(){b.close(a.item)},a.cancel=function(){b.dismiss("cancel")}}]),angular.module("xCallyShuttleApp").controller("ContactManagerListListCtrl",["$scope","$http","$translate","Modal","xAlert","$uibModal","$log","List","gridOptions",function(a,b,c,d,e,f,g,h,i){a.initView=function(){var b={exporterCsvFilename:"Lists.csv",columnDefs:[{name:"name"},{name:"description"},{name:"action",width:190,buttons:[{"class":"blue-hoki",name:"settings",href:"/contactmanager/lists/view/{{row.entity.id}}/settings"},{"class":"{{row.entity.defaultEntry ? 'red-sunglo disabled' : 'red-sunglo'}}",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"},{"class":"green-turquoise",name:"contacts",uisref:"main.contactmanager.contacts.list({listId:{{row.entity.id}}})"}]}]};a.gridOptions=i.gridOptions(h,b,a)},a.create=function(){var d=f.open({animation:!0,templateUrl:"app/contactmanager/list/list/create.modal.html",controller:"ContactManagerListListCreateModalCtrl"});d.result.then(function(d){b.post("/api/contactmanager/lists/",d).then(function(b){a.gridOptions.getPage(),e.show(c.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){e.show(a.data.message,"danger")})},function(){g.info("Modal dismissed at: "+new Date)})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.contactmanager.lists.list",{url:"/list",templateUrl:"app/contactmanager/list/list/list.html",controller:"ContactManagerListListCtrl"})}]),angular.module("xCallyShuttleApp").controller("ContactManagerListCustomFieldViewCreateModalCtrl",["$scope","$uibModalInstance","$translate",function(a,b,c){a.$translate=c,a.forms={dashboard:void 0},a.item={},a.choices=[{value:""}],a.addField=function(){a.choices.push({})},a.removeField=function(b){a.choices.splice(b,1)},a.types=[{name:"APPLICATION_TEXT",value:"text"},{name:"APPLICATION_SELECT",value:"select"}],a.ok=function(){"select"===a.item.type&&(a.item.values=angular.toJson(a.choices)),b.close(a.item)},a.cancel=function(){b.dismiss("cancel")}}]),angular.module("xCallyShuttleApp").controller("ContactManagerListViewCtrl",["$scope","xAlert","$translate","$stateParams","socket","$location","$uibModal","$log","Modal","List","Team","gridOptions",function(a,b,c,d,e,f,g,h,i,j,k,l){var m=function(){return{select:function(b){return j.save({listId:a.list.id,controller:"agents"},{agents:b}).$promise.then(function(){a.getTeams()})["catch"](function(a){console.log(a)})},deselect:function(b){return j["delete"]({listId:a.list.id,controller:"agents",agents:b}).$promise.then(function(){a.getTeams()})["catch"](function(a){console.log(a)})}}};a.getList=function(){return j.get({listId:d.id}).$promise.then(function(b){a.list=b})["catch"](function(a){f.path("/contactmanager/lists/list")})},a.updateList=function(){return j.update({id:d.id},a.list).$promise.then(function(){b.show(c.instant("MESSAGE_WELL_DONE")+"!","success")})["catch"](function(a){b.show(c.instant(a.message),"danger")})},a.getTeams=function(){return k.get({controller:"associations"}).$promise.then(function(b){return a.teams=b.rows,a.list?void 0:a.getList()}).then(function(){return a.list.defaultEntry&&f.path("/contactmanager/lists/list"),j.get({listId:d.id,controller:"agents"}).$promise}).then(function(b){ComponentsDropdowns.bindQueue(a.teams,_.map(b.rows,function(a){return{id:a.id}}),m)})["catch"](function(a){console.error(a)})},a.initCustomFields=function(){var b={exporterCsvFilename:"customfields.csv",primaryKey:"id",columnDefs:[{name:"alias",displayName:"APPLICATION_NAME"},{name:"name",displayName:"APPLICATION_FIELD"},{name:"type"},{name:"description"},{name:"action",width:200,buttons:[{"class":"blue-hoki",href:"/contactmanager/lists/view/{{grid.appScope.$stateParams.id}}/customfields/settings/{{row.entity.id}}",name:"settings"},{"class":"red-sunglo",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)",name:"delete"}]}]};a.gridOptions=l.gridOptions(j,b,a,{listId:d.id,controller:"customfields"})},a.create=function(){var e=g.open({animation:!0,templateUrl:"app/contactmanager/list/view/create.modal.html",controller:"ContactManagerListCustomFieldViewCreateModalCtrl"});e.result.then(function(e){return j.save({listId:d.id,controller:"customfields"},e).$promise.then(function(d){a.gridOptions.getPage(),b.show(c.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){b.show(a.message||a.data.message,"danger")})},function(){h.info("Modal dismissed at: "+new Date)})},a.getCustomField=function(){return j.get({listId:d.id,controller:"customfields",id:d.cfId}).$promise.then(function(b){console.log(b),a.customField=b,"select"===a.customField.type&&(a.choices=JSON.parse(a.customField.values))})["catch"](function(a){console.error(a)})},a.updateCustomField=function(){var e=angular.copy(a.customField);return"select"===e.type&&(e.values=angular.toJson(a.choices)),j.update({listId:d.id,controller:"customfields",id:d.cfId},e).$promise.then(function(){b.show(c.instant("MESSAGE_WELL_DONE")+"!","success")})["catch"](function(a){b.show(c.instant(a.message),"danger")})},a.addField=function(){a.choices.push({})},a.removeField=function(b){a.choices.splice(b,1)}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.contactmanager.lists.view",{url:"/view/:id",templateUrl:"app/contactmanager/list/view/view.html",controller:"ContactManagerListViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.contactmanager.lists.view.settings",{url:"/settings",templateUrl:"app/contactmanager/list/view/view.settings.html"}).state("main.contactmanager.lists.view.agents",{url:"/agents",templateUrl:"app/contactmanager/list/view/view.agents.html",cache:!1,serie:!0,resolve:{deps:["$ocLazyLoad",function(a){return a.load({insertBefore:"#ng_load_plugins_before",files:["assets/plugins/jquery-multi-select/css/multi-select.css","assets/plugins/jquery-multi-select/js/jquery.multi-select.js","assets/plugins/jquery-quicksearch/jquery.quicksearch.js","assets/scripts/components-dropdowns.js"]})}]}}).state("main.contactmanager.lists.view.customfields",{url:"/customfields",templateUrl:"app/contactmanager/list/view/view.customFields.html"}).state("main.contactmanager.lists.view.customfields.settings",{url:"/settings/:cfId",templateUrl:"app/contactmanager/list/view/view.customFieldSettings.html",controller:"ContactManagerListViewCtrl"})}]),angular.module("xCallyShuttleApp").controller("DashboardChatCtrl",["$scope","Auth","ReportChatRoom","socket","Agent","$q","$translate","ReportChatSession","$timeout",function(a,b,c,d,e,f,g,h,i){a.Auth=b,a.moment=moment;var j=function(a){var b=a?30*a+130:270;angular.element(document.getElementsByClassName("ui-grid")[0]).css("height",b+"px"),angular.element(document.getElementsByClassName("ui-grid-viewport")).css("height",30*a+"px")};a.initAgent=function(){a.table1={enableGridMenu:!1,enableHorizontalScrollbar:0,enableVerticalScrollbar:0,multiSelect:!0,columnDefs:[{name:"UserHasChatQueue.queue",headerCellFilter:"translate",displayName:"APPLICATION_QUEUE",cellClass:"text-center ui-grid-vcenter"}],data:[],onRegisterApi:function(b){a.gridApi=b,a.gridApi.grid.registerDataChangeCallback(function(){j(a.gridApi.core.getVisibleRows(a.gridApi.grid).length)})}},a.table2={headerCellFilter:"translate",enableHorizontalScrollbar:0,enableVerticalScrollbar:0,enableFiltering:!0,columnDefs:[{name:"uniqueid",displayName:"APPLICATION_UNIQUEID",headerCellFilter:"translate"},{name:"websitename",displayName:"APPLICATION_WEBSITE",headerCellFilter:"translate"},{name:"application",displayName:"APPLICATION_APPLICATION",headerCellFilter:"translate"},{name:"status",displayName:"APPLICATION_STATUS",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            {{\'APPLICATION_QUEUESTATUS_WAITING\' | translate}}{{\'APPLICATION_QUEUESTATUS_ABANDON\' | translate}}{{\'APPLICATION_QUEUESTATUS_COMPLETE\' | translate}}{{\'APPLICATION_UNMANAGED\' | translate}} [{{hhours}}:{{mminutes}}:{{sseconds}}]
            ',enableSorting:!1,enableColumnMenu:!1,enableFiltering:!1},{name:"membername",displayName:"APPLICATION_AGENT",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:"
            {{row.entity.membername || ''}}
            "},{name:"queuename",displayName:"APPLICATION_QUEUE",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:"
            {{row.entity.queuename || ''}}
            "}],data:[],gridMenuTitleFilter:function(a){var b=f.defer();return b.resolve(g.instant(a)),b.promise},onRegisterApi:function(b){a.gridApi=b,a.gridApi.grid.registerDataChangeCallback(function(){j(a.table2.data.length)})}},e.get({id:b.getCurrentUser().id,controller:"chat",controller1:"queues"}).$promise.then(function(b){a.table1.data=b.rows})["finally"](function(){d.socket.on("user_has_chat_queue:save",function(c){c.UserId!=b.getCurrentUser().id||_.find(a.table1.data,{id:c.ChatQueueId})||a.table1.data.push({id:c.ChatQueueId,UserHasChatQueue:c})}),d.socket.on("user_has_chat_queue:remove",function(c){c.UserId===b.getCurrentUser().id&&_.remove(a.table1.data,{id:c.ChatQueueId})})})["catch"](function(a){console.error(a)}),h.get({controller:"waiting",controller2:"applications"}).$promise.then(function(b){a.table2.data=b.rows})["finally"](function(){d.socket.on("report_chat_session:save",function(b){var c=_.find(a.table2.data,{id:b.id});c?(_.merge(c,b),b.leaveAt&&i(function(){_.remove(a.table2.data,{id:b.id})},1e4)):b.leaveAt||a.table2.data.push(b)}),d.socket.on("report_chat_session:remove",function(b){_.remove(a.table2.data,{id:b.id})})})["catch"](function(a){console.log(a)})},a.initOpen=function(){return c.get({id:"open"}).$promise.then(function(b){a.open=b.value,d.socket.on("chat_dashboard:open",function(b){a.open=b.value})})["catch"](function(a){console.error(a)})},a.initClosed=function(){return c.get({id:"closed"}).$promise.then(function(b){a.closed=b.value,d.socket.on("chat_dashboard:closed",function(b){a.closed=b.value})})["catch"](function(a){console.error(a)})},a.initNew=function(){return c.get({id:"new"}).$promise.then(function(b){a["new"]=b.value,d.socket.on("chat_dashboard:new",function(b){a["new"]=b.value})})["catch"](function(a){console.error(a)})},a.initUnmanaged=function(){return c.get({id:"unmanaged"}).$promise.then(function(b){a.unmanaged=b.value,d.socket.on("chat_dashboard:unmanaged",function(b){a.umanaged=b.value})})["catch"](function(a){console.error(a)})},a.initAbandoned=function(){return c.get({id:"abandoned"}).$promise.then(function(b){a.abandoned=b.value,d.socket.on("chat_dashboard:unmanaged",function(b){a.abandoned=b.value})})["catch"](function(a){console.error(a)})}}]),angular.module("xCallyShuttleApp").controller("DashboardCtrl",["$scope","$translate","Auth",function(a,b,c){a.Auth=c}]),angular.module("xCallyShuttleApp").factory("Dashboard",["$resource",function(a){return a("/api/dashboards/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.dashboard",{"abstract":!0,url:"/dashboard",templateUrl:"app/dashboard/dashboard.html",controller:"DashboardCtrl",data:{permissions:{only:["admin","user","agent"],redirectTo:"landing",id:1}}}).state("main.dashboard.voice",{url:"/voice",controller:"DashboardVoiceCtrl",templateUrl:"app/dashboard/voice/voice.html"}).state("main.dashboard.chat",{url:"/chat",controller:"DashboardChatCtrl",templateUrl:"app/dashboard/chat/chat.html",data:{permissions:{redirectTo:"landing",id:8}}}).state("main.dashboard.mail",{url:"/mail",controller:"DashboardMailCtrl",templateUrl:"app/dashboard/mail/mail.html",data:{permissions:{redirectTo:"landing",id:9}}}).state("main.dashboard.fax",{url:"/fax",controller:"DashboardFaxCtrl",templateUrl:"app/dashboard/fax/fax.html",data:{permissions:{redirectTo:"landing",id:10}}}).state("main.dashboard.sms",{url:"/sms",controller:"DashboardSmsCtrl",templateUrl:"app/dashboard/sms/sms.html",data:{permissions:{redirectTo:"landing",id:11}}})}]),angular.module("xCallyShuttleApp").controller("DashboardFaxCtrl",["$scope","Auth","ReportFaxRoom","socket","Agent","$translate","$q","ReportFaxSession","$timeout",function(a,b,c,d,e,f,g,h,i){a.Auth=b,a.moment=moment,a.initAgent=function(){a.table1={enableGridMenu:!1,enableHorizontalScrollbar:0,enableVerticalScrollbar:0,multiSelect:!0,columnDefs:[{name:"UserHasFaxQueue.queue",headerCellFilter:"translate",displayName:"APPLICATION_QUEUE",cellClass:"text-center ui-grid-vcenter"}],data:[],onRegisterApi:function(b){a.gridApi=b}},a.table2={headerCellFilter:"translate",enableHorizontalScrollbar:0,enableVerticalScrollbar:0,enableFiltering:!0,columnDefs:[{name:"uniqueid",displayName:"APPLICATION_UNIQUEID",headerCellFilter:"translate"},{name:"accountname",displayName:"APPLICATION_ACCOUNT",headerCellFilter:"translate"},{name:"application",displayName:"APPLICATION_APPLICATION",headerCellFilter:"translate"},{name:"status",displayName:"APPLICATION_STATUS",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            {{\'APPLICATION_QUEUESTATUS_WAITING\' | translate}}{{\'APPLICATION_QUEUESTATUS_ABANDON\' | translate}}{{\'APPLICATION_QUEUESTATUS_COMPLETE\' | translate}}{{\'APPLICATION_UNMANAGED\' | translate}} [{{hhours}}:{{mminutes}}:{{sseconds}}]
            ',enableSorting:!1,enableColumnMenu:!1,enableFiltering:!1},{name:"membername",displayName:"APPLICATION_AGENT",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:"
            {{row.entity.membername || ''}}
            "},{name:"queuename",displayName:"APPLICATION_QUEUE",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:"
            {{row.entity.queuename || ''}}
            "}],data:[],gridMenuTitleFilter:function(a){var b=g.defer();return b.resolve(f.instant(a)),b.promise},onRegisterApi:function(b){a.gridApi=b}},e.get({id:b.getCurrentUser().id,controller:"fax",controller1:"queues"}).$promise.then(function(b){a.table1.data=b.rows})["finally"](function(){d.socket.on("user_has_fax_queue:save",function(c){c.UserId!=b.getCurrentUser().id||_.find(a.table1.data,{id:c.FaxQueueId})||a.table1.data.push({id:c.FaxQueueId,UserHasFaxQueue:c})}),d.socket.on("user_has_fax_queue:remove",function(c){c.UserId===b.getCurrentUser().id&&_.remove(a.table1.data,{id:c.FaxQueueId})})})["catch"](function(a){console.error(a)}),h.get({controller:"waiting",controller2:"applications"}).$promise.then(function(b){a.table2.data=b.rows})["finally"](function(){d.socket.on("report_fax_session:save",function(b){var c=_.find(a.table2.data,{id:b.id});c?(_.merge(c,b),b.leaveAt&&i(function(){_.remove(a.table2.data,{id:b.id})},1e4)):b.leaveAt||a.table2.data.push(b)}),d.socket.on("report_fax_session:remove",function(b){_.remove(a.table2.data,{id:b.id})})})["catch"](function(a){console.log(a)})},a.initOpen=function(){return c.get({id:"open"}).$promise.then(function(b){a.open=b.value,d.socket.on("fax_dashboard:open",function(b){a.open=b.value})})["catch"](function(a){console.error(a)})},a.initClosed=function(){return c.get({id:"closed"}).$promise.then(function(b){a.closed=b.value,d.socket.on("fax_dashboard:closed",function(b){a.closed=b.value})})["catch"](function(a){console.error(a)})},a.initNew=function(){return c.get({id:"new"}).$promise.then(function(b){a["new"]=b.value,d.socket.on("fax_dashboard:new",function(b){a["new"]=b.value})})["catch"](function(a){console.error(a)})},a.initUnmanaged=function(){return c.get({id:"unmanaged"}).$promise.then(function(b){a.unmanaged=b.value,d.socket.on("fax_dashboard:unmanaged",function(b){a.umanaged=b.value})})["catch"](function(a){console.error(a)})}}]),angular.module("xCallyShuttleApp").controller("DashboardMailCtrl",["$scope","Auth","ReportMailRoom","socket","Agent","$translate","$q","ReportMailSession","$timeout",function(a,b,c,d,e,f,g,h,i){a.Auth=b,a.moment=moment,a.initAgent=function(){a.table1={enableGridMenu:!1,enableHorizontalScrollbar:0,enableVerticalScrollbar:0,multiSelect:!0,columnDefs:[{name:"UserHasMailQueue.queue",headerCellFilter:"translate",displayName:"APPLICATION_QUEUE",cellClass:"text-center ui-grid-vcenter"}],data:[],onRegisterApi:function(b){a.gridApi=b}},a.table2={headerCellFilter:"translate",enableHorizontalScrollbar:0,enableVerticalScrollbar:0,enableFiltering:!0,columnDefs:[{name:"uniqueid",displayName:"APPLICATION_UNIQUEID",headerCellFilter:"translate"},{name:"accountname",displayName:"APPLICATION_ACCOUNT",headerCellFilter:"translate"},{name:"application",displayName:"APPLICATION_APPLICATION",headerCellFilter:"translate"},{name:"status",displayName:"APPLICATION_STATUS",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            {{\'APPLICATION_QUEUESTATUS_WAITING\' | translate}}{{\'APPLICATION_QUEUESTATUS_ABANDON\' | translate}}{{\'APPLICATION_QUEUESTATUS_COMPLETE\' | translate}}{{\'APPLICATION_UNMANAGED\' | translate}} [{{hhours}}:{{mminutes}}:{{sseconds}}]
            ',enableSorting:!1,enableColumnMenu:!1,enableFiltering:!1},{name:"membername",displayName:"APPLICATION_AGENT",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:"
            {{row.entity.membername || ''}}
            "},{name:"queuename",displayName:"APPLICATION_QUEUE",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:"
            {{row.entity.queuename || ''}}
            "}],data:[],gridMenuTitleFilter:function(a){var b=g.defer();return b.resolve(f.instant(a)),b.promise},onRegisterApi:function(b){a.gridApi=b,a.gridApi.grid.registerDataChangeCallback(function(){reSize(a.table2.data.length)})}},e.get({id:b.getCurrentUser().id,controller:"mail",controller1:"queues"}).$promise.then(function(b){a.table1.data=b.rows})["finally"](function(){d.socket.on("user_has_mail_queue:save",function(c){c.UserId!=b.getCurrentUser().id||_.find(a.table1.data,{id:c.MailQueueId})||a.table1.data.push({id:c.MailQueueId,UserHasMailQueue:c})}),d.socket.on("user_has_mail_queue:remove",function(c){console.log(c),c.UserId===b.getCurrentUser().id&&_.remove(a.table1.data,{id:c.MailQueueId})})})["catch"](function(a){console.error(a)}),h.get({controller:"waiting",controller2:"applications"}).$promise.then(function(b){a.table2.data=b.rows})["finally"](function(){d.socket.on("report_mail_session:save",function(b){var c=_.find(a.table2.data,{id:b.id});c?(_.merge(c,b),b.leaveAt&&i(function(){_.remove(a.table2.data,{id:b.id})},1e4)):b.leaveAt||a.table2.data.push(b)}),d.socket.on("report_mail_session:remove",function(b){_.remove(a.table2.data,{id:b.id})})})["catch"](function(a){console.log(a)})},a.initOpen=function(){return c.get({id:"open"}).$promise.then(function(b){a.open=b.value,d.socket.on("mail_dashboard:open",function(b){a.open=b.value})})["catch"](function(a){console.error(a)})},a.initClosed=function(){return c.get({id:"closed"}).$promise.then(function(b){a.closed=b.value,d.socket.on("mail_dashboard:closed",function(b){a.closed=b.value})})["catch"](function(a){console.error(a)})},a.initNew=function(){return c.get({id:"new"}).$promise.then(function(b){a["new"]=b.value,d.socket.on("mail_dashboard:new",function(b){a["new"]=b.value})})["catch"](function(a){console.error(a)})},a.initUnmanaged=function(){return c.get({id:"unmanaged"}).$promise.then(function(b){a.unmanaged=b.value,d.socket.on("mail_dashboard:unmanaged",function(b){a.umanaged=b.value})})["catch"](function(a){console.error(a)})}}]),angular.module("xCallyShuttleApp").controller("DashboardSmsCtrl",["$scope","Auth","ReportSmsRoom","socket","Agent","$translate","$q","ReportSmsSession","$timeout",function(a,b,c,d,e,f,g,h,i){a.Auth=b,a.moment=moment,a.initAgent=function(){a.table1={enableGridMenu:!1,enableHorizontalScrollbar:0,enableVerticalScrollbar:0,multiSelect:!0,columnDefs:[{name:"UserHasSmsQueue.queue",headerCellFilter:"translate",displayName:"APPLICATION_QUEUE",cellClass:"text-center ui-grid-vcenter"}],data:[],onRegisterApi:function(b){a.gridApi=b}},a.table2={headerCellFilter:"translate",enableHorizontalScrollbar:0,enableVerticalScrollbar:0,enableFiltering:!0,columnDefs:[{name:"uniqueid",displayName:"APPLICATION_UNIQUEID",headerCellFilter:"translate"},{name:"accountname",displayName:"APPLICATION_ACCOUNT",headerCellFilter:"translate"},{name:"application",displayName:"APPLICATION_APPLICATION",headerCellFilter:"translate"},{name:"status",displayName:"APPLICATION_STATUS",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            {{\'APPLICATION_QUEUESTATUS_WAITING\' | translate}}{{\'APPLICATION_QUEUESTATUS_ABANDON\' | translate}}{{\'APPLICATION_QUEUESTATUS_COMPLETE\' | translate}}{{\'APPLICATION_UNMANAGED\' | translate}} [{{hhours}}:{{mminutes}}:{{sseconds}}]
            ',enableSorting:!1,enableColumnMenu:!1,enableFiltering:!1},{name:"membername",displayName:"APPLICATION_AGENT",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:"
            {{row.entity.membername || ''}}
            "},{name:"queuename",displayName:"APPLICATION_QUEUE",headerCellFilter:"translate",cellClass:"text-center ui-grid-vcenter",cellTemplate:"
            {{row.entity.queuename || ''}}
            "}],data:[],gridMenuTitleFilter:function(a){var b=g.defer();return b.resolve(f.instant(a)),b.promise},onRegisterApi:function(b){a.gridApi=b}},e.get({id:b.getCurrentUser().id,controller:"sms",controller1:"queues"}).$promise.then(function(b){a.table1.data=b.rows})["finally"](function(){d.socket.on("user_has_sms_queue:save",function(c){c.UserId!=b.getCurrentUser().id||_.find(a.table1.data,{id:c.SmsQueueId})||a.table1.data.push({id:c.SmsQueueId,UserHasSmsQueue:c})}),d.socket.on("user_has_sms_queue:remove",function(c){c.UserId===b.getCurrentUser().id&&_.remove(a.table1.data,{id:c.SmsQueueId})})})["catch"](function(a){console.error(a)}),h.get({controller:"waiting",controller2:"applications"}).$promise.then(function(b){a.table2.data=b.rows})["finally"](function(){d.socket.on("report_sms_session:save",function(b){var c=_.find(a.table2.data,{id:b.id});c?(_.merge(c,b),b.leaveAt&&i(function(){_.remove(a.table2.data,{id:b.id})},1e4)):b.leaveAt||a.table2.data.push(b)}),d.socket.on("report_sms_session:remove",function(b){_.remove(a.table2.data,{id:b.id})})})["catch"](function(a){console.log(a)})},a.initOpen=function(){return c.get({id:"open"}).$promise.then(function(b){a.open=b.value,d.socket.on("sms_dashboard:open",function(b){a.open=b.value})})["catch"](function(a){console.error(a)})},a.initClosed=function(){return c.get({id:"closed"}).$promise.then(function(b){a.closed=b.value,d.socket.on("sms_dashboard:closed",function(b){a.closed=b.value})})["catch"](function(a){console.error(a)})},a.initNew=function(){return c.get({id:"new"}).$promise.then(function(b){a["new"]=b.value,d.socket.on("sms_dashboard:new",function(b){a["new"]=b.value})})["catch"](function(a){console.error(a)})},a.initUnmanaged=function(){return c.get({id:"unmanaged"}).$promise.then(function(b){a.unmanaged=b.value,d.socket.on("sms_dashboard:unmanaged",function(b){a.umanaged=b.value})})["catch"](function(a){console.error(a)})}}]),angular.module("xCallyShuttleApp").controller("DashboardVoiceCtrl",["$scope","Auth","ReportQueue","ReportCall","Agent","socket",function(a,b,c,d,e,f){a.Auth=b;var g=function(a){var b=a?30*a+130:270;angular.element(document.getElementsByClassName("ui-grid")[0]).css("height",b+"px"),angular.element(document.getElementsByClassName("ui-grid-viewport")).css("height",30*a+"px")};a.initAgent=function(){a.table1={enableGridMenu:!1,enableHorizontalScrollbar:0,enableVerticalScrollbar:0,multiSelect:!0,columnDefs:[{name:"UserHasVoiceQueue.queue",headerCellFilter:"translate",displayName:"APPLICATION_QUEUE",cellClass:"text-center ui-grid-vcenter"},{name:"UserHasVoiceQueue.loggedAt",displayName:"logged At",cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            '},{name:"UserHasVoiceQueue.lastcall",headerCellFilter:"translate",displayName:"APPLICATION_LAST_CALL",cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            '},{name:"UserHasVoiceQueue.callstaken",headerCellFilter:"translate",displayName:"APPLICATION_CALLS_TAKEN",cellClass:"text-center ui-grid-vcenter"}],data:[],onRegisterApi:function(b){a.gridApi=b,a.gridApi.grid.registerDataChangeCallback(function(){g(a.gridApi.core.getVisibleRows(a.gridApi.grid).length)})}},a.table2={enableGridMenu:!1,enableHorizontalScrollbar:0,enableVerticalScrollbar:0,multiSelect:!0,columnDefs:[{name:"calleridnum",headerCellFilter:"translate",displayName:"APPLICATION_NUMBER",cellClass:"text-center ui-grid-vcenter"},{name:"calleridname",headerCellFilter:"translate",displayName:"APPLICATION_NAME",cellClass:"text-center ui-grid-vcenter"},{name:"queue",headerCellFilter:"translate",displayName:"APPLICATION_QUEUE",cellClass:"text-center ui-grid-vcenter"}],data:[],onRegisterApi:function(b){a.gridApi1=b,a.gridApi1.grid.registerDataChangeCallback(function(){g(a.gridApi1.core.getVisibleRows(a.gridApi1.grid).length)})}},e.get({id:b.getCurrentUser().id,controller:"voice",controller1:"queues"}).$promise.then(function(b){a.table1.data=b.rows})["finally"](function(){f.socket.on("user_has_voice_queue:save",function(c){if(c.UserId===b.getCurrentUser().id){var d=_.findIndex(a.table1.data,{name:c.queue});d>-1?(a.table1.data[d].UserHasVoiceQueue.loggedAt=c.loggedAt,a.table1.data[d].UserHasVoiceQueue.lastcall=c.lastcall,a.table1.data[d].UserHasVoiceQueue.callstaken=c.callstaken):(c.callstaken=0,a.table1.data.push({name:c.queue,UserHasVoiceQueue:c}))}}),f.socket.on("user_has_voice_queue:remove",function(c){c.UserId===b.getCurrentUser().id&&_.remove(a.table1.data,{name:c.queue})})})["catch"](function(a){console.error(a)}),c.get({id:"waiting",controller:"list"}).$promise.then(function(b){a.table2.data=b.rows})["finally"](function(){f.socket.on("report_queue:save",function(b){b.queuecallerleaveAt?_.remove(a.table2.data,{id:b.id}):_.find(a.table1.data,{name:b.queue})&&a.table2.data.push(b)})})["catch"](function(a){console.error(a)})},a.initWaiting=function(){return c.get({id:"waiting"}).$promise.then(function(b){a.waiting=b.value,f.socket.on("dashboard:waiting",function(b){a.waiting=b.value})})["catch"](function(a){console.error(a)})},a.initActive=function(){return c.get({id:"active"}).$promise.then(function(b){a.active=b.value,f.socket.on("dashboard:active",function(b){a.active=b.value})})["catch"](function(a){console.error(a)})},a.initAnswered=function(){return c.get({id:"answered"}).$promise.then(function(b){a.answered=b.value,f.socket.on("dashboard:answered",function(b){a.answered=b.value})})["catch"](function(a){console.error(a)})},a.initAbandoned=function(){return c.get({id:"abandoned"}).$promise.then(function(b){a.abandoned=b.value,f.socket.on("dashboard:abandoned",function(b){a.abandoned=b.value})})["catch"](function(a){console.error(a)})},a.initAvgAnswerTime=function(){return c.get({id:"avganswertime"}).$promise.then(function(b){a.avganswertime=b.value,f.socket.on("dashboard:avganswertime",function(b){a.avganswertime=b.value})})["catch"](function(a){console.error(a)})},a.initAvgTalkTime=function(){return c.get({id:"avgtalktime"}).$promise.then(function(b){a.avgtalktime=b.value,f.socket.on("dashboard:avgtalktime",function(b){a.avgtalktime=b.value})})["catch"](function(a){console.error(a)})},a.initAnswerRate=function(){return c.get({id:"answerrate"}).$promise.then(function(b){a.answerrate=b.value,f.socket.on("dashboard:answerrate",function(b){a.answerrate=b.value})})["catch"](function(a){console.error(a)})},a.initTotalOffered=function(){return c.get({id:"totaloffered"}).$promise.then(function(b){a.totaloffered=b.value,f.socket.on("dashboard:totaloffered",function(b){a.totaloffered=b.value})})["catch"](function(a){console.error(a)})},a.initUnmanaged=function(){return c.get({id:"unmanaged"}).$promise.then(function(b){a.unmanaged=b.value,f.socket.on("dashboard:unmanaged",function(b){a.unmanaged=b.value})})["catch"](function(a){ +console.error(a)})},a.initAbandonRate=function(){return c.get({id:"abandonrate"}).$promise.then(function(b){a.abandonrate=b.value,f.socket.on("dashboard:abandonrate",function(b){a.abandonrate=b.value})})["catch"](function(a){console.error(a)})},a.initManualOutbound=function(){return d.get({id:"manualoutbound"}).$promise.then(function(b){a.manualoutbound=b.value,f.socket.on("dashboard:manualoutbound",function(b){a.manualoutbound=b.value})})["catch"](function(a){console.error(a)})},a.initManualOutboundGlobalDuration=function(){return d.get({id:"manualoutboundglobalduration"}).$promise.then(function(b){a.manualoutboundglobalduration=b.value,f.socket.on("dashboard:manualoutboundglobalduration",function(b){a.manualoutboundglobalduration=b.value})})["catch"](function(a){console.error(a)})},a.initManualOutboundAvgDuration=function(){return d.get({id:"manualoutboundavgduration"}).$promise.then(function(b){a.manualoutboundavgduration=b.value,f.socket.on("dashboard:manualoutboundavgduration",function(b){a.manualoutboundavgduration=b.value})})["catch"](function(a){console.error(a)})},a.initManualOutboundAnswered=function(){return d.get({id:"manualoutboundanswered"}).$promise.then(function(b){a.manualoutboundanswered=b.value,f.socket.on("dashboard:manualoutboundanswered",function(b){a.manualoutboundanswered=b.value})})["catch"](function(a){console.error(a)})},a.initManualOutboundAnswerRate=function(){return d.get({id:"manualoutboundanswerrate"}).$promise.then(function(b){a.manualoutboundanswerrate=b.value,f.socket.on("dashboard:manualoutboundanswerrate",function(b){a.manualoutboundanswerrate=b.value})})["catch"](function(a){console.error(a)})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.desk",{url:"/desk",template:"
            ","abstract":!0,data:{permissions:{only:["admin"],redirectTo:"main.dashboard.voice"}}})}]),angular.module("xCallyShuttleApp").factory("DeskAccount",["$resource",function(a){return a("/api/desk/accounts/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").controller("DeskListCtrl",["$scope","$http","$rootScope","xAlert","$translate","socket","Modal","stResource",function(a,b,c,d,e,f,g,h){a.conf={accountsByPage:{name:"10",value:10}},a.accountsByPage=[{name:"10",value:10},{name:"25",value:25},{name:"50",value:50},{name:"All",value:0}],a.accounts={checked:[]},a.check=function(b,c){c?a.accounts.checked=angular.copy(_.map(a.displayedDeskAccounts,"id")):a.accounts.checked=[]},a.getAccounts=function(b){return _.map(a.displayedDeskAccounts,function(a){var c={};return b.forEach(function(b){c[b]=a[b]}),c})},a.initList=function(b){f.unsyncUpdates("desk_account"),b.url="/api/desk/accounts",h.getPage(b).then(function(d){a.displayedDeskAccounts=d.rows,b.pagination.numberOfPages=d.total_pages,c.updateTableConfig(a.accountsByPage,a.displayedDeskAccounts.length),c.updateTableConfig(a.conf.accountsByPage,a.displayedDeskAccounts.length)}),f.socket.on("desk_account:save",function(){a.initList(b)}),f.socket.on("desk_account:remove",function(c){a.accounts.checked=_.without(a.accounts.checked,c.id),a.initList(b)})},a.deleteItem=g.confirm["delete"](function(a){b["delete"]("/api/desk/accounts/"+a).success(function(){d.show(e.instant("MESSAGE_WELL_DONE")+"!","success")}).error(function(a){d.show(e.instant(a.message),"danger")})}),a.deleteItems=g.confirm["delete"](function(){b["delete"]("/api/desk/accounts",{params:{id:a.accounts.checked}}).success(function(){d.show(e.instant("MESSAGE_WELL_DONE"),"success")}).error(function(a){d.show(e.instant(a.message),"danger")})}),a.checkAccount=function(a){b.get("/api/desk/accounts/"+a+"/check").then(function(){d.show(e.instant("MESSAGE_ACCOUNT_VERIFIED"),"success")})["catch"](function(){d.show(e.instant("MESSAGE_ACCOUNT_INVALID"),"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.desk.list",{url:"/list",templateUrl:"app/desk/list/list.html",controller:"DeskListCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{name:"smart-table",insertBefore:"#ng_load_plugins_before",files:["assets/css/smart_table.css"]}])}]}})}]),angular.module("xCallyShuttleApp").controller("DeskViewCtrl",["$scope","$http","$stateParams","$translate","$location","WizardHandler","socket","xAlert","Modal","desk_com",function(a,b,c,d,e,f,g,h,i,j){a.$stateParams=c,a.config={autoHideScrollbar:!1,theme:"dark",advanced:{updateOnContentResize:!0},setHeight:500,scrollInertia:0},a.initConfiguration=function(){a.formsConfiguration={},a.configuration={}},a.goNext=function(){f.wizard().next()},a.formValidation=function(a){return a},a.$on("$destroy",function(){g.unsyncUpdates("desk_configuration"),g.unsyncUpdates("desk_field")}),a.initView=function(){b.get("/api/desk/accounts/"+c.id).success(function(b){a.account=b}).error(function(a){h.show(a.message,"danger")})},a.updateItem=function(){b.put("/api/desk/accounts/"+c.id,a.account).success(function(){h.show(d.instant("MESSAGE_WELL_DONE")+".","success")}).error(function(){h.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG")+".","danger")})},a.getConfigurations=function(){b.get("/api/desk/configurations",{params:{AccountId:c.id}}).success(function(b){a.configurations=b,g.socket.on("desk_configuration:remove",function(b){_.remove(a.configurations,{id:b.id})})}).error(function(a){h.show(a.message,"danger")})},a.deleteConfiguration=i.confirm["delete"](function(a){b["delete"]("api/desk/configurations/"+a).success(function(){h.show(d.instant("MESSAGE_WELL_DONE"),"success")}).error(function(){h.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG"),"danger")})}),a.createConfiguration=function(){a.configuration.AccountId=c.id,b.post("api/desk/configurations",a.configuration).success(function(a){e.path("desk/view/"+c.id+"/configurations/settings/"+a.id)}).error(function(){h.show("Something went wrong!","danger")})},a.fieldType=["string","variable"],a.descFieldType=["string","key_value"],a.getFields=function(){b.get("/api/desk/accounts/"+c.id+"/fields").success(function(b){a.accountFields=j.fields.concat(b)}).error(function(a){h.show(a.message,"danger")})},a.getConfiguration=function(){b.get("/api/desk/configurations/"+c.configurationId).success(function(b){a.configurationName=b.name,a.subjectConfig=b.Subject,a.descriptionConfig=b.Description,a.fieldConfig=b.Field,g.socket.on("desk_field:remove",function(b){_.remove(a.subjectConfig,{id:b.id}),_.remove(a.descriptionConfig,{id:b.id}),_.remove(a.fieldConfig,{id:b.id})})}).error(function(a){h.show(a.message,"danger")})},a.getVariables=function(){b.get("/api/variables").success(function(b){a.variables=b.rows}).error(function(a){h.show(a.message,"danger")})},a.removeItem=function(a){b["delete"]("api/desk/fields/"+a.id).success(function(){h.show(d.instant("MESSAGE_WELL_DONE"),"success")}).error(function(){h.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG"),"danger")})},a.addItemSubject=function(){var d={type:"string",content:""};b.post("/api/desk/configurations/"+c.configurationId+"/subject",d).success(function(b){a.subjectConfig.push(b)}).error(function(){h.show("Something went wrong!","danger")})},a.addItemDescription=function(){var d={type:"string",content:""};b.post("/api/desk/configurations/"+c.configurationId+"/description",d).success(function(b){a.descriptionConfig.push(b)}).error(function(){h.show("Something went wrong!","danger")})},a.addItemField=function(){var d={content:""};b.post("/api/desk/configurations/"+c.configurationId+"/field",d).success(function(b){a.fieldConfig.push(b)}).error(function(){h.show("Something went wrong!","danger")})},a.updateField=function(a){b.put("/api/desk/fields/"+a.id,a).success(function(){}).error(function(){h.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG")+".","danger")})},a.changeType=function(b){"variable"===b.type?b.content="":"string"===b.type&&(b.VariableId=null),a.updateField(b)},a.changeDescType=function(b){"key_value"===b.type?(b.content="","string"===b.keyType?b.VariableId=null:"variable"===b.keyType&&(b.keyContent="")):"string"===b.type&&(b.VariableId=null,b.key="",b.keyContent=""),a.updateField(b)},a.changeFieldType=function(b){if(b.content="",b.idField){var c=_.find(a.accountFields,"id",b.idField);c&&(b.customField=_.result(c,"custom",!0))}a.updateField(b)},a.getSystemFieldOptions=function(b){if(b){var c=_.find(a.accountFields,"id",b);return c?c.data.choices?c.data.choices:null:void 0}}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.desk.view",{url:"/view/:id",templateUrl:"app/desk/view/view.html",controller:"DeskViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.desk.view.account",{url:"/account",templateUrl:"app/desk/view/view.account.html"}).state("main.desk.view.configurations",{url:"/configurations",templateUrl:"app/desk/view/view.configurations.html"}).state("main.desk.view.configurations.settings",{url:"/settings/:configurationId",templateUrl:"app/desk/view/view.configurationSettings.html",controller:"DeskViewCtrl"}).state("main.desk.view.configuration",{url:"/configuration",templateUrl:"app/desk/view/view.configuration.html"})}]),angular.module("xCallyShuttleApp").controller("DeskWizardCtrl",["$scope","$http","$location","$translate","xAlert","WizardHandler",function(a,b,c,d,e,f){a.translate=d,a.initWizard=function(){a.$translate=d,a.forms={},a.item={}},a.next=function(){f.wizard().next()},a.exitValidation=function(a){return a},a.createItem=function(){console.log(a.item),b.post("api/desk/accounts",a.item).success(function(){e.show(d.instant("MESSAGE_WELL_DONE")+".","success"),c.path("/desk/list")}).error(function(){e.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG")+".","danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.desk.wizard",{url:"/wizard",templateUrl:"app/desk/wizard/wizard.html",controller:"DeskWizardCtrl"})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.freshdesk",{url:"/freshdesk",template:"
            ","abstract":!0,data:{permissions:{only:["admin"],redirectTo:"main.dashboard.voice"}}})}]),angular.module("xCallyShuttleApp").factory("FreshdeskAccount",["$resource",function(a){return a("/api/freshdesk/accounts/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").controller("FreshdeskListCtrl",["$scope","$http","$rootScope","xAlert","$translate","socket","Modal","stResource",function(a,b,c,d,e,f,g,h){a.conf={accountsByPage:{name:"10",value:10}},a.accountsByPage=[{name:"10",value:10},{name:"25",value:25},{name:"50",value:50},{name:"All",value:0}],a.accounts={checked:[]},a.check=function(b,c){c?a.accounts.checked=angular.copy(_.map(a.displayedFreshdeskAccounts,"id")):a.accounts.checked=[]},a.getAccounts=function(b){return _.map(a.displayedFreshdeskAccounts,function(a){var c={};return b.forEach(function(b){c[b]=a[b]}),c})},a.initList=function(b){f.unsyncUpdates("freshdesk_account"),b.url="/api/freshdesk/accounts",h.getPage(b).then(function(d){a.displayedFreshdeskAccounts=d.rows,b.pagination.numberOfPages=d.total_pages,c.updateTableConfig(a.accountsByPage,a.displayedFreshdeskAccounts.length),c.updateTableConfig(a.conf.accountsByPage,a.displayedFreshdeskAccounts.length)}),f.socket.on("freshdesk_account:save",function(){a.initList(b)}),f.socket.on("freshdesk_account:remove",function(c){a.accounts.checked=_.without(a.accounts.checked,c.id),a.initList(b)})},a.deleteItem=g.confirm["delete"](function(a){b["delete"]("/api/freshdesk/accounts/"+a).success(function(){d.show(e.instant("MESSAGE_WELL_DONE")+"!","success")}).error(function(a){d.show(e.instant(a.message),"danger")})}),a.deleteItems=g.confirm["delete"](function(){b["delete"]("/api/freshdesk/accounts",{params:{id:a.accounts.checked}}).success(function(){d.show(e.instant("MESSAGE_WELL_DONE"),"success")}).error(function(a){d.show(e.instant(a.message),"danger")})}),a.checkAccount=function(a){b.get("/api/freshdesk/accounts/"+a+"/check").then(function(){d.show(e.instant("MESSAGE_ACCOUNT_VERIFIED"),"success")})["catch"](function(){d.show(e.instant("MESSAGE_ACCOUNT_INVALID"),"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.freshdesk.list",{url:"/list",templateUrl:"app/freshdesk/list/list.html",controller:"FreshdeskListCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{name:"smart-table",insertBefore:"#ng_load_plugins_before",files:["assets/css/smart_table.css"]}])}]}})}]),angular.module("xCallyShuttleApp").controller("FreshdeskViewCtrl",["$scope","$http","$stateParams","$translate","$location","$filter","WizardHandler","socket","xAlert","Modal",function(a,b,c,d,e,f,g,h,i,j){a.$stateParams=c,a.config={autoHideScrollbar:!1,theme:"dark",advanced:{updateOnContentResize:!0},setHeight:500,scrollInertia:0},a.initConfiguration=function(){a.formsConfiguration={},a.configuration={}},a.goNext=function(){g.wizard().next()},a.formValidation=function(a){return a},a.$on("$destroy",function(){h.unsyncUpdates("freshdesk_configuration"),h.unsyncUpdates("freshdesk_field")}),a.initView=function(){b.get("/api/freshdesk/accounts/"+c.id).success(function(b){a.account=b}).error(function(a){i.show(a.message,"danger")})},a.updateItem=function(){b.put("/api/freshdesk/accounts/"+c.id,a.account).success(function(){i.show(d.instant("MESSAGE_WELL_DONE")+".","success")}).error(function(){i.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG")+".","danger")})},a.getConfigurations=function(){b.get("/api/freshdesk/configurations",{params:{AccountId:c.id}}).success(function(b){a.configurations=b,h.socket.on("freshdesk_configuration:remove",function(b){_.remove(a.configurations,{id:b.id})})}).error(function(a){i.show(a.message,"danger")})},a.deleteConfiguration=j.confirm["delete"](function(a){b["delete"]("api/freshdesk/configurations/"+a).success(function(){i.show(d.instant("MESSAGE_WELL_DONE"),"success")}).error(function(){i.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG"),"danger")})}),a.createConfiguration=function(){a.configuration.AccountId=c.id,b.post("api/freshdesk/configurations",a.configuration).success(function(a){e.path("freshdesk/view/"+c.id+"/configurations/settings/"+a.id)}).error(function(){i.show("Something went wrong!","danger")})},a.fieldType=["string","variable"],a.descFieldType=["string","key_value"],a.getFields=function(){b.get("/api/freshdesk/accounts/"+c.id+"/fields").success(function(b){a.accountFields=[],b=f("freshdesk")(b),_.forEach(b,function(b){a.accountFields.push(b.ticket_field)})}).error(function(a){i.show(a.message,"danger")})},a.getConfiguration=function(){b.get("/api/freshdesk/configurations/"+c.configurationId).success(function(b){a.configurationName=b.name,a.subjectConfig=b.Subject,a.descriptionConfig=b.Description,a.fieldConfig=b.Field,h.socket.on("freshdesk_field:remove",function(b){_.remove(a.subjectConfig,{id:b.id}),_.remove(a.descriptionConfig,{id:b.id}),_.remove(a.fieldConfig,{id:b.id})})}).error(function(a){i.show(a.message,"danger")})},a.getVariables=function(){b.get("/api/variables").success(function(b){a.variables=b.rows}).error(function(a){i.show(a.message,"danger")})},a.removeItem=function(a){b["delete"]("api/freshdesk/fields/"+a.id).success(function(){i.show(d.instant("MESSAGE_WELL_DONE"),"success")}).error(function(){i.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG"),"danger")})},a.addItemSubject=function(){var d={type:"string",content:""};b.post("/api/freshdesk/configurations/"+c.configurationId+"/subject",d).success(function(b){a.subjectConfig.push(b)}).error(function(){i.show("Something went wrong!","danger")})},a.addItemDescription=function(){var d={type:"string",content:""};b.post("/api/freshdesk/configurations/"+c.configurationId+"/description",d).success(function(b){a.descriptionConfig.push(b)}).error(function(){i.show("Something went wrong!","danger")})},a.addItemField=function(){var d={content:""};b.post("/api/freshdesk/configurations/"+c.configurationId+"/field",d).success(function(b){a.fieldConfig.push(b)}).error(function(){i.show("Something went wrong!","danger")})},a.updateField=function(a){b.put("/api/freshdesk/fields/"+a.id,a).success(function(){}).error(function(){i.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG")+".","danger")})},a.changeType=function(b){"variable"===b.type?b.content="":"string"===b.type&&(b.VariableId=null),a.updateField(b)},a.changeDescType=function(b){"key_value"===b.type?(b.content="","string"===b.keyType?b.VariableId=null:"variable"===b.keyType&&(b.keyContent="")):"string"===b.type&&(b.VariableId=null,b.key="",b.keyContent=""),a.updateField(b)},a.changeFieldType=function(b){if(b.content="",b.idField){var c=_.find(a.accountFields,"name",b.idField);c&&(b.customField=!_.result(c,"default",!0))}a.updateField(b)},a.getSystemFieldOptions=function(b){if(b){var c=_.find(a.accountFields,"name",b);return c?c.choices.length>0?c.choices:null:void 0}}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.freshdesk.view",{url:"/view/:id",templateUrl:"app/freshdesk/view/view.html",controller:"FreshdeskViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.freshdesk.view.account",{url:"/account",templateUrl:"app/freshdesk/view/view.account.html"}).state("main.freshdesk.view.configurations",{url:"/configurations",templateUrl:"app/freshdesk/view/view.configurations.html"}).state("main.freshdesk.view.configurations.settings",{url:"/settings/:configurationId",templateUrl:"app/freshdesk/view/view.configurationSettings.html",controller:"FreshdeskViewCtrl"}).state("main.freshdesk.view.configuration",{url:"/configuration",templateUrl:"app/freshdesk/view/view.configuration.html"})}]),angular.module("xCallyShuttleApp").controller("FreshdeskWizardCtrl",["$scope","$http","$location","$translate","xAlert","WizardHandler",function(a,b,c,d,e,f){a.translate=d,a.initWizard=function(){a.$translate=d,a.forms={},a.item={}},a.next=function(){f.wizard().next()},a.exitValidation=function(a){return a},a.createItem=function(){console.log(a.item),b.post("api/freshdesk/accounts",a.item).success(function(){e.show(d.instant("MESSAGE_WELL_DONE")+".","success"),c.path("/freshdesk/list")}).error(function(){e.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG")+".","danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.freshdesk.wizard",{url:"/wizard",templateUrl:"app/freshdesk/wizard/wizard.html",controller:"FreshdeskWizardCtrl"})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.integrations",{url:"/integrations",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:19}}})}]),angular.module("xCallyShuttleApp").factory("Integration",["$resource",function(a){return a("/api/integrations/:id/:controller",{id:"@id"})}]),angular.module("xCallyShuttleApp").controller("IntegrationListCtrl",["$scope","Modal","socket","FileUploader","Integration","xAlert",function(a,b,c,d,e,f){a.integrationsByPage=10;var g=a.uploader=new d({url:"api/integrations",autoUpload:!0,removeAfterUpload:!0});g.filters.push({name:"zipFilter",fn:function(a){var b="|"+a.type.slice(a.type.lastIndexOf("/")+1)+"|";return-1!=="|zip|".indexOf(b)}}),g.onSuccessItem=function(a,b,c,d){console.info("onSuccessItem",a,b,c,d),f.show(b.name,"success")},g.onErrorItem=function(a,b,c,d){console.info("onErrorItem",a,b,c,d),b.errors.forEach(function(a){f.show(a.message,"danger")})},a.deleteItem=b.confirm["delete"](function(a){e["delete"]({id:a},function(){f.show("Integration Removed","success")},function(a){f.show(a.data.errors,"danger")})}),a.initList=function(){a.integrations=e.query({},function(a){c.syncUpdates("integration",a)})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.integrations.list",{url:"/list",templateUrl:"app/integration/list/list.html",controller:"IntegrationListCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load({insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/plugins/bootstrap-fileinput/bootstrap-fileinput.js"]})}]}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.jscripty",{url:"/jscripty",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").factory("JscriptyProject",["$resource",function(a){return a("/api/jscripty/projects/:id/:controller/:action/:action2",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").controller("JscriptyProjectListCtrl",["$scope","$uibModal","JscriptyProject","gridOptions","Auth",function(a,b,c,d,e){a.isAdmin=e.isAdmin(),a.initView=function(){var b={exporterCsvFilename:"jscriptyProjects.csv",columnDefs:[{name:"name"},{name:"description"},{name:"action",width:300,buttons:[{"class":"blue-hoki",icon:"icon-settings",href:"/jscripty/projects/view/{{row.entity.id}}",hide:!e.isAdmin()},{"class":" green-turquoise",icon:"fa fa-play",onClick:"grid.appScope.openJscriptyTab(row.entity)"},{"class":"purple",icon:"icon-book-open",href:"/jscripty/projects/report/{{row.entity.id}}/sessions",hide:!e.isAdmin()},{"class":"red-sunglo",icon:"fa fa-trash",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)",hide:!e.isAdmin()}]}]};a.gridOptions=d.gridOptions(c,b,a)},a.createItem=function(){var c=b.open({animation:!0,templateUrl:"app/jscripty/project/list/list.create.modal.html",controller:"JscriptyProjectListCreateCtrl"});c.result.then(function(b){console.log(b),a.gridOptions.getPage()})}}]),angular.module("xCallyShuttleApp").controller("JscriptyProjectListCreateCtrl",["$scope","$translate","$uibModalInstance","JscriptyProject","xAlert",function(a,b,c,d,e){a.form={},a.item={},a.save=function(){return d.save(a.item).$promise.then(function(a){e.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)})["catch"](function(a){console.log(a),e.show(b.instant(a.data.translatedMessage),"danger")})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.jscripty.projects.list",{url:"/list",templateUrl:"app/jscripty/project/list/list.html",controller:"JscriptyProjectListCtrl"})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.jscripty.projects",{url:"/projects",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("JscriptyProjectReportCtrl",["$scope","uiGridConstants","$http","$state","$stateParams","socket","Auth","Modal","xAlert","$translate","$rootScope","gridOptions","JscriptyProject","$location",function(a,b,c,d,e,f,g,h,i,j,k,l,m,n){a.projectStats={started:0,incomplete:0,completed:0},a.projectId=e.id,a.initView=function(){m.get({id:e.id}).$promise.then(function(b){a.project=b})["catch"](function(a){i.show(a.message,"danger")}),m.get({id:e.id,controller:"sessions"}).$promise.then(function(b){var c=_.groupBy(b.rows,"status");a.projectStats.started=c.started?c.started.length:0,a.projectStats.completed=c.completed?c.completed.length:0,a.projectStats.incomplete=c.incomplete?c.incomplete.length:0})["catch"](function(a){i.show(a.message,"danger")})},a.pdf=function(a,b){var c=[];if(a){var d=html2canvas(document.getElementById(a)),e=d.parse(),f=d.render(e),g=f.toDataURL("image/png");c.push({image:g,width:500,style:"img"})}b.length&&_.forEach(b,function(a){var b=html2canvas(document.getElementById(a.question_id)),d=b.parse(),e=b.render(d),f=e.toDataURL("image/png");c.push({image:f,width:500,style:"img"})});var h={content:c};pdfMake.createPdf(h).download("Project_summary.pdf")},a.getProjectSessions=function(){var c={exporterCsvFilename:"jscriptyProjects.csv",columnDefs:[{name:"session_id",displayName:"APPLICATION_SESSIONID"},{name:"queue",displayName:"APPLICATION_QUEUE"},{name:"membername",displayName:"APPLICATION_AGENT"},{name:"calleridnum",displayName:"APPLICATION_CALLERIDNUM"},{name:"createdAt",displayName:"APPLICATION_STARTEDAT",filterHeaderTemplate:'
            ',filters:[{name:"From",condition:b.filter.GREATER_THAN_OR_EQUAL},{name:"To",condition:b.filter.LESS_THAN_OR_EQUAL}]},{name:"completedAt",displayName:"APPLICATION_COMPLETEDAT",filterHeaderTemplate:'
            ',filters:[{name:"From",condition:b.filter.GREATER_THAN_OR_EQUAL},{name:"To",condition:b.filter.LESS_THAN_OR_EQUAL}]},{name:"status",displayName:"APPLICATION_STATUS"},{name:"last_question_id",displayName:"APPLICATION_LASTQUESTIONID"},{name:"action",width:100,buttons:[{"class":"blue-hoki",href:"/jscripty/projects/report/{{row.entity.projectId}}/session/{{row.entity.session_id}}",name:"Detail"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteSession(row.entity.session_id)"}]}]};a.gridOptions=l.gridOptions(m,c,a,{id:e.id,controller:"sessions"})},a.deleteSession=function(b){m["delete"]({id:e.id,controller:"sessions",action:b}).$promise.then(function(b){a.gridOptions.getPage(),a.initView(),i.show(j.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){i.show(a.message,"danger")})},a.getSessionDetails=function(){m.get({id:e.id,controller:"sessions",action:e.sessionId}).$promise.then(function(b){a.session=b,a.sessionQuestions=b.ReportJscriptyQuestions})["catch"](function(a){i.show(a.message,"danger")})},a.getQuestionSummary=function(){var b={exporterCsvFilename:"jscriptyProjects.csv",columnDefs:[{name:"question_id",displayName:"APPLICATION_QUESTIONID"},{name:"question_type",displayName:"APPLICATION_QUESTIONTYPE"},{name:"question_label",displayName:"APPLICATION_QUESTIONLABEL"},{name:"question_text",displayName:"APPLICATION_QUESTION"},{name:"count",displayName:"APPLICATION_COUNT",enableFiltering:!1},{name:"action",width:100,buttons:[{"class":"blue-hoki",href:"/jscripty/projects/report/"+e.id+"/detail/{{row.entity.question_id}}",name:"Detail"}]}]};a.gridOptions=l.gridOptions(m,b,a,{id:e.id,controller:"questions",action:"summary"})},a.getQuestionInputs=function(){var b={exporterCsvFilename:"jscriptyProjects.csv",columnDefs:[{name:"session_id",displayName:"APPLICATION_SESSIONID"},{name:"question_id",displayName:"APPLICATION_QUESTIONID",enableFiltering:!1},{name:"question_label",displayName:"APPLICATION_QUESTIONLABEL",enableFiltering:!1},{name:"question_text",displayName:"APPLICATION_QUESTION",enableFiltering:!1},{name:"input_label",displayName:"APPLICATION_INPUTLABEL",enableFiltering:!1},{name:"input_value",cellTemplate:'
            {{row.entity.input_value}}
            ',displayName:"APPLICATION_INPUTVALUE",enableFiltering:!1}]};a.gridOptions=l.gridOptions(m,b,a,{id:e.id,controller:"inputs"})},a.getProjectDetail=function(){a.projectDetail=[],m.query({id:e.id,controller:"questions",action:"detail"}).$promise.then(function(b){console.log(e.questionId),e.questionId?a.projectDetail.push(_.find(b,function(a){return a.question_id==parseInt(e.questionId)})):a.projectDetail=b,console.log(a.projectDetail)})["catch"](function(a){i.show(a.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.jscripty.projects.report",{url:"/report/:id",templateUrl:"app/jscripty/project/report/report.html",controller:"JscriptyProjectReportCtrl"}).state("main.jscripty.projects.report.sessions",{url:"/sessions",templateUrl:"app/jscripty/project/report/report.sessions.html"}).state("main.jscripty.projects.report.summary",{url:"/summary",templateUrl:"app/jscripty/project/report/report.summary.html"}).state("main.jscripty.projects.report.inputs",{url:"/inputs",templateUrl:"app/jscripty/project/report/report.inputs.html"}).state("main.jscripty.projects.report.detail",{url:"/detail",templateUrl:"app/jscripty/project/report/report.detail.html"}).state("main.jscripty.projects.report.questionDetail",{url:"/detail/:questionId",controller:"JscriptyProjectReportCtrl",templateUrl:"app/jscripty/project/report/report.detail.html"}).state("main.jscripty.projects.report.sessionDetail",{url:"/session/:sessionId",controller:"JscriptyProjectReportCtrl",templateUrl:"app/jscripty/project/report/report.session.detail.html"})}]),angular.module("xCallyShuttleApp").controller("JscriptyProjectViewCtrl",["$scope","$http","$state","$stateParams","socket","Auth","Modal","xAlert","$translate","$rootScope",function(a,b,c,d,e,f,g,h,i,j){a.jscriptyProject={},a.getProject=function(){b.get("/api/jscripty/projects/"+d.id).success(function(b){a.jscriptyProject=b,angular.element(document).ready(function(){window.onerror=function(a,b,c){try{if(null!=a&&-1!=a.indexOf("Script error."));else{var d=new Image;d.src="assets/plugins/mxgraph/images/1x1.png?msg="+encodeURIComponent(a)+"&url="+encodeURIComponent(b)+"&lnum="+encodeURIComponent(c)}}catch(e){}},b.token=f.getToken();var a=new EditorUi(new Editor);a.openString(b.draft,b.name,b),a.editor.graphChangeListener=function(a,b){var c=b.properties.rtChange||!1;c||(this.modified=!0)}}),e.syncUpdates("jscripty_project",[b],function(a,c,d){c.id===b.id})})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.jscripty.projects.view",{url:"/view/:id",templateUrl:"app/jscripty/project/view/view.html",controller:"JscriptyProjectViewCtrl",authenticate:!0,resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",serie:!0,cache:!1,files:["assets/plugins/mxgraph/css/common.css","assets/plugins/mxgraph/css/explorer.css","assets/plugins/mxgraph/styles/grapheditor.css","assets/plugins/mxgraph/styles/custom.css","assets/plugins/jscripty/js/custom.js","assets/plugins/mxgraph/js/mxClient.js","assets/plugins/jscripty/js/Editor.js","assets/plugins/jscripty/js/Graph.js","assets/plugins/jscripty/js/EditorUi.js","assets/plugins/jscripty/js/Actions.js","assets/plugins/jscripty/js/Menus.js","assets/plugins/jscripty/js/Sidebar.js","assets/plugins/jscripty/js/Toolbar.js","assets/plugins/jscripty/js/Dialogs.js"]}])}]}})}]),angular.module("xCallyShuttleApp").controller("LandingCtrl",["$scope","$location","$window","$timeout","Auth",function(a,b,c,d,e){a.initLanding=function(){var c,f,g;return(g=e.getCurrentUser().$promise)?g.then(function(e){switch(f=e,f.role){case"user":for(var g=0;g1?a.filters.forEach(function(b){b.term?64===b.condition?o[a.name+"_uiFrom"]=b.term:256===b.condition&&(o[a.name+"_uiTo"]=b.term):64===b.condition?delete o[a.name+"_uiFrom"]:256===b.condition&&delete o[a.name+"_uiTo"]}):a.filters.length&&a.filters[0].term?o[a.name]=a.filters[0].term:delete o[a.name])}h.id=[];var n=k?"gridOptions"+k:"gridOptions",o={offset:0,limit:10};g.draggable&&(g.rowTemplate='
            ',g.useExternalPagination=!1,g.enablePaginationControls=!1,g.showGridFooter=!1);var p=function(a){var b=a?30*a+120:177,c=angular.element(document.getElementsByName(n)).length?angular.element(document.getElementsByName(n)[0]):angular.element(document.getElementsByClassName("ui-grid")[0]);c.css("height",b+"px")},q=function(a,b){return h[n].enablePaginationControls||(delete o.offset,delete o.limit),f.spin("spinner-grid"),i=a||i,d=b||d,d.get(i?_.merge(o,i):o).$promise.then(function(a){h[n].totalItems=a.count,h[n].data=a.rows,p(a.rows.length),l&&l()})["catch"](function(a){console.error(a)})["finally"](function(){f.stop("spinner-grid")})};return h.deleteItem=a.confirm["delete"](function(a){var e=i?angular.copy(i):{};return _.merge(e,{id:a}),d.remove(e).$promise.then(function(){q(),b.show(c.instant("MESSAGE_WELL_DONE")+"!","success"),h.gridApi.selection.clearSelectedRows(),h.id=[]})["catch"](function(a){b.show(a.message?a.message:a.data.message,"danger")})}),h.deleteItems=a.confirm["delete"](function(){var a=i?angular.copy(i):{};return _.merge(a,{ids:h.id}),d["delete"](a).$promise.then(function(){q(),b.show(c.instant("MESSAGE_WELL_DONE")+"!","success"),h.gridApi.selection.clearSelectedRows(),h.id=[]})["catch"](function(a){b.show(a.message?a.message:a.data.message,"danger")})}),g.columnDefs.forEach(function(a){m(a,!0),a.hasOwnProperty("displayName")||(a.displayName="APPLICATION_"+a.name.toUpperCase()),a.headerCellFilter="translate",a.hasOwnProperty("buttons")&&(a.displayName="",a.cellClass="ui-grid-vcenter",a.enableSorting=!1,a.enableFiltering=!1,a.enableColumnMenu=!1,a.cellTemplate="
            ",a.buttons.forEach(function(b){if(b.directive)a.cellTemplate+=b.directive;else{if(a.cellTemplate+="':"",b.name)switch(b.name){case"delete":a.cellTemplate+='';break;case"profile":case"settings":case"detail":case"embedding":a.cellTemplate+='';break;case"agents":a.cellTemplate+='';break;case"run":a.cellTemplate+='';break;default:a.cellTemplate+="{{'APPLICATION_"+b.name.toUpperCase()+"' | translate}}"}else a.cellTemplate+=b.displayName?"{{'"+b.displayName+"' | translate}}":"";a.cellTemplate+=" "}}),a.cellTemplate+="
            ",delete a.buttons)}),_.merge({enableGridMenu:!0,headerCellFilter:"translate",enableHorizontalScrollbar:0,enableVerticalScrollbar:0,enableRowSelection:!0,enableSelectAll:!0,enableFiltering:!0,showGridFooter:!0,paginationPageSizes:[10,25,50,75],paginationPageSize:10,useExternalPagination:!0,useExternalSorting:!0,useExternalFiltering:!0,multiSelect:!0,getPage:q,gridMenuTitleFilter:function(a){var b=e.defer();return b.resolve(c.instant(a)),b.promise},onRegisterApi:function(a){h.gridApi=a,h.gridApi.selection&&(h.gridApi.selection.on.rowSelectionChanged(h,function(a){a.isSelected?h.id.push(h.gridApi.grid.options.primaryKey?a.entity[h.gridApi.grid.options.primaryKey]:a.entity.id):_.pull(h.id,h.gridApi.grid.options.primaryKey?a.entity[h.gridApi.grid.options.primaryKey]:a.entity.id)}),h.gridApi.selection.on.rowSelectionChangedBatch(h,function(a){a[0].isSelected?h.id=_.union(h.id,_.map(a,h.gridApi.grid.options.primaryKey?"entity."+h.gridApi.grid.options.primaryKey:"entity.id")):_.map(a,h.gridApi.grid.options.primaryKey?"entity."+h.gridApi.grid.options.primaryKey:"entity.id").forEach(function(a){_.pull(h.id,a)})})),h.gridApi.core.on.sortChanged(h,function(a,b){0===b.length?o.order=null:o.order=b[0].name+" "+b[0].sort.direction.toUpperCase(),q()}),h.gridApi.pagination.on.paginationChanged(h,function(a,b){o.offset=(a-1)*b,o.limit=b,q()}),h.gridApi.core.on.filterChanged(h,function(){var a=this.grid;a.columns.forEach(function(a){m(a)}),q()}),h.gridApi.draggableRows&&h.gridApi.draggableRows.on.rowDropped(h,function(a){a.fromIndex!==a.toIndex&&d.update(i,h[n].data).$promise.then(function(){b.show(c.instant("MESSAGE_WELL_DONE")+"!","success")})["catch"](function(a){b.show(a.message,"danger")})}),j||q()}},g)}}}]),angular.module("xCallyShuttleApp").controller("MainCtrl",["$scope","$rootScope","$translate","$timeout","socket","Auth","xAlert","$uibModal","$log","$state","Contact","$window",function(a,b,c,d,e,f,g,h,i,j,k,l){a.awesomeThings=[],b.workspaces=[],a.select=function(a){switch(a.type){case"chatRoom":case"mailRoom":a.unread=0}},a.deselect=function(){},a.isAdmin=f.isAdmin,a.isLoggedIn=f.isLoggedIn,a.getCurrentUser=f.getCurrentUser,a.CurrentUser=f.getCurrentUser(),e.socket.on("user_has_voice_queue_permit:change",function(){a.CurrentUser=f.getCurrentUser()}),e.socket.on("user_has_chat_queue_permit:change",function(){a.CurrentUser=f.getCurrentUser()}),e.socket.on("user_has_mail_queue_permit:change",function(){a.CurrentUser=f.getCurrentUser()}),e.socket.on("user_has_fax_queue_permit:change",function(){a.CurrentUser=f.getCurrentUser()}),e.socket.on("user_has_sms_queue_permit:change",function(){a.CurrentUser=f.getCurrentUser()}),a.mainTab={active:!0},a.closeJscriptyTab=function(a){if(b.workspaces[a].edited){var c=h.open({animation:!0,templateUrl:"app/main/closeEditedTab.modal.jscripty.html",controller:"CloseEditedTabModalJscriptyCtrl",resolve:{project:b.workspaces[a]}});c.result.then(function(){b.workspaces.splice(a,1)})}else b.workspaces.splice(a,1)},a.closeTab=function(a){var c;b.workspaces[a].edited?"jscripty"==b.workspaces[a].type?b.workspaces[a].end():(c=h.open({animation:!0,templateUrl:"app/main/closeEditedTab.modal.html",controller:"CloseEditedTabModalCtrl"}),c.result.then(function(){b.workspaces.splice(a,1)},function(){i.info("Modal dismissed at: "+new Date)})):b.workspaces.splice(a,1)},a.createContact=function(d,e){return e.tags=e.tags?e.tags.join(","):void 0,e.CompanyId||delete e.CompanyId,k.save(e).$promise.then(function(e){b.workspaces.splice(d,1);var f=e.firstName;e.lastName&&(f+=" "+e.lastName),a.openContactTab({firstName:e.firstName,lastName:e.lastName,id:e.id}),g.show(c.instant("MESSAGE_WELL_DONE")+"!","success")})["catch"](function(a){g.show(a.message,"danger")})};var m=function(b){var c=h.open({animation:!0,templateUrl:"app/main/chooseContact.modal.html",controller:"ChooseContactModalCtrl",resolve:{eventId:function(){return b.id}}});c.result.then(function(c){c?a.openContactTab(c,b):a.newContact(b)},function(){i.info("Modal dismissed at: "+new Date)})};a.updateContact=function(a,d){var e=angular.copy(d);return e.tags=e.tags?e.tags.join(","):void 0,e.CompanyId||(e.CompanyId=null),k.update({id:e.id},e).$promise.then(function(){b.workspaces[a].edited=!1,g.show(c.instant("MESSAGE_WELL_DONE")+"!","success")})["catch"](function(a){g.show(c.instant(a.message)+"!","danger")})},e.socket.on("report_integration:contactmanager",function(a){console.log("choosecontact"),m(a)}),e.socket.on("report_integration:jscripty",function(b){a.openJscriptyTab({name:"Jscripty",id:b.projectId,calleridnum:b.calleridnum,calleridname:b.calleridname,queue:b.queue,membername:b.membername})}),e.socket.on("report_integration:salesforce",function(a){l.open(a.url,"_blank")}),a.openContactTab=function(a,c){var d=_.find(b.workspaces,{contactID:a.id});if(d)d.active=!0;else{var e=a.firstName;a.lastName&&(e+=" "+a.lastName),b.workspaces.push({name:e,type:"contact",contactID:a.id,"class":"icon-user",active:!0,eventData:a.uniqueid?a:null,data:c||null})}},a.openJscriptyTab=function(a){b.workspaces.push({name:a.name,type:"jscripty",active:!0,data:a})},a.newContact=function(a){b.workspaces.push({name:"New Contact",type:"newContact","class":"icon-user",active:!0,data:a||null,eventData:a&&a.uniqueid?a:""})},b.language=c.use(),a.changeLanguage=function(a){c.use(a).then(function(a){b.language=a,-1!==["main.jscripty.projects.view","main.square.projects.view"].indexOf(j.current.name)&&l.location.reload()})["catch"](function(a){console.error(a)})}}]),angular.module("xCallyShuttleApp").directive("ngSpinnerBar",["$rootScope",function(a){return{link:function(b,c){c.addClass("hide"),a.$on("$stateChangeStart",function(){"spinner-page"===c[0].id&&c.removeClass("hide")}),a.$on("$stateChangeSuccess",function(){"spinner-page"===c[0].id&&(c.addClass("hide"),$("body").removeClass("page-on-load"),Layout.setSidebarMenuActiveLink("match"),setTimeout(function(){Metronic.scrollTop()},1e3))}),a.$on("$spinnerStart",function(){"spinner-request"===c[0].id&&c.removeClass("hide")}),a.$on("$spinnerStop",function(){"spinner-request"===c[0].id&&c.addClass("hide")}),a.$on("$stateNotFound",function(){"spinner-page"===c[0].id&&c.addClass("hide")}),a.$on("$stateChangeError",function(){"spinner-page"===c[0].id&&c.addClass("hide")})}}}]).directive("a",function(){return{restrict:"E",link:function(a,b,c){(c.ngClick||""===c.href||"#"===c.href)&&b.on("click",function(a){a.preventDefault()})}}}).directive("nxEqual",function(){return{require:"ngModel",link:function(a,b,c,d){return c.nxEqual?(a.$watch(c.nxEqual,function(a){d.$setValidity("nxEqual",a===d.$viewValue)}),void d.$parsers.push(function(b){var e=b===a.$eval(c.nxEqual);return d.$setValidity("nxEqual",e),e?b:void 0})):void console.error("nxEqual expects a model as an argument!")}}}).directive("ngEnter",function(){return function(a,b,c){b.bind("keydown keypress",function(b){13===b.which&&(a.$apply(function(){a.$eval(c.ngEnter)}),b.preventDefault())})}}).directive("dropdownMenuHover",function(){return{link:function(a,b){b.dropdownHover()}}}).directive("uiSelectRequired",function(){return{require:"ngModel",link:function(a,b,c,d){d.$validators.uiSelectRequired=function(a){return a&&a.length}}}}).directive("rpattern",function(){return{restrict:"A",require:"ngModel",link:function(a,b,c,d){function e(a,b){return null==b||""===b||!h||a.test(b)?(d.$setValidity("pattern",!0),b):void d.$setValidity("pattern",!1)}var f,g=c.rpattern,h=!0;g&&(g.match(/^\/(.*)\/$/)?(g=new RegExp(g.substr(1,g.length-2)),f=function(a){return e(g,a)}):f=function(b){var c=a.$eval(g);if(!c||!c.test)throw new Error("Expected "+g+" to be a RegExp but was "+c);return e(c,b)}),d.$formatters.push(f),d.$parsers.push(f),c.$observe("required",function(a){h=a,f(d.$viewValue)})}}}).directive("conditionBuilder",["$compile","xAlert","$timeout",function(a,b,c){return{restrict:"E",scope:{element:"=",serviceindex:"=",service:"=",form:"="},templateUrl:"app/main/template/template.conditionBuilder.html",compile:function(d,e){var f,g;return f=d.contents().remove(),function(d,e,h){function i(a){var c=_.find(d.service.services[d.serviceindex].conditions,{value:a});if(c)if(d.elementType=c.type,c.arr)d.values=c.arr;else if(c.resource)return c.resource.get().$promise.then(function(a){d.values=_.map(a.rows,function(a){return{name:a[c.resValue],value:a[c.resValue]}})})["catch"](function(a){b.show($translate.instant(a.message),"danger")})}function j(a,b){var c=[];for(a=a||5,b=b||"ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789";--a;)c.push(b.charAt(Math.floor(Math.random()*b.length)));return c.join("")}d._=_,d.randomName=j(),c(function(){d.element.field&&i(d.element.field)}),d.checkCondition=function(a){d.element.value="",d.element.operator="",i(a)},g||(g=a(f)),e.append(g(d,function(a){return a}))}}}}]).directive("newContact",["$compile","xAlert","socket","$translate","$timeout","List","Tag","Company","ReportCall","$uibModal",function(a,b,c,d,e,f,g,h,i,j){return{restrict:"E",scope:{workspace:"=",index:"=",submit:"&onSubmit"},templateUrl:"app/main/template/template.contactTab.html",compile:function(a,k){return function(a,k,l){function m(){a.$watch("contact",function(b,c){angular.equals(b,c)||(a.workspace.edited=!0)},!0)}a.forms={general:{}},a.firstLoad=!0,a.detail={tab:"main"},a.contact={CompanyId:"",disposed:!1},a.submitButtonLabel=d.instant("APPLICATION_CONFIRM"),a.dispose=function(){return j.open({animation:!0,templateUrl:"app/main/cm.disposition.html",controller:"ContactManagerSetDisposition"}).result.then(function(c){return i.update({id:a.workspace.data.uniqueid},c).$promise.then(function(){a.contact.disposed=!0,b.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){b.show(a.data.message,"danger")})})},a.init=function(){a.workspace.data&&(a.contact.firstName=a.workspace.data.calleridname?a.workspace.data.calleridname:a.workspace.data.messageId?a.workspace.data.messageId:a.workspace.data.uniqueid?a.workspace.data.uniqueid:"",a.workspace.data.calleridnum&&(a.contact.phone=a.workspace.data.calleridnum),a.workspace.data.from&&(a.contact.email=a.workspace.data.from)),a.getTags(),a.getCompanies(),a.getLists()},a.getLists=function(){return f.get().$promise.then(function(b){a.lists=b.rows,c.syncUpdates("list",a.lists),a.contact.ListId=a.lists[0]?a.lists[0].id:null,a.getCustomFields()})["catch"](function(a){b.show(d.instant(a.message)+"!","danger")})},a.getCustomFields=function(){return f.get({listId:a.contact.ListId,controller:"customfields"}).$promise.then(function(b){a.customFields=b.rows,a.customFields.forEach(function(a){a.values=JSON.parse(a.values)}),c.socket.on("custom_field:remove",function(b){_.remove(a.customFields,function(a){return b.id==a.id})}),c.socket.on("custom_field:save",function(b){if(b.ListId===a.contact.ListId){var c=_.find(a.customFields,{id:b.id});c||("string"==typeof b.values&&(b.values=JSON.parse(b.values)),a.customFields.push(b))}}),!a.firstLoad||a.workspace.data?(a.workspace.edited=!0,a.firstLoad=!1):(a.firstLoad=!1,m())})["catch"](function(a){console.error(a),b.show(a.message,"danger")})},a.getTags=function(){return g.get().$promise.then(function(b){a.tags=_.map(b.rows,"name")})["catch"](function(a){console.error(a),b.show(a.message,"danger")})},a.getCompanies=function(){return h.get().$promise.then(function(b){a.companies=b.rows,a.companies.unshift({id:"",name:"-- None --"}),c.syncUpdates("company",a.companies)})["catch"](function(a){console.error(a),b.show(a.message,"danger")})},e(function(){a.init()})}}}}]).directive("contact",["$compile","xAlert","socket","$timeout","List","Tag","Company","Contact","$translate","gridOptions","$uibModal","ReportCall",function(a,b,c,d,e,f,g,h,i,j,k,l){return{restrict:"E",scope:{workspace:"=",index:"=",submit:"&onSubmit"},templateUrl:"app/main/template/template.contactTab.html",compile:function(a,m){return function(a,m,n){function o(b){var c=[];_.forEach(a.columns[a.log[b].show][a.log[b].detail].indexes,function(d,e){c.push({name:d,displayName:a.columns[a.log[b].show][a.log[b].detail].header[e],headerCellFilter:"translate",enableSorting:!1,enableColumnMenu:!1})});var d={enablePaginationControls:!0,exporterCsvFilename:b+".csv",columnDefs:c};a["gridOptions"+b]=j.gridOptions(h,d,a,_.merge({id:a.workspace.contactID,controller:"log",logType:b,order:"createdAt DESC"},a.log[b]),!0,b),a["gridOptions"+b].getPage()}a.moment=moment,a.submitButtonLabel=i.instant("APPLICATION_UPDATE"),a.forms={general:{}},a.detail={tab:"main"},a.log={daily:{show:"phone",type:"inbound",detail:"general"},history:{show:"phone",type:"inbound",detail:"general"}},a.columns={phone:{general:{header:["APPLICATION_SOURCE","APPLICATION_DESTINATION","APPLICATION_DISPOSITION","APPLICATION_DATE"],indexes:["source","destination","disposition","createdAt"]},queue:{header:["APPLICATION_CALLERID","APPLICATION_QUEUE","APPLICATION_DATE"],indexes:["calleridnum","queue","createdAt"]}},email:{general:{header:["APPLICATION_FROM","APPLICATION_TO","APPLICATION_DISPOSITION","APPLICATION_DATE"],indexes:["from","accountaddress","disposition","createdAt"]}}},a.dispose=function(){return k.open({animation:!0,templateUrl:"app/main/cm.disposition.html",controller:"ContactManagerSetDisposition"}).result.then(function(c){return l.update({id:a.workspace.data.uniqueid},c).$promise.then(function(){a.contact.disposed=!0,b.show(i.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){b.show(a.data.message,"danger")})})},a.companyInfo=function(b){k.open({animation:!0,size:"lg",templateUrl:"app/main/company.info.html",controller:["$scope","$uibModalInstance","company",function(a,b,c){a.company=c,a.close=function(){b.dismiss("cancel")}}],resolve:{company:_.find(a.companies,{id:b})}})},a.updateLog=function(b,c,d,e){c&&(a.log[b].show=c),d&&(a.log[b].detail=d),e&&(a.log[b].type=e),o(b)},a.getContact=function(){return h.get({id:a.workspace.contactID}).$promise.then(function(b){b.tags=b.tags?b.tags.split(","):[],a.contact=b,a.contact.CompanyId||(a.contact.CompanyId=""),a.getCustomFields(b.ListId),a.updateLog("daily"),a.updateLog("history")})["catch"](function(a){console.error(a),b.show(a.message,"danger")})},a.getTags=function(){return f.get().$promise.then(function(b){a.tags=_.map(b.rows,"name")})["catch"](function(a){console.error(a),b.show(a.message,"danger")})},a.getCompanies=function(){return g.get().$promise.then(function(b){a.companies=b.rows,a.companies.unshift({id:"",name:"-- None --"}),c.syncUpdates("company",a.companies)})["catch"](function(a){console.error(a),b.show(a.message,"danger")})},a.getCustomFields=function(d){return e.get({listId:d,controller:"customfields"}).$promise.then(function(b){a.customFields=b.rows,a.customFields.forEach(function(a){a.values=JSON.parse(a.values)}),c.socket.on("custom_field:remove",function(b){_.remove(a.customFields,function(a){return b.id==a.id})}),c.socket.on("custom_field:save",function(b){if(b.ListId===d){var c=_.find(a.customFields,{id:b.id});c||("string"==typeof b.values&&(b.values=JSON.parse(b.values)),a.customFields.push(b))}})})["catch"](function(a){console.error(a),b.show(a.message,"danger")})},d(function(){a.getTags(),a.getCompanies(),a.getContact()})}}}}]).directive("jscripty",["$compile","xAlert","$http","socket","JscriptyProject",function(a,b,c,d,e){return{restrict:"E",scope:{workspace:"=",index:"=",submit:"&onSubmit"},templateUrl:"app/main/template/template.jscriptyTab.html",compile:function(a,d){return function(a,d,f){a.count=0,a.questions=[];var g,h=a.workspace.data.id;c.get("/api/jscripty/projects/"+h+"/download").success(function(b){b?c.post("/api/jscripty/projects/"+h+"/sessions",{projectId:h,status:"started",queue:a.workspace.data.queue,calleridnum:a.workspace.data.calleridnum,callername:a.workspace.data.callername,membername:a.workspace.data.membername}).success(function(c){g=c.session_id,a.workspace.edited=!0,a.workspace.sessionId=g,a.workspace.projectId=h;var d=mxUtils.parseXml(b),e=new mxCodec(d);a.decObj=e.decode(d.documentElement);var f=_.find(a.decObj.cells,function(a){return a.vertex&&"start"==a.value.nodeName});if("OFF"==f.getAttribute("state")){var j=_.find(f.edges,function(a){return a.source.id==f.id}).target;a.questions[a.count]=a.currentQuestion=i(j)}else a.questions[a.count]=a.currentQuestion=f;a.saveQuestions()}):(console.log("No project published!"),alert("No project published!"),a.submit({index:a.index}))}),a.next=function(b,c){switch(a.currentQuestion.answer&&a.currentQuestion.answer!=c&&(a.questions=_.take(a.questions,a.count+1)),a.currentQuestion.answer=c,b.value.nodeName){case"question":b=i(b)}a.questions[a.count]=a.currentQuestion,a.currentQuestion=b,a.count++,a.questions[a.count]=b,a.saveQuestions()};var i=function(a){var b=[];return a.options=[],_.forEach(a.edges,function(c,d){if(c.source.id==a.id&&c.value){var e=String(c.value).split(",");e.length>1?(_.forEach(e,function(b,d){var e=Object.create(c);e.setValue(b),a.edges.push(e),a.options.push(b)}),b.push(c)):a.options.push(e[0])}}),_.forEach(b,function(b){_.remove(a.edges,function(a){return a.value==b.value&&a.id==b.id})}),a};a.end=function(){a.saveQuestions(),a.workspace.lastQuestionId=a.questions[a.questions.length-1].id,"end"==a.questions[a.questions.length-1].value.nodeName&&(a.workspace.edited=!1,e.update({id:h,controller:"sessions",action:g},{status:"completed",completedAt:Date(),last_question_id:a.questions[a.questions.length-1].id}).$promise.then(function(a){b.show("Project Ended","success")})),a.submit({index:a.index})},a.workspace.end=a.end,a.back=function(){a.count--,a.currentQuestion=a.questions[a.count]},a.setCurrentQuestion=function(b){a.count=b,a.currentQuestion=a.questions[a.count]},a.saveQuestions=function(){for(var c=[],d=[],f=0;f"},{name:">="},{name:"LIKE"}],b.addCondition=function(){b.group.rules.push({condition:"=",field:"",value:""})},b.removeCondition=function(a){b.group.rules.splice(a,1)},b.addGroup=function(){b.group.rules.push({group:{operator:"AND",rules:[{condition:"=",field:"",value:""}]}})},b.randomName=function(a,b){var c=[];for(a=a||5,b=b||"ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789";--a;)c.push(b.charAt(Math.floor(Math.random()*b.length)));return c.join("")},b.removeGroup=function(){b.$parent.group&&b.$parent.group.rules.splice(b.$parent.$index,1)},e||(e=a(d)),c.append(e(b,function(a){return a}))}}}}]).directive("dynamicName",["$compile",function(a){return{restrict:"A",terminal:!0,priority:1e3,link:function(b,c,d){c.attr("name",b.$eval(d.dynamicName)),c.removeAttr("dynamic-name"),a(c)(b)}}}]).directive("onReadFile",["$parse",function(a){return{restrict:"A",scope:!1,link:function(b,c,d){var e=a(d.onReadFile);c.on("change",function(a){var c=new FileReader;c.onload=function(a){b.$apply(function(){e(b,{$fileContent:a.target.result})})},c.readAsText((a.srcElement||a.target).files[0])})}}}]).directive("motionFileDownload",["xAlert","$translate","$timeout","$location","FileSaver","$compile",function(a,b,c,d,e,f){return{restrict:"E",template:'{{btncontent || \'\'}}',scope:{mimetype:"=",filename:"=",resource:"=",params:"=",btnclass:"@",btncontent:"@",tooltiptext:"@"},link:function(c,d,f){var g=d.children()[0];c.$on("download-start",function(){$(g).attr("disabled","disabled")}),c.$on("downloaded",function(a,b){var d=base64ToBlob(b,c.mimetype);e.saveAs(d,c.filename),$(g).attr("disabled",!1)}),c.download=function(){return c.$emit("download-start"),c.resource.get(c.params).$promise.then(function(a){c.$emit("downloaded",a.downloadString)})["catch"](function(d){a.show(b.instant(d.translatedMessage||d.data.translatedMessage||d.message||d.data.message),"danger"),c.download=function(){}})}}}}]).directive("audioFileDownload",["xAlert","$translate","$sce",function(a,b,c){return{restrict:"E",template:'',scope:{resource:"=",params:"="},link:function(d,e,f){var g=e.children()[0];d.$on("download-start",function(a,b){$(g).attr("disabled","disabled").html(' Loading...')}),d.$on("downloaded",function(a,b){var d=new Audio(c.trustAsResourceUrl("data:audio/ogg;base64,"+b));d.controls=!0,$(g).remove(),e.append(d),d.play()}),d.$on("error",function(a,b){$(g).removeClass("blue-stripe").addClass("red-stripe").html(' Error!')}),d.download=function(){return d.$emit("download-start"),d.resource.get(d.params).$promise.then(function(a){d.$emit("downloaded",a.downloadString)})["catch"](function(c){a.show(b.instant(c.translatedMessage||c.data.translatedMessage||c.message||c.data.message),"danger")})}}}}]).directive("uiRequired",function(){return{require:"ngModel",link:function(a,b,c,d){d.$validators.required=function(a,b){return!(b&&0===b.length&&"true"===c.uiRequired)},c.$observe("uiRequired",function(){d.$setValidity("required",!("true"===c.uiRequired&&d.$viewValue&&0===d.$viewValue.length))})}}}).directive("schrollBottom",function(){return{scope:{schrollBottom:"="},link:function(a,b){a.$watchCollection("schrollBottom",function(a){a&&$(b).scrollTop($(b)[0].scrollHeight)})}}}).directive("customGridDateFilterHeader",function(){return{templateUrl:"app/main/customDateFilterHeader.html",controller:"gridDatePickerFilterCtrl"}}),angular.module("xCallyShuttleApp").filter("propsFilter",function(){return function(a,b){var c=[];return angular.isArray(a)?a.forEach(function(a){for(var d=!1,e=Object.keys(b),f=0;f=0}),b}}).filter("freshdesk",function(){var a=["requester","subject","agent","description"];return function(b){return _.remove(b,function(b){return a.indexOf(b.ticket_field.name)>=0}),b}}).filter("zendesk",function(){var a=["subject","assignee","description"];return function(b){return _.remove(b,function(b){return a.indexOf(b.type)>=0}),b}}).filter("slice",function(){return function(a,b,c){return a?a.slice(b,c):void 0}}),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main",{"abstract":!0,templateUrl:"app/main/main.html",controller:"MainCtrl",resolve:{authorization:["$q","Auth","$state","$timeout","$location",function(a,b,c,d,e){return b.getToken()?a.when():(d(function(){e.path("/login")}),a.reject())}],deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/css/profile.css","assets/css/tasks.css","assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/plugins/mxgraph/js/mxClient.js"]}])}]}})}]),angular.module("xCallyShuttleApp").factory("xAlert",["$translate","Notification",function(a,b){return{show:function(c,d){switch(d){case"success":b.success({message:c,title:' '+a.instant("MESSAGE_SUCCESS")+"!",delay:5e3});break;case"info":b.info({message:c,title:' '+a.instant("MESSAGE_INFO")+"!",delay:5e3});break;case"danger":b.error({message:c,title:' '+a.instant("MESSAGE_ERROR")+"!",delay:1e4});break;case"warning":b.warning({message:c,title:' '+a.instant("MESSAGE_WARNING")+"!",delay:1e4});break;default:b.info(c)}},error:function(c){var d;d=c?c.data?c.data.translatedMessage||c.data.message||"Generic Error":c.translatedMessage||c.message||"Generic Error":"Generic Error",b.error({message:a.instant(d),title:' '+a.instant("MESSAGE_ERROR")+"!",delay:1e4})}}}]).factory("stResource",["$q","$filter","$timeout","$rootScope","$http","$translate","xAlert",function(a,b,c,d,e,f,g){return{getPage:function(b){var c={page:Math.ceil(b.pagination.start/b.pagination.number),per_page:b.pagination.number};b.sort.predicate&&(c.sort_by=b.sort.predicate,c.sort_order=b.sort.reverse?"DESC":"ASC"),_.forIn(b.search.predicateObject,function(a,b){c[b]=a}),b.search.params&&(c.clientQuery={},_.forIn(b.search.params,function(a,b){c.clientQuery[b]=a})),d.$broadcast("$spinnerStart"),e.get(b.url,{params:c}).success(function(a){h.resolve(a),d.$broadcast("$spinnerStop")}).error(function(a){g.show(f.instant(a.message),"danger"),d.$broadcast("$spinnerStop")});var h=a.defer();return h.promise}}}]).factory("xNotification",["$translate","Notification","$rootScope",function(a,b,c){return{show:function(d,e,f,g,h,i){var j=c.$new();switch(j.acceptBtn=a.instant("APPLICATION_ACCEPT"),j.rejectBtn=a.instant("APPLICATION_REJECT"),j.nAccept=h,j.nReject=i,f){case"chat":return b.info({message:e,title:d,templateUrl:"app/main/template/template.notification.html",delay:g,scope:j});case"mail":return b.success({message:e,title:d,templateUrl:"app/main/template/template.notification.html",delay:g,scope:j});case"fax":return b.warning({message:e,title:d,templateUrl:"app/main/template/template.notification.html",delay:g,scope:j});case"sms":return b.error({message:e,title:d,templateUrl:"app/main/template/template.notification.html",delay:g,scope:j});default:return b.primary({message:e,title:d,templateUrl:"app/main/template/template.notification.html",delay:g,scope:j})}}}}]),angular.module("xCallyShuttleApp").factory("Widget",["$resource",function(a){return a("/api/widgets/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").controller("MarketCtrl",["$scope","$http","$translate","socket","xAlert","Modal","$timeout",function(a,b,c,d,e,f,g){function h(a,b){return Math.floor(Math.random()*(b-a+1))+a}a.initView=function(){b.get("/api/integrations").success(function(b){a.integrations=b.rows,a.groupedIntegration=_.chunk(a.integrations,4),d.syncUpdates("integration",a.integrations,function(){a.groupedIntegration=_.chunk(a.integrations,4)})}).error(function(a){e.show(a.message,"danger")})},a.isProgressing=!1,a.$on("$destroy",function(){d.unsyncUpdates("integration")}),a.installIntegration=function(d){a.status="Downloading",a.percentage=h(1,50),a.isProgressing=!0,d.downloading=!0,b.put("/api/integrations/"+d.id,{active:!0}).success(function(){g(function(){a.percentage=h(51,99),a.status="Installing"},2500),g(function(){a.percentage=100,a.isProgressing=!1,a.status="Completed!",d.downloading=!1,e.show(c.instant("MESSAGE_WELL_DONE")+".","success")},5e3)}).error(function(){d.downloading=!1,a.percentage=0,a.isProgressing=!1,e.show(c.instant("MESSAGE_SOMETHING_WENT_WRONG")+".","danger")})},a.uninstallIntegration=function(d){a.status="Uninstalling",a.percentage=h(1,99),a.isProgressing=!0,d.downloading=!0,b.put("/api/integrations/"+d.id,{active:!1}).success(function(){g(function(){a.percentage=100,a.isProgressing=!1,a.status="Completed!",d.downloading=!1,e.show(c.instant("MESSAGE_WELL_DONE")+".","success")},5e3)}).error(function(){d.downloading=!1,a.percentage=0,a.isProgressing=!1,e.show(c.instant("MESSAGE_SOMETHING_WENT_WRONG")+".","danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.market",{url:"/market",templateUrl:"app/market/market.html",controller:"MarketCtrl",data:{permissions:{only:["admin"],redirectTo:"main.dashboard.voice"}}})}]),angular.module("xCallyShuttleApp").controller("MotionbarCtrl",["$scope","$stateParams","$cookieStore","$window","$location","settings","Auth",function(a,b,c,d,e,f,g){console.log(b),b.token&&b.userId&&b.role&&b.redirectTo?(g.loginWithToken(b.token,b.userId,b.role),d.location.href=decodeURIComponent(b.redirectTo)):e.path("/login")}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("motionbar",{url:"/motionbar?redirectTo&token&userId&role",template:"
            ",controller:"MotionbarCtrl"})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.motiondialer.blacklist",{url:"/blacklist",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("MotionDialerBlackListListCtrl",["$scope","$uibModal","$translate","xAlert","Modal","MotionDialerBlackList","uiGridConstants",function(a,b,c,d,e,f,g){a.initView=function(){a.gridOptions={paginationPageSizes:[10,25,50,75],paginationPageSize:10,enableFiltering:!0,enableSorting:!0,enableGridMenu:!0,flatEntityAccess:!0,showGridFooter:!0,fastWatch:!0,columnDefs:[{name:"id",width:60},{name:"phone"},{name:"description",enableSorting:!1},{name:"updatedtime",sort:{direction:g.DESC},enableFiltering:!1},{name:"action",cellTemplate:'',enableSorting:!1,cellClass:"ui-grid-vcenter",enableFiltering:!1}]},f.list({page:1,size:6e5}).$promise.then(function(b){b.status>0?a.gridOptions.data=b.response.RMI.rows:d.show("Please, check your connection!","danger")})["catch"](function(a){d.show(a.message?a.message:a.data.message,"danger")})},a.createItem=function(){var c=b.open({animation:!0,templateUrl:"app/motiondialer/blacklist/list/list.create.modal.html",controller:"MotionDialerBlackListListCreateCtrl"});c.result.then(function(b){a.gridOptions.data.push(b.response.RMI)})},a.deleteItem=e.confirm["delete"](function(b){return f["delete"]({id:b}).$promise.then(function(e){e.status>0?(_.remove(a.gridOptions.data,{id:b}),d.show(c.instant("MESSAGE_WELL_DONE"),"success")):d.show(e.message||"Please, check your connection!","danger")})["catch"](function(a){d.show(a.message?a.message:a.data.message,"danger")})})}]),angular.module("xCallyShuttleApp").controller("MotionDialerBlackListListCreateCtrl",["$scope","$translate","$uibModalInstance","MotionDialerBlackList","xAlert",function(a,b,c,d,e){a.form={},a.item={},a.save=function(){return d.insert(a.item).$promise.then(function(a){a.status>0?(e.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)):e.show(a.message||"Please, check your connection!","danger")})["catch"](function(a){e.show(a.message?a.message:a.data.message,"danger")})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.motiondialer.blacklist.list",{url:"/list",templateUrl:"app/motiondialer/blacklist/list/list.html",controller:"MotionDialerBlackListListCtrl",authenticate:!0})}]),angular.module("xCallyShuttleApp").factory("MotionDialerBlackList",["$resource",function(a){return a("/api/rest/blacklist/:controller",null,{list:{method:"POST",params:{controller:"list"}},insert:{method:"POST",params:{controller:"insert"}},"delete":{method:"POST",params:{controller:"delete"}},find:{method:"POST",params:{controller:"find"}},update:{method:"POST",params:{controller:"update"}}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.motiondialer.info",{url:"/info",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").factory("MotionDialerSystem",["$resource",function(a){return a("/api/rest/system/:controller",null,{properties:{method:"POST",params:{controller:"properties"}}})}]),angular.module("xCallyShuttleApp").controller("MotionDialerInfoViewCtrl",["$scope","xAlert","MotionDialerSystem",function(a,b,c){a.getProperties=function(){return c.properties().$promise.then(function(c){console.log(c),c.status>0?a.properties=c.response.RMI:b.show(c.message||"Please, check your connection!","danger")})["catch"](function(a){b.show(a.message?a.message:a.data.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.motiondialer.info.view",{url:"/view",templateUrl:"app/motiondialer/info/view/view.html",controller:"MotionDialerInfoViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.motiondialer.info.view.about",{url:"/about",templateUrl:"app/motiondialer/info/view/view.about.html"}).state("main.motiondialer.info.view.details",{url:"/details",templateUrl:"app/motiondialer/info/view/view.details.html"})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.motiondialer.lists",{url:"/lists",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("MotionDialerListListCtrl",["$scope","$uibModal","$translate","xAlert","Modal","MotionDialerList","uiGridConstants","usSpinnerService",function(a,b,c,d,e,f,g,h){a.initView=function(){return a.gridOptions={paginationPageSizes:[10,25,50,75],paginationPageSize:10,enableFiltering:!0,enableSorting:!0,enableGridMenu:!0,flatEntityAccess:!0,showGridFooter:!0,fastWatch:!0,columnDefs:[{name:"id",width:60},{name:"name"},{name:"description",enableSorting:!1},{name:"updatedtime",sort:{direction:g.DESC},enableFiltering:!1},{name:"action",cellTemplate:'',enableSorting:!1,cellClass:"ui-grid-vcenter",enableFiltering:!1}]},f.list({page:1,size:6e5}).$promise.then(function(b){b.status>0?a.gridOptions.data=b.response.RMI.rows:d.show("Please, check your connection!","danger")})["catch"](function(a){d.show(a.message?a.message:a.data.message,"danger")})["finally"](function(){h.stop("spinner-grid")})},a.createItem=function(){var c=b.open({animation:!0,templateUrl:"app/motiondialer/list/list/list.create.modal.html",controller:"MotionDialerListListCreateCtrl"});c.result.then(function(b){a.gridOptions.data.push(b.response.RMI)})},a.deleteItem=e.confirm["delete"](function(b){return f["delete"]({id:b}).$promise.then(function(e){e.status>0?(_.remove(a.gridOptions.data,{id:b}),d.show(c.instant("MESSAGE_WELL_DONE"),"success")):d.show(e.message||"Please, check your connection!","danger")})["catch"](function(a){d.show(a.message?a.message:a.data.message,"danger")})})}]),angular.module("xCallyShuttleApp").controller("MotionDialerListListCreateCtrl",["$scope","$translate","$uibModalInstance","MotionDialerList","xAlert",function(a,b,c,d,e){a.form={},a.item={},a.save=function(){return d.insert(a.item).$promise.then(function(a){a.status>0?(e.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)):e.show(a.message||"Please, check your connection!","danger")})["catch"](function(a){e.show(a.message?a.message:a.data.message,"danger")})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.motiondialer.lists.list",{url:"/list",templateUrl:"app/motiondialer/list/list/list.html",controller:"MotionDialerListListCtrl",authenticate:!0})}]),angular.module("xCallyShuttleApp").factory("MotionDialerContact",["$resource",function(a){return a("/api/rest/contact/:controller",null,{list:{method:"POST",params:{controller:"list"}},insert:{method:"POST",params:{controller:"insert"}},"delete":{method:"POST",params:{controller:"delete"}},find:{method:"POST",params:{controller:"find"}},update:{method:"POST",params:{controller:"update"}}})}]),angular.module("xCallyShuttleApp").factory("MotionDialerList",["$resource",function(a){return a("/api/rest/list/:controller",null,{list:{method:"POST",params:{controller:"list"}},insert:{method:"POST",params:{controller:"insert"}},"delete":{method:"POST",params:{controller:"delete"}},find:{method:"POST",params:{controller:"find"}},update:{method:"POST",params:{controller:"update"}}})}]),angular.module("xCallyShuttleApp").controller("MotionDialerViewContactCreateCtrl",["$scope","$translate","$uibModalInstance","$stateParams","MotionDialerContact","xAlert","MotionDialerTimezone",function(a,b,c,d,e,f,g){a.form={},a.item={},a.timezones=g.list(),a.save=function(){return console.log(a.item),e.insert({id_list:parseInt(d.id),name:a.item.name,phones:a.item.phones.trim().split(","),description:a.item.description||""}).$promise.then(function(a){console.log(a),a.status>0?(f.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)):f.show(a.message||"Please, check your connection!","danger")})["catch"](function(a){f.show(a.message?a.message:a.data.message,"danger")})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").controller("MotionDialerListContactImportModalCtrl",["$scope","$uibModalInstance","$stateParams","indexes","csv","xAlert","MotionDialerContact","MotionDialerTimezone",function(a,b,c,d,e,f,g,h){function i(b){if(b>=a.csvLength||a.stopped)return void(a.importing=!1);var d={id_list:parseInt(c.id),name:"",phones:[],description:""};return _.forOwn(a.item,function(a,c){"phones"===c?d[c].push(e[b][a]):d[c]=e[b][a]}),g.insert(d).$promise.then(function(b){b.status>0?(a["import"].success+=j,a["import"].succeeded++,k.push(b.response.RMI)):(console.log(b),a["import"].fail+=j,a["import"].failed++)})["catch"](function(b){console.log(b),a["import"].fail+=j,a["import"].failed++})["finally"](function(){i(b+1)})}a.form={},a.item={},a.timezones=h.list(),console.log(d),console.log(e),a.indexes=d,a.importing=!1,a.submitted=!1,a.stopped=!1,a["import"]={success:0,fail:0,succeeded:0,failed:0},a.csvLength=e.length;var j=100/a.csvLength,k=[];a.start=function(){a.importing=!0,a.submitted=!0,i(0)},a.close=function(){b.close(k)},a.stop=function(){a.stopped=!0}}]),angular.module("xCallyShuttleApp").controller("MotionDialerListViewCtrl",["$scope","$translate","$stateParams","$uibModal","xAlert","uiGridConstants","usSpinnerService","MotionDialerList","MotionDialerContact","Modal","FileUploader","Papa",function(a,b,c,d,e,f,g,h,i,j,k,l){a.getList=function(){return h.find({id:parseInt(c.id)}).$promise.then(function(b){b.status>0?a.list=b.response.RMI:e.show(b.message||"Please, check your connection!","danger")})["catch"](function(a){e.show(a.message?a.message:a.data.message,"danger")})},a.updateList=function(){return h.update({id:a.list.id,name:a.list.name,description:a.list.description}).$promise.then(function(a){a.status>0?e.show(b.instant("MESSAGE_WELL_DONE"),"success"):e.show(a.message||"Please, check your connection!","danger")})["catch"](function(a){e.show(a.data.message,"danger")})},a.getContacts=function(){return a.gridOptions={paginationPageSizes:[10,25,50,75],paginationPageSize:10,enableFiltering:!0,enableSorting:!0,enableGridMenu:!0,flatEntityAccess:!0,showGridFooter:!0,fastWatch:!0,columnDefs:[{name:"name"},{name:"phones"},{name:"description",enableSorting:!1},{name:"updatedtime",sort:{direction:f.DESC},enableFiltering:!1},{name:"action",cellTemplate:'',enableSorting:!1,cellClass:"ui-grid-vcenter",enableFiltering:!1}]},i.list({id_list:parseInt(c.id),page:1,size:6e5}).$promise.then(function(b){b.status>0?a.gridOptions.data=b.response.RMI.rows:e.show(b.message||"Please, check your connection!","danger")})["catch"](function(a){e.show(a.message?a.message:a.data.message,"danger")})["finally"](function(){g.stop("spinner-grid")})},a.deleteContact=j.confirm["delete"](function(c){return i["delete"]({id:c}).$promise.then(function(d){d.status>0?(_.remove(a.gridOptions.data,{id:c}),e.show(b.instant("MESSAGE_WELL_DONE"),"success")):e.show(d.message||"Please, check your connection!","danger")})["catch"](function(a){e.show(a.message?a.message:a.data.message,"danger")})}),a.createContact=function(){var b=d.open({animation:!0,templateUrl:"app/motiondialer/list/view/view.contact.create.modal.html",controller:"MotionDialerViewContactCreateCtrl"});b.result.then(function(b){a.gridOptions.data.push(b.response.RMI)})};var m=a.uploader=new k({queueLimit:1,clearInputAfterAddedToQueue:!0});m.onAfterAddingFile=function(b){l.parse(b._file,{header:!0,complete:function(b){a.uploader.clearQueue(),a["import"](b.data)},error:function(a){e.show(a,"danger")}})},a["import"]=function(b){var c=d.open({animation:!0,backdrop:"static",keyboard:!1,templateUrl:"app/motiondialer/list/view/view.contact.import.modal.html",controller:"MotionDialerListContactImportModalCtrl",resolve:{indexes:function(){return _.keys(b[0])},csv:function(){return b}}});c.result.then(function(b){console.log(b),a.gridOptions.data=a.gridOptions.data.concat(b)})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.motiondialer.lists.view",{url:"/view/:id",templateUrl:"app/motiondialer/list/view/view.html",controller:"MotionDialerListViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.motiondialer.lists.view.settings",{url:"/settings",templateUrl:"app/motiondialer/list/view/view.settings.html"}).state("main.motiondialer.lists.view.contacts",{url:"/contacts",templateUrl:"app/motiondialer/list/view/view.contacts.html"})}]),angular.module("xCallyShuttleApp").factory("MotionDialerTimezone",function(){return{list:function(){return[{group:"US (Common)",zones:[{value:"America/Puerto_Rico",name:"Puerto Rico (Atlantic)"},{value:"America/New_York",name:"New York (Eastern)"},{value:"America/Chicago",name:"Chicago (Central)"},{value:"America/Denver",name:"Denver (Mountain)"},{value:"America/Phoenix",name:"Phoenix (MST)"},{value:"America/Los_Angeles",name:"Los Angeles (Pacific)"},{value:"America/Anchorage",name:"Anchorage (Alaska)"},{value:"Pacific/Honolulu",name:"Honolulu (Hawaii)"}]},{group:"America",zones:[{value:"America/Adak",name:"Adak"},{value:"America/Anchorage",name:"Anchorage"},{value:"America/Anguilla",name:"Anguilla"},{value:"America/Antigua",name:"Antigua"},{value:"America/Araguaina",name:"Araguaina"},{value:"America/Argentina/Buenos_Aires",name:"Argentina - Buenos Aires"},{value:"America/Argentina/Catamarca",name:"Argentina - Catamarca"},{value:"America/Argentina/ComodRivadavia",name:"Argentina - ComodRivadavia"},{value:"America/Argentina/Cordoba",name:"Argentina - Cordoba"},{value:"America/Argentina/Jujuy",name:"Argentina - Jujuy"},{value:"America/Argentina/La_Rioja",name:"Argentina - La Rioja"},{value:"America/Argentina/Mendoza",name:"Argentina - Mendoza"},{value:"America/Argentina/Rio_Gallegos",name:"Argentina - Rio Gallegos"},{value:"America/Argentina/Salta",name:"Argentina - Salta"},{value:"America/Argentina/San_Juan",name:"Argentina - San Juan"},{value:"America/Argentina/San_Luis",name:"Argentina - San Luis"},{value:"America/Argentina/Tucuman",name:"Argentina - Tucuman"},{value:"America/Argentina/Ushuaia",name:"Argentina - Ushuaia"},{value:"America/Aruba",name:"Aruba"},{value:"America/Asuncion",name:"Asuncion"},{value:"America/Atikokan",name:"Atikokan"},{value:"America/Atka",name:"Atka"},{value:"America/Bahia",name:"Bahia"},{value:"America/Barbados",name:"Barbados"},{value:"America/Belem",name:"Belem"},{value:"America/Belize",name:"Belize"},{value:"America/Blanc-Sablon",name:"Blanc-Sablon"},{value:"America/Boa_Vista",name:"Boa Vista"},{value:"America/Bogota",name:"Bogota"},{value:"America/Boise",name:"Boise"},{value:"America/Buenos_Aires",name:"Buenos Aires"},{value:"America/Cambridge_Bay",name:"Cambridge Bay"},{value:"America/Campo_Grande",name:"Campo Grande"},{value:"America/Cancun",name:"Cancun"},{value:"America/Caracas",name:"Caracas"},{value:"America/Catamarca",name:"Catamarca"},{value:"America/Cayenne",name:"Cayenne"},{value:"America/Cayman",name:"Cayman"},{value:"America/Chicago",name:"Chicago"},{value:"America/Chihuahua",name:"Chihuahua"},{value:"America/Coral_Harbour",name:"Coral Harbour"},{value:"America/Cordoba",name:"Cordoba"},{value:"America/Costa_Rica",name:"Costa Rica"},{value:"America/Cuiaba",name:"Cuiaba"},{value:"America/Curacao",name:"Curacao"},{value:"America/Danmarkshavn",name:"Danmarkshavn"},{value:"America/Dawson",name:"Dawson"},{value:"America/Dawson_Creek",name:"Dawson Creek"},{value:"America/Denver",name:"Denver"},{value:"America/Detroit",name:"Detroit"},{value:"America/Dominica",name:"Dominica"},{value:"America/Edmonton",name:"Edmonton"},{value:"America/Eirunepe",name:"Eirunepe"},{value:"America/El_Salvador",name:"El Salvador"},{value:"America/Ensenada",name:"Ensenada"},{value:"America/Fortaleza",name:"Fortaleza"},{value:"America/Fort_Wayne",name:"Fort Wayne"},{value:"America/Glace_Bay",name:"Glace Bay"},{value:"America/Godthab",name:"Godthab"},{value:"America/Goose_Bay",name:"Goose Bay"},{value:"America/Grand_Turk",name:"Grand Turk"},{value:"America/Grenada",name:"Grenada"},{value:"America/Guadeloupe",name:"Guadeloupe"},{value:"America/Guatemala",name:"Guatemala"},{value:"America/Guayaquil",name:"Guayaquil"},{value:"America/Guyana",name:"Guyana"},{value:"America/Halifax",name:"Halifax"},{value:"America/Havana",name:"Havana"},{value:"America/Hermosillo",name:"Hermosillo"},{value:"America/Indiana/Indianapolis",name:"Indiana - Indianapolis"},{value:"America/Indiana/Knox",name:"Indiana - Knox"},{value:"America/Indiana/Marengo",name:"Indiana - Marengo"},{value:"America/Indiana/Petersburg",name:"Indiana - Petersburg"},{value:"America/Indiana/Tell_City",name:"Indiana - Tell City"},{value:"America/Indiana/Vevay",name:"Indiana - Vevay"},{value:"America/Indiana/Vincennes",name:"Indiana - Vincennes"},{value:"America/Indiana/Winamac",name:"Indiana - Winamac"},{value:"America/Indianapolis",name:"Indianapolis"},{value:"America/Inuvik",name:"Inuvik"},{value:"America/Iqaluit",name:"Iqaluit"},{value:"America/Jamaica",name:"Jamaica"},{value:"America/Jujuy",name:"Jujuy"},{value:"America/Juneau",name:"Juneau"},{value:"America/Kentucky/Louisville",name:"Kentucky - Louisville"},{value:"America/Kentucky/Monticello",name:"Kentucky - Monticello"},{value:"America/Knox_IN",name:"Knox IN"},{value:"America/La_Paz",name:"La Paz"},{value:"America/Lima",name:"Lima"},{value:"America/Los_Angeles",name:"Los Angeles"},{value:"America/Louisville",name:"Louisville"},{value:"America/Maceio",name:"Maceio"},{value:"America/Managua",name:"Managua"},{value:"America/Manaus",name:"Manaus"},{value:"America/Marigot",name:"Marigot"},{value:"America/Martinique",name:"Martinique"},{value:"America/Matamoros",name:"Matamoros"},{value:"America/Mazatlan",name:"Mazatlan"},{value:"America/Mendoza",name:"Mendoza"},{value:"America/Menominee",name:"Menominee"},{value:"America/Merida",name:"Merida"},{value:"America/Mexico_City",name:"Mexico City"},{value:"America/Miquelon",name:"Miquelon"},{value:"America/Moncton",name:"Moncton"},{value:"America/Monterrey",name:"Monterrey"},{value:"America/Montevideo",name:"Montevideo"},{value:"America/Montreal",name:"Montreal"},{value:"America/Montserrat",name:"Montserrat"},{value:"America/Nassau",name:"Nassau"},{value:"America/New_York",name:"New York"},{value:"America/Nipigon",name:"Nipigon"},{value:"America/Nome",name:"Nome"},{value:"America/Noronha",name:"Noronha"},{value:"America/North_Dakota/Center",name:"North Dakota - Center"},{value:"America/North_Dakota/New_Salem",name:"North Dakota - New Salem"},{value:"America/Ojinaga",name:"Ojinaga"},{value:"America/Panama",name:"Panama"},{value:"America/Pangnirtung",name:"Pangnirtung"},{value:"America/Paramaribo",name:"Paramaribo"},{value:"America/Phoenix",name:"Phoenix"},{value:"America/Port-au-Prince",name:"Port-au-Prince"},{value:"America/Porto_Acre",name:"Porto Acre"},{value:"America/Port_of_Spain",name:"Port of Spain"},{value:"America/Porto_Velho",name:"Porto Velho"},{value:"America/Puerto_Rico",name:"Puerto Rico"},{value:"America/Rainy_River",name:"Rainy River"},{value:"America/Rankin_Inlet",name:"Rankin Inlet"},{value:"America/Recife",name:"Recife"},{value:"America/Regina",name:"Regina"},{value:"America/Resolute",name:"Resolute"},{value:"America/Rio_Branco",name:"Rio Branco"},{value:"America/Rosario",name:"Rosario"},{value:"America/Santa_Isabel",name:"Santa Isabel"},{value:"America/Santarem", +name:"Santarem"},{value:"America/Santiago",name:"Santiago"},{value:"America/Santo_Domingo",name:"Santo Domingo"},{value:"America/Sao_Paulo",name:"Sao Paulo"},{value:"America/Scoresbysund",name:"Scoresbysund"},{value:"America/Shiprock",name:"Shiprock"},{value:"America/St_Barthelemy",name:"St Barthelemy"},{value:"America/St_Johns",name:"St Johns"},{value:"America/St_Kitts",name:"St Kitts"},{value:"America/St_Lucia",name:"St Lucia"},{value:"America/St_Thomas",name:"St Thomas"},{value:"America/St_Vincent",name:"St Vincent"},{value:"America/Swift_Current",name:"Swift Current"},{value:"America/Tegucigalpa",name:"Tegucigalpa"},{value:"America/Thule",name:"Thule"},{value:"America/Thunder_Bay",name:"Thunder Bay"},{value:"America/Tijuana",name:"Tijuana"},{value:"America/Toronto",name:"Toronto"},{value:"America/Tortola",name:"Tortola"},{value:"America/Vancouver",name:"Vancouver"},{value:"America/Virgin",name:"Virgin"},{value:"America/Whitehorse",name:"Whitehorse"},{value:"America/Winnipeg",name:"Winnipeg"},{value:"America/Yakutat",name:"Yakutat"},{value:"America/Yellowknife",name:"Yellowknife"}]},{group:"Europe",zones:[{value:"Europe/Amsterdam",name:"Amsterdam"},{value:"Europe/Andorra",name:"Andorra"},{value:"Europe/Athens",name:"Athens"},{value:"Europe/Belfast",name:"Belfast"},{value:"Europe/Belgrade",name:"Belgrade"},{value:"Europe/Berlin",name:"Berlin"},{value:"Europe/Bratislava",name:"Bratislava"},{value:"Europe/Brussels",name:"Brussels"},{value:"Europe/Bucharest",name:"Bucharest"},{value:"Europe/Budapest",name:"Budapest"},{value:"Europe/Chisinau",name:"Chisinau"},{value:"Europe/Copenhagen",name:"Copenhagen"},{value:"Europe/Dublin",name:"Dublin"},{value:"Europe/Gibraltar",name:"Gibraltar"},{value:"Europe/Guernsey",name:"Guernsey"},{value:"Europe/Helsinki",name:"Helsinki"},{value:"Europe/Isle_of_Man",name:"Isle of Man"},{value:"Europe/Istanbul",name:"Istanbul"},{value:"Europe/Jersey",name:"Jersey"},{value:"Europe/Kaliningrad",name:"Kaliningrad"},{value:"Europe/Kiev",name:"Kiev"},{value:"Europe/Lisbon",name:"Lisbon"},{value:"Europe/Ljubljana",name:"Ljubljana"},{value:"Europe/London",name:"London"},{value:"Europe/Luxembourg",name:"Luxembourg"},{value:"Europe/Madrid",name:"Madrid"},{value:"Europe/Malta",name:"Malta"},{value:"Europe/Mariehamn",name:"Mariehamn"},{value:"Europe/Minsk",name:"Minsk"},{value:"Europe/Monaco",name:"Monaco"},{value:"Europe/Moscow",name:"Moscow"},{value:"Europe/Nicosia",name:"Nicosia"},{value:"Europe/Oslo",name:"Oslo"},{value:"Europe/Paris",name:"Paris"},{value:"Europe/Podgorica",name:"Podgorica"},{value:"Europe/Prague",name:"Prague"},{value:"Europe/Riga",name:"Riga"},{value:"Europe/Rome",name:"Rome"},{value:"Europe/Samara",name:"Samara"},{value:"Europe/San_Marino",name:"San Marino"},{value:"Europe/Sarajevo",name:"Sarajevo"},{value:"Europe/Simferopol",name:"Simferopol"},{value:"Europe/Skopje",name:"Skopje"},{value:"Europe/Sofia",name:"Sofia"},{value:"Europe/Stockholm",name:"Stockholm"},{value:"Europe/Tallinn",name:"Tallinn"},{value:"Europe/Tirane",name:"Tirane"},{value:"Europe/Tiraspol",name:"Tiraspol"},{value:"Europe/Uzhgorod",name:"Uzhgorod"},{value:"Europe/Vaduz",name:"Vaduz"},{value:"Europe/Vatican",name:"Vatican"},{value:"Europe/Vienna",name:"Vienna"},{value:"Europe/Vilnius",name:"Vilnius"},{value:"Europe/Volgograd",name:"Volgograd"},{value:"Europe/Warsaw",name:"Warsaw"},{value:"Europe/Zagreb",name:"Zagreb"},{value:"Europe/Zaporozhye",name:"Zaporozhye"},{value:"Europe/Zurich",name:"Zurich"}]},{group:"Asia",zones:[{value:"Asia/Aden",name:"Aden"},{value:"Asia/Almaty",name:"Almaty"},{value:"Asia/Amman",name:"Amman"},{value:"Asia/Anadyr",name:"Anadyr"},{value:"Asia/Aqtau",name:"Aqtau"},{value:"Asia/Aqtobe",name:"Aqtobe"},{value:"Asia/Ashgabat",name:"Ashgabat"},{value:"Asia/Ashkhabad",name:"Ashkhabad"},{value:"Asia/Baghdad",name:"Baghdad"},{value:"Asia/Bahrain",name:"Bahrain"},{value:"Asia/Baku",name:"Baku"},{value:"Asia/Bangkok",name:"Bangkok"},{value:"Asia/Beirut",name:"Beirut"},{value:"Asia/Bishkek",name:"Bishkek"},{value:"Asia/Brunei",name:"Brunei"},{value:"Asia/Calcutta",name:"Calcutta"},{value:"Asia/Choibalsan",name:"Choibalsan"},{value:"Asia/Chongqing",name:"Chongqing"},{value:"Asia/Chungking",name:"Chungking"},{value:"Asia/Colombo",name:"Colombo"},{value:"Asia/Dacca",name:"Dacca"},{value:"Asia/Damascus",name:"Damascus"},{value:"Asia/Dhaka",name:"Dhaka"},{value:"Asia/Dili",name:"Dili"},{value:"Asia/Dubai",name:"Dubai"},{value:"Asia/Dushanbe",name:"Dushanbe"},{value:"Asia/Gaza",name:"Gaza"},{value:"Asia/Harbin",name:"Harbin"},{value:"Asia/Ho_Chi_Minh",name:"Ho Chi Minh"},{value:"Asia/Hong_Kong",name:"Hong Kong"},{value:"Asia/Hovd",name:"Hovd"},{value:"Asia/Irkutsk",name:"Irkutsk"},{value:"Asia/Istanbul",name:"Istanbul"},{value:"Asia/Jakarta",name:"Jakarta"},{value:"Asia/Jayapura",name:"Jayapura"},{value:"Asia/Jerusalem",name:"Jerusalem"},{value:"Asia/Kabul",name:"Kabul"},{value:"Asia/Kamchatka",name:"Kamchatka"},{value:"Asia/Karachi",name:"Karachi"},{value:"Asia/Kashgar",name:"Kashgar"},{value:"Asia/Kathmandu",name:"Kathmandu"},{value:"Asia/Katmandu",name:"Katmandu"},{value:"Asia/Kolkata",name:"Kolkata"},{value:"Asia/Krasnoyarsk",name:"Krasnoyarsk"},{value:"Asia/Kuala_Lumpur",name:"Kuala Lumpur"},{value:"Asia/Kuching",name:"Kuching"},{value:"Asia/Kuwait",name:"Kuwait"},{value:"Asia/Macao",name:"Macao"},{value:"Asia/Macau",name:"Macau"},{value:"Asia/Magadan",name:"Magadan"},{value:"Asia/Makassar",name:"Makassar"},{value:"Asia/Manila",name:"Manila"},{value:"Asia/Muscat",name:"Muscat"},{value:"Asia/Nicosia",name:"Nicosia"},{value:"Asia/Novokuznetsk",name:"Novokuznetsk"},{value:"Asia/Novosibirsk",name:"Novosibirsk"},{value:"Asia/Omsk",name:"Omsk"},{value:"Asia/Oral",name:"Oral"},{value:"Asia/Phnom_Penh",name:"Phnom Penh"},{value:"Asia/Pontianak",name:"Pontianak"},{value:"Asia/Pyongyang",name:"Pyongyang"},{value:"Asia/Qatar",name:"Qatar"},{value:"Asia/Qyzylorda",name:"Qyzylorda"},{value:"Asia/Rangoon",name:"Rangoon"},{value:"Asia/Riyadh",name:"Riyadh"},{value:"Asia/Saigon",name:"Saigon"},{value:"Asia/Sakhalin",name:"Sakhalin"},{value:"Asia/Samarkand",name:"Samarkand"},{value:"Asia/Seoul",name:"Seoul"},{value:"Asia/Shanghai",name:"Shanghai"},{value:"Asia/Singapore",name:"Singapore"},{value:"Asia/Taipei",name:"Taipei"},{value:"Asia/Tashkent",name:"Tashkent"},{value:"Asia/Tbilisi",name:"Tbilisi"},{value:"Asia/Tehran",name:"Tehran"},{value:"Asia/Tel_Aviv",name:"Tel Aviv"},{value:"Asia/Thimbu",name:"Thimbu"},{value:"Asia/Thimphu",name:"Thimphu"},{value:"Asia/Tokyo",name:"Tokyo"},{value:"Asia/Ujung_Pandang",name:"Ujung Pandang"},{value:"Asia/Ulaanbaatar",name:"Ulaanbaatar"},{value:"Asia/Ulan_Bator",name:"Ulan Bator"},{value:"Asia/Urumqi",name:"Urumqi"},{value:"Asia/Vientiane",name:"Vientiane"},{value:"Asia/Vladivostok",name:"Vladivostok"},{value:"Asia/Yakutsk",name:"Yakutsk"},{value:"Asia/Yekaterinburg",name:"Yekaterinburg"},{value:"Asia/Yerevan",name:"Yerevan"}]},{group:"Africa",zones:[{value:"Africa/Abidjan",name:"Abidjan"},{value:"Africa/Accra",name:"Accra"},{value:"Africa/Addis_Ababa",name:"Addis Ababa"},{value:"Africa/Algiers",name:"Algiers"},{value:"Africa/Asmara",name:"Asmara"},{value:"Africa/Asmera",name:"Asmera"},{value:"Africa/Bamako",name:"Bamako"},{value:"Africa/Bangui",name:"Bangui"},{value:"Africa/Banjul",name:"Banjul"},{value:"Africa/Bissau",name:"Bissau"},{value:"Africa/Blantyre",name:"Blantyre"},{value:"Africa/Brazzaville",name:"Brazzaville"},{value:"Africa/Bujumbura",name:"Bujumbura"},{value:"Africa/Cairo",name:"Cairo"},{value:"Africa/Casablanca",name:"Casablanca"},{value:"Africa/Ceuta",name:"Ceuta"},{value:"Africa/Conakry",name:"Conakry"},{value:"Africa/Dakar",name:"Dakar"},{value:"Africa/Dar_es_Salaam",name:"Dar es Salaam"},{value:"Africa/Djibouti",name:"Djibouti"},{value:"Africa/Douala",name:"Douala"},{value:"Africa/El_Aaiun",name:"El Aaiun"},{value:"Africa/Freetown",name:"Freetown"},{value:"Africa/Gaborone",name:"Gaborone"},{value:"Africa/Harare",name:"Harare"},{value:"Africa/Johannesburg",name:"Johannesburg"},{value:"Africa/Kampala",name:"Kampala"},{value:"Africa/Khartoum",name:"Khartoum"},{value:"Africa/Kigali",name:"Kigali"},{value:"Africa/Kinshasa",name:"Kinshasa"},{value:"Africa/Lagos",name:"Lagos"},{value:"Africa/Libreville",name:"Libreville"},{value:"Africa/Lome",name:"Lome"},{value:"Africa/Luanda",name:"Luanda"},{value:"Africa/Lubumbashi",name:"Lubumbashi"},{value:"Africa/Lusaka",name:"Lusaka"},{value:"Africa/Malabo",name:"Malabo"},{value:"Africa/Maputo",name:"Maputo"},{value:"Africa/Maseru",name:"Maseru"},{value:"Africa/Mbabane",name:"Mbabane"},{value:"Africa/Mogadishu",name:"Mogadishu"},{value:"Africa/Monrovia",name:"Monrovia"},{value:"Africa/Nairobi",name:"Nairobi"},{value:"Africa/Ndjamena",name:"Ndjamena"},{value:"Africa/Niamey",name:"Niamey"},{value:"Africa/Nouakchott",name:"Nouakchott"},{value:"Africa/Ouagadougou",name:"Ouagadougou"},{value:"Africa/Porto-Novo",name:"Porto-Novo"},{value:"Africa/Sao_Tome",name:"Sao Tome"},{value:"Africa/Timbuktu",name:"Timbuktu"},{value:"Africa/Tripoli",name:"Tripoli"},{value:"Africa/Tunis",name:"Tunis"},{value:"Africa/Windhoek",name:"Windhoek"}]},{group:"Australia",zones:[{value:"Australia/ACT",name:"ACT"},{value:"Australia/Adelaide",name:"Adelaide"},{value:"Australia/Brisbane",name:"Brisbane"},{value:"Australia/Broken_Hill",name:"Broken Hill"},{value:"Australia/Canberra",name:"Canberra"},{value:"Australia/Currie",name:"Currie"},{value:"Australia/Darwin",name:"Darwin"},{value:"Australia/Eucla",name:"Eucla"},{value:"Australia/Hobart",name:"Hobart"},{value:"Australia/LHI",name:"LHI"},{value:"Australia/Lindeman",name:"Lindeman"},{value:"Australia/Lord_Howe",name:"Lord Howe"},{value:"Australia/Melbourne",name:"Melbourne"},{value:"Australia/North",name:"North"},{value:"Australia/NSW",name:"NSW"},{value:"Australia/Perth",name:"Perth"},{value:"Australia/Queensland",name:"Queensland"},{value:"Australia/South",name:"South"},{value:"Australia/Sydney",name:"Sydney"},{value:"Australia/Tasmania",name:"Tasmania"},{value:"Australia/Victoria",name:"Victoria"},{value:"Australia/West",name:"West"},{value:"Australia/Yancowinna",name:"Yancowinna"}]},{group:"Indian",zones:[{value:"Indian/Antananarivo",name:"Antananarivo"},{value:"Indian/Chagos",name:"Chagos"},{value:"Indian/Christmas",name:"Christmas"},{value:"Indian/Cocos",name:"Cocos"},{value:"Indian/Comoro",name:"Comoro"},{value:"Indian/Kerguelen",name:"Kerguelen"},{value:"Indian/Mahe",name:"Mahe"},{value:"Indian/Maldives",name:"Maldives"},{value:"Indian/Mauritius",name:"Mauritius"},{value:"Indian/Mayotte",name:"Mayotte"},{value:"Indian/Reunion",name:"Reunion"}]},{group:"Atlantic",zones:[{value:"Atlantic/Azores",name:"Azores"},{value:"Atlantic/Bermuda",name:"Bermuda"},{value:"Atlantic/Canary",name:"Canary"},{value:"Atlantic/Cape_Verde",name:"Cape Verde"},{value:"Atlantic/Faeroe",name:"Faeroe"},{value:"Atlantic/Faroe",name:"Faroe"},{value:"Atlantic/Jan_Mayen",name:"Jan Mayen"},{value:"Atlantic/Madeira",name:"Madeira"},{value:"Atlantic/Reykjavik",name:"Reykjavik"},{value:"Atlantic/South_Georgia",name:"South Georgia"},{value:"Atlantic/Stanley",name:"Stanley"},{value:"Atlantic/St_Helena",name:"St Helena"}]},{group:"Pacific",zones:[{value:"Pacific/Apia",name:"Apia"},{value:"Pacific/Auckland",name:"Auckland"},{value:"Pacific/Chatham",name:"Chatham"},{value:"Pacific/Easter",name:"Easter"},{value:"Pacific/Efate",name:"Efate"},{value:"Pacific/Enderbury",name:"Enderbury"},{value:"Pacific/Fakaofo",name:"Fakaofo"},{value:"Pacific/Fiji",name:"Fiji"},{value:"Pacific/Funafuti",name:"Funafuti"},{value:"Pacific/Galapagos",name:"Galapagos"},{value:"Pacific/Gambier",name:"Gambier"},{value:"Pacific/Guadalcanal",name:"Guadalcanal"},{value:"Pacific/Guam",name:"Guam"},{value:"Pacific/Honolulu",name:"Honolulu"},{value:"Pacific/Johnston",name:"Johnston"},{value:"Pacific/Kiritimati",name:"Kiritimati"},{value:"Pacific/Kosrae",name:"Kosrae"},{value:"Pacific/Kwajalein",name:"Kwajalein"},{value:"Pacific/Majuro",name:"Majuro"},{value:"Pacific/Marquesas",name:"Marquesas"},{value:"Pacific/Midway",name:"Midway"},{value:"Pacific/Nauru",name:"Nauru"},{value:"Pacific/Niue",name:"Niue"},{value:"Pacific/Norfolk",name:"Norfolk"},{value:"Pacific/Noumea",name:"Noumea"},{value:"Pacific/Pago_Pago",name:"Pago Pago"},{value:"Pacific/Palau",name:"Palau"},{value:"Pacific/Pitcairn",name:"Pitcairn"},{value:"Pacific/Ponape",name:"Ponape"},{value:"Pacific/Port_Moresby",name:"Port Moresby"},{value:"Pacific/Rarotonga",name:"Rarotonga"},{value:"Pacific/Saipan",name:"Saipan"},{value:"Pacific/Samoa",name:"Samoa"},{value:"Pacific/Tahiti",name:"Tahiti"},{value:"Pacific/Tarawa",name:"Tarawa"},{value:"Pacific/Tongatapu",name:"Tongatapu"},{value:"Pacific/Truk",name:"Truk"},{value:"Pacific/Wake",name:"Wake"},{value:"Pacific/Wallis",name:"Wallis"},{value:"Pacific/Yap",name:"Yap"}]},{group:"Antarctica",zones:[{value:"Antarctica/Casey",name:"Casey"},{value:"Antarctica/Davis",name:"Davis"},{value:"Antarctica/DumontDUrville",name:"DumontDUrville"},{value:"Antarctica/Macquarie",name:"Macquarie"},{value:"Antarctica/Mawson",name:"Mawson"},{value:"Antarctica/McMurdo",name:"McMurdo"},{value:"Antarctica/Palmer",name:"Palmer"},{value:"Antarctica/Rothera",name:"Rothera"},{value:"Antarctica/South_Pole",name:"South Pole"},{value:"Antarctica/Syowa",name:"Syowa"},{value:"Antarctica/Vostok",name:"Vostok"}]},{group:"Arctic",zones:[{value:"Arctic/Longyearbyen",name:"Longyearbyen"}]},{group:"UTC",zones:[{value:"UTC",name:"UTC"}]},{group:"Manual Offsets",zones:[{value:"UTC-12",name:"UTC-12"},{value:"UTC-11",name:"UTC-11"},{value:"UTC-10",name:"UTC-10"},{value:"UTC-9",name:"UTC-9"},{value:"UTC-8",name:"UTC-8"},{value:"UTC-7",name:"UTC-7"},{value:"UTC-6",name:"UTC-6"},{value:"UTC-5",name:"UTC-5"},{value:"UTC-4",name:"UTC-4"},{value:"UTC-3",name:"UTC-3"},{value:"UTC-2",name:"UTC-2"},{value:"UTC-1",name:"UTC-1"},{value:"UTC+0",name:"UTC+0"},{value:"UTC+1",name:"UTC+1"},{value:"UTC+2",name:"UTC+2"},{value:"UTC+3",name:"UTC+3"},{value:"UTC+4",name:"UTC+4"},{value:"UTC+5",name:"UTC+5"},{value:"UTC+6",name:"UTC+6"},{value:"UTC+7",name:"UTC+7"},{value:"UTC+8",name:"UTC+8"},{value:"UTC+9",name:"UTC+9"},{value:"UTC+10",name:"UTC+10"},{value:"UTC+11",name:"UTC+11"},{value:"UTC+12",name:"UTC+12"},{value:"UTC+13",name:"UTC+13"},{value:"UTC+14",name:"UTC+14"}]}]}}}),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.motiondialer",{url:"/motiondialer",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:16}}})}]),angular.module("xCallyShuttleApp").controller("MotionDialerProcessListCtrl",["$scope","$uibModal","$translate","xAlert","Modal","MotionDialerProcess","uiGridConstants","usSpinnerService",function(a,b,c,d,e,f,g,h){a.initView=function(){return a.gridOptions={paginationPageSizes:[10,25,50,75],paginationPageSize:10,enableFiltering:!0,enableSorting:!0,enableGridMenu:!0,flatEntityAccess:!0,showGridFooter:!0,fastWatch:!0,columnDefs:[{name:"id",width:60},{name:"name"},{name:"queue",enableSorting:!1},{name:"extension",enableSorting:!1},{name:"id_list",enableSorting:!1,cellTemplate:'',cellClass:"ui-grid-vcenter"},{name:"status",cellTemplate:"",cellClass:"ui-grid-vcenter",filter:{type:g.filter.SELECT,selectOptions:[{value:"RUN",label:"RUNNING"},{value:"STOP",label:"STOPPED"}]}},{name:"updatedtime",sort:{direction:g.DESC},enableFiltering:!1},{name:"action",cellTemplate:'',enableSorting:!1,cellClass:"ui-grid-vcenter",enableFiltering:!1}]},f.list({page:1,size:6e5}).$promise.then(function(b){console.log(b),b.status>0?a.gridOptions.data=b.response.RMI.rows:d.show("Please, check your connection!","danger")})["catch"](function(a){d.show(a.message?a.message:a.data.message,"danger")})["finally"](function(){h.stop("spinner-grid")})},a.createProcessQueue=function(){var c=b.open({animation:!0,templateUrl:"app/motiondialer/process/list/list.create.queue.modal.html",controller:"MotionDialerProcessListCreateQueueCtrl"});c.result.then(function(b){a.gridOptions.data.push(b.response.RMI)})},a.createProcessSquare=function(){var c=b.open({animation:!0,templateUrl:"app/motiondialer/process/list/list.create.square.modal.html",controller:"MotionDialerProcessListCreateSquareCtrl"});c.result.then(function(b){a.gridOptions.data.push(b.response.RMI)})},a.deleteItem=e.confirm["delete"](function(b){return f["delete"]({id:b}).$promise.then(function(e){e.status>0?(_.remove(a.gridOptions.data,{id:b}),d.show(c.instant("MESSAGE_WELL_DONE"),"success")):d.show(e.message||"Please, check your connection!","danger")})["catch"](function(a){d.show(a.message?a.message:a.data.message,"danger")})})}]),angular.module("xCallyShuttleApp").controller("MotionDialerProcessListCreateQueueCtrl",["$scope","$translate","$uibModalInstance","MotionDialerProcess","MotionDialerList","Trunk","VoiceQueue","Route","xAlert",function(a,b,c,d,e,f,g,h,i){a.form={},a.item={fetch_sort:"ASC",timeout:3},a.initModal=function(){return g.get().$promise.then(function(b){return a.queues={},b&&(a.queues=b.rows),f.get().$promise}).then(function(b){return a.trunks={},b&&(a.trunks=b.rows),a.trunks.push({name:"fake"}),e.list({page:1,size:6e5}).$promise}).then(function(b){a.lists={},b.status>0?a.lists=b.response.RMI.rows:i.show("Please, check your connection!","danger")})["catch"](function(a){i.show(a.message?a.message:a.data.message,"danger")})},a.save=function(){console.log(a.item);var e="process_"+a.item.name+"_queue_"+a.item.queue.name+"_"+Math.round(1e5*Math.random()),f="Generated by Motion Dialer, Process Queue"+(a.item.description?", "+a.item.description:"");return h.save({appdata:"Internal Call",context:"from-sip",exten:e.replace(/\s/g,"_"),type:"internal",description:f,Applications:[{appdata:["QUEUE_PRIO=99"],app:"Set",interval:"*,*,*,*",type:"internal"},{appdata:[a.item.queue.name,"","","",a.item.timeout],app:"Queue",interval:"*,*,*,*",type:"internal"}]}).$promise.then(function(b){return console.log(b),d.insert({name:a.item.name,id_list:a.item.list.id,queue:a.item.queue.name,device:a.item.device.name,context:"from-sip",extension:e.replace(/\s/g,"_"),description:a.item.description,auto_closed:!0,fetch_sort:a.item.fetch_sort}).$promise}).then(function(a){a.status>0?(i.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)):i.show(a.message||"Please, check your connection!","danger")})["catch"](function(a){i.show(a.message?a.message:a.data.message,"danger")})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").controller("MotionDialerProcessListCreateSquareCtrl",["$scope","$translate","$uibModalInstance","MotionDialerProcess","MotionDialerList","SquareProject","Trunk","Route","xAlert",function(a,b,c,d,e,f,g,h,i){a.form={},a.item={fetch_sort:"ASC"},a.initModal=function(){return f.get().$promise.then(function(b){return a.projects={},b&&(a.projects=b.rows),g.get().$promise}).then(function(b){return a.trunks={},b&&(a.trunks=b.rows),a.trunks.push({name:"fake"}),e.list({page:1,size:6e5}).$promise}).then(function(b){a.lists={},b.status>0?a.lists=b.response.RMI.rows:i.show("Please, check your connection!","danger")})["catch"](function(a){i.show(a.message?a.message:a.data.message,"danger")})},a.save=function(){console.log(a.item);var e="process_"+a.item.name+"_square_"+a.item.project.name+"_"+Math.round(1e5*Math.random()),f="Generated by Motion Dialer, Process Square"+(a.item.description?", "+a.item.description:"");return h.save({appdata:"Internal Call",context:"from-sip",exten:e.replace(/\s/g,"_"),type:"internal",description:f,Applications:[{appdata:["agi://127.0.0.1/square",a.item.project.name],app:"AGI",interval:"*,*,*,*",type:"internal"}]}).$promise.then(function(b){return console.log(b),d.insert({name:a.item.name,id_list:a.item.list.id,queue:"none",device:a.item.device.name,context:"from-sip",extension:e.replace(/\s/g,"_"),description:a.item.description,auto_closed:!0,channel_limit:15,fetch_sort:a.item.fetch_sort}).$promise}).then(function(a){a.status>0?(i.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)):i.show(a.message||"Please, check your connection!","danger")})["catch"](function(a){i.show(a.message?a.message:a.data.message,"danger")})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.motiondialer.processes.list",{url:"/list",templateUrl:"app/motiondialer/process/list/list.html",controller:"MotionDialerProcessListCtrl",authenticate:!0})}]),angular.module("xCallyShuttleApp").factory("MotionDialerProcess",["$resource",function(a){return a("/api/rest/process/:controller",null,{list:{method:"POST",params:{controller:"list"}},insert:{method:"POST",params:{controller:"insert"}},"delete":{method:"POST",params:{controller:"delete"}},find:{method:"POST",params:{controller:"find"}},update:{method:"POST",params:{controller:"update"}}})}]),angular.module("xCallyShuttleApp").factory("MotionDialerSpooler",["$resource",function(a){return a("/api/rest/spooler/:controller/:retrieve",null,{list:{method:"POST",params:{controller:"list"}},"delete":{method:"POST",params:{controller:"delete"}},find:{method:"POST",params:{controller:"find"}},summary:{method:"POST",params:{controller:"summary"}},close:{method:"POST",params:{controller:"retrieve",retrieve:"close"}},open:{method:"POST",params:{controller:"retrieve",retrieve:"open"}},blacklist:{method:"POST",params:{controller:"retrieve",retrieve:"blacklist"}},planning:{method:"POST",params:{controller:"retrieve",retrieve:"planning"}}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.motiondialer.processes",{url:"/processes",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("MotionDialerProcessViewCtrl",["$scope","$translate","$stateParams","$uibModal","xAlert","uiGridConstants","usSpinnerService","MotionDialerProcess","MotionDialerSpooler","Modal","VoiceQueue",function(a,b,c,d,e,f,g,h,i,j,k){a.process={},a.techs=["SIP","IAX","LOCAL","KHOMP"],a.dialFormats=["tech/device/number","tech/number@device"],a.checkDuplicates=["ALWAYS","IFOPEN","NO"],a.codecs=["g729","ilbc","gsm","ulaw","alaw"],a.getProcess=function(){return h.find({id:parseInt(c.id)}).$promise.then(function(b){console.log(b),b.status>0?(b.response.RMI.codecs=b.response.RMI.codecs.split(","),a.process=b.response.RMI):e.show(b.message||"Please, check your connection!","danger")})["catch"](function(a){e.show(a.message?a.message:a.data.message,"danger")})},a.getSummary=function(){return i.summary({id_process:parseInt(c.id)}).$promise.then(function(b){if(b.status>0){a.summary=b.response.RMI.totals,a.total=0;for(var c=0;c0?(c.response.RMI.codecs=c.response.RMI.codecs.split(","),a.process=c.response.RMI,e.show(b.instant("MESSAGE_WELL_DONE"),"success")):c.response.RMI.errors.forEach(function(a){a?e.show(a.field+": "+a.error,"danger"):e.show("Please, check your connection!","danger")})})["catch"](function(a){e.show(a.message?a.message:a.data.message,"danger")})},a.getSpooler=function(){return a.gridOptions={paginationPageSizes:[10,25,50,75],paginationPageSize:10,enableFiltering:!0,enableSorting:!0,enableGridMenu:!0,flatEntityAccess:!0,showGridFooter:!0,fastWatch:!0,headerCellFilter:"translate",columnDefs:[{name:"name"},{name:"phones"},{name:"retrieve",filter:{type:f.filter.SELECT,selectOptions:[{value:"PLANNED_PEER",label:"PLANNED PEER"},{value:"PLANNED",label:"PLANNED"},{value:"BLACK_LIST",label:"BLACKLIST"},{value:"OPEN",label:"OPEN"},{value:"CLOSED",label:"CLOSED"},{value:"MAX_ATTEMPTS",label:"MAX ATTEMPTS"},{value:"COUNTER_BLOCK",label:"COUNTER BLOCK"},{value:"PLANNED_REQUEST",label:"PLANNED REQUEST"},{value:"DELETE",label:"DELETE"}]}},{name:"counter",enableFiltering:!1},{name:"updatedtime",enableFiltering:!1},{name:"action",cellTemplate:'',enableSorting:!1,cellClass:"ui-grid-vcenter",enableFiltering:!1}]},i.list({id_process:parseInt(c.id),page:1,size:6e5}).$promise.then(function(b){b.status>0?a.gridOptions.data=b.response.RMI.rows:e.show(b.message||"Please, check your connection!","danger")})["catch"](function(a){e.show(a.message?a.message:a.data.message,"danger")})["finally"](function(){g.stop("spinner-grid")})},a.deleteSpooler=j.confirm["delete"](function(c){return i["delete"]({id:c}).$promise.then(function(d){d.status>0?(_.remove(a.gridOptions.data,{id:c}),e.show(b.instant("MESSAGE_WELL_DONE"),"success")):e.show(d.message||"Please, check your connection!","danger")})["catch"](function(a){e.show(a.message?a.message:a.data.message,"danger")})}),a.historySpooler=function(b){var c=d.open({animation:!0,templateUrl:"app/motiondialer/process/view/view.spooler.history.modal.html",controller:"MotionDialerViewSpoolerHistoryCtrl",resolve:{historySpooler:function(){return b}}});c.result.then(function(b){a.gridOptions.data.push(b.response.RMI)})},a.initSettings=function(){return a.queues=null,k.get().$promise.then(function(b){b&&(a.queues=b.rows,a.process.queue&&"none"!==a.process.queue&&(a.queue=_.find(b.rows,{name:a.process.queue})))})["catch"](function(a){e.show(a.message?a.message:a.data.message,"danger")})},a.createInterval=function(b){var c=d.open({animation:!0,templateUrl:"app/motiondialer/process/view/view.create.interval.modal.html",controller:"MotionDialerViewCreateIntervalCtrl",resolve:{objectProcess:function(){return a.process},intervalType:function(){return b},intervalValue:function(){return b?"8:00-20:00|MON-FRI|*|*":"00:01-07:59|SAT-SUN|*|*"}}});c.result.then(function(b){a.process=b})},a.editInterval=function(b,c){var e=d.open({animation:!0,templateUrl:"app/motiondialer/process/view/view.create.interval.modal.html",controller:"MotionDialerViewCreateIntervalCtrl",resolve:{objectProcess:function(){return a.process},intervalType:function(){return b},intervalValue:function(){return c}}});e.result.then(function(b){a.process=b})},a.deleteInterval=j.confirm["delete"](function(c,d){var f={id:a.process.id};return d?(f.intervals=[],f.intervals=f.intervals.concat(a.process.intervals),f.intervals.splice(c,1)):(f.not_intervals=[],f.not_intervals=f.not_intervals.concat(a.process.not_intervals),f.not_intervals.splice(c,1)),h.update(f).$promise.then(function(c){c.status>0?(c.response.RMI.codecs=c.response.RMI.codecs.split(","),a.process=c.response.RMI,e.show(b.instant("MESSAGE_WELL_DONE"),"success")):c.response.RMI.errors.forEach(function(a){a?e.show(a.field+": "+a.error,"danger"):e.show("Please, check your connection!","danger")})})["catch"](function(a){e.show(a.message?a.message:a.data.message,"danger")})}),a.runOrStop=function(){var b=d.open({animation:!0,templateUrl:"app/motiondialer/process/view/view.run.process.modal.html",controller:"MotionDialerViewRunProcessCtrl",resolve:{statusProcess:function(){return a.process.status}}});b.result.then(function(b){a.process.status=b.status})}}]),angular.module("xCallyShuttleApp").controller("MotionDialerViewCreateIntervalCtrl",["$scope","$translate","$uibModalInstance","$stateParams","MotionDialerProcess","xAlert","objectProcess","intervalType","intervalValue","interval",function(a,b,c,d,e,f,g,h,i,j){function k(a){var b,c=a.split("|"),d={};return c.forEach(function(a,c){switch(c){case 0:"*"!==a?(b=a.split("-"),d.t_from=moment(b[0],"HH:mm"),d.t_to=moment(b[1],"HH:mm"),d.alwaysTime=!1):(d.t_from=moment(new Date),d.t_to=moment(new Date),d.alwaysTime=!0);break;case 1:"*"!==a?(b=a.split("-"),d.wd_from=b[0].toLowerCase(),d.wd_to=b[1]?b[1].toLowerCase():"always"):(d.wd_from="always",d.wd_to="always");break;case 2:"*"!==a?(b=a.split("-"),d.md_from=b[0],d.md_to=b[1]?b[1]:"always"):(d.md_from="always",d.md_to="always");break;case 3:"*"!==a?(b=a.split("-"),d.m_from=b[0],d.m_to=b[1]?b[1]:"always"):(d.m_from="always",d.m_to="always")}}),d}function l(a){var b,c,d,e;return b=a.t_from&&a.t_to&&!a.alwaysTime?moment(a.t_from).format("HH:mm")+"-"+moment(a.t_to).format("HH:mm"):"*",a.wd_from&&"always"!==a.wd_from?(c=a.wd_from.toUpperCase(),a.wd_to&&"always"!==a.wd_to&&(c+="-"+a.wd_to.toUpperCase())):c="*",a.md_from&&"always"!==a.md_from?(d=a.md_from,a.md_to&&"always"!==a.md_to&&(d+="-"+a.md_to)):d="*",a.m_from&&"always"!==a.m_from?(e=a.m_from,a.m_to&&"always"!==a.m_to&&(e+="-"+a.m_to)):e="*",b+"|"+c+"|"+d+"|"+e}a.form={},a.$interval=j.interval,a.splittedInterval=k(i),a.save=function(){var d=l(a.splittedInterval);console.log(d);var i={id:g.id};return h?(i.intervals=[],i.intervals=i.intervals.concat(g.intervals),i.intervals.push(d)):(i.not_intervals=[],i.not_intervals=i.not_intervals.concat(g.not_intervals),i.not_intervals.push(d)),console.log(i),e.update(i).$promise.then(function(a){a.status>0?(a.response.RMI.codecs=a.response.RMI.codecs.split(","),f.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a.response.RMI)):a.response.RMI.errors.forEach(function(a){a?f.show(a.field+": "+a.error,"danger"):f.show("Please, check your connection!","danger")})})["catch"](function(a){f.show(a.message?a.message:a.data.message,"danger")})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.motiondialer.processes.view",{url:"/view/:id",templateUrl:"app/motiondialer/process/view/view.html",controller:"MotionDialerProcessViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.motiondialer.processes.view.overview",{url:"/overview",templateUrl:"app/motiondialer/process/view/view.overview.html"}).state("main.motiondialer.processes.view.settings",{url:"/settings",templateUrl:"app/motiondialer/process/view/view.settings.html"}).state("main.motiondialer.processes.view.intervals",{url:"/intervals",templateUrl:"app/motiondialer/process/view/view.intervals.html"}).state("main.motiondialer.processes.view.spooler",{url:"/spooler",templateUrl:"app/motiondialer/process/view/view.spooler.html"})}]),angular.module("xCallyShuttleApp").controller("MotionDialerViewRunProcessCtrl",["$scope","$stateParams","$uibModalInstance","$translate","statusProcess","MotionDialerProcess","xAlert",function(a,b,c,d,e,f,g){a.status="RUN"===e?"STOP":"RUN",a.yes=function(){f.update({id:parseInt(b.id),status:a.status}).$promise.then(function(a){a.status>0?(g.show(d.instant("MESSAGE_WELL_DONE"),"success"),c.close(a.response.RMI)):g.show(a.message||"Please, check your connection!","danger")})["catch"](function(a){g.show(a.message?a.message:a.data.message,"danger")})},a.no=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").controller("MotionDialerViewSpoolerHistoryCtrl",["$scope","$translate","$uibModalInstance","$stateParams","MotionDialerSpooler","xAlert","historySpooler",function(a,b,c,d,e,f,g){a.historySpooler=g, +a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").controller("SalesforceListCtrl",["$scope","SalesforceAccount","gridOptions","xAlert","$translate",function(a,b,c,d,e){a.initView=function(){var d={exporterCsvFilename:"salesforce_account.csv",columnDefs:[{name:"name",displayName:"APPLICATION_NAME"},{name:"username"},{name:"remoteUri",displayName:"APPLICATION_URI"},{name:"action",buttons:[{"class":"blue-hoki",href:"/salesforce/view/{{row.entity.id}}/account",name:"account"},{"class":"green-jungle",name:"check",displayName:"APPLICATION_CHECK",onClick:"grid.appScope.checkAccount(row.entity.id)"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=c.gridOptions(b,d,a)},a.checkAccount=function(a){return b.check({id:a}).$promise.then(function(){d.show(e.instant("MESSAGE_ACCOUNT_VERIFIED"),"success")})["catch"](function(){d.show(e.instant("MESSAGE_ACCOUNT_INVALID"),"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.salesforce.list",{url:"/list",templateUrl:"app/salesforce/list/list.html",controller:"SalesforceListCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{name:"smart-table",insertBefore:"#ng_load_plugins_before",files:["assets/css/smart_table.css"]}])}]}})}]),angular.module("xCallyShuttleApp").factory("SalesforceAccount",["$resource",function(a){return a("/api/salesforce/accounts/:id/:controller",{id:"@id"},{update:{method:"PUT"},fields:{params:{controller:"fields"},isArray:!0},check:{params:{controller:"check"}}})}]).factory("SalesforceConfiguration",["$resource",function(a){return a("/api/salesforce/accounts/:accountId/configurations/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]).factory("SalesforceField",["$resource",function(a){return a("/api/salesforce/accounts/:accountId/configurations/:configurationId/fields/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.salesforce",{url:"/salesforce",template:"
            ","abstract":!0,data:{permissions:{only:["admin"],redirectTo:"main.dashboard.voice"}}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.salesforce.view.configurations",{url:"/configurations",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("SalesforceViewConfigurationListCtrl",["$scope","$stateParams","$translate","gridOptions","SalesforceConfiguration","$uibModal",function(a,b,c,d,e,f){a.initView=function(){var c={exporterCsvFilename:"salesforce_configurations.csv",columnDefs:[{name:"name"},{name:"description"},{name:"action",width:125,buttons:[{"class":"blue-hoki",href:"/salesforce/view/{{row.entity.AccountId}}/configurations/view/{{row.entity.id}}",name:"profile"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=d.gridOptions(e,c,a,{accountId:b.id})},a.createItem=function(){var b=f.open({animation:!0,templateUrl:"app/salesforce/view/configuration/list/create.modal.html",controller:"SalesforceCreateConfigurationCtrl"});b.result.then(function(){a.gridOptions.getPage()})}}]),angular.module("xCallyShuttleApp").controller("SalesforceCreateConfigurationCtrl",["$scope","$translate","$uibModalInstance","SalesforceConfiguration","xAlert","$stateParams","channel",function(a,b,c,d,e,f,g){a.form={},a.item={},a.channels=g,a.item.channel="voice",a.save=function(){return d.save({accountId:f.id},a.item).$promise.then(function(a){e.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)})["catch"](function(a){e.error(a)})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.salesforce.view.configurations.list",{url:"/list",templateUrl:"app/salesforce/view/configuration/list/list.html",controller:"SalesforceViewConfigurationListCtrl"})}]),angular.module("xCallyShuttleApp").controller("SalesforceViewConfigurationViewCtrl",["$scope","$filter","$stateParams","$translate","socket","variable","xAlert","SalesforceAccount","SalesforceConfiguration","SalesforceField","Variable",function(a,b,c,d,e,f,g,h,i,j,k){a.$on("$destroy",function(){e.unsyncUpdates("salesforce_field")}),a.config={autoHideScrollbar:!1,theme:"dark",advanced:{updateOnContentResize:!0},setHeight:300,scrollInertia:0},a.fieldType=[{type:"string",title:"String"},{type:"variable",title:"Variable"},{type:"customVariable",title:"Custom Variable"}],a.descFieldType=[{type:"string",title:"String"},{type:"keyValue",title:"Key Value"}],a.groupVariableByGroup=function(a){switch(a.group){case"voice_queue":return"Voice: Queue";default:return"Other"}},a.initView=function(){a.configurationName="",a.subjectConfig=[],a.descriptionConfig=[],a.fieldConfig=[],a.variables=f},a.getConfiguration=function(){return i.get({accountId:c.id,id:c.configurationId}).$promise.then(function(b){a.configurationName=b.name,a.subjectConfig=b.Subject,a.descriptionConfig=b.Description,a.fieldConfig=b.Field,e.socket.on("salesforce_field:remove",function(b){_.remove(a.subjectConfig,{id:b.id}),_.remove(a.descriptionConfig,{id:b.id}),_.remove(a.fieldConfig,{id:b.id})})})["catch"](function(a){g.error(a)})},a.getCustomVariables=function(){return k.get().$promise.then(function(b){a.customVariables=b.rows})["catch"](function(a){g.error(a)})},a.getFields=function(){return h.fields({id:c.id}).$promise.then(function(c){a.accountFields=_.sortByOrder(b("salesforce")(c),"name","asc"),console.log(a.accountFields)})["catch"](function(a){g.error(a)})},a.addItem=function(b){var d={};switch(b){case"subject":case"description":d={type:"string",content:""};break;case"field":d={content:""}}return i.save({accountId:c.id,id:c.configurationId,controller:b},d).$promise.then(function(c){switch(b){case"subject":a.subjectConfig.push(c);break;case"description":a.descriptionConfig.push(c);break;case"field":a.fieldConfig.push(c)}})["catch"](function(a){g.error(a)})},a.changeType=function(b){"variable"===b.type?(b.content="",b.variableName=""):"string"===b.type?(b.VariableId=null,b.variableName=""):"customVariable"===b.type&&(b.VariableId=null,b.content=""),a.updateField(b)},a.updateField=function(a){return j.update({accountId:c.id,configurationId:c.configurationId,id:a.id},a).$promise.then(function(){})["catch"](function(a){g.error(a)})},a.removeItem=function(a){return j["delete"]({accountId:c.id,configurationId:c.configurationId,id:a.id}).$promise.then(function(){g.show(d.instant("MESSAGE_WELL_DONE")+"!","success")})["catch"](function(a){g.error(a)})},a.changeDescType=function(b){"keyValue"===b.type?(b.content="","string"===b.keyType?(b.VariableId=null,b.variableName=""):"variable"===b.keyType?(b.keyContent="",b.VariableId=null):"customVariable"===b.keyType&&(b.keyContent="",b.variableName="")):"string"===b.type&&(b.VariableId=null,b.variableName="",b.key="",b.keyContent=""),a.updateField(b)},a.getSystemFieldOptions=function(b){if(b){var c=_.find(a.accountFields,"name",b);if(c)switch(c.type){case"picklist":return c.picklistValues;default:return}}}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.salesforce.view.configurations.view",{url:"/view/:configurationId",templateUrl:"app/salesforce/view/configuration/view/view.html",controller:"SalesforceViewConfigurationViewCtrl"})}]),angular.module("xCallyShuttleApp").controller("SalesforceViewCtrl",["$scope","$http","$stateParams","$translate","$location","WizardHandler","socket","xAlert","Modal","$filter","SalesforceAccount",function(a,b,c,d,e,f,g,h,i,j,k){a.initView=function(){return k.get({id:c.id}).$promise.then(function(b){a.account=b})["catch"](function(a){h.error(a)})},a.updateItem=function(){return k.update({id:c.id},a.account).$promise.then(function(){h.show(d.instant("MESSAGE_WELL_DONE")+".","success")})["catch"](function(a){h.error(a)})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.salesforce.view",{url:"/view/:id",templateUrl:"app/salesforce/view/view.html",controller:"SalesforceViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.salesforce.view.account",{url:"/account",templateUrl:"app/salesforce/view/view.account.html"})}]),angular.module("xCallyShuttleApp").controller("SalesforceWizardCtrl",["$scope","$http","$location","$translate","xAlert","WizardHandler",function(a,b,c,d,e,f){a.translate=d,a.initWizard=function(){a.$translate=d,a.forms={},a.item={}},a.next=function(){f.wizard().next()},a.exitValidation=function(a){return a},a.createItem=function(){console.log(a.item),b.post("api/salesforce/accounts",a.item).success(function(){e.show(d.instant("MESSAGE_WELL_DONE")+".","success"),c.path("/salesforce/list")}).error(function(a){e.error(a)})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.salesforce.wizard",{url:"/wizard",templateUrl:"app/salesforce/wizard/wizard.html",controller:"SalesforceWizardCtrl"})}]),angular.module("xCallyShuttleApp").factory("License",["$resource",function(a){return a("/api/licenses/:id/:controller",{id:"@id"},{request:{method:"POST",params:{id:"request"}}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.setting.license",{url:"/license",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("LicenseUpdateCtrl",["$scope","$translate","$uibModalInstance","xAlert","Setting",function(a,b,c,d,e){a.form={},a.item={},a.getLicense=function(){return e.get({id:1}).$promise.then(function(b){a.item.license=b.license})["catch"](function(a){d.show(a.data.message,"danger")})},a.update=function(){return e.update({id:1},a.item).$promise.then(function(a){d.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)})["catch"](function(a){d.show(a.data.message,"danger")})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").controller("LicenseViewCtrl",["$scope","$rootScope","$translate","License","xAlert","$window","$uibModal",function(a,b,c,d,e,f,g){a.initView=function(){return d.get(function(b){a.item=b.license})},a.submitRequest=function(){return d.request(a.item,function(){e.show(c.instant("MESSAGE_WELL_DONE"),"success")},function(a){switch(a.status){case 304:e.show(a.statusText,"info");break;default:e.show(a.statusText,"danger")}})},a.updateLicense=function(){var a=g.open({animation:!0,templateUrl:"app/setting/license/view/update.modal.html",controller:"LicenseUpdateCtrl"});a.result.then(function(){f.location.reload()})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.setting.license.view",{url:"/view",templateUrl:"app/setting/license/view/view.html",controller:"LicenseViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/css/pricing-tables.css"]}])}]}})}]),angular.module("xCallyShuttleApp").factory("Externip",["$resource",function(a){return a("/api/network/externips/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").factory("Localnet",["$resource",function(a){return a("/api/network/localnets/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.setting.network",{url:"/network",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("ExternipCtrl",["$scope","$uibModal","gridOptions","Externip",function(a,b,c,d){a.initView=function(){var b={exporterCsvFilename:"network_externip.csv",columnDefs:[{name:"value"},{name:"action",buttons:[{"class":"blue-hoki",onClick:"grid.appScope.createItem(row.entity)",name:"profile"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=c.gridOptions(d,b,a)},a.createItem=function(c){var d=b.open({animation:!0,templateUrl:"app/setting/network/view/externip/externip.create.modal.html",controller:"ExternipCreateCtrl",resolve:{item:c}});d.result.then(function(){a.gridOptions.getPage()})}}]),angular.module("xCallyShuttleApp").controller("ExternipCreateCtrl",["$scope","$translate","$uibModalInstance","$stateParams","Externip","xAlert","item",function(a,b,c,d,e,f,g){a.initModal=function(){a.form={},a.item=g||{},a.title=g?b.instant("APPLICATION_EDIT_EXTERNIP"):b.instant("APPLICATION_NEW_EXTERNIP")},a.save=function(){return g?e.update({id:a.item.id},a.item).$promise.then(function(a){f.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)})["catch"](function(a){f.error(a)}):e.save({},a.item).$promise.then(function(a){f.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)})["catch"](function(a){f.error(a)})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.setting.network.view.externip",{url:"/externip",templateUrl:"app/setting/network/view/externip/externip.html",controller:"ExternipCtrl"})}]),angular.module("xCallyShuttleApp").controller("LocalnetCtrl",["$scope","gridOptions","Localnet","$uibModal",function(a,b,c,d){a.initView=function(){var d={exporterCsvFilename:"network_localnet.csv",columnDefs:[{name:"value"},{name:"action",buttons:[{"class":"blue-hoki",onClick:"grid.appScope.createItem(row.entity)",name:"profile"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=b.gridOptions(c,d,a)},a.createItem=function(b){var c=d.open({animation:!0,templateUrl:"app/setting/network/view/localnet/localnet.create.modal.html",controller:"LocalnetCreateCtrl",resolve:{item:b}});c.result.then(function(){a.gridOptions.getPage()})}}]),angular.module("xCallyShuttleApp").controller("LocalnetCreateCtrl",["$scope","$translate","$uibModalInstance","$stateParams","Localnet","xAlert","item",function(a,b,c,d,e,f,g){a.initModal=function(){if(a.form={},a.item=g||{},a.title=g?b.instant("APPLICATION_EDIT_LOCALNET"):b.instant("APPLICATION_NEW_LOCALNET"),g&&g.value){var c=a.item.value.split("/");c.length>1&&(a.item.address=c[0],a.item.mask=c[1])}},a.save=function(){var d=a.item.address+"/"+a.item.mask;return g?e.update({id:a.item.id},{value:d}).$promise.then(function(a){f.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)})["catch"](function(a){f.error(a)}):e.save({},{value:d}).$promise.then(function(a){f.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)})["catch"](function(a){f.error(a)})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.setting.network.view.localnet",{url:"/localnet",templateUrl:"app/setting/network/view/localnet/localnet.html",controller:"LocalnetCtrl"})}]),angular.module("xCallyShuttleApp").controller("NetworkViewCtrl",["$scope","gridOptions","Localnet","Externip",function(a,b,c,d){a.initView=function(){var d={exporterCsvFilename:"network_localnet.csv",columnDefs:[{name:"value"},{name:"action",buttons:[{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=b.gridOptions(c,d,a)}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.setting.network.view",{url:"/view",templateUrl:"app/setting/network/view/view.html",controller:"NetworkViewCtrl"})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.setting",{url:"/setting",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:18}}})}]),angular.module("xCallyShuttleApp").factory("Setting",["$resource",function(a){return a("/api/settings/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").factory("Smtp",["$resource",function(a){return a("/api/mail/servers/out/:id/:controller",{id:"@id"})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.setting.smtp",{url:"/smtp",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("SmtpViewCtrl",["$scope","$stateParams","$translate","socket","MailServerOut","Setting","MailAccount","xAlert","usSpinnerService",function(a,b,c,d,e,f,g,h,i){a.initMailAccounts=function(){return e.query().$promise.then(function(b){a.servers=b})["catch"](function(a){h.show(a.message,"danger")})},a.initView=function(){a.smtpDisabled=!1,a.isActive=!0,a.size="small",a.animate=!0,a.radioOff=!0,a.handleWidth="auto",a.labelWidth="auto",a.inverse=!0,a.offColor="danger",a.onColor="success",a.CONST_TIMEOUT=3e4,a.item={type:"custom",account:{ssl:!1}},e.get({id:"service"}).$promise.then(function(b){b&&(a.item.account=b,d.socket.on("mail_server_out:state",function(b){if(b.id===a.item.account.id)switch(a.item.account.state=b.state,a.item.account.source=JSON.parse(b.source),b.state){case"CONNECTING":break;default:i.stop("spinner-grid"),a.smtpDisabled=!1}}),a.item.account.MailAccountId?a.item.type="account":(a.item.type="custom",a.item.account.ssl=!1))})["catch"](function(a){h.show(a.message,"danger")})},a.updateItem=function(){return a.item.account.service=!0,a.item.account.id?f.update({id:"smtp",controller:a.item.account.id},a.item.account).$promise.then(function(){h.show(c.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){h.show(a.message,"danger")}):f.save({id:"smtp"},a.item.account).$promise.then(function(b){a.item.account=b,h.show(c.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){h.show(a.message,"danger")})},a.test=function(){return i.spin("spinner-grid"),a.smtpDisabled=!0,e.update({id:a.item.account.id},{state:"CONNECTING"}).$promise["catch"](function(a){console.error(a)})["finally"](function(){i.stop("spinner-grid")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.setting.smtp.view",{url:"/view",templateUrl:"app/setting/smtp/view/view.html",controller:"SmtpViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}})}]),angular.module("xCallyShuttleApp").controller("UpdateListCtrl",["$scope","gridOptions","$uibModal","$location","Update",function(a,b,c,d,e){a.initCounter=function(){a.$on("timer-stopped",function(a,b){console.log("Timer Stopped - data = ",b),d.path("/dashboard/voice")})},a.initView=function(){var c={exporterCsvFilename:"updates.csv",enableFiltering:!1,enableSorting:!1,enablePaginationControls:!1,enableSelectAll:!1,columnDefs:[{name:"message"},{width:125,name:"version",cellClass:"text-center ui-grid-vcenter"},{width:125,name:"createdAt",displayName:"APPLICATION_UPDATED_AT",cellClass:"text-center ui-grid-vcenter",cellTemplate:'
            '}]};a.gridOptions=b.gridOptions(e,c,a)},a.pull=function(){c.open({animation:!0,templateUrl:"app/setting/update/list/list.pull.html",controller:"UpdateListPullCtrl"})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.setting.updates.list",{url:"/list",templateUrl:"app/setting/update/list/list.html",controller:"UpdateListCtrl"}).state("main.setting.updates.landing",{url:"/landing",templateUrl:"app/setting/update/list/landing.html",controller:"UpdateListCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{name:"smart-table",insertBefore:"#ng_load_plugins_before",files:["assets/css/error.css"]}])}]}})}]),angular.module("xCallyShuttleApp").controller("UpdateListPullCtrl",["$scope","$uibModalInstance","$translate","$location","Update",function(a,b,c,d,e){a.modal={dismissable:!0,title:"Confirm Checkout",html:"Are you sure you want to checkout?",buttons:[{classes:"btn btn-success",text:"Checkout",click:function(){return e.pull().$promise["finally"](function(){b.dismiss("close"),d.path("/setting/updates/landing")})}},{classes:"btn default",text:"Cancel",click:function(){b.dismiss("cancel")}}]}}]),angular.module("xCallyShuttleApp").factory("Update",["$resource",function(a){return a("/api/updates/:id/:controller",{id:"@id"},{pull:{method:"GET",params:{id:"pull"}}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.setting.updates",{url:"/updates",template:"
            ","abstract":!0,data:{permissions:{only:["hasUpdate"],redirectTo:"main.dashboard.voice"}}})}]),angular.module("xCallyShuttleApp").controller("SquareOdbcListCtrl",["$scope","$uibModal","$translate","SquareOdbc","gridOptions","xAlert",function(a,b,c,d,e,f){a.initView=function(){var b={exporterCsvFilename:"odbc.csv",columnDefs:[{name:"name"},{name:"dsn",displayName:"APPLICATION_ODBC"},{name:"description"},{name:"action",width:250,buttons:[{"class":"blue-hoki",href:"/square/odbc/view/{{row.entity.id}}/settings",name:"settings"},{"class":"green-jungle",name:"check",displayName:"APPLICATION_CHECK",onClick:"grid.appScope.checkConnection(row.entity.id)"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=e.gridOptions(d,b,a)},a.createItem=function(){var c=b.open({animation:!0,templateUrl:"app/square/odbc/list/list.create.modal.html",controller:"SquareOdbcListCreateCtrl"});c.result.then(function(){a.gridOptions.getPage()})},a.checkConnection=function(a){return d.get({id:a,controller:"check"}).$promise.then(function(){f.show(c.instant("MESSAGE_CONNECTION_VERIFIED"),"success")})["catch"](function(){f.show(c.instant("MESSAGE_CONNECTION_INVALID"),"danger")})}}]),angular.module("xCallyShuttleApp").controller("SquareOdbcListCreateCtrl",["$scope","$translate","$uibModalInstance","SquareOdbc","xAlert",function(a,b,c,d,e){a.form={},a.item={},a.item.dsn||(a.item.dsn="DRIVER=MySQL;SERVER=127.0.0.1;UID=xcall;PWD=password;DATABASE=motion"),a.save=function(){return d.save(a.item).$promise.then(function(a){e.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)})["catch"](function(a){e.show(a.data.message,"danger")})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.square.odbc.list",{url:"/list",templateUrl:"app/square/odbc/list/list.html",controller:"SquareOdbcListCtrl"})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.square.odbc",{url:"/odbc",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").factory("SquareOdbc",["$resource",function(a){return a("/api/square/odbc/:id/:controller",{name:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").controller("SquareOdbcViewCtrl",["$scope","$translate","$stateParams","SquareOdbc","xAlert",function(a,b,c,d,e){a.odbc={},a.getOdbc=function(){return d.get({id:c.id}).$promise.then(function(b){a.odbc=b})["catch"](function(a){e.show(a.data.message,"danger")})},a.updateOdbc=function(){var f=angular.copy(a.odbc);return d.update({id:c.id},f).$promise.then(function(){e.show(b.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){e.show(a.data.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.square.odbc.view",{url:"/view/:id",templateUrl:"app/square/odbc/view/view.html",controller:"SquareOdbcViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.square.odbc.view.settings",{url:"/settings",templateUrl:"app/square/odbc/view/view.settings.html"})}]),angular.module("xCallyShuttleApp").controller("SquareProjectListCtrl",["$scope","$uibModal","SquareProject","gridOptions",function(a,b,c,d){a.initView=function(){var b={exporterCsvFilename:"projects.csv",columnDefs:[{name:"name"},{name:"description"},{name:"action",width:160,buttons:[{"class":"blue-hoki",href:"/square/projects/view/{{row.entity.id}}",name:"settings"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=d.gridOptions(c,b,a)},a.createItem=function(){var c=b.open({animation:!0,templateUrl:"app/square/project/list/list.create.modal.html",controller:"SquareProjectListCreateCtrl"});c.result.then(function(b){console.log(b),a.gridOptions.getPage()})}}]),angular.module("xCallyShuttleApp").controller("SquareProjectListCreateCtrl",["$scope","$translate","$uibModalInstance","SquareProject","xAlert",function(a,b,c,d,e){a.form={},a.item={},a.save=function(){return d.save(a.item).$promise.then(function(a){e.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)})["catch"](function(a){e.show(a.data.message,"danger")})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.square.projects.list",{url:"/list",templateUrl:"app/square/project/list/list.html",controller:"SquareProjectListCtrl",authenticate:!0})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.square.projects",{url:"/projects",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").factory("SquareProject",["$resource",function(a){return a("/api/square/projects/:id/:controller",{name:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").controller("SquareProjectViewCtrl",["$scope","$stateParams","Auth","xAlert","SquareProject",function(a,b,c,d,e){a.squareProject={},a.getProject=function(){return e.get({id:b.id}).$promise.then(function(b){a.squareProject=b,angular.element(document).ready(function(){window.onerror=function(a,b,c){try{if(null!==a&&-1!==a.indexOf("Script error."));else{var e=new Image;e.src="assets/plugins/mxgraph/images/1x1.png?msg="+encodeURIComponent(a)+"&url="+encodeURIComponent(b)+"&lnum="+encodeURIComponent(c)}}catch(f){d.show(f,"danger")}},b.token=c.getToken();var a=new EditorUi(new Editor);a.openString(b.preproduction,b.name,b),a.editor.graphChangeListener=function(a,b){var c=b.properties.rtChange||!1;c||(this.modified=!0)}})})["catch"](function(a){d.show(a.data.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.square.projects.view",{url:"/view/:id",templateUrl:"app/square/project/view/view.html",controller:"SquareProjectViewCtrl",authenticate:!0,resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",serie:!0,cache:!1,files:["assets/plugins/mxgraph/css/common.css","assets/plugins/mxgraph/css/explorer.css","assets/plugins/mxgraph/styles/grapheditor.css","assets/plugins/mxgraph/styles/custom.css","assets/plugins/square/js/custom.js","assets/plugins/mxgraph/js/mxClient.js","assets/plugins/square/js/Editor.js","assets/plugins/square/js/Graph.js","assets/plugins/square/js/EditorUi.js","assets/plugins/square/js/Actions.js","assets/plugins/square/js/Menus.js","assets/plugins/square/js/Sidebar.js","assets/plugins/square/js/Toolbar.js","assets/plugins/square/js/Dialogs.js"]}])}]}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.square.realtime",{url:"/realtime",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:14}}})}]),angular.module("xCallyShuttleApp").factory("ReportSquare",["$resource",function(a){return a("/api/report/square/:id/:controller",{name:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").factory("ReportSquareDetail",["$resource",function(a){return a("/api/report/square/details/:id/:controller",{name:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").controller("SquareRealtimeViewGeneralCtrl",["$scope","$log","$uibModal","$translate","socket","xAlert","ReportSquare","usSpinnerService","$q","uiGridConstants",function(a,b,c,d,e,f,g,h,i,j){function k(b){return h.spin("spinner-grid"),g.get(m).$promise.then(function(b){a.gridOptions.totalItems=b.count,a.gridOptions.data=b.rows})["catch"](function(a){f.show(a.message,"danger")})["finally"](function(){b&&l(),h.stop("spinner-grid")})}function l(){e.socket.on("report_square:save",function(b){if(b.leaveAt){var c=_.remove(a.gridOptions.data,{id:b.id});c&&k()}else{var d=_.find(a.gridOptions.data,{id:b.id});if(!d&&a.gridOptions.data.length{{hhours}}:{{mminutes}}:{{sseconds}}
            ',enableSorting:!1,enableColumnMenu:!1,enableFiltering:!1}],data:[],gridMenuTitleFilter:function(a){var b=i.defer();return b.resolve(d.instant(a)),b.promise},onRegisterApi:function(b){a.gridApi=b,a.gridApi.grid.registerDataChangeCallback(function(){n(a.gridApi.core.getVisibleRows(a.gridApi.grid).length)}),a.gridApi.core.on.sortChanged(a,function(a,b){0===b.length?m.order=null:m.order=b[0].name+" "+b[0].sort.direction.toUpperCase(),k()}),a.gridApi.pagination.on.paginationChanged(a,function(a,b){m.offset=(a-1)*b,m.limit=b,k()}),a.gridApi.core.on.filterChanged(a,function(){var a=this.grid;a.columns.forEach(function(a){a.filters[0].term?m[a.name]=a.filters[0].term:delete m[a.name]}),k()})}},k(!0)};var n=function(a){var b=a?30*a+130:270;angular.element(document.getElementsByClassName("ui-grid")[0]).css("height",b+"px"),angular.element(document.getElementsByClassName("ui-grid-viewport")).css("height",30*a+"px")};a.$on("$destroy",function(){e.unsyncUpdates("report_square")})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.square.realtime.view.general",{url:"/general",templateUrl:"app/square/realtime/view/general/general.html",controller:"SquareRealtimeViewGeneralCtrl"})}]),angular.module("xCallyShuttleApp").controller("SquareRealtimeViewCtrl",["$scope",function(a){}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.square.realtime.view",{url:"/view",templateUrl:"app/square/realtime/view/view.html",controller:"SquareRealtimeViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/css/profile.css","assets/css/tasks.css"]}])}]}})}]),angular.module("xCallyShuttleApp").controller("SquareRecordingListCtrl",["$scope","SquareRecording","gridOptions",function(a,b,c){a.SquareRecording=b;a.initView=function(){var d={exporterCsvFilename:"recordings.csv",rowHeight:30,columnDefs:[{name:"uniqueid"},{name:"callerid",displayName:"APPLICATION_CALLERIDNUM"},{name:"filename"},{name:"extension"},{name:"projectName",displayName:"APPLICATION_PROJECT"},{name:"audio",displayName:"",width:225,enableSorting:!1,enableFiltering:!1,buttons:[{directive:''}]},{name:"action",width:150,buttons:[{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.filename || row.entity.uniqueid, row.entity.id)" +},{directive:''}]}]};a.gridOptions=c.gridOptions(b,d,a)}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.square.recordings.list",{url:"/list",templateUrl:"app/square/recording/list/list.html",controller:"SquareRecordingListCtrl"})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.square.recordings",{url:"/recordings",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").factory("SquareRecording",["$resource",function(a){return a("/api/square/recordings/:id/:controller",{id:"@id"},{update:{method:"PUT"},patch:{method:"PATCH"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.square",{url:"/square",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:14}}})}]),angular.module("xCallyShuttleApp").factory("Agent",["$resource",function(a){return a("/api/agents/:id/:controller/:controller1",{id:"@id"},{changePassword:{method:"PUT",params:{controller:"password"}},update:{method:"PUT"},patch:{method:"PATCH"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.staff.agents",{url:"/agents",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user","agent"],redirectTo:"landing",id:4}}})}]),angular.module("xCallyShuttleApp").controller("AgentListCtrl",["$scope","$timeout","Agent","Auth","gridOptions",function(a,b,c,d,e){a.initView=function(){a.Auth=d;var b={exporterCsvFilename:"agents.csv",columnDefs:[{name:"fullname"},{name:"name",displayName:"APPLICATION_USERNAME"},{name:"internal"},{name:"email"},{name:"description"},{name:"action",width:125,buttons:[{"class":"blue-hoki",href:"/staff/agents/view/{{row.entity.id}}/account",name:"profile"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.fullname, row.entity.id)"}]}]};a.gridOptions=e.gridOptions(c,b,a)}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.staff.agents.list",{url:"/list",templateUrl:"app/staff/agent/list/list.html",controller:"AgentListCtrl",data:{permissions:{only:["admin","user","agent"],redirectTo:"landing",id:4}}})}]),angular.module("xCallyShuttleApp").controller("AgentViewCtrl",["$scope","$http","xAlert","$translate","$stateParams","$cookieStore","Agent","FileUploader","Auth","User",function(a,b,c,d,e,f,g,h,i,j){a.Auth=i,a.radioSwitch={isActive:!0,size:"small",animate:!0,radioOff:!0,handleWidth:"auto",labelWidth:"auto",inverse:!0,offColor:"danger",onColor:"success"},a.recordSwitch={onText:"On",offText:"Off",isActive:!0,size:"small",animate:!0,radioOff:!0,handleWidth:"auto",labelWidth:"auto",inverse:!0,offColor:"default",onColor:"success"},a.updatePassword=function(){var b={newPassword:a.agent.newPwd};return i.isAdmin()?b.id=e.id:b.oldPassword=a.agent.currentPwd,j.changePassword(b).$promise.then(function(){c.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){c.show(a.message,"danger")})};var k=a.uploader=new h({url:"api/users/"+e.id+"/avatar",queueLimit:1,withCredentials:!0,headers:{Authorization:"Bearer "+f.get("token")}});k.filters.push({name:"imageFilter",fn:function(a){var b="|"+a.type.slice(a.type.lastIndexOf("/")+1)+"|";return-1!=="|jpg|png|jpeg|".indexOf(b)}}),k.onSuccessItem=function(b,e){a.agent.userpic=e.userpic,a.agent.id===i.getCurrentUser().id&&(i.getCurrentUser().userpic=e.userpic),c.show(d.instant("MESSAGE_WELL_DONE"),"success")},k.onErrorItem=function(){c.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG"),"danger")},k.onCompleteAll=function(){k.clearQueue()},a.initView=function(){return g.get({id:e.id}).$promise.then(function(b){a.agent=b,a.agent.transport=a.agent.transport?a.agent.transport.split(","):[],a.agent.allow=a.agent.allow?a.agent.allow.split(";"):[],a.agent.nat=a.agent.nat?a.agent.nat.split(","):[]})["catch"](function(a){c.show(a.message,"danger")})},a.patchItem=function(){return g.update({id:e.id},{chatCapacity:a.agent.chatCapacity,mailCapacity:a.agent.mailCapacity,faxCapacity:a.agent.faxCapacity,smsCapacity:a.agent.smsCapacity}).$promise.then(function(){c.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){c.show(a.message,"danger")})},a.updateItem=function(){var b=angular.copy(a.agent);return delete b.password,delete b.md5secret,b.transport=b.transport.join(","),b.allow=b.allow.join(";"),b.nat=b.nat.join(","),g.update({id:e.id},b).$promise.then(function(){c.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){c.show(a.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.staff.agents.view",{url:"/view/:id",templateUrl:"app/staff/agent/view/view.html",controller:"AgentViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]},data:{permissions:{only:["admin","user","agent"],redirectTo:"landing",id:4}}}).state("main.staff.agents.view.account",{url:"/account",templateUrl:"app/staff/agent/view/view.account.html",data:{permissions:{only:["admin","user","agent"],redirectTo:"landing",id:4}},resolve:{deps:["$ocLazyLoad",function(a){return a.load({insertBefore:"#ng_load_plugins_before",files:["assets/scripts/jquery.sparkline.min.js","assets/plugins/bootstrap-fileinput/bootstrap-fileinput.js","assets/scripts/profile.js"]})}]}}).state("main.staff.agents.view.voice",{url:"/voice",templateUrl:"app/staff/agent/view/view.voice.html",data:{permissions:{only:["admin","user"],redirectTo:"landing",id:4}}}).state("main.staff.agents.view.chat",{url:"/chat",templateUrl:"app/staff/agent/view/view.chat.html",data:{permissions:{only:["admin","user"],redirectTo:"landing",id:4}}}).state("main.staff.agents.view.mail",{url:"/mail",templateUrl:"app/staff/agent/view/view.mail.html",data:{permissions:{only:["admin","user"],redirectTo:"landing",id:4}}}).state("main.staff.agents.view.fax",{url:"/fax",templateUrl:"app/staff/agent/view/view.fax.html",data:{permissions:{only:["admin","user"],redirectTo:"landing",id:4}}}).state("main.staff.agents.view.sms",{url:"/sms",templateUrl:"app/staff/agent/view/view.sms.html",data:{permissions:{only:["admin","user"],redirectTo:"landing",id:4}}}).state("main.staff.agents.view.motionbar",{url:"/motionbar",templateUrl:"app/staff/agent/view/view.motionbar.html",data:{permissions:{only:["admin","user"],redirectTo:"landing",id:4}}})}]),angular.module("xCallyShuttleApp").controller("AgentWizardCtrl",["$scope","$rootScope","Agent","Setting","xAlert","$location","$translate","$http","WizardHandler",function(a,b,c,d,e,f,g,h,i){a.forms={info:void 0,voice:void 0},a.item={role:"agent",allow:["alaw","ulaw","gsm"],transport:["udp"],context:"from-sip"},a.next=function(){i.wizard().next()},a.exitValidation=function(a){return a},a.getFirstFreeInternal=function(){return d.get({controller:"internal"}).$promise.then(function(b){a.item.internal=b.value})["catch"](function(a){e.show(a.data.message,"danger")})},a.createItem=function(){var b=angular.copy(a.item);return b.transport&&(b.transport=b.transport.join(",")),a.item.allow&&(b.allow=b.allow.join(";")),c.save(b).$promise.then(function(){f.path("/staff/agents/list")})["catch"](function(a){console.log(a),e.show(a.message||a.data.message,"danger")})},a.createItems=function(){var b=[];a.item.transport&&(a.item.transport=a.item.transport.join(",")),a.item.allow&&(a.item.allow=a.item.allow.join(";"));for(var d=0;d
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("TeamCreateCtrl",["$scope","$uibModalInstance","Team","xAlert",function(a,b,c,d){a.form={},a.item={},a.ok=function(){return c.save(a.item).$promise.then(function(){b.close(a.item)})["catch"](function(a){d.show(a.data.message,"danger")})},a.cancel=function(){b.dismiss("cancel")}}]),angular.module("xCallyShuttleApp").controller("TeamListCtrl",["$scope","Team","gridOptions","$uibModal",function(a,b,c,d){a.initView=function(){var d={exporterCsvFilename:"teams.csv",columnDefs:[{name:"name"},{name:"description"},{name:"action",width:125,buttons:[{"class":"{{row.entity.defaultEntry ? 'blue-hoki disabled' : 'blue-hoki'}}",href:"/staff/teams/view/{{row.entity.id}}/settings",name:"profile"},{"class":"{{row.entity.defaultEntry ? 'red-sunglo disabled' : 'red-sunglo'}}",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=c.gridOptions(b,d,a)},a.createItem=function(){d.open({animation:!0,templateUrl:"app/staff/team/list/create.modal.html",controller:"TeamCreateCtrl"}).result.then(function(){a.gridOptions.getPage()})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.staff.teams.list",{url:"/list",templateUrl:"app/staff/team/list/list.html",controller:"TeamListCtrl"})}]),angular.module("xCallyShuttleApp").factory("Team",["$resource",function(a){return a("/api/teams/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.staff.teams",{url:"/teams",template:"
            ","abstract":!0,data:{permissions:{only:["admin"],redirectTo:"landing"}}})}]),angular.module("xCallyShuttleApp").controller("TeamViewCtrl",["$scope","$http","$stateParams","$translate","Team","Agent","xAlert",function(a,b,c,d,e,f,g){var h=function(){return{select:function(b){return console.log("agents",b),e.save({id:a.team.id,controller:"agents"},{agents:b})},deselect:function(b){return e["delete"]({id:a.team.id,controller:"agents",agents:b})}}};a.initView=function(){return e.get({id:c.id}).$promise.then(function(b){a.team=b})["catch"](function(a){g.show(a.message,"danger")})},a.initAgents=function(){return f.get().$promise.then(function(b){return a.agents=b.rows,e.get({id:c.id,controller:"agents"}).$promise}).then(function(b){ComponentsDropdowns.bindTeam(_.map(b.rows,"id"),_.map(a.agents,function(a){return{id:a.id,name:a.name,fullname:a.fullname,internal:a.internal}}),h)})["catch"](function(a){g.show(a.message,"danger")})},a.updateItem=function(){var b=angular.copy(a.team);return e.update({id:c.id},b).$promise.then(function(){g.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){g.show(a.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.staff.teams.view",{url:"/view/:id",templateUrl:"app/staff/team/view/view.html",controller:"TeamViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.staff.teams.view.agents",{url:"/agents",templateUrl:"app/staff/team/view/view.agents.html",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/jquery-multi-select/css/multi-select.css","assets/plugins/jquery-multi-select/js/jquery.multi-select.js","assets/plugins/jquery-quicksearch/jquery.quicksearch.js","assets/scripts/components-dropdowns.js"]}])}]}}).state("main.staff.teams.view.settings",{url:"/settings",templateUrl:"app/staff/team/view/view.settings.html"})}]),angular.module("xCallyShuttleApp").controller("TelephoneListCtrl",["$scope","Telephone","gridOptions",function(a,b,c){a.initView=function(){var d={exporterCsvFilename:"telephones.csv",columnDefs:[{name:"fullname"},{name:"name"},{name:"internal"},{name:"description"},{name:"action",width:125,buttons:[{"class":"blue-hoki",href:"/staff/telephones/view/{{row.entity.id}}/account",name:"profile"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.fullname, row.entity.id)"}]}]};a.gridOptions=c.gridOptions(b,d,a)}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.staff.telephones.list",{url:"/list",templateUrl:"app/staff/telephone/list/list.html",controller:"TelephoneListCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{name:"smart-table",insertBefore:"#ng_load_plugins_before",files:["assets/css/smart_table.css"]}])}]}})}]),angular.module("xCallyShuttleApp").factory("Telephone",["$resource",function(a){return a("/api/telephones/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.staff.telephones",{url:"/telephones",template:"
            ","abstract":!0,data:{permissions:{only:["admin"],redirectTo:"landing"}}})}]),angular.module("xCallyShuttleApp").controller("TelephoneViewCtrl",["$scope","$http","$stateParams","$translate","$cookieStore","Auth","xAlert","Telephone",function(a,b,c,d,e,f,g,h){a.initView=function(){return a.Auth=f,a.forms={},h.get({id:c.id}).$promise.then(function(b){delete b.password,a.item=b,a.item.transport=a.item.transport?a.item.transport.split(","):[],a.item.allow=a.item.allow?a.item.allow.split(";"):[]})["catch"](function(a){g.show(a.message,"danger")})},a.updateItem=function(){var b=angular.copy(a.item);return b.transport=b.transport.join(","),b.allow=b.allow.join(";"),h.update(b).$promise.then(function(){g.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){g.show(a.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.staff.telephones.view",{url:"/view/:id",templateUrl:"app/staff/telephone/view/view.html",controller:"TelephoneViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.staff.telephones.view.account",{url:"/account",templateUrl:"app/staff/telephone/view/view.account.html",resolve:{deps:["$ocLazyLoad",function(a){return a.load({insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css","assets/scripts/jquery.sparkline.min.js","assets/plugins/bootstrap-fileinput/bootstrap-fileinput.js","assets/scripts/profile.js"]})}]}}).state("main.staff.telephones.view.voice",{url:"/voice",templateUrl:"app/staff/telephone/view/view.voice.html",data:{permissions:{only:["admin"],redirectTo:"main.dashboard.voice"}}})}]),angular.module("xCallyShuttleApp").controller("TelephoneWizardCtrl",["$scope","$http","$location","WizardHandler","xAlert","$translate","Telephone","Setting",function(a,b,c,d,e,f,g,h){a.forms={},a.item={allow:["alaw","ulaw","gsm"],transport:["udp"],context:"from-sip"},a.next=function(){d.wizard().next()},a.exitValidation=function(a){return a},a.getFirstFreeInternal=function(){return h.get({controller:"internal"}).$promise.then(function(b){a.item.internal=b.value})["catch"](function(a){e.show(a.data.message,"danger")})},a.createItem=function(){var b=angular.copy(a.item);return b.transport&&(b.transport=b.transport.join(",")),a.item.allow&&(b.allow=b.allow.join(";")),g.save(b).$promise.then(function(){c.path("/staff/telephones/list")})["catch"](function(a){e.show(a.data.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.staff.telephones.wizard",{url:"/wizard",templateUrl:"app/staff/telephone/wizard/wizard.html",controller:"TelephoneWizardCtrl"})}]),angular.module("xCallyShuttleApp").controller("UserListCtrl",["$scope","$timeout","User","Auth","gridOptions",function(a,b,c,d,e){function f(a){if(a){var b=_.words(a),c=_.map(b,function(a){return a?a[0]:""});return c.join("").toUpperCase()}return!1}a.getUserAvatar=function(a,c,d){b(function(){angular.element("#userImg"+a).avatar({useGravatar:!1,initials:d?[]:f(c),fallbackImage:"api/users/avatar/"+d,size:80})})},a.isAdmin=function(a){return"admin"===a},a.initView=function(){var b={exporterCsvFilename:"users.csv",columnDefs:[{name:"fullname"},{name:"name",displayName:"APPLICATION_USERNAME"},{name:"internal"},{name:"email"},{name:"description"},{name:"action",width:200,buttons:[{"class":"blue-hoki",href:"/staff/users/view/{{row.entity.id}}/account",name:"profile"},{"class":"green-meadow",href:"/staff/users/view/{{row.entity.id}}/permit/voice",name:"permits",hide:"grid.appScope.isAdmin(row.entity.role)"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.fullname, row.entity.id)"}]}]};a.gridOptions=e.gridOptions(c,b,a)}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.staff.users.list",{url:"/list",templateUrl:"app/staff/user/list/list.html",controller:"UserListCtrl"})}]),angular.module("xCallyShuttleApp").factory("Module",["$resource",function(a){return a("/api/modules/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").factory("User",["$resource",function(a){return a("/api/users/:id/:controller/:controller2/:controller3",{id:"@id"},{changePassword:{method:"PUT",params:{controller:"password"}},update:{method:"PUT"},me:{method:"GET",params:{id:"me"}}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.staff.users",{url:"/users",template:"
            ","abstract":!0,data:{permissions:{only:["admin"],redirectTo:"landing"}}})}]),angular.module("xCallyShuttleApp").controller("UserViewCtrl",["$scope","$stateParams","$cookieStore","$translate","FileUploader","User","Auth","xAlert","MailQueue","VoiceQueue","ChatQueue","FaxQueue","SmsQueue","Team","Module","$location",function(a,b,c,d,e,f,g,h,i,j,k,l,m,n,o,p){function q(b){var c=_.find(a.modules,{id:b.ModuleId});if(b.status===!0)c.status=!0;else{var d=_.filter(a.modules,{ModuleId:b.ModuleId,status:!0});d.length||(c.status=!1)}c.ModuleId&&q(c)}function r(b,c){b.forEach(function(b){b.status=c;var d=_.filter(a.modules,{ModuleId:b.id});d.length&&r(d,c)})}a.Auth=g,a._=_,a.moduleSwitch={onText:"On",offText:"Off",isActive:!0,size:"mini",animate:!0,radioOff:!0,handleWidth:"auto",labelWidth:"auto",inverse:!0,offColor:"danger",onColor:"success"},a.initVoiceQueues=function(){var a={},c={};return j.get().$promise.then(function(b){return a.queues=_.map(b.rows,function(a){return{name:a.name}}),i.get().$promise}).then(function(){return f.query({id:b.id,controller:"allowed",controller2:"voice",controller3:"queues"}).$promise}).then(function(a){c.queues=_.map(a,function(a){return{name:a.name}})}).then(function(){ComponentsDropdowns.bindPermit(a,c,"voice",b.id,f)})["catch"](function(a){console.error(a)})},a.initChatQueues=function(){var a={},c={};return k.get().$promise.then(function(b){return a.queues=_.map(b.rows,function(a){return{id:a.id,name:a.name}}),i.get().$promise}).then(function(){return f.query({id:b.id,controller:"allowed",controller2:"chat",controller3:"queues"}).$promise}).then(function(a){c.queues=_.map(a,function(a){return{id:a.id,name:a.name}})}).then(function(){ComponentsDropdowns.bindPermit(a,c,"chat",b.id,f)})["catch"](function(a){console.error(a)})},a.initMailQueues=function(){var a={},c={};return i.get().$promise.then(function(b){return a.queues=_.map(b.rows,function(a){return{id:a.id,name:a.name}}),i.get().$promise}).then(function(){return f.query({id:b.id,controller:"allowed",controller2:"mail",controller3:"queues"}).$promise}).then(function(a){c.queues=_.map(a,function(a){return{id:a.id,name:a.name}})}).then(function(){ComponentsDropdowns.bindPermit(a,c,"mail",b.id,f)})["catch"](function(a){console.error(a)})},a.initSmsQueues=function(){var a={},c={};return m.get().$promise.then(function(b){return a.queues=_.map(b.rows,function(a){return{id:a.id,name:a.name}}),m.get().$promise}).then(function(){return f.query({id:b.id,controller:"allowed",controller2:"sms",controller3:"queues"}).$promise}).then(function(a){console.log("permits",a),c.queues=_.map(a,function(a){return{id:a.id,name:a.name}})}).then(function(){ComponentsDropdowns.bindPermit(a,c,"sms",b.id,f)})["catch"](function(a){console.error(a)})},a.initFaxQueues=function(){var a={},c={};return l.get().$promise.then(function(b){return a.queues=_.map(b.rows,function(a){return{id:a.id,name:a.name}}),i.get().$promise}).then(function(){return f.query({id:b.id,controller:"allowed",controller2:"fax",controller3:"queues"}).$promise}).then(function(a){c.queues=_.map(a,function(a){return{id:a.id,name:a.name}})}).then(function(){ComponentsDropdowns.bindPermit(a,c,"fax",b.id,f)})["catch"](function(a){console.error(a)})},a.initView=function(){return f.get({id:b.id}).$promise.then(function(b){a.user=b,a.user.transport=a.user.transport?a.user.transport.split(","):[],a.user.allow=a.user.allow?a.user.allow.split(";"):[]})["catch"](function(a){h.show(a.message,"danger")})},a.updateItem=function(){var c=angular.copy(a.user);return delete c.password,delete c.md5secret,c.transport=c.transport.join(","),c.allow=c.allow.join(";"),f.update({id:b.id},c).$promise.then(function(){h.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){h.show(a.message,"danger")})},a.updatePassword=function(){var c={newPassword:a.user.newPwd};return g.isAdmin()?c.id=b.id:c.oldPassword=a.user.currentPwd,f.changePassword(c).$promise.then(function(){h.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){h.show(a.message,"danger")})};var s=a.uploader=new e({url:"api/users/"+b.id+"/avatar",queueLimit:1,withCredentials:!0,headers:{Authorization:"Bearer "+c.get("token")}});s.filters.push({name:"imageFilter",fn:function(a){var b="|"+a.type.slice(a.type.lastIndexOf("/")+1)+"|";return-1!=="|jpg|png|jpeg|".indexOf(b)}}),a.getModules=function(){return a.associatedModules=[],o.get().$promise.then(function(c){return a.modules=c.rows,f.query({id:b.id,controller:"allowed",controller2:"modules"}).$promise}).then(function(b){a.modules.forEach(function(a){_.find(b,{id:a.id})?a.status=!0:a.status=!1})})["catch"](function(a){h.show(a.message,"danger")})},a.updatePermissions=function(c){c.ModuleId&&q(c);var e=_.filter(a.modules,{ModuleId:c.id});return e&&r(e,c.status),f.save({id:b.id,controller:"allowed",controller2:"modules"},{modules:_.map(_.filter(a.modules,{status:!0}),"id")}).$promise.then(function(){h.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){h.error(a)})},s.onSuccessItem=function(b,c){a.user.userpic=c.userpic,a.user.id===g.getCurrentUser().id&&(g.getCurrentUser().userpic=c.userpic),h.show(d.instant("MESSAGE_WELL_DONE"),"success")},s.onErrorItem=function(){h.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG"),"danger")},s.onCompleteAll=function(){s.clearQueue()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.staff.users.view",{url:"/view/:id",templateUrl:"app/staff/user/view/view.html",controller:"UserViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.staff.users.view.account",{url:"/account",templateUrl:"app/staff/user/view/view.account.html",resolve:{deps:["$ocLazyLoad",function(a){return a.load({insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css","assets/scripts/jquery.sparkline.min.js","assets/plugins/bootstrap-fileinput/bootstrap-fileinput.js","assets/scripts/profile.js"]})}]}}).state("main.staff.users.view.voice",{url:"/voice",templateUrl:"app/staff/user/view/view.voice.html",data:{permissions:{only:["admin"],redirectTo:"main.dashboard.voice"}}}).state("main.staff.users.view.permit",{url:"/permit",templateUrl:"app/staff/user/view/view.permit.html",data:{permissions:{only:["admin"],redirectTo:"main.dashboard.voice"}},cache:!1,serie:!0,resolve:{deps:["$ocLazyLoad",function(a){return a.load({insertBefore:"#ng_load_plugins_before",files:["assets/plugins/jquery-multi-select/css/multi-select.css","assets/plugins/jquery-multi-select/js/jquery.multi-select.js","assets/plugins/jquery-quicksearch/jquery.quicksearch.js","assets/scripts/components-dropdowns.js"]})}]}}).state("main.staff.users.view.permit.voice",{url:"/voice",templateUrl:"app/staff/user/view/view.permit.voice.html",data:{permissions:{only:["admin"],redirectTo:"main.dashboard.voice"}}}).state("main.staff.users.view.permit.chat",{url:"/chat",templateUrl:"app/staff/user/view/view.permit.chat.html",data:{permissions:{only:["admin"],redirectTo:"main.dashboard.chat"}}}).state("main.staff.users.view.permit.mail",{url:"/mail",templateUrl:"app/staff/user/view/view.permit.mail.html",data:{permissions:{only:["admin"],redirectTo:"main.dashboard.mail"}}}).state("main.staff.users.view.permit.fax",{url:"/fax",templateUrl:"app/staff/user/view/view.permit.fax.html",data:{permissions:{only:["admin"],redirectTo:"main.dashboard.fax"}}}).state("main.staff.users.view.permit.sms",{url:"/sms",templateUrl:"app/staff/user/view/view.permit.sms.html",data:{permissions:{only:["admin"],redirectTo:"main.dashboard.sms"}}}).state("main.staff.users.view.permit.modules",{url:"/modules",templateUrl:"app/staff/user/view/view.permit.modules.html",data:{permissions:{only:["admin"],redirectTo:"main.dashboard.voice"}}})}]),angular.module("xCallyShuttleApp").controller("UserWizardCtrl",["$scope","$location","WizardHandler","xAlert","$translate","User","Setting",function(a,b,c,d,e,f,g){a.forms={info:void 0,voice:void 0},a.item={role:"user",host:"dynamic",context:"from-sip"},a.next=function(){c.wizard().next()},a.exitValidation=function(a){return a},a.getFirstFreeInternal=function(){return g.get({controller:"internal"}).$promise.then(function(b){a.item.internal=b.value})["catch"](function(a){d.show(a.data.message,"danger")})},a.createItem=function(){return f.save(a.item).$promise.then(function(){b.path("/staff/users/list")})["catch"](function(a){d.show(a.data.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.staff.users.wizard",{url:"/wizard",templateUrl:"app/staff/user/wizard/wizard.html",controller:"UserWizardCtrl"})}]),angular.module("xCallyShuttleApp").controller("SugarcrmListCtrl",["$scope","SugarcrmAccount","gridOptions","xAlert","$translate","Modal",function(a,b,c,d,e,f){a.initView=function(){var d={exporterCsvFilename:"sugarcrm_account.csv",columnDefs:[{name:"name",displayName:"APPLICATION_NAME"},{name:"username"},{name:"remoteUri",displayName:"APPLICATION_REMOTE_ADDRESS"},{name:"action",width:250,buttons:[{"class":"blue-hoki",href:"/sugarcrm/view/{{row.entity.id}}/account",name:"profile"},{"class":"green-jungle",name:"check",displayName:"APPLICATION_CHECK",onClick:"grid.appScope.checkAccount(row.entity.id)"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=c.gridOptions(b,d,a)},a.checkAccount=function(a){return b.get({id:a,controller:"check"}).$promise.then(function(){d.show(e.instant("MESSAGE_ACCOUNT_VERIFIED"),"success")})["catch"](function(a){d.show(e.instant("MESSAGE_ACCOUNT_INVALID"),"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.sugarcrm.list",{url:"/list",templateUrl:"app/sugarcrm/list/list.html",controller:"SugarcrmListCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{name:"smart-table",insertBefore:"#ng_load_plugins_before",files:["assets/css/smart_table.css"]}])}]}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.sugarcrm",{url:"/sugarcrm",template:"
            ","abstract":!0,data:{permissions:{only:["admin"],redirectTo:"main.dashboard.voice"}}})}]),angular.module("xCallyShuttleApp").factory("SugarcrmAccount",["$resource",function(a){return a("/api/sugarcrm/accounts/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").factory("SugarcrmConfiguration",["$resource",function(a){return a("/api/sugarcrm/configurations/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").factory("SugarcrmField",["$resource",function(a){return a("/api/sugarcrm/fields/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").controller("SugarcrmViewCtrl",["$scope","$http","$stateParams","$translate","$location","$filter","WizardHandler","socket","xAlert","Modal",function(a,b,c,d,e,f,g,h,i,j){a.$stateParams=c,a.config={autoHideScrollbar:!1,theme:"dark",advanced:{updateOnContentResize:!0},setHeight:500,scrollInertia:0},a.initConfiguration=function(){a.formsConfiguration={},a.configuration={}},a.goNext=function(){g.wizard().next()},a.formValidation=function(a){return a},a.$on("$destroy",function(){h.unsyncUpdates("sugarcrm_configuration"),h.unsyncUpdates("sugarcrm_field")}),a.initView=function(){b.get("/api/sugarcrm/accounts/"+c.id).success(function(b){a.account=b}).error(function(a){i.show(a.message,"danger")})},a.updateItem=function(){b.put("/api/sugarcrm/accounts/"+c.id,a.account).success(function(){i.show(d.instant("MESSAGE_WELL_DONE")+".","success")}).error(function(){i.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG")+".","danger")})},a.getConfigurations=function(){b.get("/api/sugarcrm/configurations",{params:{AccountId:c.id}}).success(function(b){a.configurations=b,h.socket.on("sugarcrm_configuration:remove",function(b){_.remove(a.configurations,{id:b.id})})}).error(function(a){i.show(a.message,"danger")})},a.deleteConfiguration=j.confirm["delete"](function(a){b["delete"]("api/sugarcrm/configurations/"+a).success(function(){i.show(d.instant("MESSAGE_WELL_DONE"),"success")}).error(function(){i.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG"),"danger")})}),a.createConfiguration=function(){a.configuration.AccountId=c.id,b.post("api/sugarcrm/configurations",a.configuration).success(function(a){e.path("sugarcrm/view/"+c.id+"/configurations/settings/"+a.id)}).error(function(){i.show("Something went wrong!","danger")})},a.fieldType=["string","variable"],a.descFieldType=["string","key_value"],a.getFields=function(){b.get("/api/sugarcrm/accounts/"+c.id+"/fields").success(function(b){a.accountFields=_.sortByOrder(f("sugarcrm")(_.toArray(b)),"name","asc"),_.forEach(b,function(a){a.options=_.toArray(a.options)})}).error(function(a){i.show(a.message,"danger")})},a.getConfiguration=function(){b.get("/api/sugarcrm/configurations/"+c.configurationId).success(function(b){a.configurationName=b.name,a.subjectConfig=b.Subject,a.descriptionConfig=b.Description,a.fieldConfig=b.Field,h.socket.on("sugarcrm_field:remove",function(b){_.remove(a.subjectConfig,{id:b.id}),_.remove(a.descriptionConfig,{id:b.id}),_.remove(a.fieldConfig,{id:b.id})})}).error(function(a){i.show(a.message,"danger")})},a.getVariables=function(){b.get("/api/variables").success(function(b){ +a.variables=b.rows}).error(function(a){i.show(a.message,"danger")})},a.removeItem=function(a){b["delete"]("api/sugarcrm/fields/"+a.id).success(function(){i.show(d.instant("MESSAGE_WELL_DONE"),"success")}).error(function(){i.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG"),"danger")})},a.addItemSubject=function(){var d={type:"string",content:""};b.post("/api/sugarcrm/configurations/"+c.configurationId+"/subject",d).success(function(b){a.subjectConfig.push(b)}).error(function(){i.show("Something went wrong!","danger")})},a.addItemDescription=function(){var d={type:"string",content:""};b.post("/api/sugarcrm/configurations/"+c.configurationId+"/description",d).success(function(b){a.descriptionConfig.push(b)}).error(function(){i.show("Something went wrong!","danger")})},a.addItemField=function(){var d={content:""};b.post("/api/sugarcrm/configurations/"+c.configurationId+"/field",d).success(function(b){a.fieldConfig.push(b)}).error(function(){i.show("Something went wrong!","danger")})},a.updateField=function(a){b.put("/api/sugarcrm/fields/"+a.id,a).success(function(){}).error(function(){i.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG")+".","danger")})},a.changeType=function(b){"variable"===b.type?b.content="":"string"===b.type&&(b.VariableId=null),a.updateField(b)},a.changeDescType=function(b){"key_value"===b.type?(b.content="","string"===b.keyType?b.VariableId=null:"variable"===b.keyType&&(b.keyContent="")):"string"===b.type&&(b.VariableId=null,b.key="",b.keyContent=""),a.updateField(b)},a.changeFieldType=function(b){b.content="",a.updateField(b)},a.getSystemFieldOptions=function(b){if(b){var c=_.find(a.accountFields,"name",b);return c?c.options.length>0?c.options:null:void 0}}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.sugarcrm.view",{url:"/view/:id",templateUrl:"app/sugarcrm/view/view.html",controller:"SugarcrmViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.sugarcrm.view.account",{url:"/account",templateUrl:"app/sugarcrm/view/view.account.html"}).state("main.sugarcrm.view.configurations",{url:"/configurations",templateUrl:"app/sugarcrm/view/view.configurations.html"}).state("main.sugarcrm.view.configurations.settings",{url:"/settings/:configurationId",templateUrl:"app/sugarcrm/view/view.configurationSettings.html",controller:"SugarcrmViewCtrl"}).state("main.sugarcrm.view.configuration",{url:"/configuration",templateUrl:"app/sugarcrm/view/view.configuration.html"})}]),angular.module("xCallyShuttleApp").controller("SugarcrmkWizardCtrl",["$scope","$http","$location","$translate","xAlert","WizardHandler",function(a,b,c,d,e,f){a.translate=d,a.initWizard=function(){a.$translate=d,a.forms={},a.item={}},a.next=function(){f.wizard().next()},a.exitValidation=function(a){return a},a.createItem=function(){b.post("api/sugarcrm/accounts",a.item).success(function(){e.show(d.instant("MESSAGE_WELL_DONE")+".","success"),c.path("/sugarcrm/list")}).error(function(){e.show(d.instant("MESSAGE_SOMETHING_WENT_WRONG")+".","danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.sugarcrm.wizard",{url:"/wizard",templateUrl:"app/sugarcrm/wizard/wizard.html",controller:"SugarcrmkWizardCtrl"})}]),angular.module("xCallyShuttleApp").factory("CannedAnswer",["$resource",function(a){return a("/api/answers/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.answers",{url:"/answers",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:13}}})}]),angular.module("xCallyShuttleApp").controller("AnswerListCtrl",["$scope","$uibModal","CannedAnswer","gridOptions",function(a,b,c,d){a.initView=function(){var b={exporterCsvFilename:"answers.csv",columnDefs:[{name:"key",displayName:"APPLICATION_NAME"},{name:"value"},{name:"description"},{name:"action",width:160,buttons:[{"class":"blue-hoki",href:"/tools/answers/view/{{row.entity.id}}/settings",name:"settings"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.key, row.entity.id)"}]}]};a.gridOptions=d.gridOptions(c,b,a)},a.createItem=function(){b.open({animation:!0,templateUrl:"app/tools/answer/list/list.create.modal.html",controller:"AnswerListCreateCtrl"}).result.then(function(b){a.gridOptions.getPage()})}}]),angular.module("xCallyShuttleApp").controller("AnswerListCreateCtrl",["$scope","$translate","$uibModalInstance","CannedAnswer","xAlert",function(a,b,c,d,e){a.form={},a.item={},a.save=function(){return d.save(a.item).$promise.then(function(a){e.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)})["catch"](function(a){e.show(a.data.message,"danger")})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.answers.list",{url:"/list",templateUrl:"app/tools/answer/list/list.html",controller:"AnswerListCtrl"})}]),angular.module("xCallyShuttleApp").controller("AnswerViewCtrl",["$scope","$http","xAlert","$translate","$stateParams","$location","CannedAnswer",function(a,b,c,d,e,f,g){a.getAnswer=function(){return g.get({id:e.id}).$promise.then(function(b){a.answer=b})["catch"](function(a){c.show(a.data.message,"danger")})},a.updateAnswer=function(){var b=angular.copy(a.answer);return g.update({id:e.id},b).$promise.then(function(){c.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){c.show(a.data.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.answers.view",{url:"/view/:id",templateUrl:"app/tools/answer/view/view.html",controller:"AnswerViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.tools.answers.view.settings",{url:"/settings",templateUrl:"app/tools/answer/view/view.settings.html"})}]),angular.module("xCallyShuttleApp").factory("Automation",["$resource",function(a){return a("/api/automations/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.automations",{url:"/automations",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:13}}})}]),angular.module("xCallyShuttleApp").controller("AutomationListCtrl",["$scope","$http","$translate","xAlert","Automation","gridOptions",function(a,b,c,d,e,f){a.onText="On",a.offText="Off",a.isActive=!0,a.size="mini",a.animate=!0,a.radioOff=!0,a.handleWidth="auto",a.labelWidth="auto",a.inverse=!0,a.offColor="danger",a.onColor="success",a.initView=function(){var b={exporterCsvFilename:"Automations.csv",columnDefs:[{name:"name"},{name:"description"},{name:"channel"},{name:"status",cellTemplate:'
            ',width:100,enableFiltering:!1},{name:"action",width:160,buttons:[{"class":"blue-hoki",href:"/tools/automations/view/{{row.entity.id}}/settings",name:"settings"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=f.gridOptions(e,b,a)},a.updateItem=function(a){return e.update({id:a.id},a).$promise.then(function(){d.show(c.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){console.error(a),d.show(a.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.automations.list",{url:"/list",templateUrl:"app/tools/automation/list/list.html",controller:"AutomationListCtrl"})}]),angular.module("xCallyShuttleApp").controller("AutomationViewCtrl",["$scope","$stateParams","$http","$translate","xAlert","automations","Automation","$location",function(a,b,c,d,e,f,g,h){a.$automations=f,a.recordSwitch={onText:"On",offText:"Off",isActive:!0,size:"small",animate:!0,radioOff:!0,handleWidth:"auto",labelWidth:"auto",inverse:!0,offColor:"danger",onColor:"success"},a.forms={general:{}},a.addCondition=function(b){a.automation[b].push({field:"",operator:"",value:""})},a.removeCondition=function(b,c){a.automation[b].splice(c,1)},a.addAction=function(){a.automation.Actions.push({action:"",data1:"",data2:"",data3:"",data4:"",data5:""})},a.resetActionData=function(a){a.data1="",a.data2="",a.data3="",a.data4="",a.data5=""},a.removeAction=function(b){a.automation.Actions.splice(b,1)},a.initView=function(){return g.get({id:b.id}).$promise.then(function(b){a.automation=b})["catch"](function(a){e.show(a.message,"danger"),h.path("/tools/automations/list")})},a.updateAutomation=function(){return g.update({id:b.id},a.automation).$promise.then(function(){e.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){console.error(a),e.show(a.message,"danger")})},a.exitValidation=function(b){return console.log(b&&(a.automation.All.length||a.automation.Any.length)&&a.automation.Actions.length),!(!b||!a.automation.All.length&&!a.automation.Any.length||!a.automation.Actions.length)}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.automations.view",{url:"/view/:id",templateUrl:"app/tools/automation/view/view.html",controller:"AutomationViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load({insertBefore:"#ng_load_plugins_before",files:["assets/css/profile.css","assets/css/tasks.css"]})}]}}).state("main.tools.automations.view.settings",{url:"/settings",templateUrl:"app/tools/automation/view/view.settings.html"}).state("main.tools.automations.view.routes",{url:"/routes",templateUrl:"app/tools/automation/view/view.routes.html"}).state("main.tools.automations.view.application",{url:"/application",templateUrl:"app/tools/automation/view/view.application.html"})}]),angular.module("xCallyShuttleApp").controller("AutomationWizardCtrl",["$scope","$http","$location","xAlert","automations","WizardHandler","Automation",function(a,b,c,d,e,f,g){a.$automations=e,a.statusSwitch={onText:"On",offText:"Off",isActive:!0,size:"small",animate:!0,radioOff:!0,handleWidth:"auto",labelWidth:"auto",inverse:!0,offColor:"danger",onColor:"success"},a.tempData={voice:{},mail:{},chat:{},fax:{}},a.item={All:[],Any:[],Actions:[]},a.forms={general:void 0,settings:void 0},a.addCondition=function(b){a.item[b].push({field:"",operator:"",value:""})},a.removeCondition=function(b,c){a.item[b].splice(c,1)},a.addAction=function(){a.item.Actions.push({action:"",data1:"",data2:"",data3:"",data4:"",data5:""})},a.resetActionData=function(a){a.data1="",a.data2="",a.data3="",a.data4="",a.data5=""},a.removeAction=function(b){a.item.Actions.splice(b,1)},a.$watch("item.channel",function(b,c){c&&(a.tempData[c].All=_.clone(a.item.All,!0),a.tempData[c].Any=_.clone(a.item.Any,!0),a.tempData[c].Actions=_.clone(a.item.Actions,!0)),a.item.All=a.tempData[b].All?a.tempData[b].All:[],a.item.Any=a.tempData[b].Any?a.tempData[b].Any:[],a.item.Actions=a.tempData[b].Actions?a.tempData[b].Actions:[]},!0),a.item.channel="mail",a.createItem=function(){return g.save(a.item).$promise.then(function(){c.path("/tools/automations/list")})["catch"](function(a){console.error(a),d.show(a.message,"danger")})},a.next=function(){f.wizard().next()},a.previous=function(){f.wizard().previous()},a.exitValidation=function(a){return a},a.exitSettingsValidation=function(b){return!(!b||!a.item.All.length&&!a.item.Any.length||!a.item.Actions.length)}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.automations.wizard",{url:"/wizard",templateUrl:"app/tools/automation/wizard/wizard.html",controller:"AutomationWizardCtrl"})}]),angular.module("xCallyShuttleApp").factory("Interval",["$resource",function(a){return a("/api/intervals/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.intervals",{url:"/intervals",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:13}}})}]),angular.module("xCallyShuttleApp").controller("IntervalListCtrl",["$scope","$uibModal","Interval","gridOptions",function(a,b,c,d){a.initView=function(){var b={exporterCsvFilename:"intervals.csv",columnDefs:[{name:"name"},{name:"description"},{name:"action",width:160,buttons:[{"class":"blue-hoki",href:"/tools/intervals/view/{{row.entity.id}}/settings",name:"settings"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=d.gridOptions(c,b,a)},a.createItem=function(){var c=b.open({animation:!0,templateUrl:"app/tools/interval/list/list.create.modal.html",controller:"IntervalListCreateCtrl"});c.result.then(function(b){console.log(b),a.gridOptions.getPage()})}}]),angular.module("xCallyShuttleApp").controller("IntervalListCreateCtrl",["$scope","$translate","$uibModalInstance","Interval","xAlert",function(a,b,c,d,e){a.form={},a.item={},a.save=function(){return d.save(a.item).$promise.then(function(a){e.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)})["catch"](function(a){e.show(b.instant(a.data.message),"danger")})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.intervals.list",{url:"/list",templateUrl:"app/tools/interval/list/list.html",controller:"IntervalListCtrl"})}]),angular.module("xCallyShuttleApp").controller("IntervalViewCtrl",["$scope","$http","xAlert","$translate","$stateParams","socket","$location","WizardHandler","Modal","interval",function(a,b,c,d,e,f,g,h,i,j){function k(b){console.log(b);var c,d,e,f;c=b.t_from&&b.t_to&&!b.alwaysTime?moment(b.t_from).format("HH:mm")+"-"+moment(b.t_to).format("HH:mm"):"*",b.wd_from&&"always"!==b.wd_from?(d=b.wd_from,b.wd_to&&"always"!==b.wd_to&&(d+="-"+b.wd_to)):d="*",b.md_from&&"always"!==b.md_from?(e=b.md_from,b.md_to&&"always"!==b.md_to&&(e+="-"+b.md_to)):e="*",b.m_from&&"always"!==b.m_from?(f=b.m_from,b.m_to&&"always"!==b.m_to&&(f+="-"+b.m_to)):f="*",void 0!==a.selectedSubInterval?a.interval.SubIntervals[a.selectedSubInterval].interval=c+","+d+","+e+","+f:a.subinterval.interval=c+","+d+","+e+","+f}a.$translate=d,a.$interval=j.interval,a.selectedSubInterval=void 0,a.gridOptions={columnDefs:[{name:"name",displayName:"APPLICATION_NAME",headerCellFilter:"translate"},{name:"interval",displayName:"APPLICATION_INTERVAL",headerCellFilter:"translate"},{name:"action",displayName:"APPLICATION_ACTION",headerCellFilter:"translate",width:125,cellTemplate:'{{\'APPLICATION_SETTINGS\' | translate}}{{\'APPLICATION_DELETE\' | translate}}'}]},a.forms={subinterval:void 0,general:void 0},a.initSubInterval=function(b){b&&(a.selectedSubInterval=void 0),a.subinterval={},a.splittedInterval={t_from:moment(new Date),t_to:moment(new Date),wd_from:"always",wd_to:"always",md_from:"always",md_to:"always",m_from:"always",m_to:"always",alwaysTime:!0}},a.next=function(){h.wizard().next()},a.previous=function(){h.wizard().previous()},a.exitValidation=function(a){return a},a.deselectAndRedirect=function(b){a.selectedSubInterval=void 0,g.path(b)},a.getInterval=function(){b.get("/api/intervals/"+e.id).success(function(b){a.interval=b,a.gridOptions.data=b.SubIntervals}).error(function(a){console.error(a),g.path("/tools/intervals/list")})},a.openSubInterval=function(b){a.selectedSubInterval=b,g.path("/tools/intervals/view/"+e.id+"/subintervals/settings")},a.updateInterval=function(f){a.selectedSubInterval=void 0,b.put("/api/intervals/"+e.id,a.interval).success(function(){c.show(d.instant("MESSAGE_WELL_DONE")+"!","success"),f&&g.path("/tools/intervals/view/"+e.id+"/"+f)}).error(function(a){c.show(d.instant(a.message),"danger")})},a.getSubInterval=function(){void 0===a.selectedSubInterval&&g.path("/tools/intervals/list"),a.splittedInterval={t_from:moment(new Date),t_to:moment(new Date)};var b,c=a.interval.SubIntervals[a.selectedSubInterval]?a.interval.SubIntervals[a.selectedSubInterval].interval.split(","):["*","*","*","*"];c.forEach(function(c,d){switch(d){case 0:"*"!==c?(b=c.split("-"),a.splittedInterval.t_from=moment(b[0],"HH:mm"),a.splittedInterval.t_to=moment(b[1],"HH:mm"),a.splittedInterval.alwaysTime=!1):(a.splittedInterval.t_from=moment(new Date),a.splittedInterval.t_to=moment(new Date),a.splittedInterval.alwaysTime=!0);break;case 1:"*"!==c?(b=c.split("-"),a.splittedInterval.wd_from=b[0],a.splittedInterval.wd_to=b[1]?b[1]:"always"):(a.splittedInterval.wd_from="always",a.splittedInterval.wd_to="always");break;case 2:"*"!==c?(b=c.split("-"),a.splittedInterval.md_from=b[0],a.splittedInterval.md_to=b[1]?b[1]:"always"):(a.splittedInterval.md_from="always",a.splittedInterval.md_to="always");break;case 3:"*"!==c?(b=c.split("-"),a.splittedInterval.m_from=b[0],a.splittedInterval.m_to=b[1]?b[1]:"always"):(a.splittedInterval.m_from="always",a.splittedInterval.m_to="always")}})},a.$watch("splittedInterval",function(a){a&&k(a)},!0),a.addSubInterval=function(){a.interval.SubIntervals.push(a.subinterval),a.updateInterval("subintervals")},a.deleteSubInterval=i.confirm["delete"](function(b){a.interval.SubIntervals.splice(b,1),a.updateInterval()})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.intervals.view",{url:"/view/:id",templateUrl:"app/tools/interval/view/view.html",controller:"IntervalViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.tools.intervals.view.settings",{url:"/settings",templateUrl:"app/tools/interval/view/view.settings.html"}).state("main.tools.intervals.view.subintervals",{url:"/subintervals",templateUrl:"app/tools/interval/view/view.subintervals.html"}).state("main.tools.intervals.view.subinterval",{url:"/subinterval",templateUrl:"app/tools/interval/view/view.subinterval.html"}).state("main.tools.intervals.view.subintervals.settings",{url:"/settings",templateUrl:"app/tools/interval/view/view.subintervalSettings.html"})}]),angular.module("xCallyShuttleApp").controller("PauseListCtrl",["$scope","$log","$uibModal","$translate","Pause","gridOptions",function(a,b,c,d,e,f){a.initView=function(){var b={exporterCsvFilename:"pauses.csv",columnDefs:[{name:"name"},{name:"description"},{name:"action",width:160,buttons:[{"class":"blue-hoki",href:"/tools/pauses/view/{{row.entity.id}}/settings",name:"settings"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=f.gridOptions(e,b,a)},a.createItem=function(){var b=c.open({animation:!0,templateUrl:"app/tools/pause/list/list.create.modal.html",controller:"PauseListCreateCtrl"});b.result.then(function(b){console.log(b),a.gridOptions.getPage()})}}]),angular.module("xCallyShuttleApp").controller("PauseListCreateCtrl",["$scope","$translate","$uibModalInstance","Pause","xAlert",function(a,b,c,d,e){a.form={},a.item={},a.save=function(){return d.save(a.item).$promise.then(function(a){e.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)})["catch"](function(a){e.show(a.data.message,"danger")})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.pauses.list",{url:"/list",templateUrl:"app/tools/pause/list/list.html",controller:"PauseListCtrl"})}]),angular.module("xCallyShuttleApp").factory("Pause",["$resource",function(a){return a("/api/pauses/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.pauses",{url:"/pauses",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:13}}})}]),angular.module("xCallyShuttleApp").controller("PauseViewCtrl",["$scope","$http","xAlert","$translate","$stateParams","$location","Pause",function(a,b,c,d,e,f,g){a.getPause=function(){return g.get({id:e.id}).$promise.then(function(b){a.pause=b})["catch"](function(a){c.show(a.data.message,"danger")})},a.updatePause=function(){var b=angular.copy(a.pause);return g.update({id:e.id},b).$promise.then(function(){c.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){c.show(a.data.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.pauses.view",{url:"/view/:id",templateUrl:"app/tools/pause/view/view.html",controller:"PauseViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.tools.pauses.view.settings",{url:"/settings",templateUrl:"app/tools/pause/view/view.settings.html"})}]),angular.module("xCallyShuttleApp").controller("SchedulerListCtrl",["$scope","Scheduler","gridOptions","xAlert","$translate","socket",function(a,b,c,d,e,f){a._=_,a.switches={isActive:!0,size:"mini",animate:!0,radioOff:!0,handleWidth:"auto",labelWidth:"auto",inverse:!0,offColor:"danger",onColor:"success"},a.scheduleTypes=[{name:"APPLICATION_REPORT_EXTRACTION",value:"report"},{name:"APPLICATION_HISTORY_MOVE",value:"history"},{name:"APPLICATION_DB_BACKUP",value:"backup"}],a.initView=function(){var d={exporterCsvFilename:"Schedules.csv",columnDefs:[{name:"name"},{name:"type"},{name:"description",cellTemplate:'
            {{grid.appScope._.find(grid.appScope.scheduleTypes,{value:row.entity.type}).name | translate}}
            '},{name:"active",cellTemplate:'
            ',enableFiltering:!1,enableSorting:!1},{name:"sendMail",displayName:"APPLICATION_SEND_MAIL",cellTemplate:'
            ',enableFiltering:!1,enableSorting:!1},{name:"action",width:160,buttons:[{"class":"blue-hoki",href:"/tools/scheduler/view/{{row.entity.id}}/settings",name:"settings"},{"class":"green-turquoise",name:"run",onClick:"grid.appScope.runSchedule(row.entity.id)"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=c.gridOptions(b,d,a)},a.updateItem=function(a){return b.update({id:a.id},a).$promise.then(function(){d.show(e.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){console.error(a),d.show(a.message,"danger")})},a.runSchedule=function(a){return b.get({id:a,controller:"run"}).$promise.then(function(){d.show(e.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){console.error(a),d.show(a.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.scheduler.list",{url:"/list",templateUrl:"app/tools/scheduler/list/list.html",controller:"SchedulerListCtrl"})}]),angular.module("xCallyShuttleApp").factory("Scheduler",["$resource",function(a){return a("/api/scheduler/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.scheduler",{url:"/scheduler",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:13}}})}]),angular.module("xCallyShuttleApp").controller("SchedulerViewCtrl",["$scope","xAlert","$translate","$stateParams","socket","$location","ReportTree","Scheduler","DefaultReport","CustomReport","$timeout",function(a,b,c,d,e,f,g,h,i,j,k){function l(b){var c;switch(b.cronType){case"daily":a.rangeExec.hour=Number(b.cron.split(" ")[1]),a.rangeExec.type=b.cronType;break;case"weekly":c=b.cron.split(" "),a.rangeExec.hour=Number(c[1]),c[4].split(",").forEach(function(b){a.rangeExec.weekDays.push(Number(b))}),a.rangeExec.type=b.cronType;break;case"monthly":c=b.cron.split(" "),a.rangeExec.hour=Number(c[1]),a.rangeExec.monthDay=Number(c[2]),a.rangeExec.type=b.cronType;break;case"currentDay":a.switchValues.today=!0}a.$watch("rangeExec",function(b){a.switchValues.today||m()},!0)}function m(){switch(a.schedule.cronType=a.rangeExec.type,a.rangeExec.type){case"daily":a.schedule.cron="* "+a.rangeExec.hour+" * * *";break;case"weekly":a.schedule.cron="* "+a.rangeExec.hour+" * * "+a.rangeExec.weekDays.join(",");break;case"monthly":a.schedule.cron="* "+a.rangeExec.hour+" "+a.rangeExec.monthDay+" * *"}}a.forms={},a.rangeExec={weekDays:[],monthDay:null,hour:0,type:null},a.initReports=function(){return a.reports=[],a.tempTodayCron=null,g.query().$promise.then(function(b){return a.defaultTreeData=JSON.parse(b[0].tree),a.customTreeData=JSON.parse(b[1].tree),i.get().$promise}).then(function(b){return b.rows.length&&(_.forEach(b.rows,function(b){b.parent="Default - "+_.find(a.defaultTreeData,{id:b.parent}).text,b.type="default"}),a.reports=a.reports.concat(b.rows)),j.get().$promise}).then(function(b){b.rows.length&&(_.forEach(b.rows,function(b){b.parent="Custom - "+_.find(a.customTreeData,{id:b.parent}).text,b.type="custom"}),a.reports=a.reports.concat(b.rows))})["catch"](function(a){b.error(a)})},a.initView=function(){return h.get({id:d.id}).$promise.then(function(b){a.schedule=b,l(b)}).then(function(){e.socket.on("schedule:update",function(b){a.schedule.id===b.id&&(a.schedule.active=b.active,a.schedule.sendMail=b.sendMail)})})["catch"](function(a){console.error(a),f.path("/tools/scheduler/list")})},a.refreshSlider=function(){k(function(){a.$broadcast("rzSliderForceRender"),a.refreshSlider=null})},a.monthDays=function(){for(var a=[],b=1;31>=b;b++)a.push(b);return a}(),a.hours=function(){for(var a=[],b=0;23>=b;b++)a.push(b);return a}(),a.setReportTree=function(b){a.schedule.reportType=b.type},a.validitySlider={ceil:24,floor:0,showTicks:!0},a.switchValues={today:!1},a.switches={isActive:!0,size:"mini",animate:!0,radioOff:!0,handleWidth:"auto",labelWidth:"auto",inverse:!0,offColor:"danger",onColor:"success"},a.scheduleTypes=[{name:"APPLICATION_REPORT_EXTRACTION",value:"report"}],a.todayCron=[{name:"15 min",value:"*/15 * * * *"},{name:"30 min",value:"*/30 * * * *"},{name:"1 h",value:"0 * * * *"}],a.reportRanges=[{name:"APPLICATION_PREVIOUS_DAY",value:"yesterday"},{name:"APPLICATION_LAST_SEVEN_DAYS",value:"last7"},{name:"APPLICATION_LAST_THIRTY_DAYS",value:"last30"},{name:"APPLICATION_LAST_MONTH",value:"lastMonth"}],a.cronTypes=[{name:"APPLICATION_DAY",value:"daily"},{name:"APPLICATION_WEEK",value:"weekly"},{name:"APPLICATION_MONTH",value:"monthly"}],a.weekDays=[{name:"APPLICATION_MONDAY",value:1},{name:"APPLICATION_TUESDAY",value:2},{name:"APPLICATION_WEDNESDAY",value:3},{name:"APPLICATION_THURSDAY",value:4},{name:"APPLICATION_FRIDAY",value:5},{name:"APPLICATION_SATURDAY",value:6},{name:"APPLICATION_SUNDAY",value:0}],a.checkToday=function(){a.switchValues.today?(a.schedule.cron=angular.copy(a.tempTodayCron),a.schedule.cronType="currentDay"):(a.tempTodayCron=angular.copy(a.schedule.cron),a.schedule.cron=void 0,m())},a.updateSchedule=function(){return h.update({id:a.schedule.id},a.schedule).$promise.then(function(){b.show(c.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){console.error(a),b.show(a.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.scheduler.view",{url:"/view/:id",templateUrl:"app/tools/scheduler/view/view.html",controller:"SchedulerViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.tools.scheduler.view.settings",{url:"/settings",templateUrl:"app/tools/scheduler/view/view.settings.html"})}]),angular.module("xCallyShuttleApp").controller("SchedulerWizardCtrl",["$scope","WizardHandler","$location","xAlert","Scheduler","ReportTree","$translate","DefaultReport","CustomReport",function(a,b,c,d,e,f,g,h,i){function j(){switch(a.item.cronType=a.rangeExec.type,a.rangeExec.type){case"daily":a.item.cron="* "+a.rangeExec.hour+" * * *";break;case"weekly":a.item.cron="* "+a.rangeExec.hour+" * * "+a.rangeExec.weekDays.join(",");break;case"monthly":a.item.cron="* "+a.rangeExec.hour+" "+a.rangeExec.monthDay+" * *"}}a.forms={},a.item={validityStart:8,validityEnd:16},a.initReports=function(){return a.reports=[],f.query().$promise.then(function(b){return a.defaultTreeData=JSON.parse(b[0].tree),a.customTreeData=JSON.parse(b[1].tree),h.get().$promise}).then(function(b){return b.rows.length&&(_.forEach(b.rows,function(b){b.parent="Default - "+_.find(a.defaultTreeData,{id:b.parent}).text,b.type="default"}),a.reports=a.reports.concat(b.rows)),i.get().$promise}).then(function(b){b.rows.length&&(_.forEach(b.rows,function(b){b.parent="Custom - "+_.find(a.customTreeData,{id:b.parent}).text,b.type="custom"}),a.reports=a.reports.concat(b.rows))})["catch"](function(a){d.error(a)})},a.setReportTree=function(b){a.item.reportType=b.type},a.validitySlider={ceil:24,floor:0,showTicks:!0},a.switchValues={today:!1},a.switches={isActive:!0,size:"mini",animate:!0,radioOff:!0,handleWidth:"auto",labelWidth:"auto",inverse:!0,offColor:"danger",onColor:"success"},a.scheduleTypes=[{name:"APPLICATION_REPORT_EXTRACTION",value:"report"}],a.todayCron=[{name:"15 min",value:"*/15 * * * *"},{name:"30 min",value:"*/30 * * * *"},{name:"1 h",value:"0 * * * *" +}],a.reportRanges=[{name:"APPLICATION_PREVIOUS_DAY",value:"yesterday"},{name:"APPLICATION_LAST_SEVEN_DAYS",value:"last7"},{name:"APPLICATION_LAST_THIRTY_DAYS",value:"last30"},{name:"APPLICATION_LAST_MONTH",value:"lastMonth"}],a.cronTypes=[{name:"APPLICATION_DAY",value:"daily"},{name:"APPLICATION_WEEK",value:"weekly"},{name:"APPLICATION_MONTH",value:"monthly"}],a.weekDays=[{name:"APPLICATION_MONDAY",value:1},{name:"APPLICATION_TUESDAY",value:2},{name:"APPLICATION_WEDNESDAY",value:3},{name:"APPLICATION_THURSDAY",value:4},{name:"APPLICATION_FRIDAY",value:5},{name:"APPLICATION_SATURDAY",value:6},{name:"APPLICATION_SUNDAY",value:0}],a.tempTodayCron=null,a.checkToday=function(){a.switchValues.today?(a.item.cron=angular.copy(a.tempTodayCron),a.item.cronType="currentDay"):(a.tempTodayCron=angular.copy(a.item.cron),a.item.cron=void 0,j())},a.monthDays=function(){for(var a=[],b=1;31>=b;b++)a.push(b);return a}(),a.hours=function(){for(var a=[],b=0;23>=b;b++)a.push(b);return a}(),a.rangeExec={weekDays:[],monthDay:null,hour:0,type:null},a.$watch("rangeExec",function(b){a.switchValues.today||j()},!0),a.next=function(){b.wizard().next()},a.previous=function(){b.wizard().previous()},a.exitValidation=function(a){return a},a.createItem=function(){return e.save(a.item).$promise.then(function(){c.path("/tools/scheduler/list")})["catch"](function(a){d.show(a.data.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.scheduler.wizard",{url:"/wizard",templateUrl:"app/tools/scheduler/wizard/wizard.html",controller:"SchedulerWizardCtrl"})}]),angular.module("xCallyShuttleApp").controller("SoundListCtrl",["$scope","$http","socket","Modal","$q","FileUploader","$translate","xAlert","$cookieStore","$sce","Sound",function(a,b,c,d,e,f,g,h,i,j,k){a.Sound=k,a.isCollapsed=!0,a.downloadButtonContent='',a.downloadButtonClass="btn btn-xs blue-hoki",a["delete"]=d.confirm["delete"](function(a){b["delete"]("/api/sounds/"+a).success(function(a){}).error(function(a){console.log(a)})}),a.updateAudio=function(a,c){var d=e.defer();return b.put("/api/sounds/"+a,c).success(function(a){d.resolve()}).error(function(a){console.log(a.message),d.reject(a.message)}),d.promise},a.$on("$destroy",function(){c.unsyncUpdates("sound")}),a.initList=function(){b.get("/api/sounds").success(function(b){a.sounds=b.rows,b.rows.length||(a.isCollapsed=!1),c.syncUpdates("sound",a.sounds,function(){a.sounds.length||(a.isCollapsed=!1)})}).error(function(a){})};var l=a.uploader=new f({url:"/api/sounds",withCredentials:!0,headers:{Authorization:"Bearer "+i.get("token")}});l.filters.push({name:"customFilter",fn:function(a,b){return this.queue.length<10}}),l.onWhenAddingFileFailed=function(a,b,c){console.info("onWhenAddingFileFailed",a,b,c)},l.onAfterAddingFile=function(a){console.info("onAfterAddingFile",a)},l.onAfterAddingAll=function(a){console.info("onAfterAddingAll",a)},l.onBeforeUploadItem=function(a){console.info("onBeforeUploadItem",a)},l.onProgressItem=function(a,b){console.info("onProgressItem",a,b),a.isUploading=!0},l.onProgressAll=function(a){console.info("onProgressAll",a)},l.onSuccessItem=function(a,b,c,d){console.info("onSuccessItem",a,b,c,d),a.isUploading=!1},l.onErrorItem=function(a,b,c,d){console.info("onErrorItem",a,b,c,d),a.responseMessage=b,a.isUploading=!1},l.onCancelItem=function(a,b,c,d){console.info("onCancelItem",a,b,c,d),a.isUploading=!1},l.onCompleteItem=function(a,b,c,d){console.info("onCompleteItem",a,b,c,d),a.isUploading=!1},l.onCompleteAll=function(){console.info("onCompleteAll")},console.info("uploader",l)}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.sounds.list",{url:"/list",templateUrl:"app/tools/sound/list/list.html",controller:"SoundListCtrl",authenticate:!0,resolve:{deps:["$ocLazyLoad",function(a){return a.load({insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/plugins/bootstrap-fileinput/bootstrap-fileinput.js"]})}]}})}]),angular.module("xCallyShuttleApp").factory("Sound",["$resource",function(a){return a("/api/sounds/:id/:controller",{name:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.sounds",{url:"/sounds",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:13}}})}]),angular.module("xCallyShuttleApp").controller("TagListCtrl",["$scope","$uibModal","Tag","gridOptions","$rootScope",function(a,b,c,d,e){a.initView=function(){var b={exporterCsvFilename:"tag.csv",columnDefs:[{name:"name"},{name:"description"},{name:"action",width:160,buttons:[{"class":"blue-hoki",href:"/tools/tags/view/{{row.entity.id}}/settings",name:"settings"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=d.gridOptions(c,b,a)},a.createItem=function(){var c=b.open({animation:!0,templateUrl:"app/tools/tag/list/list.create.modal.html",controller:"TagListCreateCtrl"});c.result.then(function(){a.gridOptions.getPage()})}}]),angular.module("xCallyShuttleApp").controller("TagListCreateCtrl",["$scope","$translate","$uibModalInstance","Tag","xAlert",function(a,b,c,d,e){a.form={},a.item={},a.save=function(){return d.save(a.item).$promise.then(function(a){e.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)})["catch"](function(a){e.show(a.data.message,"danger")})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.tags.list",{url:"/list",templateUrl:"app/tools/tag/list/list.html",controller:"TagListCtrl"})}]),angular.module("xCallyShuttleApp").factory("Tag",["$resource",function(a){return a("/api/tags/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.tags",{url:"/tags",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:13}}})}]),angular.module("xCallyShuttleApp").controller("TagViewCtrl",["$scope","$http","xAlert","$translate","$stateParams","$location","Tag",function(a,b,c,d,e,f,g){a.getTag=function(){return g.get({id:e.id}).$promise.then(function(b){a.tag=b})["catch"](function(a){c.show(a.data.message,"danger")})},a.updateTag=function(){var b=angular.copy(a.tag);return g.update({id:e.id},b).$promise.then(function(){c.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){c.show(a.data.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.tags.view",{url:"/view/:id",templateUrl:"app/tools/tag/view/view.html",controller:"TagViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.tools.tags.view.settings",{url:"/settings",templateUrl:"app/tools/tag/view/view.settings.html"})}]),angular.module("xCallyShuttleApp").controller("TemplateListCtrl",["$scope","Template","gridOptions",function(a,b,c){a.initView=function(){var d={exporterCsvFilename:"templates.csv",columnDefs:[{name:"name"},{name:"description"},{name:"action",width:125,buttons:[{"class":"blue-hoki",href:"/tools/templates/view/{{row.entity.id}}/settings",name:"settings"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=c.gridOptions(b,d,a)}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.templates.list",{url:"/list",templateUrl:"app/tools/template/list/list.html",controller:"TemplateListCtrl"})}]),angular.module("xCallyShuttleApp").factory("Template",["$resource",function(a){return a("/api/templates/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.templates",{url:"/templates",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:13}}})}]),angular.module("xCallyShuttleApp").controller("TemplateViewCtrl",["$scope","$rootScope","$http","$translate","$stateParams","xAlert","Template","templateVariable",function(a,b,c,d,e,f,g,h){a.initItem=function(){CKEDITOR.plugins.addExternal("richparams","/assets/plugins/ckeditor-richparams/"),a.options={language:b.language,allowedContent:!0,extraPlugins:"freeparams",autoGrow_minHeight:420,entities:!1,freeparams:h,removeButtons:"About",entities:!1,toolbar:[{name:"clipboard",items:["Cut","Copy","Paste","PasteText","PasteFromWord","-","Undo","Redo"]},{name:"links",items:["Link","Unlink","Anchor"]},{name:"insert",items:["Image","Table","HorizontalRule","SpecialChar"]},{name:"tools",items:["Maximize"]},{name:"document",items:["Source"]},"/",{name:"basicstyles",items:["Bold","Italic","Underline","Strike","Subscript","Superscript","-","RemoveFormat"]},{name:"paragraph",items:["NumberedList","BulletedList","-","Outdent","Indent","-","Blockquote"]},{name:"styles",items:["Styles","Format"]},"/",{name:"motion",items:["chat","mail"]}],extraPlugins:"richparams",richparams:h},a.form={},a.item={},a.item=g.get({id:e.id})},a.updateItem=function(){return g.update(a.item).$promise.then(function(){f.show(d.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){f.show(a.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.templates.view",{url:"/view/:id",templateUrl:"app/tools/template/view/view.html",controller:"TemplateViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.tools.templates.view.settings",{url:"/settings",templateUrl:"app/tools/template/view/view.settings.html"})}]),angular.module("xCallyShuttleApp").controller("TemplateWizardCtrl",["$scope","$rootScope","$location","$http","WizardHandler","xAlert","Template","templateVariable",function(a,b,c,d,e,f,g,h){a.initWizard=function(){CKEDITOR.plugins.addExternal("freeparams","/bower_components/ckeditor-freeparams-plugin/freeparams/"),a.options={language:b.language,allowedContent:!0,autoGrow_minHeigh:420,entities:!1,extraPlugins:"freeparams",freeparams:h,removeButtons:"about"},a.item={},a.form={}},a.exitValidation=function(a){return a},a.createItem=function(){return g.save({name:a.item.name,description:a.item.description,subject:a.item.subject||null,html:a.item.html||null,text:a.item.text||null}).$promise.then(function(){c.path("/tools/templates/list")})["catch"](function(a){f.show(a.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.templates.wizard",{url:"/wizard",templateUrl:"app/tools/template/wizard/wizard.html",controller:"TemplateWizardCtrl"})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools",{url:"/tools",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("TriggerListCtrl",["$scope","$http","$translate","Modal","xAlert","Trigger","gridOptions",function(a,b,c,d,e,f,g){a.onText="On",a.offText="Off",a.isActive=!0,a.size="mini",a.animate=!0,a.radioOff=!0,a.handleWidth="auto",a.labelWidth="auto",a.inverse=!0,a.offColor="danger",a.onColor="success",a.initView=function(){var b={exporterCsvFilename:"Triggers.csv",columnDefs:[{name:"name"},{name:"description"},{name:"channel"},{name:"status",cellTemplate:'
            ',width:100,enableFiltering:!1},{name:"action",width:160,buttons:[{"class":"blue-hoki",href:"/tools/triggers/view/{{row.entity.id}}/settings",name:"settings"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=g.gridOptions(f,b,a)},a.updateItem=function(a){return f.update({id:a.id},a).$promise.then(function(){e.show(c.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){console.error(a),e.show(a.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.triggers.list",{url:"/list",templateUrl:"app/tools/trigger/list/list.html",controller:"TriggerListCtrl"})}]),angular.module("xCallyShuttleApp").factory("Trigger",["$resource",function(a){return a("/api/triggers/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.triggers",{url:"/triggers",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:13}}})}]),angular.module("xCallyShuttleApp").controller("TriggerViewCtrl",["$scope","$stateParams","$translate","xAlert","triggers","Trigger","$location",function(a,b,c,d,e,f,g){a.$triggers=e,a.recordSwitch={onText:"On",offText:"Off",isActive:!0,size:"small",animate:!0,radioOff:!0,handleWidth:"auto",labelWidth:"auto",inverse:!0,offColor:"danger",onColor:"success"},a.forms={general:{}},a.addCondition=function(b){a.trigger[b].push({field:"",operator:"",value:""})},a.removeCondition=function(b,c){a.trigger[b].splice(c,1)},a.addAction=function(){a.trigger.Actions.push({action:"",data1:"",data2:"",data3:"",data4:"",data5:""})},a.resetActionData=function(a){a.data1="",a.data2="",a.data3="",a.data4="",a.data5=""},a.removeAction=function(b){a.trigger.Actions.splice(b,1)},a.initView=function(){return f.get({id:b.id}).$promise.then(function(b){a.trigger=b})["catch"](function(a){d.show(a.message,"danger"),g.path("/tools/triggers/list")})},a.updateTrigger=function(){return f.update({id:b.id},a.trigger).$promise.then(function(){d.show(c.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){console.error(a),d.show(a.message,"danger")})},a.exitValidation=function(b){return console.log(b&&(a.trigger.All.length||a.trigger.Any.length)&&a.trigger.Actions.length),!(!b||!a.trigger.All.length&&!a.trigger.Any.length||!a.trigger.Actions.length)}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.triggers.view",{url:"/view/:id",templateUrl:"app/tools/trigger/view/view.html",controller:"TriggerViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load({insertBefore:"#ng_load_plugins_before",files:["assets/css/profile.css","assets/css/tasks.css"]})}]}}).state("main.tools.triggers.view.settings",{url:"/settings",templateUrl:"app/tools/trigger/view/view.settings.html"}).state("main.tools.triggers.view.routes",{url:"/routes",templateUrl:"app/tools/trigger/view/view.routes.html"}).state("main.tools.triggers.view.application",{url:"/application",templateUrl:"app/tools/trigger/view/view.application.html"})}]),angular.module("xCallyShuttleApp").controller("TriggerWizardCtrl",["$scope","$http","$location","xAlert","triggers","WizardHandler","Trigger",function(a,b,c,d,e,f,g){a.$triggers=e,a.statusSwitch={onText:"On",offText:"Off",isActive:!0,size:"small",animate:!0,radioOff:!0,handleWidth:"auto",labelWidth:"auto",inverse:!0,offColor:"danger",onColor:"success"},a.tempData={voice:{},mail:{},chat:{},fax:{}},a.item={All:[],Any:[],Actions:[]},a.forms={general:void 0,settings:void 0},a.addCondition=function(b){a.item[b].push({field:"",operator:"",value:""})},a.removeCondition=function(b,c){a.item[b].splice(c,1)},a.addAction=function(){a.item.Actions.push({action:"",data1:"",data2:"",data3:"",data4:"",data5:""})},a.resetActionData=function(a){a.data1="",a.data2="",a.data3="",a.data4="",a.data5=""},a.removeAction=function(b){a.item.Actions.splice(b,1)},a.$watch("item.channel",function(b,c){c&&(a.tempData[c].All=_.clone(a.item.All,!0),a.tempData[c].Any=_.clone(a.item.Any,!0),a.tempData[c].Actions=_.clone(a.item.Actions,!0)),a.item.All=a.tempData[b].All?a.tempData[b].All:[],a.item.Any=a.tempData[b].Any?a.tempData[b].Any:[],a.item.Actions=a.tempData[b].Actions?a.tempData[b].Actions:[]},!0),a.item.channel="voice",a.createItem=function(){return g.save(a.item).$promise.then(function(){c.path("/tools/triggers/list")})["catch"](function(a){console.error(a),d.show(a.message,"danger")})},a.next=function(){f.wizard().next()},a.previous=function(){f.wizard().previous()},a.exitValidation=function(a){return a},a.exitSettingsValidation=function(b){return!(!b||!a.item.All.length&&!a.item.Any.length||!a.item.Actions.length)}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.triggers.wizard",{url:"/wizard",templateUrl:"app/tools/trigger/wizard/wizard.html",controller:"TriggerWizardCtrl"})}]),angular.module("xCallyShuttleApp").controller("TrunkListCtrl",["$scope","Trunk","gridOptions","xAlert","$translate","socket",function(a,b,c,d,e,f){function g(){f.socket.on("trunk:update",function(b){var c=_.find(a.gridOptions.data,{name:b.name});c&&(c.status=b.status,c.peerstatus=b.peerstatus)})}a.isActive=!0,a.size="mini",a.animate=!0,a.radioOff=!0,a.handleWidth="auto",a.labelWidth="auto",a.inverse=!0,a.offColor="danger",a.onColor="success",a.initView=function(){var d={exporterCsvFilename:"Trunks.csv",columnDefs:[{name:"name"},{name:"active",cellTemplate:'
            '},{name:"host"},{name:"description"},{name:"status",cellTemplate:'
            {{row.entity.status}}
            '},{name:"peerstatus",cellTemplate:'
            {{row.entity.peerstatus}}
            '},{name:"action",width:160,buttons:[{"class":"blue-hoki",href:"/tools/trunks/view/{{row.entity.name}}/settings",name:"settings"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.name)"}]}]};a.gridOptions=c.gridOptions(b,d,a,null,null,null,g)},a.updateItem=function(a){return b.update({id:a.name},a).$promise.then(function(){d.show(e.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){console.error(a),d.show(a.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.trunks.list",{url:"/list",templateUrl:"app/tools/trunk/list/list.html",controller:"TrunkListCtrl"})}]),angular.module("xCallyShuttleApp").factory("Trunk",["$resource",function(a){return a("/api/trunks/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.trunks",{url:"/trunks",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:13}}})}]),angular.module("xCallyShuttleApp").controller("TrunkViewCtrl",["$scope","$http","xAlert","$translate","$stateParams","socket","$location","Trunk","VoiceContext",function(a,b,c,d,e,f,g,h,i){a.activeSwitch={isActive:!0,size:"small",animate:!0,radioOff:!0,handleWidth:"auto",labelWidth:"auto",inverse:!0,offColor:"danger",onColor:"success"},a.initView=function(){return h.get({id:e.id}).$promise.then(function(b){b.nat=b.nat?b.nat.split(","):[],b.allow=b.allow?b.allow.split(";"):[],b.insecure=b.insecure?b.insecure.split(","):[],b.transport=b.transport?b.transport.split(","):[],a.trunk=b}).then(function(){f.socket.on("trunk:update",function(b){a.trunk.name===b.name&&(a.trunk.status=b.status)})})["catch"](function(a){console.error(a),g.path("/tools/trunks/list")})},a.updateTrunk=function(){""===a.trunk.password&&delete a.trunk.password;var b=angular.copy(a.trunk);return b.nat=b.nat.join(","),b.allow=b.allow.join(";"),b.insecure=b.insecure.join(","),b.transport=b.transport.join(","),h.update({id:e.id},b).$promise.then(function(){c.show(d.instant("MESSAGE_WELL_DONE")+"!","success")})["catch"](function(a){c.show(a.data.message,"danger")})},a.getContexts=function(){return i.get().$promise.then(function(b){a.voiceContexts=b.rows})["catch"](function(a){c.show(a.data.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.trunks.view",{url:"/view/:id",templateUrl:"app/tools/trunk/view/view.html",controller:"TrunkViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.tools.trunks.view.settings",{url:"/settings",templateUrl:"app/tools/trunk/view/view.settings.html"})}]),angular.module("xCallyShuttleApp").controller("TrunkWizardCtrl",["$scope","WizardHandler","$location","xAlert","Trunk",function(a,b,c,d,e){a.initWizard=function(){a.forms={},a.item={context:"from-voip-provider"}},a.next=function(){b.wizard().next()},a.previous=function(){b.wizard().previous()},a.exitValidation=function(a){return a},a.createItem=function(){return a.item.fromuser=a.item.defaultuser,a.item.fromdomain=a.item.host,e.save(a.item).$promise.then(function(){c.path("/tools/trunks/list")})["catch"](function(a){d.show(a.data.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.trunks.wizard",{url:"/wizard",templateUrl:"app/tools/trunk/wizard/wizard.html",controller:"TrunkWizardCtrl"})}]),angular.module("xCallyShuttleApp").controller("VariableListCtrl",["$scope","$uibModal","Variable","gridOptions",function(a,b,c,d){a.initView=function(){var b={exporterCsvFilename:"variables.csv",columnDefs:[{name:"name"},{name:"description"},{name:"action",width:160,buttons:[{"class":"blue-hoki",href:"/tools/variables/view/{{row.entity.id}}/settings",name:"settings"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=d.gridOptions(c,b,a)},a.createItem=function(){var c=b.open({animation:!0,templateUrl:"app/tools/variable/list/list.create.modal.html",controller:"VariableListCreateCtrl"});c.result.then(function(b){console.log(b),a.gridOptions.getPage()})}}]),angular.module("xCallyShuttleApp").controller("VariableListCreateCtrl",["$scope","$translate","$uibModalInstance","Variable","xAlert",function(a,b,c,d,e){a.form={},a.item={},a.save=function(){return d.save(a.item).$promise.then(function(a){e.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)})["catch"](function(a){e.show(a.data.message,"danger")})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.variables.list",{url:"/list",templateUrl:"app/tools/variable/list/list.html",controller:"VariableListCtrl"})}]),angular.module("xCallyShuttleApp").factory("Variable",["$resource",function(a){return a("/api/variables/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.variables",{url:"/variables",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:13}}})}]),angular.module("xCallyShuttleApp").controller("VariableViewCtrl",["$scope","$translate","$stateParams","xAlert","Variable",function(a,b,c,d,e){a.getVariable=function(){return e.get({id:c.id}).$promise.then(function(b){a.variable=b})["catch"](function(a){d.show(a.data.message,"danger")})},a.updateVariable=function(){return e.update({id:c.id},a.variable).$promise.then(function(){d.show(b.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){d.show(a.data.message,"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.variables.view",{url:"/view/:id",templateUrl:"app/tools/variable/view/view.html",controller:"VariableViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.tools.variables.view.settings",{url:"/settings",templateUrl:"app/tools/variable/view/view.settings.html"})}]),angular.module("xCallyShuttleApp").controller("YourdashboardListCloneModalCtrl",["$scope","$uibModalInstance",function(a,b){a.forms={dashboard:void 0},a.item={},a.ok=function(){b.close(a.item)},a.cancel=function(){b.dismiss("cancel")}}]),angular.module("xCallyShuttleApp").controller("YourdashboardListCreateModalCtrl",["$scope","$uibModalInstance",function(a,b){a.forms={dashboard:void 0},a.item={},a.ok=function(){a.item.model=JSON.stringify({title:a.item.name}),b.close(a.item)},a.cancel=function(){b.dismiss("cancel")}}]),angular.module("xCallyShuttleApp").controller("YourdashboardListCtrl",["$scope","$http","$translate","xAlert","Modal","$uibModal","$log","YourDashboard","gridOptions",function(a,b,c,d,e,f,g,h,i){a.initView=function(){var b={exporterCsvFilename:"voice_contexts.csv",columnDefs:[{name:"name"},{name:"description"},{name:"action",width:220,buttons:[{"class":"green",href:"/tools/yourdashboards/show/{{row.entity.id}}",name:"view"},{"class":"blue-hoki",href:"/tools/yourdashboards/view/{{row.entity.id}}/settings",name:"settings"},{"class":"{{row.entity.defaultEntry ? 'red-sunglo disabled' : 'red-sunglo'}}",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"},{"class":"purple",name:"clone",onClick:"grid.appScope.clone(row.entity.id)"}]}]};a.gridOptions=i.gridOptions(h,b,a)},a.create=function(){var b=f.open({animation:!0,templateUrl:"app/tools/yourdashboard/list/create.modal.html",controller:"YourdashboardListCreateModalCtrl"});b.result.then(function(b){return h.save(b).$promise.then(function(){d.show(c.instant("MESSAGE_WELL_DONE"),"success"),a.gridOptions.getPage()})["catch"](function(a){console.error(a),d.show(a.message,"danger")})},function(){g.info("Modal dismissed at: "+new Date)})},a.clone=function(b){var e=f.open({animation:!0,templateUrl:"app/tools/yourdashboard/list/clone.modal.html",controller:"YourdashboardListCloneModalCtrl"});e.result.then(function(e){return h.save({id:b,controller:"clone"},e).$promise.then(function(){d.show(c.instant("MESSAGE_WELL_DONE"),"success"),a.gridOptions.getPage()})["catch"](function(a){console.error(a),d.show(a.message,"danger")})},function(){g.info("Modal dismissed at: "+new Date)})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.yourdashboards.list",{url:"/list",templateUrl:"app/tools/yourdashboard/list/list.html",controller:"YourdashboardListCtrl"})}]),angular.module("xCallyShuttleApp").controller("LeaveEditedDashboardModalCtrl",["$scope","$uibModalInstance",function(a,b){a.close=function(){b.close()},a.cancel=function(){b.dismiss("cancel")}}]),angular.module("xCallyShuttleApp").controller("YourdashboardShowCtrl",["$scope","$rootScope","localStorageService","xAlert","$translate","Auth","$stateParams","$location","$uibModal","$log","YourDashboard",function(a,b,c,d,e,f,g,h,i,j,k){a.Auth=f,a.editMode=!1,a.getDashboard=function(){return k.get({id:g.id}).$promise.then(function(b){var c={name:"dashboard",structure:"4-8",enableconfirmdelete:!0,maximizable:!0,collapsible:!0};b.model=JSON.parse(b.model||'{"title":"Dashboard"}'),b.editable=!(!f.isAdmin()||!b.editable),a.dashboard=_.merge(c,b)})["catch"](function(a){h.path("/tools/yourdashboards/list")})},a.$on("$locationChangeStart",function(b,c,d){if(a.editMode){b.preventDefault();var e=i.open({animation:!0,templateUrl:"app/tools/yourdashboard/show/leaveEditedDashboard.modal.html",controller:"LeaveEditedDashboardModalCtrl"});e.result.then(function(b){a.editMode=!1;var d=location.protocol+"//"+location.host;h.path(c.replace(d,""))},function(){j.info("Modal dismissed at: "+new Date)})}});var l=function(b,c,f){var h=angular.copy(a.dashboard);return h.name=a.dashboard.model.title,h.model=JSON.stringify(h.model),k.update({id:g.id},h).$promise.then(function(){d.show(e.instant("MESSAGE_DASHBOARD_SAVED")+"!","success"),a.editMode=!1})["catch"](function(b){d.show(b.message,"danger"),h.model=JSON.parse(h.model),h.name="dashboard",a.dashboard=h})};a.$on("adfDashboardChanged",l),a.$on("adfIsEditMode",function(){a.editMode=!0}),a.$on("destroy",function(){console.log("main dashboard")})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.yourdashboards.show",{url:"/show/:id",templateUrl:"app/tools/yourdashboard/show/show.html",controller:"YourdashboardShowCtrl"})}]),angular.module("xCallyShuttleApp").controller("YourdashboardViewCtrl",["$scope","$http","xAlert","$translate","$stateParams","$location",function(a,b,c,d,e,f){a.radioSwitch={isActive:!0,size:"small",animate:!0,radioOff:!0,handleWidth:"auto",labelWidth:"auto",inverse:!0,offColor:"danger",onColor:"success"},a.getDashboard=function(){b.get("/api/dashboards/"+e.id).success(function(b){delete b.model,a.dashboard=b}).error(function(a){f.path("/tools/yourdashboards/list")})},a.updateDashboard=function(){var f=angular.copy(a.dashboard);b.put("/api/dashboards/"+e.id,f).success(function(){c.show(d.instant("MESSAGE_WELL_DONE")+"!","success")}).error(function(b){c.show(d.instant(b.message),"danger"),a.dashboard=f})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.yourdashboards.view",{url:"/view/:id",templateUrl:"app/tools/yourdashboard/view/view.html",controller:"YourdashboardViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/plugins/bootstrap-fileinput/bootstrap-fileinput.css","assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.tools.yourdashboards.view.settings",{url:"/settings",templateUrl:"app/tools/yourdashboard/view/view.settings.html"})}]),angular.module("xCallyShuttleApp").factory("YourDashboard",["$resource",function(a){return a("/api/dashboards/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.tools.yourdashboards",{url:"/yourdashboards",template:"
            ","abstract":!0,data:{permissions:{only:["admin","user"],redirectTo:"landing",id:2}}})}]),angular.module("xCallyShuttleApp").controller("ZendeskListCtrl",["$scope","ZendeskAccount","gridOptions","xAlert","$translate",function(a,b,c,d,e){a.initView=function(){var d={exporterCsvFilename:"zendesk_account.csv",columnDefs:[{name:"name",displayName:"APPLICATION_NAME"},{name:"username"},{name:"remoteUri",displayName:"APPLICATION_URI"},{name:"action",buttons:[{"class":"blue-hoki",href:"/zendesk/view/{{row.entity.id}}/account", +name:"account"},{"class":"green-jungle",name:"check",displayName:"APPLICATION_CHECK",onClick:"grid.appScope.checkAccount(row.entity.id)"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=c.gridOptions(b,d,a)},a.checkAccount=function(a){return b.check({id:a}).$promise.then(function(){d.show(e.instant("MESSAGE_ACCOUNT_VERIFIED"),"success")})["catch"](function(){d.show(e.instant("MESSAGE_ACCOUNT_INVALID"),"danger")})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.zendesk.list",{url:"/list",templateUrl:"app/zendesk/list/list.html",controller:"ZendeskListCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{name:"smart-table",insertBefore:"#ng_load_plugins_before",files:["assets/css/smart_table.css"]}])}]}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.zendesk.view.configurations",{url:"/configurations",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").controller("ZendeskViewConfigurationListCtrl",["$scope","$stateParams","$translate","gridOptions","ZendeskConfiguration","$uibModal",function(a,b,c,d,e,f){a.initView=function(){var c={exporterCsvFilename:"zendesk_configurations.csv",columnDefs:[{name:"name"},{name:"description"},{name:"action",width:125,buttons:[{"class":"blue-hoki",href:"/zendesk/view/{{row.entity.AccountId}}/configurations/view/{{row.entity.id}}",name:"profile"},{"class":"red-sunglo",name:"delete",onClick:"grid.appScope.deleteItem(row.entity.name, row.entity.id)"}]}]};a.gridOptions=d.gridOptions(e,c,a,{accountId:b.id})},a.createItem=function(){var b=f.open({animation:!0,templateUrl:"app/zendesk/view/configuration/list/create.modal.html",controller:"ZendeskCreateConfigurationCtrl"});b.result.then(function(){a.gridOptions.getPage()})}}]),angular.module("xCallyShuttleApp").controller("ZendeskCreateConfigurationCtrl",["$scope","$translate","$uibModalInstance","ZendeskConfiguration","xAlert","$stateParams","channel",function(a,b,c,d,e,f,g){a.form={},a.item={},a.channels=g,a.item.channel="voice",a.save=function(){return d.save({accountId:f.id},a.item).$promise.then(function(a){e.show(b.instant("MESSAGE_WELL_DONE"),"success"),c.close(a)})["catch"](function(a){e.error(a)})},a.cancel=function(){c.dismiss()}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.zendesk.view.configurations.list",{url:"/list",templateUrl:"app/zendesk/view/configuration/list/list.html",controller:"ZendeskViewConfigurationListCtrl"})}]),angular.module("xCallyShuttleApp").controller("ZendeskViewConfigurationViewCtrl",["$scope","$stateParams","$filter","$translate","socket","ZendeskConfiguration","xAlert","variable","Variable","ZendeskAccount","Tag","ZendeskField",function(a,b,c,d,e,f,g,h,i,j,k,l){a.$on("$destroy",function(){e.unsyncUpdates("zendesk_field")}),a.config={autoHideScrollbar:!1,theme:"dark",advanced:{updateOnContentResize:!0},setHeight:300,scrollInertia:0},a.fieldType=[{type:"string",title:"String"},{type:"variable",title:"Variable"},{type:"customVariable",title:"Custom Variable"}],a.descFieldType=[{type:"string",title:"String"},{type:"keyValue",title:"Key Value"}],a.groupVariableByGroup=function(a){switch(a.group){case"voice_queue":return"Voice: Queue";default:return"Other"}},a.initView=function(){a.configurationName="",a.subjectConfig=[],a.descriptionConfig=[],a.fieldConfig=[],a.selectedTags={tags:[]},a.variables=h},a.getConfiguration=function(){return f.get({accountId:b.id,id:b.configurationId}).$promise.then(function(b){a.configurationName=b.name,a.subjectConfig=b.Subject,a.descriptionConfig=b.Description,a.fieldConfig=b.Field,a.selectedTags={tags:_.map(b.Tags,function(a){return a.id})},e.socket.on("zendesk_field:remove",function(b){_.remove(a.subjectConfig,{id:b.id}),_.remove(a.descriptionConfig,{id:b.id}),_.remove(a.fieldConfig,{id:b.id})})})["catch"](function(a){g.error(a)})},a.getCustomVariables=function(){return i.get().$promise.then(function(b){a.customVariables=b.rows})["catch"](function(a){g.error(a)})},a.getFields=function(){return j.fields({id:b.id}).$promise.then(function(b){a.accountFields=c("zendesk")(b)})["catch"](function(a){g.error(a)})},a.getTags=function(){return k.get().$promise.then(function(b){a.tags=b.rows})["catch"](function(a){g.error(a)})},a.addItem=function(c){var d={};switch(c){case"subject":case"description":d={type:"string",content:""};break;case"field":d={content:""}}return f.save({accountId:b.id,id:b.configurationId,controller:c},d).$promise.then(function(b){switch(c){case"subject":a.subjectConfig.push(b);break;case"description":a.descriptionConfig.push(b);break;case"field":a.fieldConfig.push(b)}})["catch"](function(a){g.error(a)})},a.changeType=function(b){"variable"===b.type?(b.content="",b.variableName=""):"string"===b.type?(b.VariableId=null,b.variableName=""):"customVariable"===b.type&&(b.VariableId=null,b.content=""),a.updateField(b)},a.updateField=function(a){return l.update({accountId:b.id,configurationId:b.configurationId,id:a.id},a).$promise.then(function(){})["catch"](function(a){g.error(a)})},a.removeItem=function(a){return l["delete"]({accountId:b.id,configurationId:b.configurationId,id:a.id}).$promise.then(function(){g.show(d.instant("MESSAGE_WELL_DONE")+"!","success")})["catch"](function(a){g.error(a)})},a.changeDescType=function(b){"keyValue"===b.type?(b.content="","string"===b.keyType?(b.VariableId=null,b.variableName=""):"variable"===b.keyType?(b.keyContent="",b.VariableId=null):"customVariable"===b.keyType&&(b.keyContent="",b.variableName="")):"string"===b.type&&(b.VariableId=null,b.variableName="",b.key="",b.keyContent=""),a.updateField(b)},a.changeFieldType=function(b){if(b.content="",b.variableName="",b.nameField="",b.VariableId=null,b.idField){var c=_.find(a.accountFields,"id",b.idField);c&&(c.system_field_options?(b.customField=!1,b.nameField=c.title.toLowerCase()):b.customField=!0)}a.updateField(b)},a.updateTags=function(){return f.update({accountId:b.id,id:b.configurationId,controller:"tags"},a.selectedTags.tags).$promise.then(function(){g.show(d.instant("MESSAGE_WELL_DONE")+".","success")})["catch"](function(a){g.error(a)})},a.getSystemFieldOptions=function(b){if(b){var c=_.find(a.accountFields,"id",b);return c?c.system_field_options?c.system_field_options:null:void 0}}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.zendesk.view.configurations.view",{url:"/view/:configurationId",templateUrl:"app/zendesk/view/configuration/view/view.html",controller:"ZendeskViewConfigurationViewCtrl"})}]),angular.module("xCallyShuttleApp").controller("ZendeskViewCtrl",["$scope","$stateParams","$translate","xAlert","Modal","ZendeskAccount",function(a,b,c,d,e,f){a.initView=function(){return f.get({id:b.id}).$promise.then(function(b){a.account=b})["catch"](function(a){d.error(a)})},a.updateItem=function(){return f.update({id:b.id},a.account).$promise.then(function(){d.show(c.instant("MESSAGE_WELL_DONE")+".","success")})["catch"](function(a){d.error(a)})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.zendesk.view",{url:"/view/:id",templateUrl:"app/zendesk/view/view.html",controller:"ZendeskViewCtrl",resolve:{deps:["$ocLazyLoad",function(a){return a.load([{insertBefore:"#ng_load_plugins_before",files:["assets/css/profile.css","assets/css/tasks.css"]}])}]}}).state("main.zendesk.view.account",{url:"/account",templateUrl:"app/zendesk/view/view.account.html"})}]),angular.module("xCallyShuttleApp").controller("ZendeskWizardCtrl",["$scope","$http","$location","$translate","xAlert","WizardHandler","ZendeskAccount",function(a,b,c,d,e,f,g){a.translate=d,a.initWizard=function(){a.$translate=d,a.forms={},a.item={},a.item.authType="password"},a.next=function(){f.wizard().next()},a.exitValidation=function(a){return a},a.createItem=function(){return g.save(a.item).$promise.then(function(){e.show(d.instant("MESSAGE_WELL_DONE")+".","success"),c.path("/zendesk/list")})["catch"](function(a){e.error(a)})}}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.zendesk.wizard",{url:"/wizard",templateUrl:"app/zendesk/wizard/wizard.html",controller:"ZendeskWizardCtrl"})}]),angular.module("xCallyShuttleApp").factory("ZendeskAccount",["$resource",function(a){return a("/api/zendesk/accounts/:id/:controller",{id:"@id"},{update:{method:"PUT"},fields:{params:{controller:"fields"},isArray:!0},check:{params:{controller:"check"}}})}]).factory("ZendeskConfiguration",["$resource",function(a){return a("/api/zendesk/accounts/:accountId/configurations/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]).factory("ZendeskField",["$resource",function(a){return a("/api/zendesk/accounts/:accountId/configurations/:configurationId/fields/:id/:controller",{id:"@id"},{update:{method:"PUT"}})}]),angular.module("xCallyShuttleApp").config(["$stateProvider",function(a){a.state("main.zendesk",{url:"/zendesk",template:"
            ","abstract":!0})}]),angular.module("xCallyShuttleApp").service("application",function(){var a={applications:[{type:null,name:"APPLICATION_QUEUE",value:"Queue"},{type:null,name:"APPLICATION_PLAYBACK",value:"Playback"},{type:null,name:"Dial",value:"Dial"},{type:"internalDial",name:"APPLICATION_INTERNAL_DIAL",value:"Dial"},{type:"externalDial",name:"APPLICATION_EXTERNAL_DIAL",value:"Dial"},{type:null,name:"Cally-Square Project",value:"AGI"},{type:null,name:"GoTo",value:"Goto"},{type:null,name:"Hangup",value:"Hangup"},{type:null,name:"Set",value:"Set"},{type:"custom",name:"Custom",value:"custom"},{type:null,name:"APPLICATION_VOICEMAIL",value:"Voicemail"}],queueOptions:[{value:"C",name:"APPLICATION_QUEUE_OPTION_C"},{value:"c",name:"APPLICATION_QUEUE_OPTION_c"},{value:"d",name:"APPLICATION_QUEUE_OPTION_d"},{value:"F",name:"APPLICATION_QUEUE_OPTION_F"},{value:"h",name:"APPLICATION_QUEUE_OPTION_h"},{value:"H",name:"APPLICATION_QUEUE_OPTION_H"},{value:"n",name:"APPLICATION_QUEUE_OPTION_n"},{value:"i",name:"APPLICATION_QUEUE_OPTION_i"},{value:"I",name:"APPLICATION_QUEUE_OPTION_I"},{value:"r",name:"APPLICATION_QUEUE_OPTION_r"},{value:"R",name:"APPLICATION_QUEUE_OPTION_R"},{value:"t",name:"APPLICATION_QUEUE_OPTION_t"},{value:"T",name:"APPLICATION_QUEUE_OPTION_T"},{value:"w",name:"APPLICATION_QUEUE_OPTION_w"},{value:"W",name:"APPLICATION_QUEUE_OPTION_W"},{value:"k",name:"APPLICATION_QUEUE_OPTION_k"},{value:"K",name:"APPLICATION_QUEUE_OPTION_K"},{value:"x",name:"APPLICATION_QUEUE_OPTION_x"},{value:"X",name:"APPLICATION_QUEUE_OPTION_X"}],audioOptions:[{value:"skip",name:"APPLICATION_PLAYBACK_OPTION_SKIP"},{value:"noanswer",name:"APPLICATION_PLAYBACK_OPTION_NOANSWER"},{value:"j",name:"APPLICATION_PLAYBACK_OPTION_J"},{value:"say",name:"APPLICATION_PLAYBACK_OPTION_SAY"}],hangupCauses:[{value:"",name:"--"},{value:"1",name:"APPLICATION_HANGUP_CAUSE_1"},{value:"16",name:"APPLICATION_HANGUP_CAUSE_16"},{value:"17",name:"APPLICATION_HANGUP_CAUSE_17"},{value:"18",name:"APPLICATION_HANGUP_CAUSE_18"},{value:"21",name:"APPLICATION_HANGUP_CAUSE_21"},{value:"22",name:"APPLICATION_HANGUP_CAUSE_22"},{value:"27",name:"APPLICATION_HANGUP_CAUSE_27"},{value:"38",name:"APPLICATION_HANGUP_CAUSE_38"},{value:"41",name:"APPLICATION_HANGUP_CAUSE_41"}],intTypes:[{value:"always",name:"APPLICATION_ALWAYS"},{value:"custom",name:"APPLICATION_CUSTOM"},{value:"list",name:"APPLICATION_FROM_LIST"}],checkUnderscore:function(a){return 0===a.indexOf("_")?a:"_"+a}};return{application:a}}),angular.module("xCallyShuttleApp").factory("Auth",["$location","$rootScope","$http","User","$cookieStore","$q",function(a,b,c,d,e,f){var g={};return e.get("token")&&(g=d.me()),{login:function(a,h){var i=h||angular.noop,j=f.defer();return c.post("/auth/local",{name:a.name,password:a.password,remember:a.remember}).success(function(a){return e.put("role",a.role),e.put("token",a.token),e.put("userId",a.userId),g=d.me({},function(){b.$broadcast("login")}),j.resolve(a),i()}).error(function(a){return this.logout(),j.reject(a),i(a)}.bind(this)),j.promise},loginWithToken:function(a,c,f){e.put("role",f),e.put("token",a),e.put("userId",c),g=d.me({},function(){b.$broadcast("login")})},logout:function(){c.put("/api/users/"+g.id,{online:!1}).success(function(){e.remove("role"),e.remove("token"),e.remove("userId"),g={},b.$broadcast("logout")}).error(function(a){return a})},createUser:function(a,b){var c=b||angular.noop;return d.save(a,function(b){return e.put("token",b.token),g=d.me(),c(a)},function(a){return this.logout(),c(a)}.bind(this)).$promise},changePassword:function(a,b,c){var e=c||angular.noop;return d.changePassword({id:g.id},{oldPassword:a,newPassword:b},function(a){return e(null,a)},function(a){return e(a)}).$promise},getCurrentUser:function(){return g},isLoggedIn:function(){return g.hasOwnProperty("role")},isLoggedInAsync:function(a){g.hasOwnProperty("$promise")?g.$promise.then(function(){a(!0)})["catch"](function(){a(!1)}):a(g.hasOwnProperty("role")?!0:!1)},isAdmin:function(){return"admin"===(e.get("role")||g.role)},isUser:function(){return"user"===(e.get("role")||g.role)},isAgent:function(){return"agent"===(e.get("role")||g.role)},getRole:function(){return g.role},getToken:function(){return e.get("token")}}}]),angular.module("xCallyShuttleApp").service("automations",function(){var a={mail:{conditions:[{name:"APPLICATION_STATUS",value:"status",type:"select",arr:[{name:"APPLICATION_STATUS_NEW",value:"NEW"},{name:"APPLICATION_STATUS_OPEN",value:"OPEN"},{name:"APPLICATION_STATUS_CLOSED",value:"CLOSED"}],operators:[{value:"equals",name:"APPLICATION_EQUALS_TO"},{value:"not_equals",name:"APPLICATION_NOT_EQUALS_TO"}]},{name:"APPLICATION_HOURS_SINCE_CREATED",value:"createdAt",type:"input",operators:[{value:"greater_or_equal",name:"APPLICATION_GTE_TO"},{value:"less_or_equal",name:"APPLICATION_LTE_TO"},{value:"greater",name:"APPLICATION_GREATER_THAN"},{value:"less",name:"APPLICATION_LESS_THAN"}]}],actions:[{name:"APPLICATION_STATUS",value:"status"}]},fax:{conditions:[{name:"APPLICATION_STATUS",value:"status",type:"select",arr:[{name:"APPLICATION_STATUS_NEW",value:"NEW"},{name:"APPLICATION_STATUS_OPEN",value:"OPEN"},{name:"APPLICATION_STATUS_CLOSED",value:"CLOSED"}],operators:[{value:"equals",name:"APPLICATION_EQUALS_TO"},{value:"not_equals",name:"APPLICATION_NOT_EQUALS_TO"}]},{name:"APPLICATION_HOURS_SINCE_CREATED",value:"createdAt",type:"input",operators:[{value:"greater_or_equal",name:"APPLICATION_GTE_TO"},{value:"less_or_equal",name:"APPLICATION_LTE_TO"},{value:"greater",name:"APPLICATION_GREATER_THAN"},{value:"less",name:"APPLICATION_LESS_THAN"}]}],actions:[{name:"APPLICATION_STATUS",value:"status"}]}},b=["mail","chat","fax"];return{services:a,channels:b}}),angular.module("xCallyShuttleApp").service("channel",function(){var a=[{name:"Voice",value:"voice"}];return a}),angular.module("xCallyShuttleApp").service("desk_com",function(){var a=[{id:"priority",label:"Priority",custom:!1,data:{choices:[1,2,3,4,5,6,7,8,9,10]}},{id:"status",label:"Status",custom:!1,data:{choices:["new","open","pending","resolved","closed"]}},{id:"type",label:"Type",custom:!1,data:{choices:["chat","twitter","email","qna","facebook","phone"]}}];return{fields:a}}),angular.module("xCallyShuttleApp").controller("FooterController",["$scope","$http",function(a,b){a.year=moment().year(),a.$on("$includeContentLoaded",function(){Layout.initFooter()}),a.initFooter=function(){b.get("/api/settings/info").success(function(b){a.info=b}).error(function(a){console.error(a)})}}]),angular.module("xCallyShuttleApp").controller("HeaderController",["$scope","$rootScope","$location","$state","$http","$notification","Auth","xAlert","socket","ngAudio","settings","toastr","localStorageService","Agent","SmsReport","MailReport","ChatReport","ChatRoom","xNotification","$timeout","$translate","$window","User","Pause","Action","FaxReport",function(a,b,c,d,e,f,g,h,i,j,k,l,m,n,o,p,q,r,s,t,u,v,w,x,y,z){function A(){return a.headerAgent=g.getCurrentUser(),a.readyTimer=1e3*moment().unix(),x.get().$promise.then(function(b){a.headerPauses=b.rows,i.syncUpdates("pause",a.headerPauses)})["finally"](function(){i.socket.on("agent:save",function(b){a.headerAgent.id===b.id&&(_.merge(a.headerAgent,b),b.voicePause&&b.mailPause&&b.chatPause&&b.faxPause&&b.smsPause||(a.readyTimer=1e3*moment().unix()))})})["catch"](function(a){console.log("Error synchronizing agent status:",a)})}function B(a,b,c){return null===b&&(b=50),null===c&&(c="..."),a&&a.length>b?a.substring(0,b-c.length)+c:a}a.moment=moment,a.headerPause=function(b,c,d){return y.save({name:b,agent:a.headerAgent.id,type:c||"DEFAULT PAUSE",data4:c||"DEFAULT PAUSE",channel:d||"all"}).$promise.then(function(){h.show(u.instant("MESSAGE_WELL_DONE"),"success")})["catch"](function(a){h.show(u.instant(a.message||a.data.message||a.translatedMessage||a.data.translatedMessage),"danger")})},"agent"===g.getCurrentUser().role&&A(),i.socket.on("report_chat:save",function(a){j.load("api/xchatty/assets?resource=notification/xc_blubb.mp3").play(),v.Notification&&f("CHAT CHANNEL",{icon:"assets/images/media/channels/chat64.png",body:"NEW Incoming Chat Visitor!",delay:1e3*a.timeslot});var b=""+u.instant("APPLICATION_FROM")+": "+a.visitorname+"
            "+u.instant("APPLICATION_EMAIL")+": "+a.visitoremail;"queue"===a.application&&(b+="
            "+u.instant("APPLICATION_QUEUE")+": "+a.queuename),s.show(u.instant("APPLICATION_NEW_CHAT_REQUEST"),b,"chat",1e3*a.timeslot,function(){return q.get({id:a.id,controller1:"accept",controller2:a.uniqueid}).$promise.then(function(){h.show("Chat properly assigned","success")})["catch"](function(a){switch(a.status){case 504:h.show(u.instant("MESSAGE_CHAT_ALREADY_MANAGED"),"info");break;default:h.error(a)}})},function(){return q.get({id:a.id,controller1:"reject"}).$promise.then(function(){h.show("Chat properly rejected","success")})["catch"](function(a){h.show(a.data.message,"danger")})})}),i.socket.on("user_has_chat_room:save",function(b){var c;return r.get({id:Number(b.ChatRoomId)}).$promise.then(function(b){c=b;var d=_.find(a.workspaces,{id:Number(c.id),type:"chatRoom"});d?d.name=a.getFullnames(c):a.workspaces.push({id:c.id,name:a.getFullnames(c),type:"chatRoom","class":"icon-bubble",unread:1})})["catch"](function(a){console.error(a)})}),i.socket.on("chat_message:save",function(b){var c;if(b.UserId!==g.getCurrentUser().id){var d=_.find(a.workspaces,{id:Number(b.ChatRoomId),type:"chatRoom"});if(!d)return r.get({id:Number(b.ChatRoomId)}).$promise.then(function(b){c=b,a.workspaces.push({id:c.id,name:a.getFullnames(b),type:"chatRoom","class":"icon-bubble",unread:1})})["catch"](function(a){console.error(a)})}}),a.getFullnames=function(a){var b=_.map(a.Users,"fullname");return a.ChatVisitor&&b.unshift(a.ChatVisitor.fullname),_.without(b,g.getCurrentUser().fullname).join(", ")},a.toggleQuickSidebar=function(){k.layout.pageQuickSidebarOpened=!k.layout.pageQuickSidebarOpened,k.layout.pageQuickSidebarOpened||m.remove("chatRoomId")};var C=function(){return v.Notification},D=function(){b.settings.layout.onFocus=!0},E=function(){b.settings.layout.onFocus=!1};v.onfocus=D,v.onblur=E,a.toogleSidebar=function(){k.layout.pageSidebarClosed=!k.layout.pageSidebarClosed,m.set("sidebarClosed",k.layout.pageSidebarClosed)},a.initHeader=function(){var c=j.load("api/xchatty/assets?resource=notification/xc_blubb.mp3");"agent"===g.getCurrentUser().role&&(i.socket.on("report_mail:save",function(c){var d=_.find(a.workspaces,{id:c.roomid,type:"mailRoom"});if(!d){j.load("api/xchatty/assets?resource=notification/xc_blubb.mp3").play();var e=""+u.instant("APPLICATION_ACCOUNT")+": "+c.accountname+"
            "+u.instant("APPLICATION_FROM")+": "+c.from+"
            "+u.instant("APPLICATION_SUBJECT")+": "+(B(c.subject,30,"...")||"No subject");"queue"===c.application&&(e+="
            "+u.instant("APPLICATION_QUEUE")+": "+c.queuename),s.show(u.instant("APPLICATION_NEW_MAIL_REQUEST"),e,"mail",1e3*c.timeslot,function(){return p.get({id:c.id,controller1:"accept",controller2:c.uniqueid}).$promise.then(function(){var b=_.find(a.workspaces,{id:c.roomid,type:"mailRoom"});b?b.active=!0:a.workspaces.push({id:c.roomid,name:c.subject||"No subject",type:"mailRoom","class":"icon-envelope",active:!0,unread:0})})["catch"](function(a){switch(a.status){case 504:h.show(u.instant("MESSAGE_MAIL_ALREADY_MANAGED"),"info");break;default:h.error(a)}})},function(){return p.get({id:c.id,controller1:"reject"}).$promise["catch"](function(a){h.show(a.data.message,"danger")})}),C&&!b.settings.layout.onFocus?f("MAIL CHANNEL",{icon:"assets/images/media/channels/mail64.png",body:"NEW Incoming Mail Message!",delay:1e3*c.timeslot}):console.log("Notification API not supported in your browser")}}),i.socket.on("report_sms:save",function(b){c.play();var d=""+u.instant("APPLICATION_FROM")+": "+b.accountname+"
            "+u.instant("APPLICATION_SMS")+": "+b.accountnumber;"queue"===b.application&&(d+="
            "+u.instant("APPLICATION_QUEUE")+": "+b.queuename),s.show(u.instant("APPLICATION_NEW_SMS_REQUEST"),d,"sms",1e3*b.timeslot,function(){return o.get({id:b.id,controller1:"accept",controller2:b.uniqueid}).$promise.then(function(){var c=_.find(a.workspaces,{id:b.roomid,type:"smsRoom"});c?c.active=!0:a.workspaces.push({id:b.roomid,name:b.from,type:"smsRoom","class":"glyphicon glyphicon-comment",active:!0})})["catch"](function(a){switch(a.status){case 504:h.show(u.instant("MESSAGE_SMS_ALREADY_MANAGED"),"info");break;default:h.error(a)}})},function(){return o.get({id:b.id,controller1:"reject"}).$promise.then(function(){h.show("SMS properly rejected","success")})["catch"](function(a){h.show(a.data.message,"danger")})}),C?f("SMS CHANNEL",{icon:"assets/images/media/channels/chat64.png",body:"NEW Incoming SMS Message!",delay:1e3*b.timeslot}):console.log("Notification API not supported in your browser")}),i.socket.on("report_fax:save",function(c){j.load("api/xchatty/assets?resource=notification/xc_blubb.mp3").play();var d=""+u.instant("APPLICATION_ACCOUNT")+": "+c.accountname+"
            "+u.instant("APPLICATION_PHONE")+": "+c.from;"queue"===c.application&&(d+="
            "+u.instant("APPLICATION_QUEUE")+": "+c.queuename),s.show(u.instant("APPLICATION_NEW_FAX_REQUEST"),d,"fax",1e3*c.timeslot,function(){return z.get({id:c.id,controller1:"accept",controller2:c.uniqueid}).$promise.then(function(){var b=_.find(a.workspaces,{id:c.roomid,type:"faxRoom"});b?b.active=!0:a.workspaces.push({id:c.roomid,name:c.from,type:"faxRoom","class":"icon-paper-plane",active:!0})})["catch"](function(a){switch(a.status){case 504:h.show(u.instant("MESSAGE_FAX_ALREADY_MANAGED"),"info");break;default:h.error(a)}})},function(){return z.get({id:c.id,controller1:"reject"}).$promise["catch"](function(a){h.error(a)})}),C&&!b.settings.layout.onFocus?f("FAX CHANNEL",{icon:"assets/images/media/channels/telephone124.png",body:"NEW Incoming Fax Message!",delay:1e3*c.timeslot}):console.log("Notification API not supported in your browser")}))},a.logout=function(){return e.get("/auth/local/logout").success(function(){g.logout(),c.path("/login")}).error(function(a){console.error(a)})},a.isActive=function(a){return a===c.path()}}]),angular.module("xCallyShuttleApp").service("interval",function(){var a={months:[{value:"always",name:"APPLICATION_ALWAYS"},{value:"jan",name:"APPLICATION_JANUARY"},{value:"feb",name:"APPLICATION_FEBRUARY"},{value:"mar",name:"APPLICATION_MARCH"},{value:"apr",name:"APPLICATION_APRIL"},{value:"may",name:"APPLICATION_MAY"},{value:"jun",name:"APPLICATION_JUNE"},{value:"jul",name:"APPLICATION_JULY"},{value:"aug",name:"APPLICATION_AUGUST"},{value:"sep",name:"APPLICATION_SEPTEMBER"},{value:"oct",name:"APPLICATION_OCTOBER"},{value:"nov",name:"APPLICATION_NOVEMBER"},{value:"dec",name:"APPLICATION_DECEMBER"}],monthsNumber:[{value:"always",name:"APPLICATION_ALWAYS"},{value:"1",name:"APPLICATION_JANUARY"},{value:"2",name:"APPLICATION_FEBRUARY"},{value:"3",name:"APPLICATION_MARCH"},{value:"4",name:"APPLICATION_APRIL"},{value:"5",name:"APPLICATION_MAY"},{value:"6",name:"APPLICATION_JUNE"},{value:"7",name:"APPLICATION_JULY"},{value:"8",name:"APPLICATION_AUGUST"},{value:"9",name:"APPLICATION_SEPTEMBER"},{value:"10",name:"APPLICATION_OCTOBER"},{value:"11",name:"APPLICATION_NOVEMBER"},{value:"12",name:"APPLICATION_DECEMBER"}],daysOfWeek:[{value:"always",name:"APPLICATION_ALWAYS"},{value:"mon",name:"APPLICATION_MONDAY"},{value:"tue",name:"APPLICATION_TUESDAY"},{value:"wed",name:"APPLICATION_WEDNESDAY"},{value:"thu",name:"APPLICATION_THURSDAY"},{value:"fri",name:"APPLICATION_FRIDAY"},{value:"sat",name:"APPLICATION_SATURDAY"},{value:"sun",name:"APPLICATION_SUNDAY"}],daysOfMonth:["always",1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31]};return{interval:a}}),angular.module("xCallyShuttleApp").factory("Modal",["$rootScope","$uibModal",function(a,b){function c(c,d){var e=a.$new();return c=c||{},d=d||"modal-default",angular.extend(e,c),b.open({templateUrl:"components/modal/delete.html",windowClass:d,scope:e})}return{confirm:{"delete":function(a){return a=a||angular.noop,function(){var b,d=Array.prototype.slice.call(arguments),e=d.shift();b=c({modal:{dismissable:!0,title:"Confirm Delete",html:"

            Are you sure you want to delete"+(e?" "+e+"":" selected items")+"?

            ",buttons:[{classes:"btn red",text:"Delete",click:function(a){b.close(a)}},{classes:"btn default",text:"Cancel",click:function(a){b.dismiss(a)}}]}},"over-topbar center"),b.result.then(function(b){a.apply(b,d)})}}}}}]),angular.module("xCallyShuttleApp").service("report",["ReportAgent","ReportCall","ReportMailSession","ReportMember","ReportQueue","ReportIntegration","ReportChatSession","ReportSmsSession","Contact","Recording","ReportSquare",function(a,b,c,d,e,f,g,h,i,j,k){var l=[{value:"report_agent_history",resource:a},{value:"report_call_history",resource:b},{value:"report_mail_session_history",resource:c},{value:"report_member_history",resource:d},{value:"report_queue_history",resource:e},{value:"report_integration_history",resource:f},{value:"report_chat_session_history",resource:g},{value:"report_sms_session_history",resource:h},{value:"cm_contacts",resource:i},{value:"voice_recordings",resource:j},{value:"report_square_history",resource:k},{value:"report_square_details_history",resource:k}];return{tables:l}}]),angular.module("xCallyShuttleApp").controller("SidebarController",["$scope","$rootScope","$resource","$location","Auth","Dashboard","Integration","xAlert","$stateParams","socket",function(a,b,c,d,e,f,g,h,i,j){a.Auth=e,a.userModules={},a.$on("$includeContentLoaded",function(){Metronic.init(),Layout.initSidebar()}),a.initSidebar=function(){e.getCurrentUser().Modules.forEach(function(b){a.userModules[b.id]=!0})},a.getSidebarDashboards=function(){return f.get().$promise.then(function(b){a.dashboards=b.rows,j.syncUpdates("dashboard",a.dashboards)})["catch"](function(){h.show("Error loading your dashboards","danger")})},a.getSidebarIntegrations=function(){return e.isAdmin()||a.userModules[19]?g.get().$promise.then(function(b){a.integrations=b.rows,j.syncUpdates("integration",a.integrations)})["catch"](function(a){h.show(a.message,"danger")}):void 0},a.$on("destroy",function(){j.unsyncUpdates("dashboard"),j.unsyncUpdates("integration")})}]),angular.module("xCallyShuttleApp").factory("socket",["$rootScope","$window","socketFactory","Auth",function(a,b,c,d){var e=d.getCurrentUser(),f=io("",{query:"token="+d.getToken()+"&userId="+e.id+"&name="+e.name+"&role="+(e.role||"agent")+"&source=browser",path:"/socket.io-client"}),g=c({ioSocket:f});return a.$on("logout",function(){g&&(g.disconnect(!0),b.location.reload())}),{socket:g,syncUpdates:function(a,b,c){c=c||angular.noop,g.on(a+":save",function(a){var d=_.find(b,{id:a.id}),e=b.indexOf(d),f="created";d?(_.merge(b[e],a),f="updated"):b.unshift(a),c(f,a,b)}),g.on(a+":remove",function(a){var d="deleted";_.remove(b,{id:a.id}),c(d,a,b)})},unsyncUpdates:function(a){g.removeAllListeners(a+":save"),g.removeAllListeners(a+":remove")},syncUpdatesByAttributes:function(a,b,c,d){d=d||angular.noop,g.on(a+":save",function(a){var e=!0;if(_.forIn(b,function(b,c){return a[c]&&a[c]===b?!0:e=!1}),e){var f=_.find(c,{id:a.id}),g=c.indexOf(f),h="created";f?(_.merge(c[g],a),h="updated"):c.unshift(a),d(h,a,c)}}),g.on(a+":remove",function(a){var b="deleted";_.remove(c,{id:a.id}),d(b,a,c)})},syncMailMessageUpdates:function(a,b,c,d){d=d||angular.noop,g.on(a+":"+b+":save",function(a){var b=_.find(c,{id:a.id}),e=c.indexOf(b),f="created";b?(_.merge(c[e],a),f="updated"):c.unshift(a),d(f,a)}),g.on(a+":"+b+":remove",function(a){var b="deleted";_.remove(c,{id:a.id}),d(b,a,c)})},unsyncMailMessageUpdates:function(a,b){g.removeAllListeners(a+":"+b+":save"),g.removeAllListeners(a+":"+b+":remove")},syncFaxMessageUpdates:function(a,b,c,d){d=d||angular.noop,g.on(a+":"+b+":save",function(a){var b=_.find(c,{id:a.id}),e=c.indexOf(b),f="created";b?(_.merge(c[e],a),f="updated"):c.unshift(a),d(f,a)}),g.on(a+":"+b+":remove",function(a){var b="deleted";_.remove(c,{id:a.id}),d(b,a,c)})},unsyncFaxMessageUpdates:function(a,b){g.removeAllListeners(a+":"+b+":save"),g.removeAllListeners(a+":"+b+":remove")}}}]),angular.module("xCallyShuttleApp").service("triggers",["VoiceQueue",function(a){var b={voice:{conditions:[{name:"APPLICATION_QUEUE",value:"queue",type:"select",resource:a,resValue:"name",operators:[{value:"equals",name:"APPLICATION_EQUALS_TO"},{value:"not_equals",name:"APPLICATION_NOT_EQUALS_TO"}]},{name:"APPLICATION_CALL_STATUS",value:"lastevent",type:"select",arr:[{name:"ringing",value:"called"},{name:"up",value:"connect"},{name:"hangup",value:"complete"},{name:"rejected",value:"rejected"},{name:"abandoned",value:"abandoned"}],operators:[{value:"equals",name:"APPLICATION_EQUALS_TO"},{value:"not_equals",name:"APPLICATION_NOT_EQUALS_TO"}]}],actions:[{name:"APPLICATION_CONTACT_MANAGER",value:"contactManager"},{name:"APPLICATION_INTEGRATIONS",value:"integration",propertyField:"select"},{name:"APPLICATION_MOTION_BAR",value:"motionbar",propertyField:"select"},{name:"APPLICATION_JSCRIPTY",value:"jscripty",propertyField:"select"},{name:"APPLICATION_URL_FORWARD",value:"urlForward"}]}},c=["voice"];return{services:b,channels:c}}]),angular.module("xCallyShuttleApp").service("variable",function(){var a=[{title:"Unique ID",name:"uniqueid",group:"voice_queue"},{title:"Caller Number",name:"calleridnum",group:"voice_queue"},{title:"Caller Name",name:"calleridname",group:"voice_queue"},{title:"Queue",name:"queue",group:"voice_queue"},{title:"Called Number",name:"destcalleridnum",group:"voice_queue"},{title:"Called Name",name:"destcalleridname",group:"voice_queue"},{title:"Hold Time",name:"holdtime",group:"voice_queue"},{title:"Talk Time",name:"talktime",group:"voice_queue"},{title:"Connected Time",name:"agentconnectAt",group:"voice_queue"},{title:"Called Time",name:"agentcalledAt",group:"voice_queue"},{title:"DNID",name:"destexten",group:"voice_queue"},{title:"Complete Time",name:"agentcompleteAt",group:"voice_queue"},{title:"No Answer Time",name:"agentringnoanswerAt",group:"voice_queue"}];return a}),function(a,b){angular.module("adf.widget.counter",["adf.provider"]).config(["dashboardProvider",function(a){a.widget("counter",{title:"Single Value",description:"Single Value for db results",templateUrl:"{widgetsPath}/counter/src/view.html",controller:"apiwidgetCounterViewCtrl",edit:{templateUrl:"{widgetsPath}/counter/src/edit.html",controller:"apiwidgetCounterEditCtrl"}})}]).service("apiServiceCounter",["Widget",function(a){return{get:function(b){return a.get({select:b.select,condition:encodeURIComponent(b.condition),table:b.report.replace("_history",""),controller:"counter"}).$promise.then(function(a){return a})["catch"](function(a){console.log(a)})}}}]).controller("apiwidgetCounterEditCtrl",["$scope","report",function(a,b){a.config.reportTables=b.tables,a.config.colors=[{name:"Grey",value:"grey"},{name:"Grey 2",value:"grey-cascade"},{name:"Grey 3",value:"grey-silver"},{name:"Grey 4",value:"grey-steel" +},{name:"Grey 5",value:"grey-carrara"},{name:"Grey 6",value:"grey-gallery"},{name:"Blue",value:"blue"},{name:"Blue 2",value:"blue-hoki"},{name:"Blue 3",value:"blue-steel"},{name:"Blue 4",value:"blue-madison"},{name:"Blue 5",value:"blue-chambray"},{name:"Blue 6",value:"blue-ebonyclay"},{name:"Red",value:"red"},{name:"Red 2",value:"red-pink"},{name:"Red 3",value:"red-sunglo"},{name:"Red 4",value:"red-intense"},{name:"Red 5",value:"red-thunderbird"},{name:"Red 6",value:"red-flamingo"},{name:"Green",value:"green"},{name:"Green 2",value:"green-meadow"},{name:"Green 3",value:"green-seagreen"},{name:"Green 4",value:"green-turquoise"},{name:"Green 5",value:"green-haze"},{name:"Green 6",value:"green-jungle"},{name:"Purple",value:"purple"},{name:"Purple 2",value:"purple-plum"},{name:"Purple 3",value:"purple-medium"},{name:"Purple 4",value:"purple-studio"},{name:"Purple 5",value:"purple-wisteria"},{name:"Purple 6",value:"purple-seance"},{name:"Yellow",value:"yellow"},{name:"Yellow 2",value:"yellow-gold"},{name:"Yellow 3",value:"yellow-casablanca"},{name:"Yellow 4",value:"yellow-crusta"},{name:"Yellow 5",value:"yellow-lemon"},{name:"Yellow 6",value:"yellow-saffron"}],a.config.icons=[{name:"Talk bubbles",value:"fa-comments"},{name:"Bar Chart",value:"fa-bar-chart"},{name:"Shopping Cart",value:"fa-shopping-cart"},{name:"Globe",value:"fa-globe"},{name:"Volume Control Phone",value:"fa-volume-control-phone"},{name:"Comments",value:"fa-comments-o"},{name:"Commenting",value:"fa-commenting-o"},{name:"Coffee",value:"fa-coffee"},{name:"Clock",value:"fa-clock-o"},{name:"Envelope",value:"fa-envelope-o"},{name:"Hourglass",value:"fa-hourglass-half"},{name:"Percent",value:"fa-percent"}],a.config.select=a.config.select?a.config.select:"COUNT(*)",a.config.timeout=a.config.timeout?a.config.timeout:1,a.config.color=a.config.color?a.config.color:"blue-madison",a.config.icon=a.config.icon?a.config.icon:"fa-comments",a.config.link=a.config.link?a.config.link:"#",a.config.linkText=a.config.linkText?a.config.linkText:"VIEW MORE"}]).controller("apiwidgetCounterViewCtrl",["$scope","$interval","apiServiceCounter",function(a,b,c){a.config.timeout=a.config.timeout?a.config.timeout:1,a.config.condition=a.config.condition?a.config.condition:null,a.config.color=a.config.color?a.config.color:"blue-madison",a.config.icon=a.config.icon?a.config.icon:"fa-comments",a.config.link=a.config.link?a.config.link:"#",a.config.linkText=a.config.linkText?a.config.linkText:"VIEW MORE",a.counterApiCall=function(){a.config.report&&c.get(a.config).then(function(b){b?b.result&&b.result.length>1||_.keys(b.result[0]).length>1?(a.tooManyResults=!0,a.result=null):(a.tooManyResults=!1,null!==_.values(b.result[0])[0]?a.result=_.values(b.result[0])[0].toString():a.result="--"):a.result=null})},a.counterApiCall();var d=b(function(){a.counterApiCall()},1e3*parseInt(a.config.timeout));a.$on("$destroy",function(){b.cancel(d)})}]),angular.module("adf.widget.counter").run(["$templateCache",function(a){a.put("{widgetsPath}/counter/src/edit.html","
            {{'APPLICATION_'+$select.selected.value.toUpperCase() | translate}}
             
             
            "),a.put("{widgetsPath}/counter/src/view.html",'
            {{result}}
            {{config.details}}
            {{config.linkText}}
            ')}])}(window),function(a,b){angular.module("adf.widget.pie-chart",["adf.provider"]).config(["dashboardProvider",function(a){a.widget("pie-chart",{title:"Pie-Chart",description:"Display a pie-chart starting from DB values",templateUrl:"{widgetsPath}/pie-chart/src/view.html",controller:"apiWidgetPieChartViewCtrl",edit:{templateUrl:"{widgetsPath}/pie-chart/src/edit.html",controller:"apiWidgetPieChartEditCtrl"}})}]).service("apiServicePieChart",["Widget",function(a){return{get:function(b){return a.get({condition:encodeURIComponent(b.condition),table:b.report.replace("_history",""),fields:b.fields,controller:"piechart"}).$promise.then(function(a){return a})["catch"](function(a){console.log(a)})}}}]).controller("apiWidgetPieChartEditCtrl",["$scope","report",function(a,b){function c(){return a.config.fields||(a.config.fields=[{column:"",alias:""}]),a.config.fields}a.config.reportTables=b.tables,a.config.timeout=a.config.timeout?a.config.timeout:1,a.config.fields=a.config.fields?a.config.fields:[{column:"",alias:""}],a.addField=function(){c().push({})},a.removeField=function(a){c().splice(a,1)}}]).controller("apiWidgetPieChartViewCtrl",["$scope","$interval","apiServicePieChart",function(a,b,c){a.config.timeout=a.config.timeout?a.config.timeout:1,a.pieChartApiCall=function(){a.config.report&&a.config.fields.length&&""!==a.config.fields[0].column&&""!==a.config.fields[0].alias&&c.get(a.config).then(function(b){if(b&&b.result&&1===b.result.length){a.labels=[],a.data=[];for(var c in b.result[0])a.labels.push(c),a.data.push(b.result[0][c])}else a.labels=[],a.data=[]})},a.pieChartApiCall();var d=b(function(){a.pieChartApiCall()},1e3*parseInt(a.config.timeout));a.$on("$destroy",function(){b.cancel(d)})}]),angular.module("adf.widget.pie-chart").run(["$templateCache",function(a){a.put("{widgetsPath}/pie-chart/src/edit.html","
            {{'APPLICATION_'+$select.selected.value.toUpperCase() | translate}}
            as 1\">
            "),a.put("{widgetsPath}/pie-chart/src/view.html",'
            ')}])}(window),function(a,b){angular.module("adf.widget.table",["adf.provider"]).value("apiServiceUrlTable","/api/widgets/table").config(["dashboardProvider",function(a){a.widget("table",{title:"Table",description:"Show tabbed results from db",templateUrl:"{widgetsPath}/table/src/view.html",controller:"apiWidgetTableViewCtrl",edit:{templateUrl:"{widgetsPath}/table/src/edit.html",controller:"apiWidgetTableEditCtrl"}})}]).service("apiServiceTable",["$q","$http","apiServiceUrlTable",function(a,b,c){return{get:function(d){var e=a.defer();return b.get(c,{params:{condition:encodeURIComponent(d.condition),table:d.report,fields:d.fields}}).success(function(a){a?e.resolve(a):e.reject()}).error(function(a){console.log(a),e.reject()}),e.promise}}}]).controller("apiWidgetTableEditCtrl",["$scope",function(a){function b(){return a.config.fields||(a.config.fields=[{column:"",alias:""}]),a.config.fields}a.config.reportTables=[{name:"Agents",value:"agent"},{name:"Calls",value:"call"},{name:"Dials",value:"dial"},{name:"Members",value:"member"},{name:"Queues",value:"queue"}],a.config.timeout=a.config.timeout?a.config.timeout:1,a.config.height=a.config.height?a.config.height:350,a.config.fields=a.config.fields?a.config.fields:[{column:"",alias:""}],a.addField=function(){b().push({})},a.removeField=function(a){b().splice(a,1)}}]).controller("apiWidgetTableViewCtrl",["$scope","$interval","apiServiceTable",function(a,b,c){a.config.timeout=a.config.timeout?a.config.timeout:1,a.config.height=a.config.height?a.config.height:350,a.config.style={"max-height":a.config.height+"px",overflow:"scroll"},a.tableApiCall=function(){a.config.condition&&a.config.report&&a.config.fields.length&&""!==a.config.fields[0].column&&""!==a.config.fields[0].alias&&c.get(a.config).then(function(b){a.results=b})},a.tableApiCall();var d=b(function(){a.tableApiCall()},1e3*parseInt(a.config.timeout));a.$on("$destroy",function(){b.cancel(d)})}]),angular.module("adf.widget.table").run(["$templateCache",function(a){a.put("{widgetsPath}/table/src/edit.html",'
            {{$select.selected.name}}
            as
            '),a.put("{widgetsPath}/table/src/view.html",'
            {{field.alias}}
            {{result[field.alias]}}
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            ')}])}(window),function(){angular.module("pdf",[]).directive("ngPdf",["$window",function(a){return{restrict:"E",templateUrl:function(a,b){return b.templateUrl?b.templateUrl:"partials/viewer.html"},link:function(b,c,d){var e=d.pdfUrl,f=null,g=d.page?d.page:1,h=d.scale>0?d.scale:1,i=c.find("canvas"),j=i[0],k=j.getContext("2d"),l=angular.element(a);l.on("scroll",function(){b.$apply(function(){b.scroll=l[0].scrollY})}),PDFJS.disableWorker=!0,b.pageNum=g,b.renderPage=function(a){f.getPage(a).then(function(a){var e,f,g,i={};"page-fit"!==d.scale||h?e=a.getViewport(h):(e=a.getViewport(1),f=c[0].clientWidth/e.width,g=c[0].clientHeight/e.height,h=Math.min(f,g)),j.height=e.height,j.width=e.width,i={canvasContext:k,viewport:e},a.render(i).promise.then(function(){"function"==typeof b.onPageRender&&b.onPageRender()})})},b.goPrevious=function(){b.pageToDisplay<=1||(b.pageNum=parseInt(b.pageNum)-1)},b.goNext=function(){b.pageToDisplay>=f.numPages||(b.pageNum=parseInt(b.pageNum)+1)},b.zoomIn=function(){return h=parseFloat(h)+.2,b.renderPage(b.pageToDisplay),h},b.zoomOut=function(){return h=parseFloat(h)-.2,b.renderPage(b.pageToDisplay),h},b.changePage=function(){b.renderPage(b.pageToDisplay)},b.rotate=function(){"rotate0"===j.getAttribute("class")?j.setAttribute("class","rotate90"):"rotate90"===j.getAttribute("class")?j.setAttribute("class","rotate180"):"rotate180"===j.getAttribute("class")?j.setAttribute("class","rotate270"):j.setAttribute("class","rotate0")},PDFJS.getDocument(e,null,null,b.onProgress).then(function(a){"function"==typeof b.onLoad&&b.onLoad(),f=a,b.renderPage(b.pageToDisplay),b.$apply(function(){b.pageCount=a.numPages})},function(a){a&&"function"==typeof b.onError&&b.onError(a)}),b.$watch("pageNum",function(a){b.pageToDisplay=parseInt(a),null!==f&&b.renderPage(b.pageToDisplay)})}}}])}();var Metronic=function(){var a,b=!1,c=!1,d=!1,e=!1,f=[],g="assets/",h="img/",i="plugins/",j="css/",k={blue:"#89C4F4",red:"#F3565D",green:"#1bbc9b",purple:"#9b59b6",grey:"#95a5a6",yellow:"#F8CB00"},l=function(){"rtl"===$("body").css("direction")&&(b=!0),c=!!navigator.userAgent.match(/MSIE 8.0/),d=!!navigator.userAgent.match(/MSIE 9.0/),e=!!navigator.userAgent.match(/MSIE 10.0/),e&&$("html").addClass("ie10"),(e||d||c)&&$("html").addClass("ie")},m=function(){for(var a=0;a .portlet-title > .tools > a.remove",function(a){a.preventDefault();var b=$(this).closest(".portlet");$("body").hasClass("page-portlet-fullscreen")&&$("body").removeClass("page-portlet-fullscreen"),b.find(".portlet-title .fullscreen").tooltip("destroy"),b.find(".portlet-title > .tools > .reload").tooltip("destroy"),b.find(".portlet-title > .tools > .remove").tooltip("destroy"),b.find(".portlet-title > .tools > .config").tooltip("destroy"),b.find(".portlet-title > .tools > .collapse, .portlet > .portlet-title > .tools > .expand").tooltip("destroy"),b.remove()}),$("body").on("click",".portlet > .portlet-title .fullscreen",function(a){a.preventDefault();var b=$(this).closest(".portlet");if(b.hasClass("portlet-fullscreen"))$(this).removeClass("on"),b.removeClass("portlet-fullscreen"),$("body").removeClass("page-portlet-fullscreen"),b.children(".portlet-body").css("height","auto");else{var c=Metronic.getViewPort().height-b.children(".portlet-title").outerHeight()-parseInt(b.children(".portlet-body").css("padding-top"))-parseInt(b.children(".portlet-body").css("padding-bottom"));$(this).addClass("on"),b.addClass("portlet-fullscreen"),$("body").addClass("page-portlet-fullscreen"),b.children(".portlet-body").css("height",c)}}),$("body").on("click",".portlet > .portlet-title > .tools > a.reload",function(a){a.preventDefault();var b=$(this).closest(".portlet").children(".portlet-body"),c=$(this).attr("data-url"),d=$(this).attr("data-error-display");c?(Metronic.blockUI({target:b,animate:!0,overlayColor:"none"}),$.ajax({type:"GET",cache:!1,url:c,dataType:"html",success:function(a){Metronic.unblockUI(b),b.html(a)},error:function(a,c,e){Metronic.unblockUI(b);var f="Error on reloading the content. Please check your connection and try again.";"toastr"==d&&toastr?toastr.error(f):"notific8"==d&&$.notific8?($.notific8("zindex",11500),$.notific8(f,{theme:"ruby",life:3e3})):alert(f)}})):(Metronic.blockUI({target:b,animate:!0,overlayColor:"none"}),window.setTimeout(function(){Metronic.unblockUI(b)},1e3))}),$('.portlet .portlet-title a.reload[data-load="true"]').click(),$("body").on("click",".portlet > .portlet-title > .tools > .collapse, .portlet .portlet-title > .tools > .expand",function(a){a.preventDefault()})},p=function(){if($().uniform){var a=$("input[type=checkbox]:not(.toggle, .make-switch, .icheck), input[type=radio]:not(.toggle, .star, .make-switch, .icheck)");a.size()>0&&a.each(function(){0===$(this).parents(".checker").size()&&$(this).show()})}},q=function(){$().iCheck&&$(".icheck").each(function(){var a=$(this).attr("data-checkbox")?$(this).attr("data-checkbox"):"icheckbox_minimal-grey",b=$(this).attr("data-radio")?$(this).attr("data-radio"):"iradio_minimal-grey";a.indexOf("_line")>-1||b.indexOf("_line")>-1?$(this).iCheck({checkboxClass:a,radioClass:b,insert:'
            '+$(this).attr("data-label")}):$(this).iCheck({checkboxClass:a,radioClass:b})})},r=function(){$().bootstrapSwitch&&$(".make-switch").bootstrapSwitch()},s=function(){$().confirmation&&$("[data-toggle=confirmation]").confirmation({container:"body",btnOkClass:"btn-xs btn-success",btnCancelClass:"btn-xs btn-danger"})},t=function(){$("body").on("shown.bs.collapse",".accordion.scrollable",function(a){Metronic.scrollTo($(a.target))})},u=function(){if(location.hash){var a=location.hash.substr(1);$('a[href="#'+a+'"]').parents(".tab-pane:hidden").each(function(){var a=$(this).attr("id");$('a[href="#'+a+'"]').click()}),$('a[href="#'+a+'"]').click()}},v=function(){$("body").on("hide.bs.modal",function(){$(".modal:visible").size()>1&&$("html").hasClass("modal-open")===!1?$("html").addClass("modal-open"):$(".modal:visible").size()<=1&&$("html").removeClass("modal-open")}),$("body").on("show.bs.modal",".modal",function(){$(this).hasClass("modal-scroll")&&$("body").addClass("modal-open-noscroll")}),$("body").on("hide.bs.modal",".modal",function(){$("body").removeClass("modal-open-noscroll")}),$("body").on("hidden.bs.modal",".modal:not(.modal-cached)",function(){$(this).removeData("bs.modal")})},w=function(){$(".tooltips").tooltip(),$(".portlet > .portlet-title .fullscreen").tooltip({container:"body",title:"Fullscreen"}),$(".portlet > .portlet-title > .tools > .reload").tooltip({container:"body",title:"Reload"}),$(".portlet > .portlet-title > .tools > .remove").tooltip({container:"body",title:"Remove"}),$(".portlet > .portlet-title > .tools > .config").tooltip({container:"body",title:"Settings"}),$(".portlet > .portlet-title > .tools > .collapse, .portlet > .portlet-title > .tools > .expand").tooltip({container:"body",title:"Collapse/Expand"})},x=function(){$("body").on("click",".dropdown-menu.hold-on-click",function(a){a.stopPropagation()})},y=function(){$("body").on("click",'[data-close="alert"]',function(a){$(this).parent(".alert").hide(),$(this).closest(".note").hide(),a.preventDefault()}),$("body").on("click",'[data-close="note"]',function(a){$(this).closest(".note").hide(),a.preventDefault()}),$("body").on("click",'[data-remove="note"]',function(a){$(this).closest(".note").remove(),a.preventDefault()})},z=function(){$('[data-hover="dropdown"]').not(".hover-initialized").each(function(){$(this).dropdownHover(),$(this).addClass("hover-initialized")})},A=function(){$(".popovers").popover(),$(document).on("click.bs.popover.data-api",function(b){a&&a.popover("hide")})},B=function(){Metronic.initSlimScroll(".scroller")},C=function(){jQuery.fancybox&&$(".fancybox-button").size()>0&&$(".fancybox-button").fancybox({groupAttr:"data-rel",prevEffect:"none",nextEffect:"none",closeBtn:!0,helpers:{title:{type:"inside"}}})},D=function(){(c||d)&&$("input[placeholder]:not(.placeholder-no-fix), textarea[placeholder]:not(.placeholder-no-fix)").each(function(){var a=$(this);""===a.val()&&""!==a.attr("placeholder")&&a.addClass("placeholder").val(a.attr("placeholder")),a.focus(function(){a.val()==a.attr("placeholder")&&a.val("")}),a.blur(function(){""!==a.val()&&a.val()!=a.attr("placeholder")||a.val(a.attr("placeholder"))})})},E=function(){$().select2&&$(".select2me").select2({placeholder:"Select"})};return{init:function(){l(),n(),p(),q(),r(),B(),C(),E(),o(),y(),x(),u(),w(),A(),t(),v(),s(),D()},initAjax:function(){p(),q(),r(),z(),B(),E(),C(),x(),w(),A(),t(),s()},initComponents:function(){this.initAjax()},setLastPopedPopover:function(b){a=b},addResizeHandler:function(a){f.push(a)},runResizeHandlers:function(){m()},scrollTo:function(a,b){var c=a&&a.size()>0?a.offset().top:0;a&&($("body").hasClass("page-header-fixed")&&(c-=$(".page-header").height()),c+=b?b:-1*a.height()),$("html,body").animate({scrollTop:c},"slow")},initSlimScroll:function(a){$(a).each(function(){if(!$(this).attr("data-initialized")){var a;a=$(this).attr("data-height")?$(this).attr("data-height"):$(this).css("height"),$(this).slimScroll({allowPageScroll:!0,size:"7px",color:$(this).attr("data-handle-color")?$(this).attr("data-handle-color"):"#bbb",wrapperClass:$(this).attr("data-wrapper-class")?$(this).attr("data-wrapper-class"):"slimScrollDiv",railColor:$(this).attr("data-rail-color")?$(this).attr("data-rail-color"):"#eaeaea",position:b?"left":"right",height:a,alwaysVisible:"1"==$(this).attr("data-always-visible"),railVisible:"1"==$(this).attr("data-rail-visible"),disableFadeOut:!0}),$(this).attr("data-initialized","1")}})},destroySlimScroll:function(a){$(a).each(function(){if("1"===$(this).attr("data-initialized")){$(this).removeAttr("data-initialized"),$(this).removeAttr("style");var a={};$(this).attr("data-handle-color")&&(a["data-handle-color"]=$(this).attr("data-handle-color")),$(this).attr("data-wrapper-class")&&(a["data-wrapper-class"]=$(this).attr("data-wrapper-class")),$(this).attr("data-rail-color")&&(a["data-rail-color"]=$(this).attr("data-rail-color")),$(this).attr("data-always-visible")&&(a["data-always-visible"]=$(this).attr("data-always-visible")),$(this).attr("data-rail-visible")&&(a["data-rail-visible"]=$(this).attr("data-rail-visible")),$(this).slimScroll({wrapperClass:$(this).attr("data-wrapper-class")?$(this).attr("data-wrapper-class"):"slimScrollDiv",destroy:!0});var b=$(this);$.each(a,function(a,c){b.attr(a,c)})}})},scrollTop:function(){Metronic.scrollTo()},blockUI:function(a){a=$.extend(!0,{},a);var b="";if(b=a.animate?'
            ':a.iconOnly?'
            ':a.textOnly?'
              '+(a.message?a.message:"LOADING...")+"
            ":'
              '+(a.message?a.message:"LOADING...")+"
            ",a.target){var c=$(a.target);c.height()<=$(window).height()&&(a.cenrerY=!0),c.block({message:b,baseZ:a.zIndex?a.zIndex:1e3,centerY:void 0!==a.cenrerY?a.cenrerY:!1,css:{top:"10%",border:"0",padding:"0",backgroundColor:"none"},overlayCSS:{backgroundColor:a.overlayColor?a.overlayColor:"#555",opacity:a.boxed?.05:.1,cursor:"wait"}})}else $.blockUI({message:b,baseZ:a.zIndex?a.zIndex:1e3,css:{border:"0",padding:"0",backgroundColor:"none"},overlayCSS:{backgroundColor:a.overlayColor?a.overlayColor:"#555",opacity:a.boxed?.05:.1,cursor:"wait"}})},unblockUI:function(a){a?$(a).unblock({onUnblock:function(){$(a).css("position",""),$(a).css("zoom","")}}):$.unblockUI()},startPageLoading:function(a){a&&a.animate?($(".page-spinner-bar").remove(),$("body").append('
            ')):($(".page-loading").remove(),$("body").append('
              '+(a&&a.message?a.message:"Loading...")+"
            "))},stopPageLoading:function(){$(".page-loading, .page-spinner-bar").remove()},alert:function(a){a=$.extend(!0,{container:"",place:"append",type:"success",message:"",close:!0,reset:!0,focus:!0,closeInSeconds:0,icon:""},a);var b=Metronic.getUniqueID("Metronic_alert"),c='
            '+(a.close?'':"")+(""!==a.icon?' ':"")+a.message+"
            ";return a.reset&&$(".Metronic-alerts").remove(),a.container?"append"==a.place?$(a.container).append(c):$(a.container).prepend(c):$("body").hasClass("page-container-bg-solid")?$(".page-title").after(c):$(".page-bar").size()>0?$(".page-bar").after(c):$(".page-breadcrumb").after(c),a.focus&&Metronic.scrollTo($("#"+b)),a.closeInSeconds>0&&setTimeout(function(){$("#"+b).remove()},1e3*a.closeInSeconds),b},initUniform:function(a){a?$(a).each(function(){0===$(this).parents(".checker").size()&&($(this).show(),$(this).uniform())}):p()},updateUniform:function(a){$.uniform.update(a)},initFancybox:function(){C()},getActualVal:function(a){return a=$(a),a.val()===a.attr("placeholder")?"":a.val()},getURLParameter:function(a){var b,c,d=window.location.search.substring(1),e=d.split("&");for(b=0;b a").each(function(){var a=$(this).attr("href").toLowerCase();return a.length>1&&d.substr(1,a.length-1)==a.substr(1)?void(b=$(this)):void 0}),b&&0!=b.size()&&"javascript:;"!==b.attr("href").toLowerCase()&&"#"!==b.attr("href").toLowerCase()){parseInt(e.data("slide-speed")),e.data("keep-expanded");e.find("li.active").removeClass("active"),e.find("li > a > .selected").remove(),e.hasClass("page-sidebar-menu-hover-submenu")===!1?e.find("li.open").each(function(){0===$(this).children(".sub-menu").size()&&($(this).removeClass("open"),$(this).find("> a > .arrow.open").removeClass("open"))}):e.find("li.open").removeClass("open"),b.parents("li").each(function(){$(this).addClass("active"),$(this).find("> a > span.arrow").addClass("open"),1===$(this).parent("ul.page-sidebar-menu").size()&&$(this).find("> a").append(''),1===$(this).children("ul.sub-menu").size()&&$(this).addClass("open")}),"click"===a&&Metronic.getViewPort().width a",function(a){var b=$(this).next().hasClass("sub-menu");if(!(Metronic.getViewPort().width>=c&&1===$(this).parents(".page-sidebar-menu-hover-submenu").size())){if(b===!1)return void(Metronic.getViewPort().width a.ajaxify",function(a){a.preventDefault(),Metronic.scrollTop();var b=$(this).attr("href"),d=jQuery(".page-sidebar ul"),e=($(".page-content"),$(".page-content .page-content-body"));d.children("li.active").removeClass("active"),d.children("arrow.open").removeClass("open"),$(this).parents("li").each(function(){$(this).addClass("active"),$(this).children("a > span.arrow").addClass("open")}),$(this).parents("li").addClass("active"),Metronic.getViewPort().width li.open > a").click(),Metronic.stopPageLoading(),e.html(a),Layout.fixContentHeight(),Metronic.initAjax()},error:function(a,b,c){Metronic.stopPageLoading(),e.html("

            Could not load the requested content.

            ")}})}),jQuery(".page-content").on("click",".ajaxify",function(a){a.preventDefault(),Metronic.scrollTop();var b=$(this).attr("href"),d=($(".page-content"),$(".page-content .page-content-body"));Metronic.startPageLoading(),Metronic.getViewPort().widthCould not load the requested content."),Metronic.stopPageLoading()}})}),i(),$(".page-sidebar").on("click",".sidebar-search .remove",function(a){a.preventDefault(),$(".sidebar-search").removeClass("open")}),$(".page-sidebar .sidebar-search").on("keypress","input.form-control",function(a){return 13==a.which?($(".sidebar-search").submit(),!1):void 0}),0!==$(".sidebar-search").size()&&($(".sidebar-search .input-group").on("click",function(a){a.stopPropagation()}),$("body").on("click",function(){$(".sidebar-search").hasClass("open")&&$(".sidebar-search").removeClass("open")}))},g=function(){var a=Metronic.getViewPort().height-$(".page-header").outerHeight();return $("body").hasClass("page-footer-fixed")&&(a-=$(".page-footer").outerHeight()),a},h=function(){var a=$(".page-sidebar-menu");return Metronic.destroySlimScroll(a),0===$(".page-sidebar-fixed").size()?void d():void(Metronic.getViewPort().width>=c&&(a.attr("data-height",g()),Metronic.initSlimScroll(a),d()))},i=function(){var a=$("body");a.hasClass("page-sidebar-fixed")&&$(".page-sidebar").on("mouseenter",function(){a.hasClass("page-sidebar-closed")&&$(this).find(".page-sidebar-menu").removeClass("page-sidebar-menu-closed")}).on("mouseleave",function(){a.hasClass("page-sidebar-closed")&&$(this).find(".page-sidebar-menu").addClass("page-sidebar-menu-closed")})},j=function(){$("body");$.cookie&&"1"===$.cookie("sidebar_closed")&&Metronic.getViewPort().width>=c?($("body").addClass("page-sidebar-closed"),$(".page-sidebar-menu").addClass("page-sidebar-menu-closed")):$.cookie&&$.cookie("sidebar_closed","0")},k=function(){$(".page-header").on("click",'.hor-menu a[data-toggle="tab"]',function(a){a.preventDefault();var b=$(".hor-menu .nav"),c=b.find("li.current");$("li.active",c).removeClass("active"),$(".selected",c).remove();var d=$(this).parents("li").last();d.addClass("current"),d.find("a:first").append('')}),$(".page-header").on("click",".search-form",function(a){$(this).addClass("open"),$(this).find(".form-control").focus(),$(".page-header .search-form .form-control").on("blur",function(a){$(this).closest(".search-form").removeClass("open"),$(this).unbind("blur")})}),$(".page-header").on("keypress",".hor-menu .search-form .form-control",function(a){return 13==a.which?($(this).closest(".search-form").submit(),!1):void 0}),$(".page-header").on("mousedown",".search-form.open .submit",function(a){a.preventDefault(),a.stopPropagation(),$(this).closest(".search-form").submit()}),$('[data-hover="megamenu-dropdown"]').not(".hover-initialized").each(function(){$(this).dropdownHover(),$(this).addClass("hover-initialized")}),$(document).on("click",".mega-menu-dropdown .dropdown-menu",function(a){a.stopPropagation()})},l=function(){$("body").on("shown.bs.tab",'a[data-toggle="tab"]',function(){d()})},m=function(){var a=300,b=500;navigator.userAgent.match(/iPhone|iPad|iPod/i)?$(window).bind("touchend touchcancel touchleave",function(c){$(this).scrollTop()>a?$(".scroll-to-top").fadeIn(b):$(".scroll-to-top").fadeOut(b)}):$(window).scroll(function(){$(this).scrollTop()>a?$(".scroll-to-top").fadeIn(b):$(".scroll-to-top").fadeOut(b)}),$(".scroll-to-top").click(function(a){return a.preventDefault(),$("html, body").animate({scrollTop:0},b),!1})},n=function(){var a,b=$(".full-height-content");if(a=Metronic.getViewPort().height-$(".page-header").outerHeight(!0)-$(".page-footer").outerHeight(!0)-$(".page-title").outerHeight(!0)-$(".page-bar").outerHeight(!0),b.hasClass("portlet")){var d=b.find(".portlet-body");if(Metronic.getViewPort().width\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_EXTRACTED_REPORTS\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n\n'),a.put("app/analytic/metric/list/create.modal.html",'\n\n\n'),a.put("app/analytic/metric/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_DEFAULT_METRICS\' | translate }} \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_METRICS\' | translate }} \n
            \n
            \n \n
            \n \n
            \n \n
            \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/analytic/metric/list/view.modal.html",'\n\n\n'),a.put("app/analytic/metric/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{metric.name}}\n
            \n \n
            \n \n\n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/analytic/metric/view/view.settings.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_METRIC\' | translate}} {{ \'APPLICATION_SETTINGS\' | translate}} \n
            \n \n
            \n\n
            \n
            \n \n
            \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{\'APPLICATION_\'+$select.selected.value.toUpperCase() | translate}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n \n
            \n
            \n \n\n
            \n
            \n
            \n
            \n
            \n'),a.put("app/analytic/report/list/copy.modal.html",'\n\n\n'),a.put("app/analytic/report/list/create.modal.html",'\n\n\n'),a.put("app/analytic/report/list/extract.modal.html",'\n\n\n'), a.put("app/analytic/report/list/list.html",'\n
            \n
            \n \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_FOLDERS\' | translate }} \n
            \n
            \n
            \n
            \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_REPORTS\' | translate }} - {{selectedNode}}\n
            \n
            \n \n
            \n \n \n {{\'APPLICATION_IMPORT_FROM_JSON\' | translate}}\n \n \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n\n'),a.put("app/analytic/report/list/preview.modal.html",'\n\n\n'),a.put("app/analytic/report/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{report.name}}\n
            \n \n
            \n \n\n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/analytic/report/view/view.settings.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_REPORT\' | translate}} {{ \'APPLICATION_SETTINGS\' | translate}} \n
            \n \n
            \n\n
            \n\n
            \n \n
            \n
            \n\n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n\n\n \n
            \n\n
            \n \n
            \n
            \n
            \n \n \n {{\'APPLICATION_\'+$select.selected.value.toUpperCase() | translate}}\n \n
            \n
            \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_FIELDS\' | translate}} \n
            \n
            \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n
            {{ \'APPLICATION_FIELD\' | translate }} / {{ \'APPLICATION_METRIC\' | translate }}{{ \'APPLICATION_ALIAS\' | translate }}{{ \'APPLICATION_FUNCTION\' | translate }}{{ \'APPLICATION_FORMAT\' | translate }}{{ \'APPLICATION_GROUP_BY\' | translate }}{{ \'APPLICATION_ORDER_BY\' | translate }}
            \n \n
            \n \n \n \n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n
            \n \n
            \n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n
            \n \n
            \n \n
            \n \n \n {{$select.selected.name | translate}}\n \n {{$translate.instant(groupBy.name)}}\n \n \n
            \n \n
            \n \n
            \n \n \n {{$select.selected}}\n \n
            \n
            \n
            \n
            \n \n
            \n \n \n \n
            \n {{ \'MESSAGE_ADD_A_FIELD\' | translate }}\n
            \n \n \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_CONDITIONS\' | translate}} \n
            \n
            \n
            \n Output
            \n \n
            \n\n \n
            \n \n
            \n
            \n
            \n
            \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/channels/chat/offline/list/list.html",'\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_VISITORS\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/chat/offline/view/view.detail.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_DETAIL\' | translate}}\n
            \n
            \n
            \n
            \n
            \n

            \n {{\'APPLICATION_MESSAGE\' | translate}}\n
            \n

            \n
            \n \n
            \n

            \n {{chatVisitor.ChatEnquiry.text}}\n

            \n
            \n
            \n \n
            \n
            \n
            \n
            \n'),a.put("app/channels/chat/offline/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{chatVisitor.ChatEnquiry.email}}\n
            \n
            \n {{chatVisitor.ChatEnquiry.username}}\n
            \n
            \n \n\n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/chat/online/list/list.html",'\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_VISITORS\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/chat/online/view/view.detail.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_DETAIL\' | translate}}\n
            \n
            \n \n
            \n
            \n
            \n'), a.put("app/channels/chat/online/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{chatRoom.ChatVisitor.email}}\n
            \n
            \n {{chatRoom.ChatVisitor.fullname}}\n
            \n
            \n \n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/chat/preview/preview.html",'\n
            \n
            \n \n \n \n \n \n \n {{statePreview.state == \'online\' ? chatWebsite.header_online : chatWebsite.header_offline}}\n
            \n
            \n
            \n \n
            \n
            \n

            \n
            \n
            \n
            \n \n \n
            \n
            \n
            \n \n \n
            \n
            \n
            \n \n \n
            \n
            \n \n
            \n
            \n
            \n \n
            \n
            \n

            {{chatWebsite.rating_message}}

            \n
            \n
            \n \n \n
            \n
            \n \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n'),a.put("app/channels/chat/queue/list/list.create.modal.html",'\n\n\n'),a.put("app/channels/chat/queue/list/list.html",'\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_QUEUES\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/chat/queue/view/view.agents.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_AGENTS\' | translate}}\n
            \n
            \n
            \n \n
            \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n'),a.put("app/channels/chat/queue/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{queue.name}}\n
            \n
            \n \n\n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/chat/queue/view/view.settings.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_SETTINGS\' | translate}}\n
            \n
            \n
            \n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_PATTERN_NAME\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n \n {{$select.selected.toUpperCase()}}\n \n
            \n
            \n
            \n
            \n \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/channels/chat/realtime/view/agent/agent.html",'\n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/chat/realtime/view/agent/agent.modal.info.html",'\n\n\n'),a.put("app/channels/chat/realtime/view/agent/queue.modal.add.html",'\n\n\n'), a.put("app/channels/chat/realtime/view/application/application.html",'\n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/chat/realtime/view/view.html",'\n\n\n'),a.put("app/channels/chat/room/external/list/list.html",'\n
            \n
            \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n
            \n \n \n \n \n \n \n
            \n
            \n
            \n \n
            \n
            \n
            \n \n \n \n
            \n
            \n \n {{$item}}\n \n {{tag}}\n \n \n
            \n
            \n
            \n \n
            \n
            \n \n \n \n\n \n\n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/chat/room/group/list/list.html",'\n
            \n
            \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n
            \n \n \n \n
            \n
            \n
            \n \n
            \n
            \n
            \n \n \n \n
            \n
            \n \n {{$item}}\n \n {{tag}}\n \n \n
            \n
            \n
            \n \n
            \n
            \n \n \n \n\n \n\n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/chat/room/internal/list/list.html",'\n
            \n
            \n \n \n \n\n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/chat/room/room.add.html",'\n\n\n\n\n'),a.put("app/channels/chat/room/room.close.html",'\n\n\n'),a.put("app/channels/chat/room/room.directive.html",'
            \n
            \n
            \n \n | \n {{chatRoom.status}}\n | \n {{chatRoom.ChatWebsite.name | truncate: 25: \'...\': true}}\n
            \n
            \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n \n \n \n
            \n
            \n \n {{$item}}\n \n {{tag}}\n \n \n
            \n
            \n
            \n \n
            \n
            \n \n \n \n \n \n \n {{\'APPLICATION_ROOM_ID\' | translate}}:

            {{chatRoom.id}}

            \n {{\'APPLICATION_NAME\' | translate}}:

            {{chatRoom.ChatVisitor.fullname}}

            \n {{\'APPLICATION_EMAIL\' | translate}}:

            {{chatRoom.ChatVisitor.email}}

            \n {{\'APPLICATION_REMOTE_ADDRESS\' | translate}}:

            {{chatRoom.ChatVisitor.remote_address}}

            \n {{\'APPLICATION_BROWSER\' | translate}}:

            {{chatRoom.ChatVisitor.browser}}

            \n {{\'APPLICATION_OS\' | translate}}:

            {{chatRoom.ChatVisitor.os}}

            \n
            \n
            \n\n \n \n \n \n \n {{\'APPLICATION_NAME\' | translate}}:

            {{user.fullname}}

            \n {{\'APPLICATION_ROLE\' | translate}}:

            {{user.role}}

            \n {{\'APPLICATION_INTERNAL\' | translate}}:

            {{user.internal}}

            \n {{\'APPLICATION_EMAIL\' | translate}}:

            {{user.email}}

            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n \n
            \n
            \n \n \n \n \n
            \n
            \n
            \n
            \n
            \n \n \n \n
            \n
            \n \n \n
            \n No Results Found\n
            \n \n'+" {{'APPLICATION_WARNING' | translate}}! {{'MESSAGE_REQUIRED_FIELD' | translate}}.\n \n
            \n
            \n\n
            \n
            \n
            \n
            \n
            \n
            \n"),a.put("app/channels/chat/room/room.html",'\n\n\n'),a.put("app/channels/chat/room/template.html",'
            \n
            \n
            \n
            \n \n \n
            \n
            \n \n
            \n {{video.description}}\n
            \n
            \n {{video.views}}\n {{video.likes}}\n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/chat/room/view.tags.modal.html",'\n\n\n'),a.put("app/channels/chat/visitor/list/list.html",'\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_VISITORS\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/chat/visitor/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{chatVisitor.fullname}}\n
            \n
            \n \n\n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/chat/visitor/view/view.profile.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_PROFILE\' | translate}}\n
            \n
            \n \n
            \n
            \n
            \n'),a.put("app/channels/chat/website/list/list.html",'\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_WEB_SITES\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'), a.put("app/channels/chat/website/view/action/list/list.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_ACTIONS\' | translate}}\n
            \n \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n
            \n
            \n'),a.put("app/channels/chat/website/view/action/view/view.html",'\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACTION\' | translate }} \n
            \n
            \n
            \n
            \n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n\n
            \n \n
            \n \n \n {{$select.selected.fullname}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n\n \n
            \n \n \n {{ $select.selected.name | translate }}\n \n {{ intType.name | translate }}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n \n
            \n
            \n \n
            \n
            to
            \n
            \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{ $select.selected.name | translate }}\n \n {{ weekDay.name | translate}}\n \n \n to\n \n {{ $select.selected.name | translate }}\n \n {{ weekDay.name | translate }}\n \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{$select.selected}}\n \n {{monthDay}}\n \n \n to\n \n {{$select.selected}}\n \n {{monthDay}}\n \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{ $select.selected.name | translate }}\n \n {{ month.name | translate }}\n \n \n to\n \n {{ $select.selected.name | translate }}\n \n {{ month.name | translate }}\n \n \n
            \n
            \n \n
            \n\n
            \n\n \n
            \n \n \n {{ $select.selected.name}}\n \n {{ interval.name}}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/chat/website/view/action/wizard/wizard.html",'\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACTION\' | translate }} WIZARD \n
            \n
            \n
            \n \n \n
            \n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n\n
            \n \n
            \n \n \n {{$select.selected.fullname}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n\n \n
            \n \n \n {{ $select.selected.name | translate }}\n \n {{ intType.name | translate }}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n \n
            \n
            \n \n
            \n
            to
            \n
            \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{ $select.selected.name | translate }}\n \n {{ weekDay.name | translate}}\n \n \n to\n \n {{ $select.selected.name | translate }}\n \n {{ weekDay.name | translate }}\n \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{$select.selected}}\n \n {{monthDay}}\n \n \n to\n \n {{$select.selected}}\n \n {{monthDay}}\n \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{ $select.selected.name | translate }}\n \n {{ month.name | translate }}\n \n \n to\n \n {{ $select.selected.name | translate }}\n \n {{ month.name | translate }}\n \n \n
            \n
            \n \n
            \n\n
            \n\n \n
            \n \n \n {{ $select.selected.name}}\n \n {{ interval.name}}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n\n \n
            \n
            \n \n

            {{\'MESSAGE_CONFIGURATION_COMPLETED\' | translate}}

            \n \n \n
            \n
            \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/chat/website/view/disposition/modal/add.modal.html",'\n\n\n'),a.put("app/channels/chat/website/view/disposition/view/view.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_DISPOSITIONS\' | translate}}\n
            \n
            \n \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n

            Info! {{\'MESSAGE_NO_DISPOSITIONS_AVAILABLE\' | translate}}

            \n
            \n
            \n
            \n\n \n
            \n
            \n
            \n
            \n \n
            \n \n \n \n \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/channels/chat/website/view/embedding/view/view.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_EMBEDDING\' | translate}}\n
            \n \n
            \n
            \n
            \n
            \n

            {{\'APPLICATION_EMBED_SNIPPET\' | translate}}

            \n

            \n {{\'MESSAGE_EMBED_SNIPPET\' | translate}}\n

            \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n'), a.put("app/channels/chat/website/view/form/view/view.html",'
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_FIELDS_SELECTED\' | translate }}\n
            \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_FIELDS_AVAILABLE\' | translate }}\n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_FIELDS_SELECTED\' | translate }}\n
            \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_FIELDS_AVAILABLE\' | translate }}\n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/channels/chat/website/view/proactive/list/list.create.modal.html",'\n\n\n'),a.put("app/channels/chat/website/view/proactive/list/list.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_PROACTIVE_ACTIONS\' | translate}}\n
            \n \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n
            \n
            \n'),a.put("app/channels/chat/website/view/setting/view/view.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_SETTINGS\' | translate}}\n
            \n
            \n
            \n
            \n \n
            \n \n
            \n\n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n\n \n \n \n\n
            \n
            \n \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/channels/chat/website/view/snippet/view/view.html",'
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n\n \n
            \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{$translate.instant(\'APPLICATION_\' + $select.selected.toUpperCase())}}\n \n
            \n
            \n
            \n
            \n \n\n \n
            \n \n \n \n {{$select.selected ? \'On\' : \'Off\'}}\n \n
            \n
            \n
            \n
            \n \n\n\n \n
            \n
            \n \n\n \n
            \n
            \n\n \n
            \n \n \n {{$select.selected ? \'On\' : \'Off\'}}\n \n
            \n
            \n
            \n
            \n \n\n \n
            \n
            \n \n\n \n
            \n
            \n\n

            {{\'APPLICATION_ONLINE_STATE\' | translate}}

            \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n

            {{\'APPLICATION_RATING_STATE\' | translate}}

            \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n

            {{\'APPLICATION_OFFLINE_STATE\' | translate}}

            \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n
            \n \n\n \n
            \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n
            \n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_NUMERIC_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n
            \n \n\n \n
            \n
            \n\n \n
            \n
            \n \n
            \n \n\n \n
            \n
            \n \n {{\'MESSAGE_REMEMBER_SMTP_SERVICE_ACCOUNT\' | translate}}.\n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n
            \n \n
            \n
            \n
            \n \n
            \n \n \n \n {{$select.selected}}\n \n
            \n
            \n
            \n
            \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n'), -a.put("app/channels/chat/website/view/view.html",'\n\n\n'),a.put("app/channels/chat/website/wizard/wizard.html",'\n\n\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_WEB_SITE\' | translate }} WIZARD \n
            \n
            \n
            \n \n \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n \n
            \n
            \n\n \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{$translate.instant(\'APPLICATION_\' + $select.selected.toUpperCase())}}\n \n
            \n
            \n
            \n
            \n \n\n \n
            \n \n \n {{$select.selected ? \'On\' : \'Off\'}}\n \n
            \n
            \n
            \n
            \n \n\n\n \n
            \n
            \n\n \n
            \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n
            \n\n \n
            \n\n \n
            \n \n \n {{$select.selected ? \'On\' : \'Off\'}}\n \n
            \n
            \n
            \n
            \n \n\n \n
            \n
            \n\n \n

            {{\'MESSAGE_CONFIGURATION_COMPLETED\' | translate}}

            \n \n
            \n
            \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/fax/account/list/list.create.modal.html",'\n\n\n'),a.put("app/channels/fax/account/list/list.html",'\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACCOUNTS\' | translate }} \n
            \n
            \n \n
            \n \n \n
            \n
            \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/fax/account/view/action/list/list.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_ACTIONS\' | translate}}\n
            \n \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n
            \n
            \n'), -a.put("app/channels/fax/account/view/action/view/view.html",'\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACTION\' | translate }} \n
            \n
            \n
            \n
            \n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n
            \n

            Warning!

            \n

            \n {{ \'MESSAGE_NO_QUEUES_AVAILABLE\' | translate }}\n

            \n

            \n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_QUEUES\' | translate}}\n

            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n\n
            \n \n
            \n \n \n {{$select.selected.fullname}}\n \n
            \n
            \n
            \n
            \n

            Warning!

            \n

            \n {{ \'MESSAGE_NO_AGENTS_AVAILABLE\' | translate }}\n

            \n

            \n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_QUEUES\' | translate}}\n

            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n\n \n
            \n \n \n {{ $select.selected.name | translate }}\n \n {{ intType.name | translate }}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n \n
            \n
            \n \n
            \n
            to
            \n
            \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{ $select.selected.name | translate }}\n \n {{ weekDay.name | translate}}\n \n \n to\n \n {{ $select.selected.name | translate }}\n \n {{ weekDay.name | translate }}\n \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{$select.selected}}\n \n {{monthDay}}\n \n \n to\n \n {{$select.selected}}\n \n {{monthDay}}\n \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{ $select.selected.name | translate }}\n \n {{ month.name | translate }}\n \n \n to\n \n {{ $select.selected.name | translate }}\n \n {{ month.name | translate }}\n \n \n
            \n
            \n \n
            \n\n
            \n\n \n
            \n \n \n {{ $select.selected.name}}\n \n {{ interval.name}}\n \n \n
            \n

            Warning!

            \n

            \n {{ \'MESSAGE_NO_INTERVALS_AVAILABLE\' | translate }}\n

            \n

            \n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_INTERVALS\' | translate}}\n

            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/fax/account/view/action/wizard/wizard.html",'\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACTION\' | translate }} WIZARD \n
            \n
            \n
            \n \n \n
            \n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n
            \n

            Warning!

            \n

            \n {{ \'MESSAGE_NO_QUEUES_AVAILABLE\' | translate }}\n

            \n

            \n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_QUEUES\' | translate}}\n

            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n\n
            \n \n
            \n \n \n {{$select.selected.fullname}}\n \n
            \n
            \n
            \n
            \n

            Warning!

            \n

            \n {{ \'MESSAGE_NO_AGENTS_AVAILABLE\' | translate }}\n

            \n

            \n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_QUEUES\' | translate}}\n

            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n\n \n
            \n \n \n {{ $select.selected.name | translate }}\n \n {{ intType.name | translate }}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n \n
            \n
            \n \n
            \n
            to
            \n
            \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{ $select.selected.name | translate }}\n \n {{ weekDay.name | translate}}\n \n \n to\n \n {{ $select.selected.name | translate }}\n \n {{ weekDay.name | translate }}\n \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{$select.selected}}\n \n {{monthDay}}\n \n \n to\n \n {{$select.selected}}\n \n {{monthDay}}\n \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{ $select.selected.name | translate }}\n \n {{ month.name | translate }}\n \n \n to\n \n {{ $select.selected.name | translate }}\n \n {{ month.name | translate }}\n \n \n
            \n
            \n \n
            \n\n
            \n\n \n
            \n \n \n {{ $select.selected.name}}\n \n {{ interval.name}}\n \n \n
            \n

            Warning!

            \n

            \n {{ \'MESSAGE_NO_INTERVALS_AVAILABLE\' | translate }}\n

            \n

            \n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_INTERVALS\' | translate}}\n

            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n\n \n
            \n
            \n \n

            {{\'MESSAGE_CONFIGURATION_COMPLETED\' | translate}}

            \n \n \n
            \n
            \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/fax/account/view/disposition/modal/add.modal.html",'\n\n\n'),a.put("app/channels/fax/account/view/disposition/view/view.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_DISPOSITIONS\' | translate}}\n
            \n
            \n \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n

            Info! {{\'MESSAGE_NO_DISPOSITIONS_AVAILABLE\' | translate}}

            \n
            \n
            \n
            \n\n \n
            \n
            \n
            \n
            \n \n
            \n \n \n \n \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n'), -a.put("app/channels/fax/account/view/setting/view/view.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_SETTINGS\' | translate}}\n
            \n \n
            \n
            \n
            \n \n
            \n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'DESCRIPTION_HEADERINFO\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n
            \n

            Warning!

            \n

            \n {{ \'MESSAGE_NO_TRUNKS_AVAILABLE\' | translate }}\n

            \n

            \n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_INTERVALS\' | translate}}\n

            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'DESCRIPTION_LOCALSTATIONID\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n\n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n\n \n \n \n\n
            \n
            \n \n\n \n
            \n
            \n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_NUMERIC_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n
            \n \n\n \n
            \n
            \n
            \n
            \n \n
            \n
            \n \n \n {{\'DESCRIPTION_ECM\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected}}\n \n
            \n
            \n
            \n \n {{\'DESCRIPTION_MINRATE\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected}}\n \n
            \n
            \n
            \n \n {{\'DESCRIPTION_MAXRATE\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'DESCRIPTION_MODEM\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n
            \n \n
            \n \n \n \n {{\'DESCRIPTION_GATEWAY\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_PATTERN_GATEWAY\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'DESCRIPTION_FAXDETECT\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_PATTERN_FAXDETECT\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'DESCRIPTION_T38TIMEOUT\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_T38TIMEOUT\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n
            \n\n \n
            \n
            \n \n\n
            \n
            \n
            \n
            \n
            \n'),a.put("app/channels/fax/account/view/view.html",'\n
            \n
            \n \n\n\n\n\n \n
            \n \n
            \n \n
            \n \n
            \n \n\n \n
            \n
            \n {{ account.name || account.description }}\n
            \n
            \n {{ account.phone }}\n
            \n
            \n \n\n \n \n \n
            \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/fax/account/wizard/wizard.html",'\n\n\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACCOUNT\' | translate }} WIZARD \n
            \n
            \n
            \n \n \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'DESCRIPTION_HEADERINFO\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n
            \n

            Warning!

            \n

            \n {{ \'MESSAGE_NO_TRUNKS_AVAILABLE\' | translate }}\n

            \n

            \n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_INTERVALS\' | translate}}\n

            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'DESCRIPTION_LOCALSTATIONID\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n\n
            \n
            \n\n \n
            \n\n \n
            \n
            \n \n \n {{\'DESCRIPTION_ECM\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected}}\n \n
            \n
            \n
            \n \n {{\'DESCRIPTION_MINRATE\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected}}\n \n
            \n
            \n
            \n \n {{\'DESCRIPTION_MAXRATE\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'DESCRIPTION_MODEM\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'DESCRIPTION_GATEWAY\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_PATTERN_GATEWAY\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'DESCRIPTION_FAXDETECT\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_PATTERN_FAXDETECT\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'DESCRIPTION_T38TIMEOUT\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_T38TIMEOUT\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n \n\n
            \n
            \n\n \n

            {{\'MESSAGE_CONFIGURATION_COMPLETED\' | translate}}

            \n \n \n
            \n\n
            \n\n
            \n
            \n \n
            \n
            \n\n'), -a.put("app/channels/fax/inbox/message/message.directive.html",'
            \n
            \n
            \n From: \n [{{message.from}}]\n
            \n To: \n [{{message.to}}]\n
            \n
            \n {{\'APPLICATION_SENT_BY\' | translate}}: {{message.User.fullname}}\n
            \n
            \n
            \n \n \n {{message.status | uppercase}}\n \n \n {{message.status | uppercase}}\n \n \n {{message.status | uppercase}}\n \n \n {{message.status | uppercase}}\n \n \n \n \n \n
            \n
            \n {{faxAttachment.name}}\n \n \n \n
            \n
            \n'),a.put("app/channels/fax/inbox/note/note.directive.html",'
            \n
            \n
            \n \n \n \n\n \n
            \n
            \n {{\'APPLICATION_ADD_BY\' | translate}}: {{message.User.fullname}}\n
            \n
            \n
            \n \n
            \n'),a.put("app/channels/fax/inbox/note/note.modal.html",'\n\n\n'),a.put("app/channels/fax/inbox/room/room.directive.html",'\n
            \n
            \n
            \n
            \n \n | \n #{{faxRoom.id}} {{faxRoom.status}}\n | \n {{faxRoom.from}}\n
            \n
            \n \n \n \n \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n \n \n \n
            \n
            \n \n {{$item}}\n \n {{tag}}\n \n \n
            \n
            \n
            \n \n
            \n\n
            \n\n \n\n
            \n
              \n \n
              \n \n \n
              \n \n \n \n
            \n
            \n
            \n
            \n
            \n

            Info! {{\'MESSAGE_FAX_ROOM_CLOSED\' | translate}}

            \n

            \n {{\'MESSAGE_FAX_ROOM_CLOSED_DETAILS\' | translate}}.\n

            \n
            \n
            \n \n
            \n\n \n
            \n
            \n
            \n
            \n \n
            \n
            \n \n {{$select.selected.name}}\n \n
            \n \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n
            \n
            \n
            \n \n\n \n
            \n
            \n
            \n
            \n \n
            \n
            \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n
            \n
            \n
            \n \n\n \n
            \n
            \n \n \n \n \n \n \n \n \n \n \n \n
            {{ item.file.name }}{{ item.file.size/1024/1024|number:2 }} MB\n
            \n
            \n
            \n
            \n \n \n \n \n \n
            \n
            \n
            \n
            \n
            \n \n\n
            \n
            \n
            \n \n \n
            \n
            \n
            \n\n
            \n
            \n
            \n
            \n
            \n
            \n
            \n\n'),a.put("app/channels/fax/inbox/status/status.modal.html",'\n\n\n'),a.put("app/channels/fax/inbox/view/account/list/list.html",'\n
            \n
            \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n
            \n \n \n \n
            \n
            \n \n {{$item}}\n \n {{tag}}\n \n \n
            \n
            \n
            \n \n
            \n
            \n \n \n \n\n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/fax/inbox/view/view.agents.modal.html",'\n\n\n'),a.put("app/channels/fax/inbox/view/view.html",'\n\n\n
            \n
            \n \n
            \n

            Warning!

            \n

            \n {{ \'MESSAGE_NO_AVAILABLE_ACCOUNTS\' | translate }}\n

            \n

            \n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_ACCOUNTS\' | translate}}\n

            \n
            \n
            \n\n
            \n \n
            \n
            \n
            \n

            Info! {{\'APPLICATION_NO_ACCOUNT_SELECTED\' | translate}}

            \n
            \n
            \n
            \n \n
            \n
            \n'),a.put("app/channels/fax/inbox/view/view.status.modal.html",'\n\n\n'),a.put("app/channels/fax/inbox/view/view.tags.modal.html",'\n\n\n'),a.put("app/channels/fax/queue/list/list.create.modal.html",'\n\n\n'),a.put("app/channels/fax/queue/list/list.html",'\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_QUEUES\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/fax/queue/view/view.agents.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_AGENTS\' | translate}}\n
            \n
            \n
            \n \n
            \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n'),a.put("app/channels/fax/queue/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{queue.name}}\n
            \n \n
            \n \n\n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'), +a.put("app/channels/chat/website/view/view.html",'\n\n\n'),a.put("app/channels/chat/website/wizard/wizard.html",'\n\n\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_WEB_SITE\' | translate }} WIZARD \n
            \n
            \n
            \n \n \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n \n
            \n
            \n\n \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{$translate.instant(\'APPLICATION_\' + $select.selected.toUpperCase())}}\n \n
            \n
            \n
            \n
            \n \n\n \n
            \n \n \n {{$select.selected ? \'On\' : \'Off\'}}\n \n
            \n
            \n
            \n
            \n \n\n\n \n
            \n
            \n\n \n
            \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n
            \n\n \n
            \n\n \n
            \n \n \n {{$select.selected ? \'On\' : \'Off\'}}\n \n
            \n
            \n
            \n
            \n \n\n \n
            \n
            \n\n \n

            {{\'MESSAGE_CONFIGURATION_COMPLETED\' | translate}}

            \n \n
            \n
            \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/fax/account/list/list.create.modal.html",'\n\n\n'),a.put("app/channels/fax/account/list/list.html",'\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACCOUNTS\' | translate }} \n
            \n
            \n \n
            \n \n \n
            \n
            \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/fax/account/view/action/list/list.html",'
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n {{\'APPLICATION_ACTIONS\' | translate}}\r\n
            \r\n \r\n
            \r\n
            \r\n \r\n
            \r\n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n'), +a.put("app/channels/fax/account/view/action/view/view.html",'\r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n \r\n {{ \'APPLICATION_ACTION\' | translate }} \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n \r\n \r\n {{$select.selected.name}}\r\n \r\n
            \r\n
            \r\n
            \r\n \r\n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\r\n \r\n
            \r\n \r\n\r\n \r\n
            \r\n \r\n \r\n \r\n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\r\n \r\n
            \r\n \r\n\r\n
            \r\n \r\n
            \r\n \r\n \r\n {{$select.selected.name}}\r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n

            Warning!

            \r\n

            \r\n {{ \'MESSAGE_NO_QUEUES_AVAILABLE\' | translate }}\r\n

            \r\n

            \r\n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_QUEUES\' | translate}}\r\n

            \r\n
            \r\n \r\n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\r\n \r\n
            \r\n \r\n
            \r\n\r\n
            \r\n \r\n
            \r\n \r\n \r\n {{$select.selected.fullname}}\r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n

            Warning!

            \r\n

            \r\n {{ \'MESSAGE_NO_AGENTS_AVAILABLE\' | translate }}\r\n

            \r\n

            \r\n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_QUEUES\' | translate}}\r\n

            \r\n
            \r\n \r\n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\r\n \r\n
            \r\n \r\n
            \r\n\r\n \r\n
            \r\n \r\n \r\n {{ $select.selected.name | translate }}\r\n \r\n {{ intType.name | translate }}\r\n \r\n \r\n \r\n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\r\n \r\n
            \r\n \r\n\r\n
            \r\n \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n
            \r\n
            to
            \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n\r\n \r\n
            \r\n \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n\r\n \r\n
            \r\n \r\n
            \r\n \r\n {{ $select.selected.name | translate }}\r\n \r\n {{ weekDay.name | translate}}\r\n \r\n \r\n to\r\n \r\n {{ $select.selected.name | translate }}\r\n \r\n {{ weekDay.name | translate }}\r\n \r\n \r\n
            \r\n
            \r\n \r\n\r\n \r\n
            \r\n \r\n
            \r\n \r\n {{$select.selected}}\r\n \r\n {{monthDay}}\r\n \r\n \r\n to\r\n \r\n {{$select.selected}}\r\n \r\n {{monthDay}}\r\n \r\n \r\n
            \r\n
            \r\n \r\n\r\n \r\n
            \r\n \r\n
            \r\n \r\n {{ $select.selected.name | translate }}\r\n \r\n {{ month.name | translate }}\r\n \r\n \r\n to\r\n \r\n {{ $select.selected.name | translate }}\r\n \r\n {{ month.name | translate }}\r\n \r\n \r\n
            \r\n
            \r\n \r\n
            \r\n\r\n
            \r\n\r\n \r\n
            \r\n \r\n \r\n {{ $select.selected.name}}\r\n \r\n {{ interval.name}}\r\n \r\n \r\n
            \r\n

            Warning!

            \r\n

            \r\n {{ \'MESSAGE_NO_INTERVALS_AVAILABLE\' | translate }}\r\n

            \r\n

            \r\n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_INTERVALS\' | translate}}\r\n

            \r\n
            \r\n \r\n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\r\n \r\n
            \r\n \r\n\r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n\r\n'),a.put("app/channels/fax/account/view/action/wizard/wizard.html",'\r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n \r\n {{ \'APPLICATION_ACTION\' | translate }} WIZARD \r\n
            \r\n
            \r\n
            \r\n \r\n \r\n
            \r\n \r\n
            \r\n \r\n \r\n {{$select.selected.name}}\r\n \r\n
            \r\n
            \r\n
            \r\n \r\n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\r\n \r\n
            \r\n \r\n\r\n \r\n
            \r\n \r\n \r\n \r\n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\r\n \r\n
            \r\n \r\n\r\n
            \r\n \r\n
            \r\n \r\n \r\n {{$select.selected.name}}\r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n

            Warning!

            \r\n

            \r\n {{ \'MESSAGE_NO_QUEUES_AVAILABLE\' | translate }}\r\n

            \r\n

            \r\n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_QUEUES\' | translate}}\r\n

            \r\n
            \r\n \r\n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\r\n \r\n
            \r\n \r\n
            \r\n\r\n
            \r\n \r\n
            \r\n \r\n \r\n {{$select.selected.fullname}}\r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n

            Warning!

            \r\n

            \r\n {{ \'MESSAGE_NO_AGENTS_AVAILABLE\' | translate }}\r\n

            \r\n

            \r\n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_QUEUES\' | translate}}\r\n

            \r\n
            \r\n \r\n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\r\n \r\n
            \r\n \r\n
            \r\n\r\n \r\n
            \r\n \r\n \r\n {{ $select.selected.name | translate }}\r\n \r\n {{ intType.name | translate }}\r\n \r\n \r\n \r\n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\r\n \r\n
            \r\n \r\n\r\n
            \r\n \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n
            \r\n
            to
            \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n\r\n \r\n
            \r\n \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n\r\n \r\n
            \r\n \r\n
            \r\n \r\n {{ $select.selected.name | translate }}\r\n \r\n {{ weekDay.name | translate}}\r\n \r\n \r\n to\r\n \r\n {{ $select.selected.name | translate }}\r\n \r\n {{ weekDay.name | translate }}\r\n \r\n \r\n
            \r\n
            \r\n \r\n\r\n \r\n
            \r\n \r\n
            \r\n \r\n {{$select.selected}}\r\n \r\n {{monthDay}}\r\n \r\n \r\n to\r\n \r\n {{$select.selected}}\r\n \r\n {{monthDay}}\r\n \r\n \r\n
            \r\n
            \r\n \r\n\r\n \r\n
            \r\n \r\n
            \r\n \r\n {{ $select.selected.name | translate }}\r\n \r\n {{ month.name | translate }}\r\n \r\n \r\n to\r\n \r\n {{ $select.selected.name | translate }}\r\n \r\n {{ month.name | translate }}\r\n \r\n \r\n
            \r\n
            \r\n \r\n
            \r\n\r\n
            \r\n\r\n \r\n
            \r\n \r\n \r\n {{ $select.selected.name}}\r\n \r\n {{ interval.name}}\r\n \r\n \r\n
            \r\n

            Warning!

            \r\n

            \r\n {{ \'MESSAGE_NO_INTERVALS_AVAILABLE\' | translate }}\r\n

            \r\n

            \r\n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_INTERVALS\' | translate}}\r\n

            \r\n
            \r\n \r\n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\r\n \r\n
            \r\n \r\n\r\n
            \r\n\r\n \r\n
            \r\n
            \r\n \r\n

            {{\'MESSAGE_CONFIGURATION_COMPLETED\' | translate}}

            \r\n \r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n\r\n'),a.put("app/channels/fax/account/view/disposition/modal/add.modal.html",'\n\n\n'), +a.put("app/channels/fax/account/view/disposition/view/view.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_DISPOSITIONS\' | translate}}\n
            \n
            \n \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n

            Info! {{\'MESSAGE_NO_DISPOSITIONS_AVAILABLE\' | translate}}

            \n
            \n
            \n
            \n\n \n
            \n
            \n
            \n
            \n \n
            \n \n \n \n \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/channels/fax/account/view/setting/view/view.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_SETTINGS\' | translate}}\n
            \n \n
            \n
            \n
            \n \n
            \n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'DESCRIPTION_HEADERINFO\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n
            \n

            Warning!

            \n

            \n {{ \'MESSAGE_NO_TRUNKS_AVAILABLE\' | translate }}\n

            \n

            \n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_INTERVALS\' | translate}}\n

            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'DESCRIPTION_LOCALSTATIONID\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n\n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n\n \n \n \n\n
            \n
            \n \n\n \n
            \n
            \n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_NUMERIC_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n
            \n \n\n \n
            \n
            \n
            \n
            \n \n
            \n
            \n \n \n {{\'DESCRIPTION_ECM\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected}}\n \n
            \n
            \n
            \n \n {{\'DESCRIPTION_MINRATE\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected}}\n \n
            \n
            \n
            \n \n {{\'DESCRIPTION_MAXRATE\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'DESCRIPTION_MODEM\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n
            \n \n
            \n \n \n \n {{\'DESCRIPTION_GATEWAY\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_PATTERN_GATEWAY\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'DESCRIPTION_FAXDETECT\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_PATTERN_FAXDETECT\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'DESCRIPTION_T38TIMEOUT\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_T38TIMEOUT\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n
            \n\n \n
            \n
            \n \n\n
            \n
            \n
            \n
            \n
            \n'),a.put("app/channels/fax/account/view/view.html",'\n
            \n
            \n \n\n\n\n\n \n
            \n \n
            \n \n
            \n \n
            \n \n\n \n
            \n
            \n {{ account.name || account.description }}\n
            \n
            \n {{ account.phone }}\n
            \n
            \n \n\n \n \n \n
            \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/fax/account/wizard/wizard.html",'\n\n\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACCOUNT\' | translate }} WIZARD \n
            \n
            \n
            \n \n \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'DESCRIPTION_HEADERINFO\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n
            \n

            Warning!

            \n

            \n {{ \'MESSAGE_NO_TRUNKS_AVAILABLE\' | translate }}\n

            \n

            \n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_INTERVALS\' | translate}}\n

            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'DESCRIPTION_LOCALSTATIONID\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n\n
            \n
            \n\n \n
            \n\n \n
            \n
            \n \n \n {{\'DESCRIPTION_ECM\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected}}\n \n
            \n
            \n
            \n \n {{\'DESCRIPTION_MINRATE\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected}}\n \n
            \n
            \n
            \n \n {{\'DESCRIPTION_MAXRATE\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'DESCRIPTION_MODEM\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'DESCRIPTION_GATEWAY\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_PATTERN_GATEWAY\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'DESCRIPTION_FAXDETECT\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_PATTERN_FAXDETECT\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'DESCRIPTION_T38TIMEOUT\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_T38TIMEOUT\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n \n\n
            \n
            \n\n \n

            {{\'MESSAGE_CONFIGURATION_COMPLETED\' | translate}}

            \n \n \n
            \n\n
            \n\n
            \n
            \n \n
            \n
            \n\n'), +a.put("app/channels/fax/inbox/message/message.directive.html",'
            \n
            \n
            \n From: \n [{{message.from}}]\n
            \n To: \n [{{message.to}}]\n
            \n
            \n {{\'APPLICATION_SENT_BY\' | translate}}: {{message.User.fullname}}\n
            \n
            \n
            \n \n \n {{message.status | uppercase}}\n \n \n {{message.status | uppercase}}\n \n \n {{message.status | uppercase}}\n \n \n \n \n {{message.status | uppercase}}\n \n \n \n \n \n
            \n
            \n {{faxAttachment.name}}\n \n \n \n
            \n
            \n'),a.put("app/channels/fax/inbox/note/note.directive.html",'
            \n
            \n
            \n \n \n \n\n \n
            \n
            \n {{\'APPLICATION_ADD_BY\' | translate}}: {{message.User.fullname}}\n
            \n
            \n
            \n \n
            \n'),a.put("app/channels/fax/inbox/note/note.modal.html",'\n\n\n'),a.put("app/channels/fax/inbox/room/room.directive.html",'\n
            \n
            \n
            \n
            \n \n | \n #{{faxRoom.id}} {{faxRoom.status}}\n | \n {{faxRoom.from}}\n
            \n
            \n \n \n \n \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n \n \n \n
            \n
            \n \n {{$item}}\n \n {{tag}}\n \n \n
            \n
            \n
            \n \n
            \n\n
            \n\n \n\n
            \n
              \n \n
              \n \n \n
              \n \n \n \n
            \n
            \n
            \n
            \n
            \n

            Info! {{\'MESSAGE_FAX_ROOM_CLOSED\' | translate}}

            \n

            \n {{\'MESSAGE_FAX_ROOM_CLOSED_DETAILS\' | translate}}.\n

            \n
            \n
            \n \n
            \n\n \n
            \n
            \n
            \n
            \n \n
            \n
            \n \n {{$select.selected.name}}\n \n
            \n \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n
            \n
            \n
            \n \n\n \n
            \n
            \n
            \n
            \n \n
            \n
            \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n
            \n
            \n
            \n \n\n \n
            \n
            \n \n \n \n \n \n \n \n \n \n \n \n
            {{ item.file.name }}{{ item.file.size/1024/1024|number:2 }} MB\n
            \n
            \n
            \n
            \n \n \n \n \n \n
            \n
            \n
            \n
            \n
            \n \n\n
            \n
            \n
            \n \n \n
            \n
            \n
            \n\n
            \n
            \n
            \n
            \n
            \n
            \n
            \n\n'),a.put("app/channels/fax/inbox/status/status.modal.html",'\n\n\n'),a.put("app/channels/fax/inbox/view/account/list/list.html",'\r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n \r\n \r\n \r\n
            \r\n
            \r\n \r\n {{$item}}\r\n \r\n {{tag}}\r\n \r\n \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n \r\n \r\n\r\n \r\n
            \r\n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \r\n
            \r\n \r\n
            \r\n
            \r\n\r\n'),a.put("app/channels/fax/inbox/view/view.agents.modal.html",'\n\n\n'),a.put("app/channels/fax/inbox/view/view.html",'
            \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n\r\n\r\n
            \r\n
            \r\n \r\n
            \r\n

            Warning!

            \r\n

            \r\n {{ \'MESSAGE_NO_AVAILABLE_ACCOUNTS\' | translate }}\r\n

            \r\n

            \r\n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_ACCOUNTS\' | translate}}\r\n

            \r\n
            \r\n
            \r\n\r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n

            Info! {{\'APPLICATION_NO_ACCOUNT_SELECTED\' | translate}}

            \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n'),a.put("app/channels/fax/inbox/view/view.status.modal.html",'\n\n\n'),a.put("app/channels/fax/inbox/view/view.tags.modal.html",'\n\n\n'),a.put("app/channels/fax/queue/list/list.create.modal.html",'\n\n\n'),a.put("app/channels/fax/queue/list/list.html",'\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_QUEUES\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/fax/queue/view/view.agents.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_AGENTS\' | translate}}\n
            \n
            \n
            \n \n
            \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n'),a.put("app/channels/fax/queue/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{queue.name}}\n
            \n \n
            \n \n\n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'), a.put("app/channels/fax/queue/view/view.settings.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_SETTINGS\' | translate}}\n
            \n
            \n
            \n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_PATTERN_NAME\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n \n {{$select.selected.toUpperCase()}}\n \n
            \n
            \n
            \n
            \n \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/channels/fax/realtime/view/agent/agent.html",'\n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/fax/realtime/view/agent/agent.modal.info.html",'\n\n\n'),a.put("app/channels/fax/realtime/view/agent/queue.modal.add.html",'\n\n\n'),a.put("app/channels/fax/realtime/view/application/application.html",'\n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/fax/realtime/view/view.html",'\n\n\n'),a.put("app/channels/mail/account/list/list.html",'\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACCOUNTS\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/mail/account/view/action/list/list.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_ACTIONS\' | translate}}\n
            \n \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n
            \n
            \n'),a.put("app/channels/mail/account/view/action/view/view.html",'\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACTION\' | translate }} \n
            \n
            \n
            \n
            \n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n\n
            \n \n
            \n \n \n {{$select.selected.fullname}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n\n \n
            \n \n \n {{ $select.selected.name | translate }}\n \n {{ intType.name | translate }}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n \n
            \n
            \n \n
            \n
            to
            \n
            \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{ $select.selected.name | translate }}\n \n {{ weekDay.name | translate}}\n \n \n to\n \n {{ $select.selected.name | translate }}\n \n {{ weekDay.name | translate }}\n \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{$select.selected}}\n \n {{monthDay}}\n \n \n to\n \n {{$select.selected}}\n \n {{monthDay}}\n \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{ $select.selected.name | translate }}\n \n {{ month.name | translate }}\n \n \n to\n \n {{ $select.selected.name | translate }}\n \n {{ month.name | translate }}\n \n \n
            \n
            \n \n
            \n\n
            \n\n \n
            \n \n \n {{ $select.selected.name}}\n \n {{ interval.name}}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/mail/account/view/action/wizard/wizard.html",'\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACTION\' | translate }} WIZARD \n
            \n
            \n
            \n \n \n
            \n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n\n
            \n \n
            \n \n \n {{$select.selected.fullname}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n\n \n
            \n \n \n {{ $select.selected.name | translate }}\n \n {{ intType.name | translate }}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n \n
            \n
            \n \n
            \n
            to
            \n
            \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{ $select.selected.name | translate }}\n \n {{ weekDay.name | translate}}\n \n \n to\n \n {{ $select.selected.name | translate }}\n \n {{ weekDay.name | translate }}\n \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{$select.selected}}\n \n {{monthDay}}\n \n \n to\n \n {{$select.selected}}\n \n {{monthDay}}\n \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{ $select.selected.name | translate }}\n \n {{ month.name | translate }}\n \n \n to\n \n {{ $select.selected.name | translate }}\n \n {{ month.name | translate }}\n \n \n
            \n
            \n \n
            \n\n
            \n\n \n
            \n \n \n {{ $select.selected.name}}\n \n {{ interval.name}}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n\n \n
            \n
            \n \n

            {{\'MESSAGE_CONFIGURATION_COMPLETED\' | translate}}

            \n \n \n
            \n
            \n
            \n
            \n \n
            \n
            \n\n'), a.put("app/channels/mail/account/view/disposition/modal/add.modal.html",'\n\n\n'),a.put("app/channels/mail/account/view/disposition/view/view.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_DISPOSITIONS\' | translate}}\n
            \n
            \n \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n

            Info! {{\'MESSAGE_NO_MAIL_DISPOSITIONS_AVAILABLE\' | translate}}

            \n
            \n
            \n
            \n\n \n
            \n
            \n
            \n
            \n \n
            \n \n \n \n \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/channels/mail/account/view/setting/view/view.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_SETTINGS\' | translate}}\n
            \n \n
            \n
            \n \n \n \n\n
            \n \n
            \n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n\n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n\n \n \n \n\n
            \n
            \n \n\n \n
            \n \n
            \n\n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n\n \n \n \n\n
            \n
            \n \n\n \n
            \n
            \n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_NUMERIC_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n
            \n \n\n \n
            \n
            \n

            ERROR

            \n \n
            \n\n
            \n

            CONNECTED

            \n
            \n\n
            \n

            TRYING...

            \n
            \n\n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_NUMERIC_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n {{$select.selected}}\n \n
            \n
            \n
            \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_NUMERIC_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_NUMERIC_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n
            \n \n
            \n \n\n \n
            \n
            \n \n
            \n \n\n \n
            \n
            \n \n
            \n \n\n \n
            \n
            \n \n\n \n
            \n\n
            \n

            ERROR

            \n \n
            \n\n
            \n

            CONNECTED

            \n
            \n\n
            \n

            TRYING...

            \n
            \n\n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_NUMERIC_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n
            \n \n
            \n \n\n \n \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/channels/mail/account/view/view.html",'\n
            \n
            \n \n\n\n\n\n \n
            \n \n
            \n \n
            \n \n
            \n \n\n \n
            \n
            \n {{ account.description || account.name }}\n
            \n
            \n {{account.email}}\n
            \n
            \n \n\n \n \n \n
            \n
            \n \n
            \n \n
            \n\n
            \n'),a.put("app/channels/mail/account/wizard/wizard.html",'\n
            \n
            \n \n \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACCOUNT\' | translate }} WIZARD \n
            \n
            \n
            \n \n \n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n \n
            \n
            \n\n \n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_NUMERIC_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n {{$select.selected}}\n \n
            \n
            \n
            \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_NUMERIC_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_NUMERIC_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n
            \n \n
            \n \n\n \n
            \n
            \n \n
            \n \n\n \n
            \n
            \n \n
            \n \n\n \n
            \n
            \n\n \n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_NUMERIC_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n
            \n \n
            \n \n\n \n \n
            \n
            \n\n \n

            {{\'MESSAGE_CONFIGURATION_COMPLETED\' | translate}}

            \n \n
            \n
            \n
            \n
            \n \n
            \n
            \n\n'), -a.put("app/channels/mail/inbox/message/message.directive.html",'
            \n
            \n
            \n From: \n [{{message.from[0]}}]\n
            \n To: \n

            ["{{to}}",]

            \n
            \n Cc: \n

            ["{{cc}}",]

            \n
            \n
            \n {{\'APPLICATION_SENT_BY\' | translate}}: {{message.User.fullname}}\n
            \n
            \n
            \n \n \n {{message.status | uppercase}}\n \n \n {{message.status | uppercase}}\n \n \n {{message.status | uppercase}}\n \n \n {{message.status | uppercase}}\n \n \n\n \n \n \n
            \n
            \n
            {{\'MESSAGE_NO_AVAILABLE_TEXT\' | translate | lowercase}}
            \n
            \n
            \n {{mailAttachment.name}}\n \n ({{mailAttachment.size | prettyBytes}})\n \n \n \n \n \n \n \n
            \n
            \n'),a.put("app/channels/mail/inbox/note/note.directive.html",'
            \n
            \n
            \n \n \n \n\n \n
            \n
            \n {{\'APPLICATION_ADD_BY\' | translate}}: {{message.User.fullname}}\n
            \n
            \n
            \n \n
            \n'),a.put("app/channels/mail/inbox/note/note.modal.html",'\n\n\n'),a.put("app/channels/mail/inbox/room/room.directive.html",'\n
            \n
            \n
            \n
            \n \n | \n #{{mailRoom.id}} {{mailRoom.status}}\n | \n Ref: #{{mailRoom.ParentId}}\n | \n {{mailRoom.subject || \'No subject\' | truncate: 25: \'...\': true}}\n
            \n
            \n \n \n \n \n \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n \n \n \n
            \n
            \n \n {{$item}}\n \n {{tag}}\n \n \n
            \n
            \n
            \n \n
            \n\n
            \n\n \n
            \n
              \n \n
              \n \n \n
              \n \n \n \n
            \n
            \n
            \n
            \n
            \n

            Info! {{\'MESSAGE_MAIL_ROOM_CLOSED\' | translate}}

            \n

            \n {{\'MESSAGE_MAIL_ROOM_CLOSED_DETAILS\' | translate}}.\n

            \n
            \n
            \n \n
            \n\n \n
            \n
            \n
            \n
            \n \n
            \n
            \n \n {{$select.selected.name}}\n \n
            \n \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n
            \n
            \n
            \n \n\n \n
            \n
            \n
            \n
            \n \n
            \n
            \n \n {{$item}}\n \n {{to}}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n
            \n
            \n
            \n \n\n \n
            \n
            \n
            \n
            \n \n
            \n
            \n \n {{$item}}\n \n {{cc}}\n \n \n
            \n
            \n
            \n
            \n \n\n \n
            \n
            \n
            \n
            \n \n
            \n
            \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n
            \n
            \n
            \n \n\n \n
            \n
            \n
            \n \n \n \n \n \n \n \n \n \n \n \n
            \n {{\'APPLICATION_ATTACHMENTS\' | translate}}:\n {{ item.file.name }}{{ item.file.size/1024/1024|number:2 }} MB\n
            \n
            \n
            \n
            \n \n \n \n \n \n
            \n
            \n
            \n
            \n
            \n \n\n \n
            \n
            \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n
            \n \n\n \n
            \n
            \n
            \n \n \n \n
            \n
            \n \n \n
            \n No Results Found\n
            \n \n'+" {{'APPLICATION_WARNING' | translate}}! {{'MESSAGE_REQUIRED_FIELD' | translate}}.\n \n
            \n
            \n
            \n \n\n
            \n \n
            \n
            \n
            \n
            \n
            \n\n"),a.put("app/channels/mail/inbox/status/status.modal.html",'\n\n\n'),a.put("app/channels/mail/inbox/view/account/list/list.html",'\n
            \n
            \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n
            \n \n \n \n
            \n
            \n \n {{$item}}\n \n {{tag}}\n \n \n
            \n
            \n
            \n \n
            \n
            \n \n \n \n\n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/mail/inbox/view/view.agents.modal.html",'\n\n\n'),a.put("app/channels/mail/inbox/view/view.html",'\n\n\n
            \n
            \n \n
            \n\n
            \n \n
            \n
            \n
            \n

            Info! {{\'APPLICATION_NO_ACCOUNT_SELECTED\' | translate}}

            \n
            \n
            \n
            \n \n
            \n\n
            \n'),a.put("app/channels/mail/inbox/view/view.tags.modal.html",'\n\n\n'),a.put("app/channels/mail/inbox/view/view.templates.modal.html",'\n\n\n'),a.put("app/channels/mail/queue/list/list.create.modal.html",'\n\n\n'),a.put("app/channels/mail/queue/list/list.html",'\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_QUEUES\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'), +a.put("app/channels/mail/inbox/message/message.directive.html",'
            \n
            \n
            \n From: \n [{{message.from[0]}}]\n
            \n To: \n

            ["{{to}}",]

            \n
            \n Cc: \n

            ["{{cc}}",]

            \n
            \n
            \n {{\'APPLICATION_SENT_BY\' | translate}}: {{message.User.fullname}}\n
            \n
            \n
            \n \n \n {{message.status | uppercase}}\n \n \n {{message.status | uppercase}}\n \n \n {{message.status | uppercase}}\n \n \n {{message.status | uppercase}}\n \n \n\n \n \n \n
            \n
            \n
            {{\'MESSAGE_NO_AVAILABLE_TEXT\' | translate | lowercase}}
            \n
            \n
            \n {{mailAttachment.name}}\n \n ({{mailAttachment.size | prettyBytes}})\n \n \n \n \n \n \n \n
            \n
            \n'),a.put("app/channels/mail/inbox/note/note.directive.html",'
            \n
            \n
            \n \n \n \n\n \n
            \n
            \n {{\'APPLICATION_ADD_BY\' | translate}}: {{message.User.fullname}}\n
            \n
            \n
            \n \n
            \n'),a.put("app/channels/mail/inbox/note/note.modal.html",'\n\n\n'),a.put("app/channels/mail/inbox/room/room.directive.html",'\n
            \n
            \n
            \n
            \n \n | \n #{{mailRoom.id}} {{mailRoom.status}}\n | \n Ref: #{{mailRoom.ParentId}}\n | \n {{mailRoom.subject || \'No subject\' | truncate: 25: \'...\': true}}\n
            \n
            \n \n \n \n \n \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n \n \n \n
            \n
            \n \n {{$item}}\n \n {{tag}}\n \n \n
            \n
            \n
            \n \n
            \n\n
            \n\n \n
            \n
              \n \n
              \n \n \n
              \n \n \n \n
            \n
            \n
            \n
            \n
            \n

            Info! {{\'MESSAGE_MAIL_ROOM_CLOSED\' | translate}}

            \n

            \n {{\'MESSAGE_MAIL_ROOM_CLOSED_DETAILS\' | translate}}.\n

            \n
            \n
            \n \n
            \n\n \n
            \n
            \n
            \n
            \n \n
            \n
            \n \n {{$select.selected.name}}\n \n
            \n \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n
            \n
            \n
            \n \n\n \n
            \n
            \n
            \n
            \n \n
            \n
            \n \n {{$item}}\n \n {{to}}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n
            \n
            \n
            \n \n\n \n
            \n
            \n
            \n
            \n \n
            \n
            \n \n {{$item}}\n \n {{cc}}\n \n \n
            \n
            \n
            \n
            \n \n\n \n
            \n
            \n
            \n
            \n \n
            \n
            \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n
            \n
            \n
            \n \n\n \n
            \n
            \n
            \n \n \n \n \n \n \n \n \n \n \n \n
            \n {{\'APPLICATION_ATTACHMENTS\' | translate}}:\n {{ item.file.name }}{{ item.file.size/1024/1024|number:2 }} MB\n
            \n
            \n
            \n
            \n \n \n \n \n \n
            \n
            \n
            \n
            \n
            \n \n\n \n
            \n
            \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n
            \n \n\n \n
            \n
            \n
            \n \n \n \n
            \n
            \n \n \n
            \n No Results Found\n
            \n \n'+" {{'APPLICATION_WARNING' | translate}}! {{'MESSAGE_REQUIRED_FIELD' | translate}}.\n \n
            \n
            \n
            \n \n\n
            \n \n
            \n
            \n
            \n
            \n
            \n\n"),a.put("app/channels/mail/inbox/status/status.modal.html",'\n\n\n'),a.put("app/channels/mail/inbox/view/account/list/list.html",'\n
            \n
            \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n
            \n \n \n \n
            \n
            \n \n {{$item}}\n \n {{tag}}\n \n \n
            \n
            \n
            \n \n
            \n
            \n \n \n \n\n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/mail/inbox/view/view.agents.modal.html",'\n\n\n'),a.put("app/channels/mail/inbox/view/view.html",'\n\n\n
            \n
            \n \n
            \n\n
            \n \n
            \n
            \n
            \n

            Info! {{\'APPLICATION_NO_ACCOUNT_SELECTED\' | translate}}

            \n
            \n
            \n
            \n \n
            \n\n
            \n'),a.put("app/channels/mail/inbox/view/view.tags.modal.html",'\n\n\n'),a.put("app/channels/mail/inbox/view/view.templates.modal.html",'\n\n\n'),a.put("app/channels/mail/queue/list/list.create.modal.html",'\n\n\n'),a.put("app/channels/mail/queue/list/list.html",'\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_QUEUES\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'), a.put("app/channels/mail/queue/view/view.agents.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_AGENTS\' | translate}}\n
            \n
            \n
            \n

            Warning!

            \n

            \n {{ \'MESSAGE_NO_AVAILABLE_TEAMS\' | translate }}\n

            \n
            \n
            \n \n
            \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n'),a.put("app/channels/mail/queue/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{queue.name}}\n
            \n \n
            \n \n\n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n \n'),a.put("app/channels/mail/queue/view/view.settings.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_SETTINGS\' | translate}}\n
            \n
            \n
            \n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_PATTERN_NAME\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n {{$select.selected.toUpperCase()}}\n \n
            \n
            \n
            \n
            \n \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/channels/mail/realtime/view/agent/agent.html",'\n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/mail/realtime/view/agent/agent.modal.info.html",'\n\n\n'),a.put("app/channels/mail/realtime/view/agent/queue.modal.add.html",'\n\n\n'),a.put("app/channels/mail/realtime/view/application/application.html",'\n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/mail/realtime/view/view.html",'\n\n\n'),a.put("app/channels/mail/realtime/view/view.queue.html",'\n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/realtime/view/agent/agent.html",'\n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/realtime/view/agent/agent.modal.info.html",'\n\n\n'),a.put("app/channels/realtime/view/agent/queue.modal.add.html",'\n\n\n'),a.put("app/channels/realtime/view/view.html",'\n\n\n'),a.put("app/channels/sms/account/list/list.html",'\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACCOUNTS\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/sms/account/view/action/list/list.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_ACTIONS\' | translate}}\n
            \n \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n
            \n
            \n'),a.put("app/channels/sms/account/view/action/view/view.html",'\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACTION\' | translate }} \n
            \n
            \n
            \n
            \n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n\n
            \n \n
            \n \n \n {{$select.selected.fullname}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n\n \n
            \n \n \n {{ $select.selected.name | translate }}\n \n {{ intType.name | translate }}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n \n
            \n
            \n \n
            \n
            to
            \n
            \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{ $select.selected.name | translate }}\n \n {{ weekDay.name | translate}}\n \n \n to\n \n {{ $select.selected.name | translate }}\n \n {{ weekDay.name | translate }}\n \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{$select.selected}}\n \n {{monthDay}}\n \n \n to\n \n {{$select.selected}}\n \n {{monthDay}}\n \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{ $select.selected.name | translate }}\n \n {{ month.name | translate }}\n \n \n to\n \n {{ $select.selected.name | translate }}\n \n {{ month.name | translate }}\n \n \n
            \n
            \n \n
            \n\n
            \n\n \n
            \n \n \n {{ $select.selected.name}}\n \n {{ interval.name}}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n
            \n
            \n \n
            \n
            \n\n'), a.put("app/channels/sms/account/view/action/wizard/wizard.html",'\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACTION\' | translate }} WIZARD \n
            \n
            \n
            \n \n \n
            \n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n\n
            \n \n
            \n \n \n {{$select.selected.fullname}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n\n \n
            \n \n \n {{ $select.selected.name | translate }}\n \n {{ intType.name | translate }}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n \n
            \n \n
            \n
            \n \n
            \n
            to
            \n
            \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{ $select.selected.name | translate }}\n \n {{ weekDay.name | translate}}\n \n \n to\n \n {{ $select.selected.name | translate }}\n \n {{ weekDay.name | translate }}\n \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{$select.selected}}\n \n {{monthDay}}\n \n \n to\n \n {{$select.selected}}\n \n {{monthDay}}\n \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n {{ $select.selected.name | translate }}\n \n {{ month.name | translate }}\n \n \n to\n \n {{ $select.selected.name | translate }}\n \n {{ month.name | translate }}\n \n \n
            \n
            \n \n
            \n\n
            \n\n \n
            \n \n \n {{ $select.selected.name}}\n \n {{ interval.name}}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n\n \n
            \n
            \n \n

            {{\'MESSAGE_CONFIGURATION_COMPLETED\' | translate}}

            \n \n \n
            \n
            \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/sms/account/view/disposition/modal/add.modal.html",'\n\n\n'),a.put("app/channels/sms/account/view/disposition/view/view.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_DISPOSITIONS\' | translate}}\n
            \n
            \n \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n

            Info! {{\'MESSAGE_NO_SMS_DISPOSITIONS_AVAILABLE\' | translate}}

            \n
            \n
            \n
            \n\n \n
            \n
            \n
            \n
            \n \n
            \n \n \n \n \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/channels/sms/account/view/view.html",'\n
            \n
            \n \n\n\n\n\n \n
            \n \n
            \n \n
            \n \n
            \n \n\n \n
            \n
            \n {{ account.description || account.name }}\n
            \n
            \n {{account.email}}\n
            \n
            \n \n\n \n \n \n
            \n
            \n \n
            \n \n
            \n\n
            \n'),a.put("app/channels/sms/account/view/view.settings.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_SETTINGS\' | translate}}\n
            \n \n
            \n
            \n
            \n \n
            \n
            \n \n
            \n \n \n
            \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n
            \n\n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n\n \n \n \n\n
            \n
            \n \n\n\n \n
            \n
            \n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_NUMERIC_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n\n
            \n \n \n \n {{ \'DESCRIPTION_PHONE_NUMBER\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n\n
            \n \n \n {{$select.selected | uppercase}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n {{ \'DESCRIPTION_PHONE_NUMBER\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n\n
            \n Copy the following url and insert it into your {{account.type}} account settings to receive sms.\n Detail.\n \n {{\'APPLICATION_COPY_TO_CLIPBOARD\' | translate}}\n \n

            \n \n\n
            \n \n \n
            \n\n
            \n Copy the following url and insert it into your {{account.type}} account settings to receive delivery status.\n Detail\n \n {{\'APPLICATION_COPY_TO_CLIPBOARD\' | translate}}\n \n

            \n\n \n\n
            \n \n \n
            \n
            \n \n\n\n\n
            \n
            \n
            \n
            \n
            \n'), -a.put("app/channels/sms/account/wizard/wizard.html",'\n
            \n
            \n \n \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACCOUNT\' | translate }} WIZARD \n
            \n
            \n
            \n \n \n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n \n\n
            \n \n \n {{$select.selected | uppercase}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n \n {{ \'DESCRIPTION_PHONE_NUMBER\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n\n
            \n \n \n {{$select.selected | uppercase}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n {{ \'DESCRIPTION_PHONE_NUMBER\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n\n\n\n
            \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n
            \n\n\n\n \n

            {{\'MESSAGE_CONFIGURATION_COMPLETED\' | translate}}

            \n \n
            \n
            \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/sms/inbox/room/room.directive.html",'\n\n
            \n
            \n
            \n
            \n \n | \n {{SmsRoom.status}}\n \n
            \n
            \n \n \n \n \n \n \n \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n \n \n \n
            \n
            \n \n {{$item}}\n \n {{tag}}\n \n \n
            \n
            \n
            \n \n
            \n
            \n\n
            \n
              \n
            • \n \n \n
              \n \n \n
              \n \n
            • \n
            \n
            \n
            \n
            \n
            \n \n
            \n\n \n
            \n
            \n
            \n
            \n \n
            \n
            \n \n {{$select.selected.name}}\n \n
            \n \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n
            \n
            \n
            \n \n \n
            \n\n
            \n
            \n
            \n \n
            \n
            \n \n \n {{ \'DESCRIPTION_PHONE_NUMBER\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n
            \n
            \n
            \n \n \n
            \n
            \n \n \n Max 160 chars\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n
            \n \n
            \n
            \n
            \n \n \n \n
            \n
            \n \n \n
            \n No Results Found\n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n
            \n\n
            \n \n \n
            \n
            \n \n \n
            \n
            \n\n
            \n
            \n
            \n
            \n
            \n
            \n
            \n\n'),a.put("app/channels/sms/inbox/sms/sms.html",'
            \n
            \n
            \n From: \n [{{smsMessage.from}}]\n
            \n To: \n [{{smsMessage.to}}]\n
            \n
            \n
            \n {{\'APPLICATION_SENT_BY\' | translate}}: {{smsMessage.User.fullname}}\n {{\'APPLICATION_SENT_BY\' | translate}}: {{smsMessage.source}}\n\n
            \n
            \n\n
            \n \n \n {{smsMessage.status | uppercase}}\n \n \n {{smsMessage.status | uppercase}}\n \n \n {{smsMessage.status | uppercase}}\n \n \n {{smsMessage.status | uppercase}}\n \n \n {{smsMessage.status | uppercase}}\n \n \n {{smsMessage.status | uppercase}}\n \n
            \n Error Code:{{smsMessage.errorcode}}\n Error Code:{{smsMessage.errorcode}}\n
            \n\n \n
            \n
            \n \n\n
            \n'),a.put("app/channels/sms/inbox/view/account/list/list.html",'\n
            \n
            \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n
            \n \n \n \n
            \n
            \n \n {{$item}}\n \n {{tag}}\n \n \n
            \n
            \n
            \n \n
            \n
            \n \n \n \n\n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/sms/inbox/view/view.agents.modal.html",'\n\n\n'),a.put("app/channels/sms/inbox/view/view.html",'\n\n\n\n
            \n
            \n \n
            \n\n
            \n \n
            \n
            \n

            Info! {{\'APPLICATION_NO_ACCOUNT_SELECTED\' | translate}}

            \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/sms/inbox/view/view.status.modal.html",'\n\n\n'), +a.put("app/channels/sms/account/wizard/wizard.html",'\n
            \n
            \n \n \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACCOUNT\' | translate }} WIZARD \n
            \n
            \n
            \n \n \n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n \n\n
            \n \n \n {{$select.selected | uppercase}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n \n {{ \'DESCRIPTION_PHONE_NUMBER\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n\n
            \n \n \n {{$select.selected | uppercase}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n {{ \'DESCRIPTION_PHONE_NUMBER\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n\n\n\n
            \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n
            \n\n\n\n \n

            {{\'MESSAGE_CONFIGURATION_COMPLETED\' | translate}}

            \n \n
            \n
            \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/sms/inbox/room/room.directive.html",'\n\n
            \n
            \n
            \n
            \n \n \n \n \n | \n #{{SmsRoom.id}} {{SmsRoom.status}}\n \n
            \n
            \n \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n \n \n
            \n
            \n
            \n \n \n \n
            \n
            \n \n {{$item}}\n \n {{tag}}\n \n \n
            \n
            \n
            \n \n
            \n
            \n\n
            \n
              \n
            • \n \n \n
              \n \n \n
              \n \n
            • \n
            \n
            \n
            \n
            \n
            \n

            Info! {{\'MESSAGE_SMS_ROOM_CLOSED\' | translate}}

            \n

            \n {{\'MESSAGE_SMS_ROOM_CLOSED_DETAILS\' | translate}}.\n

            \n
            \n
            \n \n
            \n\n \n
            \n
            \n
            \n
            \n \n
            \n
            \n \n {{$select.selected.name}}\n \n
            \n \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n
            \n
            \n
            \n \n \n
            \n\n
            \n
            \n
            \n \n
            \n
            \n \n \n {{ \'DESCRIPTION_PHONE_NUMBER\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n
            \n
            \n
            \n \n \n
            \n \n
            \n
            \n \n \n \n
            \n
            \n \n \n
            \n No Results Found\n
            \n \n
            \n
            \n\n
            \n \n \n
            \n
            \n \n \n Max 160 chars\n \n'+" {{'APPLICATION_WARNING' | translate}}! {{'MESSAGE_REQUIRED_FIELD' | translate}}.\n \n
            \n
            \n\n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n\n"),a.put("app/channels/sms/inbox/sms/sms.html",'
            \n
            \n
            \n From: \n [{{smsMessage.from}}]\n
            \n To: \n [{{smsMessage.to}}]\n
            \n
            \n
            \n {{\'APPLICATION_SENT_BY\' | translate}}: {{smsMessage.User.fullname}}\n {{\'APPLICATION_SENT_BY\' | translate}}: {{smsMessage.source}}\n\n
            \n
            \n\n
            \n \n \n {{smsMessage.status | uppercase}}\n \n \n {{smsMessage.status | uppercase}}\n \n \n {{smsMessage.status | uppercase}}\n \n \n {{smsMessage.status | uppercase}}\n \n \n {{smsMessage.status | uppercase}}\n \n \n {{smsMessage.status | uppercase}}\n \n
            \n Error Code:{{smsMessage.errorcode}}\n Error Code:{{smsMessage.errorcode}}\n
            \n\n \n
            \n
            \n \n\n
            \n'),a.put("app/channels/sms/inbox/view/account/list/list.html",'\n
            \n
            \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n
            \n \n \n \n
            \n
            \n \n {{$item}}\n \n {{tag}}\n \n \n
            \n
            \n
            \n \n
            \n
            \n \n \n \n\n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/sms/inbox/view/view.agents.modal.html",'\n\n\n'),a.put("app/channels/sms/inbox/view/view.html",'\n\n\n\n
            \n
            \n \n
            \n\n
            \n \n
            \n
            \n

            Info! {{\'APPLICATION_NO_ACCOUNT_SELECTED\' | translate}}

            \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/sms/inbox/view/view.status.modal.html",'\n\n\n'), a.put("app/channels/sms/inbox/view/view.tags.modal.html",'\n\n\n'),a.put("app/channels/sms/inbox/view/view.templates.modal.html",'\n\n\n'),a.put("app/channels/sms/queue/list/list.create.modal.html",'\n\n\n'),a.put("app/channels/sms/queue/list/list.html",'\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_QUEUES\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/sms/queue/view/view.agents.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_AGENTS\' | translate}}\n
            \n
            \n
            \n

            Warning!

            \n

            \n {{ \'MESSAGE_NO_AVAILABLE_TEAMS\' | translate }}\n

            \n
            \n
            \n \n
            \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n'),a.put("app/channels/sms/queue/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{queue.name}}\n
            \n \n
            \n \n\n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n \n'),a.put("app/channels/sms/queue/view/view.settings.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_SETTINGS\' | translate}}\n
            \n
            \n
            \n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_PATTERN_NAME\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n {{$select.selected.toUpperCase()}}\n \n
            \n
            \n
            \n
            \n \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/channels/sms/realtime/view/agent/agent.html",'\n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/sms/realtime/view/agent/agent.modal.info.html",'\n\n\n'),a.put("app/channels/sms/realtime/view/agent/queue.modal.add.html",'\n\n\n'),a.put("app/channels/sms/realtime/view/application/application.html",'\n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/sms/realtime/view/view.html",'\n\n\n'),a.put("app/channels/sms/realtime/view/view.queue.html",'\n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/voice/chanspy/list/list.create.modal.html",'\n\n\n'),a.put("app/channels/voice/chanspy/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_CHANSPY\' | translate }} \n
            \n
            \n \n
            \n \n
            \n
            \n
            \n
            \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/voice/chanspy/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{chanspy.name}}\n
            \n \n
            \n \n\n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/voice/chanspy/view/view.settings.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_CHANSPY\' | translate}} {{ \'APPLICATION_SETTINGS\' | translate}} \n
            \n \n
            \n\n
            \n
            \n \n
            \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$item.value}}: {{$item.name | translate}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n
            \n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n \n
            \n
            \n \n\n
            \n
            \n
            \n
            \n
            \n'), a.put("app/channels/voice/context/list/create.modal.html",'\n\n\n'),a.put("app/channels/voice/context/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_CONTEXTS\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/voice/context/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{context.name}}\n
            \n
            \n \n\n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n \n'),a.put("app/channels/voice/context/view/view.settings.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_CONTEXT\' | translate}} {{ \'APPLICATION_SETTINGS\' | translate}} \n
            \n \n
            \n\n
            \n
            \n \n
            \n
            \n\n \n
            \n \n \n \n {{ \'DESCRIPTION_CANT_EDIT_NAME\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n \n
            \n
            \n \n\n
            \n
            \n
            \n
            \n
            \n'),a.put("app/channels/voice/disposition/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_DISPOSITIONS\' | translate }} \n
            \n
            \n \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n

            Info! {{\'MESSAGE_NO_DISPOSITIONS_AVAILABLE\' | translate}}

            \n
            \n
            \n
            \n\n \n
            \n
            \n
            \n
            \n \n
            \n \n \n \n \n
            \n
            \n
            \n \n
            \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/voice/disposition/list/modal/add.modal.html",'\n\n\n'),a.put("app/channels/voice/moh/list/create.modal.html",'\n\n\n'),a.put("app/channels/voice/moh/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_MOHS\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/voice/moh/view/view.addFiles.html",'\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_MOH\' | translate }} {{ \'APPLICATION_ADD_FILES\' | translate }} \n
            \n
            \n
            \n
            \n

            Warning!

            \n

            \n {{ \'MESSAGE_NO_SOUNDS_AVAILABLE\' | translate }}\n

            \n

            \n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_SOUNDS\' | translate}}\n

            \n
            \n
            \n\n \n
            \n \n \n {{$item.display_name}}\n \n {{sound.display_name}}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/voice/moh/view/view.audios.html",'
            \n
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_AUDIOS\' | translate}}\n
            \n \n
            \n
            \n
            \n

            Warning!

            \n

            \n {{ \'MESSAGE_FOLDER_DOES_NOT_EXIST\' | translate }}\n

            \n
            \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n
            {{ \'APPLICATION_NAME\' | translate }}{{ \'APPLICATION_PREVIEW\' | translate }}{{ \'APPLICATION_ACTIONS\' | translate }}
            {{audiofile}}\n \n \n {{ \'APPLICATION_DELETE\' | translate }}\n \n
            \n {{ \'MESSAGE_NO_AUDIOFILES_AVAILABLE\' | translate }}\n
            \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/channels/voice/moh/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{moh.name}}\n
            \n
            \n \n\n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/voice/moh/view/view.settings.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_MOH_CLASS\' | translate}} {{ \'APPLICATION_SETTINGS\' | translate}} \n
            \n \n
            \n\n
            \n
            \n \n
            \n
            \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n {{$translate.instant($select.selected.name)}}\n \n {{$translate.instant(sort.name)}}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/channels/voice/queue/list/list.create.modal.html",'\n\n\n'),a.put("app/channels/voice/queue/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_QUEUES\' | translate }}\n
            \n \n
            \n
            \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/voice/queue/view/view.agents.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_AGENTS\' | translate}}\n
            \n
            \n
            \n

            Warning!

            {{ \'MESSAGE_NO_AVAILABLE_TEAMS\' | translate }}

            \n
            \n
            \n \n
            \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n'), a.put("app/channels/voice/queue/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{queue.name}}\n
            \n
            \n \n\n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/channels/voice/queue/view/view.settings.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_QUEUE_SETTINGS\' | translate}}\n
            \n \n
            \n\n
            \n
            \n \n
            \n
            \n
            \n
            \n \n
            \n \n \n {{$select.selected.toUpperCase()}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_STRATEGY\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_VOICE_MOH\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{ \'DESCRIPTION_QUEUE_TIMEOUT\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{ \'DESCRIPTION_QUEUE_MAXLEN\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{ \'DESCRIPTION_QUEUE_RETRY\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n
            \n \n
            \n \n \n \n {{ \'DESCRIPTION_QUEUE_WRAPUPTIME\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{ \'DESCRIPTION_QUEUE_WEIGHT\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$item}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_JOINEMPTY\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$item}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_LEAVEWHENEMPTY\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected.display_name}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_ANNOUNCE\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n
            \n \n
            \n \n \n
            \n \n\n \n
            \n
            \n\n
            \n
            \n
            \n
            \n \n
            \n \n \n {{$item.display_name}}\n \n
            \n
            \n
            \n
            \n

            Warning!

            \n

            \n {{ \'MESSAGE_NO_SOUNDS_AVAILABLE\' | translate }}\n

            \n

            \n {{\'APPLICATION_GO_TO\' | translate}} {{\'APPLICATION_SOUNDS\' | translate}}\n

            \n
            \n \n {{ \'DESCRIPTION_QUEUE_PERIODIC_ANNOUNCE\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n
            \n
            \n
            \n

            {{\'APPLICATION_SETTINGS\' | translate}}

            \n \n
            \n \n \n \n {{ \'DESCRIPTION_QUEUE_ANNOUNCE_FREQUENCY\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{ \'DESCRIPTION_QUEUE_MIN_ANNOUNCE_FREQUENCY\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{ \'DESCRIPTION_QUEUE_PERIODIC_ANNOUNCE_FREQUENCY\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected | capitalize}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_RANDOM_PERIODIC_ANNOUNCE\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected | capitalize}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_ANNOUNCE_HOLDTIME\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected | capitalize}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_ANNOUNCE_POSITION\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{ \'DESCRIPTION_QUEUE_ANNOUNCE_POSITION_LIMIT\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected | capitalize}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_REPORTHOLDTIME\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n
            \n

            {{\'APPLICATION_SOUNDS\' | translate}}

            \n \n
            \n \n \n {{$select.selected.display_name}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_REPORTHOLD\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected.display_name}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_YOUARENEXT\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected.display_name}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_THEREARE\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected.display_name}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_CALLSWAITING\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected.display_name}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_HOLDTIME\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected.display_name}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_MINUTES\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected.display_name}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_MINUTE\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected.display_name}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_SECONDS\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected.display_name}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_THANKYOU\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n
            \n\n \n
            \n
            \n \n \n
            \n
            \n\n
            \n
            \n\n \n
            \n \n \n {{$select.selected | capitalize}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_AUTOPAUSE\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected | capitalize}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_RINGINUSE\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{ \'DESCRIPTION_QUEUE_MEMBER_DELAY\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n
            \n \n \n {{ \'DESCRIPTION_ACW\' | translate }}\n \n \n {{\'APPLICATION_ACW\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n
            \n\n \n
            \n \n \n {{$select.selected | capitalize}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_TIMEOUTRESTART\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_MONITOR_FORMAT\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_QUEUE_VOICE_CONTEXT\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{ \'DESCRIPTION_ACW_TIMEOUT\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n
            \n
            \n\n \n
            \n
            \n \n\n
            \n
            \n
            \n
            \n
            \n'), @@ -54,8 +54,8 @@ a.put("app/main/template/template.conditionBuilder.html",'
            \n \n
            \n
            \n \n
            \n \n \n
            \n \n
            \n \n \n
            \n \n
            \n
            \n
            \n
            \n \n {{attribute.value}} \n
            \n\n
            \n
            \n
            \n
            \n
            \n
            \n\n \n
            \n

            {{attribute.value}}

            \n
            \n \n\n
            \n
            \n \n

            \n
            \n
            \n \n\n
            \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n \n \n \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n \n \n \n
            \n
            \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n'),a.put("app/main/template/template.notification.html",'\n'),a.put("app/main/template/template.reportBuilderView.html",'
            \n
            \n \n \n \n \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n \n \n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n
            \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n
            \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/market/market.html",'
            \n
            \n \n
            \n
            \n\n
            \n
            \n \n
            \n

            Our Integrations

            \n
            \n
            \n
            \n
            \n

            {{integration.name}} {{integration.author}}

            \n {{integration.name}}\n
            \n

            \n {{integration.description}}\n

            \n \n
            \n
            \n
            \n {{status}}\n
            \n
            \n
            \n
            \n
            \n \n \n
            \n
            \n'),a.put("app/motiondialer/blacklist/list/list.create.modal.html",'\n\n\n'),a.put("app/motiondialer/blacklist/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_BLACKLIST\' | translate }} \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/motiondialer/info/view/view.about.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_ABOUT\' | translate}}\n
            \n
            \n
            \n
            \n
            \n \n
            \n \n
            \n \n \n \n
            \n
            \n \n \n
            \n \n
            \n \n \n \n
            \n
            \n \n \n
            \n \n
            \n \n \n \n
            \n
            \n \n \n
            \n \n
            \n \n \n \n
            \n
            \n \n \n
            \n \n
            \n \n \n \n
            \n
            \n \n \n
            \n \n
            \n \n \n \n
            \n
            \n \n \n
            \n \n
            \n \n \n \n
            \n
            \n \n \n
            \n \n
            \n \n \n \n
            \n
            \n \n \n
            \n \n
            \n \n \n \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/motiondialer/info/view/view.details.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_DETAILS\' | translate}}\n
            \n
            \n
            \n
            \n
            \n \n
            \n \n
            \n \n \n \n
            \n
            \n \n \n
            \n \n
            \n \n \n \n
            \n
            \n \n \n
            \n \n
            \n \n \n \n
            \n
            \n \n\n \n
            \n \n
            \n \n \n \n
            \n
            \n \n \n
            \n \n
            \n \n \n \n
            \n
            \n \n \n
            \n \n
            \n \n \n \n
            \n
            \n \n \n
            \n \n
            \n \n \n \n
            \n
            \n \n \n
            \n \n
            \n \n \n \n
            \n
            \n \n \n
            \n \n
            \n \n \n \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/motiondialer/info/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{ \'APPLICATION_INFO\' | translate }}\n
            \n \n
            \n \n\n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/motiondialer/list/list/list.create.modal.html",'\n\n\n'),a.put("app/motiondialer/list/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_LISTS\' | translate }} \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n \n \n \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'), a.put("app/motiondialer/list/view/view.contact.create.modal.html",'\n\n\n'),a.put("app/motiondialer/list/view/view.contact.import.modal.html",'\n\n\n'),a.put("app/motiondialer/list/view/view.contacts.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_LIST\' | translate}} {{ \'APPLICATION_CONTACTS\' | translate}} \n
            \n
            \n\n
            \n
            \n \n \n {{\'APPLICATION_IMPORT_FROM_CSV\' | translate}}\n \n \n
            \n\n \n \n \n \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n
            \n
            \n'),a.put("app/motiondialer/list/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{list.name}}\n
            \n \n
            \n \n\n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/motiondialer/list/view/view.settings.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_LIST\' | translate}} {{ \'APPLICATION_SETTINGS\' | translate}} \n
            \n \n
            \n\n
            \n
            \n \n
            \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n \n
            \n
            \n \n\n
            \n
            \n
            \n
            \n
            \n'),a.put("app/motiondialer/process/list/list.create.queue.modal.html",'\n\n\n'),a.put("app/motiondialer/process/list/list.create.square.modal.html",'\n\n\n'),a.put("app/motiondialer/process/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_PROCESSES\' | translate }} \n
            \n
            \n
            \n \n \n
            \n
            \n
            \n
            \n \n \n \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/motiondialer/process/view/view.create.interval.modal.html",'\n\n\n'),a.put("app/motiondialer/process/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{process.name}} ({{ (process.status === \'RUN\') ? \'RUNNING...\' : \'STOPPED\' }})\n
            \n \n
            \n \n
            \n \n
            \n
            \n \n \n
            \n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'), a.put("app/motiondialer/process/view/view.intervals.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_PROCESS\' | translate}} {{ \'APPLICATION_INTERVALS\' | translate}} \n
            \n \n
            \n
            \n
            \n \n
            \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n
            \n {{ \'APPLICATION_VALUE\' | translate}}\n \n {{ \'APPLICATION_ACTIONS\' | translate}}\n
            \n {{interval}}\n \n \n \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n \n
            \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n
            \n {{ \'APPLICATION_VALUE\' | translate}}\n \n {{ \'APPLICATION_ACTIONS\' | translate}}\n
            \n {{interval}}\n \n \n \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n\n
            \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/motiondialer/process/view/view.overview.html",'
            \n
            \n \n
            \n
            \n
            \n \n {{\'APPLICATION_OVERVIEW\' | translate}}\n
            \n \n
            \n
            \n
            \n \n
            \n \n \n \n
            \n
            \n
            \n
            \n
            \n {{\'APPLICATION_TOTAL\' | translate}}\n
            \n
            \n {{total}}\n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n {{\'APPLICATION_FRESH\' | translate}}\n
            \n
            \n {{process.runtime.fresh || 0}}\n
            \n
            \n
            \n
            \n
            \n
            \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n
            \n {{\'APPLICATION_STATUS\' | translate}}\n \n {{\'APPLICATION_VALUE\' | translate}}\n \n {{\'APPLICATION_RATE\' | translate}}\n \n {{\'APPLICATION_DESCRIPTION\' | translate}}\n
            \n {{\'APPLICATION_TD_\' + sum[0] | translate}}\n \n {{sum[1]}}\n \n {{ total ? ((sum[1]/total)*100).toFixed(2) : 0 }}%\n \n {{\'DESCRIPTION_TD_\' + sum[0] | translate}}\n
            \n
            \n
            \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n {{\'APPLICATION_SUCCESS\' | translate}}\n
            \n
            \n {{process.runtime.success || 0}}\n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n {{\'APPLICATION_FAILED\' | translate}}\n
            \n
            \n {{process.runtime.failed || 0}}\n
            \n
            \n
            \n
            \n
            \n
            \n \n \n \n \n \n \n \n \n \n \n \n \n \n
            \n {{\'APPLICATION_STATUS\' | translate}}\n \n {{\'APPLICATION_VALUE\' | translate}}\n \n {{\'APPLICATION_DESCRIPTION\' | translate}}\n
            \n {{\'APPLICATION_\' + key.toUpperCase() | translate}}\n \n {{value | number:2}}\n {{value | number:2}}\n {{value | number:2}}\n {{((value)? \'APPLICATION_YES\' : \'APPLICATION_NO\') | translate}}\n {{((value)? \'APPLICATION_YES\' : \'APPLICATION_NO\') | translate}}\n {{value}}\n \n {{\'DESCRIPTION_TD_\' + key.toUpperCase() | translate}}\n
            \n
            \n
            \n \n
            \n
            \n
            \n \n
            \n
            \n'),a.put("app/motiondialer/process/view/view.run.process.modal.html",'\n\n\n'),a.put("app/motiondialer/process/view/view.settings.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_PROCESS\' | translate}} {{ \'APPLICATION_SETTINGS\' | translate}} \n
            \n \n
            \n
            \n
            \n \n
            \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_MAX_RETRIES\' | translate}}\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_RETRY_TIME\' | translate}}\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_WAIT_TIME\' | translate}}\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n
            \n \n \n
            \n
            \n \n {{\'DESCRIPTION_TD_AUTO_CLOSED\' | translate}}\n
            \n \n \n \n
            \n
            \n \n \n {{\'DESCRIPTION_TD_WEIGHT\' | translate}}\n
            \n \n
            \n \n \n
            \n \n \n
            \n
            \n \n \n
            \n
            \n \n
            \n \n \n {{\'DESCRIPTION_TD_CONTEXT\' | translate}}\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_EXTENSION\' | translate}}Link {{\'APPLICATION_INTERNAL\' | translate}}\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_PRIORITY\' | translate}}\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_PREFIX\' | translate}}\n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_TECHNOLOGY\' | translate}}\n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_TRUNK\' | translate}}\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_CALLERID\' | translate}}\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n {{$item}}\n \n {{codec}}\n \n \n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_DIAL_FORMAT\' | translate}}\n
            \n \n \n
            \n
            \n \n \n
            \n
            \n \n
            \n \n \n {{\'DESCRIPTION_TD_CHECK_DUPLICATE\' | translate}}\n
            \n \n \n
            \n
            \n \n {{\'DESCRIPTION_TD_CHECK_BLACKLIST\' | translate}}\n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_CHECK_PHONE_LENGHT\' | translate}}\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_TIME_SLICE\' | translate}}\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n
            \n \n \n
            \n
            \n \n {{\'DESCRIPTION_TD_CHECK_MISSED\' | translate}}\n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_CHANNEL_LIMIT\' | translate}}\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_CONTEXT_FOR_OTHER_CALLS\' | translate}}\n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_EXTENSION_FOR_OTHER_CALLS\' | translate}}\n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_PRIORITY_FOR_OTHER_CALLS\' | translate}}\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_EXTENDED_TIME_INTERVAL\' | translate}}\n
            \n \n\n \n
            \n
            \n \n {{\'DESCRIPTION_TD_AUTO_TIMEZONE_MANAGEMENT\' | translate}}\n
            \n \n \n \n \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_MIN_OPERATOR\' | translate}}\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_ALERT_MAX_CALLS\' | translate}}\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_ALERT_TIME_SLICE\' | translate}}\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_DROP_MAX_COUNT\' | translate}}\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_SUCCESS_CALL_SIZE\' | translate}}\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n
            \n \n \n
            \n \n \n {{\'DESCRIPTION_TD_TIME_EXPIRED\' | translate}}\n
            \n \n \n
            \n
            \n \n \n
            \n
            \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n
            \n {{ \'APPLICATION_VALUE\' | translate}}\n \n {{ \'APPLICATION_MAX_RETRIES\' | translate}}\n \n {{ \'APPLICATION_RETRY_TIME\' | translate}} [min]\n \n {{ \'APPLICATION_DESCRIPTION\' | translate}}\n
            \n {{ \'APPLICATION_AMD\' | translate}}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n \n {{ \'DESCRIPTION_TD_STATUS_AMD\' | translate}}\n
            \n {{ \'APPLICATION_BUSY\' | translate}}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n \n {{ \'DESCRIPTION_TD_STATUS_BUSY\' | translate}}\n
            \n {{ \'APPLICATION_CONGESTION\' | translate}}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n \n {{ \'DESCRIPTION_TD_STATUS_CONGESTION\' | translate}}\n
            \n {{ \'APPLICATION_DROP\' | translate}}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n \n {{ \'DESCRIPTION_TD_STATUS_DROP\' | translate}}\n
            \n {{ \'APPLICATION_FAILED\' | translate}}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n \n {{ \'DESCRIPTION_TD_STATUS_FAILED\' | translate}}\n
            \n {{ \'APPLICATION_NOANSWER\' | translate}}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n \n {{ \'DESCRIPTION_TD_STATUS_NOANSWER\' | translate}}\n
            \n {{ \'APPLICATION_NOSUCHEXTENSION\' | translate}}\n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n \n {{ \'DESCRIPTION_TD_STATUS_NOSUCHEXTENSION\' | translate}}\n
            \n \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n'), -a.put("app/motiondialer/process/view/view.spooler.history.modal.html",'\n\n\n'),a.put("app/motiondialer/process/view/view.spooler.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_SPOOLER\' | translate}} \n
            \n
            \n\n
            \n \n \n \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n
            \n
            \n'),a.put("app/salesforce/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_SALESFORCE\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/salesforce/view/configuration/list/create.modal.html",'\n\n\n'),a.put("app/salesforce/view/configuration/list/list.html",'
            \n
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_CONFIGURATIONS\' | translate}}\n
            \n \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/salesforce/view/configuration/view/view.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_CONFIGURATION\' | translate}}: {{configurationName}}\n
            \n \n
            \n
            \n
            \n \n
            \n
            \n
            \n All following fields will be join by blank space:\n
            \n
            \n \n
            \n
            \n
            \n \n
            \n
            \n \n {{$select.selected.title}}\n \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n \n {{$select.selected.title}}\n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n \n
            \n \n \n
            \n
            \n
            \n All following fields will be join by new line:\n
            \n
            \n \n
            \n
            \n
            \n \n
            \n
            \n \n {{$select.selected.title}}\n \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n \n
            \n
            \n \n {{$select.selected.title}}\n \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n \n {{$select.selected.title}}\n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n \n
            \n \n \n
            \n
            \n
            \n Add how to fill the fields present in your account:\n
            \n
            \n \n
            \n
            \n
            \n \n
            \n
            \n \n {{$select.selected.label}}\n \n
            \n
            \n
            \n
            \n
            \n
            \n \n {{$select.selected.label}}\n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n {{$select.selected.title}}\n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n \n {{$select.selected.title}}\n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n {{$select.selected.name}}\n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n \n
            \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/salesforce/view/view.account.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_ACCOUNT\' | translate}}\n
            \n \n
            \n
            \n
            \n \n
            \n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n
            \n \n\n
            \n
            \n
            \n
            \n
            \n'),a.put("app/salesforce/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{account.name}}\n
            \n
            \n {{account.remoteUri}}\n
            \n
            \n \n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/salesforce/wizard/wizard.html",'\n\n\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACCOUNT\' | translate }} WIZARD \n
            \n
            \n
            \n \n \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_EXIST_USERNAME\' | translate}}.\n \n
            \n \n\n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n\n
            \n
            \n\n \n

            {{\'MESSAGE_CONFIGURATION_COMPLETED\' | translate}}

            \n \n
            \n\n
            \n\n
            \n
            \n \n
            \n
            \n\n'), -a.put("app/setting/license/view/update.modal.html",'\n\n\n'),a.put("app/setting/license/view/view.html",'\n\n
            \n \n\n \n
            \n
            \n
            \n
            \n
            \n

            {{\'APPLICATION_MONTH_TO_MONTH\' | translate}}{{license.token || \'unknown\'}}

            \n

            {{\'APPLICATION_YEARLY\' | translate}}{{license.token || \'unknown\'}}

            \n

            {{\'APPLICATION_PERPETUAL\' | translate}}{{license.token || \'unknown\'}}

            \n

            {{\'APPLICATION_UNKNOWN\' | translate}}{{license.token || \'unknown\'}}

            \n

            {{license.expiration ? (license.expiration | date:\'medium\') : \'DEMO\'}}\n Expiration Date \n

            \n
            \n
              \n
            • \n
              \n {{\'APPLICATION_USERS\' | translate}}:\n
              \n
              \n {{(license.users || license.users >= 0) ? license.users : \'unknown\'}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_AGENTS\' | translate}} ({{\'APPLICATION_CONCURRENTS\' | translate}}):\n
              \n
              \n {{(license.agents || license.agents >= 0) ? license.agents : \'unknown\'}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_TELEPHONES\' | translate}}:\n
              \n
              \n {{(license.telephones || license.telephones >= 0) ? license.telephones : \'unknown\'}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_CHAT\' | translate}}:\n
              \n
              \n {{license.chat ? \'APPLICATION_ENABLED\' : \'APPLICATION_DISABLED\' | translate}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_MAIL\' | translate}}:\n
              \n
              \n {{license.mail ? \'APPLICATION_ENABLED\' : \'APPLICATION_DISABLED\' | translate}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_FAX\' | translate}}:\n
              \n
              \n {{license.fax ? \'APPLICATION_ENABLED\' : \'APPLICATION_DISABLED\' | translate}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_SMS\' | translate}}:\n
              \n
              \n {{license.messaging ? \'APPLICATION_ENABLED\' : \'APPLICATION_DISABLED\' | translate}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_CONTACT_MANAGER\' | translate}}:\n
              \n
              \n {{license.cm ? \'APPLICATION_ENABLED\' : \'APPLICATION_DISABLED\' | translate}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_MOTION_DIALER\' | translate}}:\n
              \n
              \n {{license.dialer ? \'APPLICATION_ENABLED\' : \'APPLICATION_DISABLED\' | translate}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_JSCRIPTY\' | translate}}:\n
              \n
              \n {{license.jscripty ? \'APPLICATION_ENABLED\' : \'APPLICATION_DISABLED\' | translate}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_UPDATE\' | translate}}:\n
              \n
              \n {{license.update ? \'APPLICATION_ENABLED\' : \'APPLICATION_DISABLED\' | translate}}\n
              \n
            • \n
            \n \n
            \n
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_LICENSE_REQUEST\' | translate }} \n
            \n
            \n
            \n
            \n\n \n
            \n \n
            \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/setting/network/view/externip/externip.create.modal.html",'\n\n\n'),a.put("app/setting/network/view/externip/externip.html",'\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_EXTERNIP\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/setting/network/view/localnet/localnet.create.modal.html",'\n\n\n'),a.put("app/setting/network/view/localnet/localnet.html",'\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_LOCALNET\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/setting/network/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{ \'APPLICATION_NETWORK\' | translate }}\n
            \n
            \n \n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n\n
            \n
            \n\n'),a.put("app/setting/smtp/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n {{$select.selected | capitalize}}\n \n
            \n
            \n
            \n\n \n
            \n\n
            \n\n
            \n
            \n

            ERROR

            \n \n
            \n\n
            \n

            CONNECTED

            \n
            \n\n
            \n

            TRYING...

            \n
            \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_NUMERIC_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n \n \n
            \n \n\n \n
            \n\n
            \n\n
            \n
            \n

            ERROR

            \n \n
            \n\n
            \n

            CONNECTED

            \n
            \n\n
            \n

            TRYING...

            \n
            \n
            \n\n \n
            \n \n \n {{$select.selected.username}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n \n
            \n \n
            \n \n
            \n
            \n\n'), +a.put("app/motiondialer/process/view/view.spooler.history.modal.html",'\n\n\n'),a.put("app/motiondialer/process/view/view.spooler.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_SPOOLER\' | translate}} \n
            \n
            \n\n
            \n \n \n \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n
            \n
            \n'),a.put("app/salesforce/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_SALESFORCE\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/salesforce/view/configuration/list/create.modal.html",'\n\n\n'),a.put("app/salesforce/view/configuration/list/list.html",'
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n {{\'APPLICATION_CONFIGURATIONS\' | translate}}\r\n
            \r\n \r\n
            \r\n
            \r\n \r\n
            \r\n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n'),a.put("app/salesforce/view/configuration/view/view.html",'
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n {{\'APPLICATION_CONFIGURATION\' | translate}}: {{configurationName}}\r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n All following fields will be join by blank space:\r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n {{$select.selected.title}}\r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n {{$select.selected.title}}\r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n {{$select.selected.name}}\r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n \r\n \r\n
            \r\n
            \r\n
            \r\n All following fields will be join by new line:\r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n {{$select.selected.title}}\r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n {{$select.selected.title}}\r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n \r\n {{$select.selected.title}}\r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n {{$select.selected.name}}\r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n \r\n \r\n
            \r\n
            \r\n
            \r\n Add how to fill the fields present in your account:\r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n {{$select.selected.label}}\r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n {{$select.selected.label}}\r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n {{$select.selected.title}}\r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n {{$select.selected.title}}\r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n {{$select.selected.name}}\r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n
            \r\n
            \r\n'),a.put("app/salesforce/view/view.account.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_ACCOUNT\' | translate}}\n
            \n \n
            \n
            \n
            \n \n
            \n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n
            \n \n\n
            \n
            \n
            \n
            \n
            \n'),a.put("app/salesforce/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{account.name}}\n
            \n
            \n {{account.remoteUri}}\n
            \n
            \n \n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/salesforce/wizard/wizard.html",'\n\n\n\n\n
            \n
            \n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACCOUNT\' | translate }} WIZARD \n
            \n
            \n
            \n \n \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_EXIST_USERNAME\' | translate}}.\n \n
            \n \n\n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n\n
            \n
            \n\n \n

            {{\'MESSAGE_CONFIGURATION_COMPLETED\' | translate}}

            \n \n
            \n\n
            \n\n
            \n
            \n \n
            \n
            \n\n'), +a.put("app/setting/license/view/update.modal.html",'\n\n\n'),a.put("app/setting/license/view/view.html",'\n\n
            \n \n\n \n
            \n
            \n
            \n
            \n
            \n

            {{\'APPLICATION_MONTH_TO_MONTH\' | translate}}{{license.token || \'unknown\'}}

            \n

            {{\'APPLICATION_YEARLY\' | translate}}{{license.token || \'unknown\'}}

            \n

            {{\'APPLICATION_PERPETUAL\' | translate}}{{license.token || \'unknown\'}}

            \n

            {{\'APPLICATION_UNKNOWN\' | translate}}{{license.token || \'unknown\'}}

            \n

            {{license.expiration ? (license.expiration | date:\'medium\') : \'DEMO\'}}\n Expiration Date \n

            \n
            \n
              \n
            • \n
              \n {{\'APPLICATION_USERS\' | translate}}:\n
              \n
              \n {{(license.users || license.users >= 0) ? license.users : \'unknown\'}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_AGENTS\' | translate}} ({{\'APPLICATION_CONCURRENTS\' | translate}}):\n
              \n
              \n {{(license.agents || license.agents >= 0) ? license.agents : \'unknown\'}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_TELEPHONES\' | translate}}:\n
              \n
              \n {{(license.telephones || license.telephones >= 0) ? license.telephones : \'unknown\'}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_CHAT\' | translate}}:\n
              \n
              \n {{license.chat ? \'APPLICATION_ENABLED\' : \'APPLICATION_DISABLED\' | translate}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_MAIL\' | translate}}:\n
              \n
              \n {{license.mail ? \'APPLICATION_ENABLED\' : \'APPLICATION_DISABLED\' | translate}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_FAX\' | translate}}:\n
              \n
              \n {{license.fax ? \'APPLICATION_ENABLED\' : \'APPLICATION_DISABLED\' | translate}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_SMS\' | translate}}:\n
              \n
              \n {{license.messaging ? \'APPLICATION_ENABLED\' : \'APPLICATION_DISABLED\' | translate}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_CONTACT_MANAGER\' | translate}}:\n
              \n
              \n {{license.cm ? \'APPLICATION_ENABLED\' : \'APPLICATION_DISABLED\' | translate}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_MOTION_DIALER\' | translate}}:\n
              \n
              \n {{license.dialer ? \'APPLICATION_ENABLED\' : \'APPLICATION_DISABLED\' | translate}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_JSCRIPTY\' | translate}}:\n
              \n
              \n {{license.jscripty ? \'APPLICATION_ENABLED\' : \'APPLICATION_DISABLED\' | translate}}\n
              \n
            • \n
            • \n
              \n {{\'APPLICATION_UPDATE\' | translate}}:\n
              \n
              \n {{license.update ? \'APPLICATION_ENABLED\' : \'APPLICATION_DISABLED\' | translate}}\n
              \n
            • \n
            \n \n
            \n
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_LICENSE_REQUEST\' | translate }} \n
            \n
            \n
            \n
            \n\n \n
            \n \n
            \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/setting/network/view/externip/externip.create.modal.html",'\n\n\n'),a.put("app/setting/network/view/externip/externip.html",'\r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n \r\n {{ \'APPLICATION_EXTERNIP\' | translate }} \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n
            \r\n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n\r\n'),a.put("app/setting/network/view/localnet/localnet.create.modal.html",'\n\n\n'),a.put("app/setting/network/view/localnet/localnet.html",'\r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n
            \r\n \r\n {{ \'APPLICATION_LOCALNET\' | translate }} \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n
            \r\n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \r\n
            \r\n \r\n
            \r\n
            \r\n \r\n
            \r\n
            \r\n\r\n'),a.put("app/setting/network/view/view.html",'\r\n
            \r\n
            \r\n
            \r\n \r\n
            \r\n\r\n \r\n
            \r\n \r\n
            \r\n \r\n
            \r\n \r\n
            \r\n \r\n \r\n
            \r\n
            \r\n {{ \'APPLICATION_NETWORK\' | translate }}\r\n
            \r\n
            \r\n \r\n \r\n \r\n \r\n
            \r\n \r\n
            \r\n \r\n \r\n
            \r\n
            \r\n \r\n\r\n
            \r\n
            \r\n\r\n'),a.put("app/setting/smtp/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n {{$select.selected | capitalize}}\n \n
            \n
            \n
            \n\n \n
            \n\n
            \n\n
            \n
            \n

            ERROR

            \n \n
            \n\n
            \n

            CONNECTED

            \n
            \n\n
            \n

            TRYING...

            \n
            \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_NUMERIC_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n \n \n
            \n \n\n \n
            \n\n
            \n\n
            \n
            \n

            ERROR

            \n \n
            \n\n
            \n

            CONNECTED

            \n
            \n\n
            \n

            TRYING...

            \n
            \n
            \n\n \n
            \n \n \n {{$select.selected.username}}\n \n
            \n
            \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n \n
            \n \n
            \n \n
            \n
            \n\n'), a.put("app/setting/update/list/landing.html",'\n
            \n
            \n \n \n
            \n
            \n
            \n {{countdown}}\n
            \n
            \n

            Wait! We need to restart xCALLY Motion server.

            \n

            \n We are updating it!
            \n xCALLY Motion Team

            \n

            \n
            \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/setting/update/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_UPDATES\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n \n
            \n
            \n
            \n\n'),a.put("app/setting/update/list/list.pull.html",'\n\n\n'),a.put("app/square/odbc/list/list.create.modal.html",'\n\n\n'),a.put("app/square/odbc/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_ODBCS\' | translate }} \n
            \n
            \n \n
            \n \n
            \n
            \n
            \n
            \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/square/odbc/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{odbc.name}}\n
            \n \n
            \n \n\n \n \n \n
            \n \n
            \n \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/square/odbc/view/view.settings.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_ODBC\' | translate}} {{ \'APPLICATION_SETTINGS\' | translate}} \n
            \n \n
            \n\n
            \n
            \n \n
            \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n

            Es. DRIVER=MySQL;SERVER=127.0.0.1;UID=xcall;PWD=password;DATABASE=motion

            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n \n
            \n
            \n \n\n
            \n
            \n
            \n
            \n
            \n'),a.put("app/square/project/list/list.create.modal.html",'\n\n\n'),a.put("app/square/project/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_PROJECTS\' | translate }} \n
            \n
            \n \n
            \n \n
            \n
            \n
            \n
            \n \n
            \n
            {{ \'MESSAGE_NO_RESULTS_AVAILABLE\' | translate }}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/square/project/view/view.html",'\n\n
            \n
            \n
            \n
            \n
            \n\n\n'),a.put("app/square/realtime/view/general/general.html",'\n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n\n'),a.put("app/square/realtime/view/view.html",'\n\n\n'),a.put("app/square/recording/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_RECORDINGS\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/staff/agent/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_AGENTS\' | translate }} \n
            \n
            \n \n
            \n \n \n
            \n
            \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/staff/agent/view/view.account.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_PROFILE\' | translate}} {{ \'APPLICATION_ACCOUNT\' | translate}}\n
            \n \n
            \n\n
            \n
            \n \n
            \n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_WRONG_FORMAT\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n \n \n\n \n
            \n
            \n \n \n
            \n
            \n
            \n
            \n
            \n \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_SELECT_IMAGE\' | translate }} \n
            \n
            \n \n \n
            \n

            \n
            {{ \'MESSAGE_SUPPORTED_FORMATS\' | translate }} jpg, png, jpeg.\n

            \n
            \n
            \n
            \n
            \n
            \n \n \n \n \n \n \n \n \n
            \n \n {{ item.file.name }}\n \n \n {{ item.file.size/1024/1024|number:2 }} MB\n \n \n
            \n
            \n
            \n
            \n \n \n
            \n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n'), a.put("app/staff/agent/view/view.chat.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_PROFILE\' | translate}} {{ \'APPLICATION_CHAT\' | translate}}\n
            \n
            \n\n
            \n \n
            \n \n
            \n \n \n \n {{\'MESSAGE_ZERO_MEANS_UNLIMITED\' | translate}}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/staff/agent/view/view.fax.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_PROFILE\' | translate}} {{ \'APPLICATION_FAX\' | translate}}\n
            \n
            \n\n
            \n \n
            \n \n
            \n \n \n \n {{\'MESSAGE_ZERO_MEANS_UNLIMITED\' | translate}}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/staff/agent/view/view.html",'\n\n\n'),a.put("app/staff/agent/view/view.mail.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_PROFILE\' | translate}} {{ \'APPLICATION_MAIL\' | translate}}\n
            \n
            \n\n
            \n \n
            \n \n
            \n \n \n \n {{\'MESSAGE_ZERO_MEANS_UNLIMITED\' | translate}}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/staff/agent/view/view.motionbar.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_PROFILE\' | translate}} {{ \'APPLICATION_MOTION_BAR\' | translate}}\n
            \n \n
            \n\n
            \n
            \n \n
            \n
            \n\n \n
            \n
            \n \n
            \n \n\n \n
            \n
            \n \n
            \n \n\n \n
            \n
            \n \n \n
            \n
            \n\n \n
            \n
            \n \n
            \n \n\n\n \n
            \n \n \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n
            \n \n
            \n \n\n \n
            \n \n \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n
            \n \n
            \n \n\n \n
            \n \n \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n
            \n \n \n
            \n
            \n\n \n
            \n
            \n \n
            \n \n\n\n \n
            \n \n \n Default: 9888\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n Default: 3600\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}} (min 1).\n \n
            \n \n\n \n
            \n \n \n Default: 5060\n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_NUMBER\' | translate}} (0-65535).\n \n
            \n \n\n \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/staff/agent/view/view.sms.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_PROFILE\' | translate}} {{ \'APPLICATION_SMS\' | translate}}\n
            \n
            \n\n
            \n \n
            \n \n
            \n \n \n \n {{\'MESSAGE_ZERO_MEANS_UNLIMITED\' | translate}}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n
            \n
            \n
            \n
            \n
            \n'),a.put("app/staff/agent/view/view.voice.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_PROFILE\' | translate}} {{ \'APPLICATION_VOICE\' | translate}}\n
            \n
            \n
            \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$item}}\n \n {{transport}}\n \n \n \n {{ \'DESCRIPTION_VOICE_TRANSPORT\' | translate }}\n \n
            \n \n\n \n
            \n \n \n \n {{ \'DESCRIPTION_VOICE_HOST\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$item}}\n \n {{nat}}\n \n \n \n {{ \'DESCRIPTION_VOICE_NAT\' | translate }}\n \n
            \n \n\n \n
            \n \n \n {{$select.selected}}\n \n
            \n
            \n
            \n \n {{ \'DESCRIPTION_VOICE_TYPE\' | translate }}\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n {{$item}}\n \n {{allow}}\n \n \n \n {{ \'DESCRIPTION_VOICE_CODEC\' | translate }}\n \n
            \n \n\n \n
            \n
            \n \n
            \n \n\n \n
            \n
            \n
            \n
            \n
            \n'), a.put("app/staff/agent/wizard/wizard-bulk.html",'
            \n \n \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_AGENT\' | translate }} WIZARD \n
            \n
            \n
            \n \n \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_WRONG_FORMAT\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_PATTERN_NAME\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_WRONG_FORMAT\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_WRONG_PASSWORD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n
            \n\n \n
            \n\n \n
            \n \n \n {{$item}}\n \n {{transport}}\n \n \n \n {{ \'DESCRIPTION_VOICE_TRANSPORT\' | translate }}\n \n
            \n \n\n \n
            \n \n \n {{$item}}\n \n {{allow}}\n \n \n \n {{ \'DESCRIPTION_VOICE_CODEC\' | translate }}\n \n
            \n \n\n \n \n
            \n
            \n\n \n

            {{\'MESSAGE_CONFIGURATION_COMPLETED\' | translate}}

            \n \n
            \n
            \n
            \n
            \n \n
            \n'),a.put("app/staff/agent/wizard/wizard.html",'
            \n \n \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_AGENT\' | translate }} WIZARD \n
            \n
            \n
            \n \n \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_INVALID_PATTERN_NAME\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_WRONG_FORMAT\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_WRONG_PASSWORD\' | translate}}.\n \n
            \n \n\n \n
            \n \n
            \n \n \n \n \n
            \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n
            \n\n \n
            \n\n \n
            \n \n \n {{$item}}\n \n {{transport}}\n \n \n \n {{ \'DESCRIPTION_VOICE_TRANSPORT\' | translate }}\n \n
            \n \n\n \n
            \n \n \n {{$item}}\n \n {{allow}}\n \n \n \n {{ \'DESCRIPTION_VOICE_CODEC\' | translate }}\n \n
            \n \n\n \n \n
            \n
            \n\n \n

            {{\'MESSAGE_CONFIGURATION_COMPLETED\' | translate}}

            \n \n
            \n
            \n
            \n
            \n \n
            \n'),a.put("app/staff/team/list/create.modal.html",'\n\n\n'),a.put("app/staff/team/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_TEAMS\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/staff/team/view/view.agents.html",'
            \n
            \n
            \n
            \n
            \n \n {{\'APPLICATION_AGENTS\' | translate}}\n
            \n
            \n
            \n \n \n \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n
            \n \n \n \n
            \n
            \n
            \n
            \n'),a.put("app/staff/team/view/view.html",'\n
            \n
            \n \n\n \n
            \n \n
            \n \n
            \n \n
            \n \n \n
            \n
            \n {{team.name}}\n
            \n\n
            \n \n\n \n \n \n
            \n \n
            \n \n \n
            \n \n
            \n
            \n\n'),a.put("app/staff/team/view/view.settings.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_PROFILE\' | translate}} {{ \'APPLICATION_ACCOUNT\' | translate}}\n
            \n
            \n\n
            \n \n
            \n\n
            \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n
            \n \n
            \n
            \n
            \n
            \n'),a.put("app/staff/telephone/list/list.html",'\n
            \n
            \n \n\n \n
            \n
            \n
            \n \n {{ \'APPLICATION_TELEPHONES\' | translate }} \n
            \n \n
            \n
            \n \n
            \n
            {{\'MESSAGE_NO_RESULTS_AVAILABLE\' | translate}}
            \n
            \n \n
            \n
            \n \n
            \n
            \n\n'),a.put("app/staff/telephone/view/view.account.html",'
            \n
            \n
            \n
            \n
            \n \n {{ \'APPLICATION_ACCOUNT\' | translate}}\n
            \n \n
            \n\n
            \n
            \n \n
            \n
            \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_EXIST_USERNAME\' | translate}}.\n \n
            \n \n\n \n
            \n \n \n
            \n \n\n \n
            \n
            \n \n\n \n
            \n
            \n \n \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n \n \n \n {{\'APPLICATION_WARNING\' | translate}}! {{\'MESSAGE_REQUIRED_FIELD\' | translate}}.\n \n
            \n \n \n
            \n
            \n \n
            \n
            \n
            \n
            \n
            \n'), diff --git a/public/assets/languages/locale-en_EN.json b/public/assets/languages/locale-en_EN.json index 7c2ce59..cf60b64 100644 --- a/public/assets/languages/locale-en_EN.json +++ b/public/assets/languages/locale-en_EN.json @@ -1,4 +1,6 @@ { + "MESSAGE_SMS_ROOM_CLOSED": "SMS room already closed", + "MESSAGE_SMS_ROOM_CLOSED_DETAILS": "No available operations", "MESSAGE_NO_SMS_DISPOSITIONS_AVAILABLE": "No dispositions available", "MESSAGE_MAIL_ROOM_CLOSED": "Mail room already closed", "MESSAGE_MAIL_ROOM_CLOSED_DETAILS": "No available operations", @@ -1325,5 +1327,6 @@ "APPLICATION_HOUR": "Hour", "APPLICATION_WEEKDAYS": "Week Days", "MESSAGE_FAX_ROOM_CLOSED": "Fax room already closed", - "MESSAGE_FAX_ROOM_CLOSED_DETAILS": "No available operations" + "MESSAGE_FAX_ROOM_CLOSED_DETAILS": "No available operations", + "APPLICATION_PAGE_TRANSFERRED": "Page Transferred" } diff --git a/public/assets/languages/locale-it.json b/public/assets/languages/locale-it.json index 49551bb..89b57b9 100644 --- a/public/assets/languages/locale-it.json +++ b/public/assets/languages/locale-it.json @@ -1,4 +1,6 @@ { + "MESSAGE_SMS_ROOM_CLOSED": "SMS room chiusa", + "MESSAGE_SMS_ROOM_CLOSED_DETAILS": "Nessuna operazione disponibile", "MESSAGE_NO_SMS_DISPOSITIONS_AVAILABLE": "Nessun esito disponibile", "MESSAGE_MAIL_ROOM_CLOSED": "Mail room chiusa", "MESSAGE_MAIL_ROOM_CLOSED_DETAILS": "Nessuna operazione disponibile", @@ -1329,5 +1331,6 @@ "APPLICATION_HOUR": "Ora", "APPLICATION_WEEKDAYS": "Giorni della Settimana", "MESSAGE_FAX_ROOM_CLOSED": "Room room chiusa", - "MESSAGE_FAX_ROOM_CLOSED_DETAILS": "Nessuna operazione disponibile" + "MESSAGE_FAX_ROOM_CLOSED_DETAILS": "Nessuna operazione disponibile", + "APPLICATION_PAGE_TRANSFERRED": "Pagine Trasferite" } diff --git a/public/assets/plugins/jscripty/js/Actions.js b/public/assets/plugins/jscripty/js/Actions.js index 5eb8216..941b7b7 100644 --- a/public/assets/plugins/jscripty/js/Actions.js +++ b/public/assets/plugins/jscripty/js/Actions.js @@ -1 +1 @@ -var _0xcd2d=["\x75\x73\x65\x20\x73\x74\x72\x69\x63\x74","\x65\x64\x69\x74\x6F\x72\x55\x69","\x61\x63\x74\x69\x6F\x6E\x73","\x69\x6E\x69\x74","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x65\x64\x69\x74\x6F\x72","\x67\x72\x61\x70\x68","\x6E\x65\x77","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x73\x68\x6F\x77\x44\x69\x61\x6C\x6F\x67","\x61\x64\x64\x41\x63\x74\x69\x6F\x6E","\x6F\x70\x65\x6E","\x73\x61\x76\x65","\x73\x61\x76\x65\x46\x69\x6C\x65","\x43\x74\x72\x6C\x2B\x53","\x73\x61\x76\x65\x41\x73","\x43\x74\x72\x6C\x2B\x53\x68\x69\x66\x74\x2D\x53","\x70\x75\x62\x6C\x69\x73\x68","\x70\x75\x62\x6C\x69\x73\x68\x46\x69\x6C\x65","\x43\x74\x72\x6C\x2B\x53\x68\x69\x66\x74\x2B\x50","\x76\x61\x72\x69\x61\x62\x6C\x65","\x69\x6D\x70\x6F\x72\x74","\x65\x78\x70\x6F\x72\x74","\x43\x74\x72\x6C\x2B\x45","\x65\x64\x69\x74\x46\x69\x6C\x65","\x65\x64\x69\x74","\x67\x65\x74","\x62\x69\x6E\x64","\x70\x75\x74","\x72\x65\x6E\x61\x6D\x65","\x70\x72\x69\x6E\x74","\x70\x72\x69\x6E\x74\x53\x63\x72\x65\x65\x6E","\x73\x70\x72\x69\x74\x65\x2D\x70\x72\x69\x6E\x74","\x43\x74\x72\x6C\x2B\x50","\x70\x72\x65\x76\x69\x65\x77","\x73\x68\x6F\x77","\x75\x6E\x64\x6F","\x75\x6E\x64\x6F\x4D\x61\x6E\x61\x67\x65\x72","\x73\x70\x72\x69\x74\x65\x2D\x75\x6E\x64\x6F","\x43\x74\x72\x6C\x2B\x5A","\x72\x65\x64\x6F","\x73\x70\x72\x69\x74\x65\x2D\x72\x65\x64\x6F","\x43\x74\x72\x6C\x2B\x59","\x63\x75\x74","\x73\x70\x72\x69\x74\x65\x2D\x63\x75\x74","\x43\x74\x72\x6C\x2B\x58","\x63\x6F\x70\x79","\x73\x70\x72\x69\x74\x65\x2D\x63\x6F\x70\x79","\x43\x74\x72\x6C\x2B\x43","\x70\x61\x73\x74\x65","\x73\x70\x72\x69\x74\x65\x2D\x70\x61\x73\x74\x65","\x43\x74\x72\x6C\x2B\x56","\x64\x65\x6C\x65\x74\x65","\x72\x65\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73","\x44\x65\x6C\x65\x74\x65","\x64\x75\x70\x6C\x69\x63\x61\x74\x65","\x67\x72\x69\x64\x53\x69\x7A\x65","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x43\x74\x72\x6C\x2B\x44","\x73\x65\x6C\x65\x63\x74\x56\x65\x72\x74\x69\x63\x65\x73","\x43\x74\x72\x6C\x2B\x53\x68\x69\x66\x74\x2B\x56","\x73\x65\x6C\x65\x63\x74\x45\x64\x67\x65\x73","\x43\x74\x72\x6C\x2B\x53\x68\x69\x66\x74\x2B\x45","\x73\x65\x6C\x65\x63\x74\x41\x6C\x6C","\x43\x74\x72\x6C\x2B\x41","\x68\x6F\x6D\x65","\x48\x6F\x6D\x65","\x65\x78\x69\x74\x47\x72\x6F\x75\x70","\x50\x61\x67\x65\x20\x55\x70","\x65\x6E\x74\x65\x72\x47\x72\x6F\x75\x70","\x50\x61\x67\x65\x20\x44\x6F\x77\x6E","\x65\x78\x70\x61\x6E\x64","\x66\x6F\x6C\x64\x43\x65\x6C\x6C\x73","\x45\x6E\x74\x65\x72","\x63\x6F\x6C\x6C\x61\x70\x73\x65","\x42\x61\x63\x6B\x73\x70\x61\x63\x65","\x74\x6F\x46\x72\x6F\x6E\x74","\x6F\x72\x64\x65\x72\x43\x65\x6C\x6C\x73","\x43\x74\x72\x6C\x2B\x46","\x74\x6F\x42\x61\x63\x6B","\x43\x74\x72\x6C\x2B\x42","\x67\x72\x6F\x75\x70","\x67\x72\x6F\x75\x70\x43\x65\x6C\x6C\x73","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x43\x74\x72\x6C\x2B\x47","\x75\x6E\x67\x72\x6F\x75\x70","\x75\x6E\x67\x72\x6F\x75\x70\x43\x65\x6C\x6C\x73","\x43\x74\x72\x6C\x2B\x55","\x72\x65\x6D\x6F\x76\x65\x46\x72\x6F\x6D\x47\x72\x6F\x75\x70","\x72\x65\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73\x46\x72\x6F\x6D\x50\x61\x72\x65\x6E\x74","\x65\x64\x69\x74\x4C\x69\x6E\x6B","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x67\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","","\x65\x6E\x74\x65\x72\x56\x61\x6C\x75\x65","\x70\x72\x6F\x6D\x70\x74","\x73\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x6F\x70\x65\x6E\x4C\x69\x6E\x6B","\x61\x75\x74\x6F\x73\x69\x7A\x65","\x62\x65\x67\x69\x6E\x55\x70\x64\x61\x74\x65","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x6C\x65\x6E\x67\x74\x68","\x67\x65\x74\x43\x68\x69\x6C\x64\x43\x6F\x75\x6E\x74","\x75\x70\x64\x61\x74\x65\x47\x72\x6F\x75\x70\x42\x6F\x75\x6E\x64\x73","\x75\x70\x64\x61\x74\x65\x43\x65\x6C\x6C\x53\x69\x7A\x65","\x65\x6E\x64\x55\x70\x64\x61\x74\x65","\x77\x6F\x72\x64\x57\x72\x61\x70","\x67\x65\x74\x53\x74\x61\x74\x65","\x67\x65\x74\x56\x69\x65\x77","\x77\x72\x61\x70","\x53\x54\x59\x4C\x45\x5F\x57\x48\x49\x54\x45\x5F\x53\x50\x41\x43\x45","\x73\x74\x79\x6C\x65","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x72\x6F\x74\x61\x74\x69\x6F\x6E","\x30","\x53\x54\x59\x4C\x45\x5F\x52\x4F\x54\x41\x54\x49\x4F\x4E","\x20\x28","\x20\x30\x2D\x33\x36\x30\x29","\x74\x69\x6C\x74","\x69\x73\x56\x65\x72\x74\x65\x78","\x67\x65\x74\x43\x65\x6C\x6C\x47\x65\x6F\x6D\x65\x74\x72\x79","\x63\x6C\x6F\x6E\x65","\x78","\x77\x69\x64\x74\x68","\x68\x65\x69\x67\x68\x74","\x79","\x73\x65\x74\x47\x65\x6F\x6D\x65\x74\x72\x79","\x76\x69\x65\x77","\x53\x54\x59\x4C\x45\x5F\x44\x49\x52\x45\x43\x54\x49\x4F\x4E","\x65\x61\x73\x74","\x73\x6F\x75\x74\x68","\x77\x65\x73\x74","\x6E\x6F\x72\x74\x68","\x43\x74\x72\x6C\x2B\x52","\x61\x63\x74\x75\x61\x6C\x53\x69\x7A\x65","\x7A\x6F\x6F\x6D\x54\x6F","\x7A\x6F\x6F\x6D\x49\x6E","\x41\x64\x64","\x7A\x6F\x6F\x6D\x4F\x75\x74","\x53\x75\x62\x74\x72\x61\x63\x74","\x66\x69\x74\x57\x69\x6E\x64\x6F\x77","\x66\x69\x74","\x66\x69\x74\x50\x61\x67\x65","\x70\x61\x67\x65\x56\x69\x73\x69\x62\x6C\x65","\x66\x75\x6E\x63\x74","\x70\x61\x67\x65\x56\x69\x65\x77","\x70\x61\x67\x65\x46\x6F\x72\x6D\x61\x74","\x70\x61\x67\x65\x53\x63\x61\x6C\x65","\x63\x6C\x69\x65\x6E\x74\x57\x69\x64\x74\x68","\x63\x6C\x69\x65\x6E\x74\x48\x65\x69\x67\x68\x74","\x6D\x69\x6E","\x66\x6C\x6F\x6F\x72","\x73\x63\x72\x6F\x6C\x6C\x4C\x65\x66\x74","\x74\x72\x61\x6E\x73\x6C\x61\x74\x65","\x6D\x61\x78","\x72\x6F\x75\x6E\x64","\x73\x63\x72\x6F\x6C\x6C\x54\x6F\x70","\x66\x69\x74\x50\x61\x67\x65\x57\x69\x64\x74\x68","\x63\x75\x73\x74\x6F\x6D\x5A\x6F\x6F\x6D","\x63\x75\x73\x74\x6F\x6D","\x20\x28\x25\x29","\x67\x65\x74\x53\x63\x61\x6C\x65","\x67\x72\x69\x64","\x69\x73\x47\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x47\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64","\x75\x70\x64\x61\x74\x65\x47\x72\x61\x70\x68\x43\x6F\x6D\x70\x6F\x6E\x65\x6E\x74\x73","\x43\x74\x72\x6C\x2B\x53\x68\x69\x66\x74\x2B\x47","\x73\x65\x74\x54\x6F\x67\x67\x6C\x65\x41\x63\x74\x69\x6F\x6E","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x65\x64\x43\x61\x6C\x6C\x62\x61\x63\x6B","\x67\x75\x69\x64\x65\x73","\x67\x75\x69\x64\x65\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x61\x70\x68\x48\x61\x6E\x64\x6C\x65\x72","\x74\x6F\x6F\x6C\x74\x69\x70\x73","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x74\x6F\x6F\x6C\x74\x69\x70\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x6E\x61\x76\x69\x67\x61\x74\x69\x6F\x6E","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x72\x65\x76\x61\x6C\x69\x64\x61\x74\x65","\x73\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73","\x73\x63\x61\x6C\x65","\x73\x65\x74\x54\x72\x61\x6E\x73\x6C\x61\x74\x65","\x73\x69\x7A\x65\x44\x69\x64\x43\x68\x61\x6E\x67\x65","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x61\x75\x74\x6F","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x73\x56\x69\x73\x69\x62\x6C\x65","\x70\x72\x65\x66\x65\x72\x50\x61\x67\x65\x53\x69\x7A\x65","\x76\x61\x6C\x69\x64\x61\x74\x65","\x75\x70\x64\x61\x74\x65","\x6F\x75\x74\x6C\x69\x6E\x65","\x68\x61\x73\x53\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73","\x63\x6F\x6E\x6E\x65\x63\x74","\x63\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x43\x74\x72\x6C\x2B\x51","\x63\x6F\x70\x79\x43\x6F\x6E\x6E\x65\x63\x74","\x69\x73\x43\x72\x65\x61\x74\x65\x54\x61\x72\x67\x65\x74","\x73\x65\x74\x43\x72\x65\x61\x74\x65\x54\x61\x72\x67\x65\x74","\x68\x65\x6C\x70","\x6C\x61\x6E\x67\x75\x61\x67\x65","\x69\x73\x4C\x61\x6E\x67\x75\x61\x67\x65\x53\x75\x70\x70\x6F\x72\x74\x65\x64","\x5F","\x2F\x68\x65\x6C\x70","\x2E\x68\x74\x6D\x6C","\x61\x62\x6F\x75\x74","\x20\x43\x61\x6C\x6C\x79\x20\x53\x71\x75\x61\x72\x65","\x46\x31","\x74\x6F\x67\x67\x6C\x65\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x46\x6C\x61\x67\x73","\x62\x6F\x6C\x64","\x69\x74\x61\x6C\x69\x63","\x75\x6E\x64\x65\x72\x6C\x69\x6E\x65","\x73\x68\x61\x64\x6F\x77","\x74\x6F\x67\x67\x6C\x65\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x64\x61\x73\x68\x65\x64","\x72\x6F\x75\x6E\x64\x65\x64","\x63\x75\x72\x76\x65\x64","\x29","\x67\x65\x74\x53\x74\x79\x6C\x65","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65","\x73\x65\x74\x41\x73\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x73\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x61\x64\x64\x57\x61\x79\x70\x6F\x69\x6E\x74","\x69\x73\x45\x64\x67\x65","\x67\x65\x74\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x50\x61\x72\x65\x6E\x74","\x73\x74\x61\x74\x65","\x74\x72\x69\x67\x67\x65\x72\x58","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x74\x72\x69\x67\x67\x65\x72\x59","\x61\x64\x64\x50\x6F\x69\x6E\x74\x41\x74","\x72\x65\x6D\x6F\x76\x65\x57\x61\x79\x70\x6F\x69\x6E\x74","\x68\x61\x6E\x64\x6C\x65\x72","\x69\x6E\x64\x65\x78","\x72\x65\x6D\x6F\x76\x65\x50\x6F\x69\x6E\x74","\x69\x6D\x61\x67\x65","\x67\x65\x74\x47\x72\x69\x64\x53\x69\x7A\x65","\x67\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x50\x61\x72\x65\x6E\x74","\x69\x6E\x73\x65\x72\x74\x56\x65\x72\x74\x65\x78","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x6F\x75\x6E\x74","\x67\x65\x74\x47\x65\x6F\x6D\x65\x74\x72\x79","\x73\x63\x72\x6F\x6C\x6C\x43\x65\x6C\x6C\x54\x6F\x56\x69\x73\x69\x62\x6C\x65","\x53\x54\x59\x4C\x45\x5F\x49\x4D\x41\x47\x45","\x75\x72\x6C","\x6F\x6E\x6C\x6F\x61\x64","\x6F\x6E\x65\x72\x72\x6F\x72","\x66\x69\x6C\x65\x4E\x6F\x74\x46\x6F\x75\x6E\x64","\x61\x6C\x65\x72\x74","\x73\x72\x63","\x63\x61\x6C\x6C","\x6C\x61\x62\x65\x6C","\x65\x6E\x61\x62\x6C\x65\x64","\x69\x63\x6F\x6E\x43\x6C\x73","\x73\x68\x6F\x72\x74\x63\x75\x74","\x65\x78\x74\x65\x6E\x64","\x73\x74\x61\x74\x65\x43\x68\x61\x6E\x67\x65\x64","\x66\x69\x72\x65\x45\x76\x65\x6E\x74","\x74\x6F\x67\x67\x6C\x65\x41\x63\x74\x69\x6F\x6E","\x73\x65\x6C\x65\x63\x74\x65\x64\x43\x61\x6C\x6C\x62\x61\x63\x6B","\x69\x73\x53\x65\x6C\x65\x63\x74\x65\x64"];_0xcd2d[0];function Actions(_0x3da8x2){this[_0xcd2d[1]]=_0x3da8x2;this[_0xcd2d[2]]= new Object();this[_0xcd2d[3]]()}Actions[_0xcd2d[4]][_0xcd2d[3]]=function(){var _0x3da8x3=this[_0xcd2d[1]];var _0x3da8x4=_0x3da8x3[_0xcd2d[5]];var _0x3da8x5=_0x3da8x4[_0xcd2d[6]];this[_0xcd2d[10]](_0xcd2d[7],function(){_0x3da8x3[_0xcd2d[9]]( new NewDialog(_0x3da8x3)[_0xcd2d[8]],300,180,true,true)});this[_0xcd2d[10]](_0xcd2d[11],function(){_0x3da8x3[_0xcd2d[9]]( new OpenDialog(_0x3da8x3)[_0xcd2d[8]],300,180,true,true)});this[_0xcd2d[10]](_0xcd2d[12],function(){_0x3da8x3[_0xcd2d[13]](false)},null,null,_0xcd2d[14]);this[_0xcd2d[10]](_0xcd2d[15],function(){_0x3da8x3[_0xcd2d[13]](true)},null,null,_0xcd2d[16]);this[_0xcd2d[10]](_0xcd2d[17],function(){_0x3da8x3[_0xcd2d[18]](false)},null,null,_0xcd2d[19]);this[_0xcd2d[10]](_0xcd2d[20],function(){_0x3da8x3[_0xcd2d[9]]( new VariableDialog(_0x3da8x3)[_0xcd2d[8]],300,180,true,true)});this[_0xcd2d[10]](_0xcd2d[21],function(){_0x3da8x3[_0xcd2d[9]]( new ImportDialog(_0x3da8x3)[_0xcd2d[8]],300,200,true,true)});this[_0xcd2d[10]](_0xcd2d[22],function(){_0x3da8x3[_0xcd2d[9]]( new ExportDialog(_0x3da8x3)[_0xcd2d[8]],300,200,true,true)},null,null,_0xcd2d[23]);this[_0xcd2d[28]](_0xcd2d[24], new Action(mxResources[_0xcd2d[26]](_0xcd2d[25]),mxUtils[_0xcd2d[27]](this,function(){this[_0xcd2d[1]][_0xcd2d[9]]( new EditFileDialog(_0x3da8x3)[_0xcd2d[8]],620,420,true,true)})));this[_0xcd2d[10]](_0xcd2d[29],function(){_0x3da8x3[_0xcd2d[9]]( new RenameDialog(_0x3da8x3)[_0xcd2d[8]],300,180,true,true)});this[_0xcd2d[10]](_0xcd2d[30],function(){mxUtils[_0xcd2d[31]](_0x3da8x5)},null,_0xcd2d[32],_0xcd2d[33]);this[_0xcd2d[10]](_0xcd2d[34],function(){mxUtils[_0xcd2d[35]](_0x3da8x5,null,10,10)});this[_0xcd2d[10]](_0xcd2d[36],function(){_0x3da8x4[_0xcd2d[37]][_0xcd2d[36]]()},null,_0xcd2d[38],_0xcd2d[39]);this[_0xcd2d[10]](_0xcd2d[40],function(){_0x3da8x4[_0xcd2d[37]][_0xcd2d[40]]()},null,_0xcd2d[41],_0xcd2d[42]);this[_0xcd2d[10]](_0xcd2d[43],function(){mxClipboard[_0xcd2d[43]](_0x3da8x5)},null,_0xcd2d[44],_0xcd2d[45]);this[_0xcd2d[10]](_0xcd2d[46],function(){mxClipboard[_0xcd2d[46]](_0x3da8x5)},null,_0xcd2d[47],_0xcd2d[48]);this[_0xcd2d[10]](_0xcd2d[49],function(){mxClipboard[_0xcd2d[49]](_0x3da8x5)},false,_0xcd2d[50],_0xcd2d[51]);this[_0xcd2d[10]](_0xcd2d[52],function(){_0x3da8x5[_0xcd2d[53]]()},null,null,_0xcd2d[54]);this[_0xcd2d[10]](_0xcd2d[55],function(){var _0x3da8x6=_0x3da8x5[_0xcd2d[56]];_0x3da8x5[_0xcd2d[59]](_0x3da8x5[_0xcd2d[58]](_0x3da8x5[_0xcd2d[57]](),_0x3da8x6,_0x3da8x6,true))},null,null,_0xcd2d[60]);this[_0xcd2d[10]](_0xcd2d[61],function(){_0x3da8x5[_0xcd2d[61]]()},null,null,_0xcd2d[62]);this[_0xcd2d[10]](_0xcd2d[63],function(){_0x3da8x5[_0xcd2d[63]]()},null,null,_0xcd2d[64]);this[_0xcd2d[10]](_0xcd2d[65],function(){_0x3da8x5[_0xcd2d[65]]()},null,null,_0xcd2d[66]);this[_0xcd2d[10]](_0xcd2d[67],function(){_0x3da8x5[_0xcd2d[67]]()},null,null,_0xcd2d[68]);this[_0xcd2d[10]](_0xcd2d[69],function(){_0x3da8x5[_0xcd2d[69]]()},null,null,_0xcd2d[70]);this[_0xcd2d[10]](_0xcd2d[71],function(){_0x3da8x5[_0xcd2d[71]]()},null,null,_0xcd2d[72]);this[_0xcd2d[10]](_0xcd2d[73],function(){_0x3da8x5[_0xcd2d[74]](false)},null,null,_0xcd2d[75]);this[_0xcd2d[10]](_0xcd2d[76],function(){_0x3da8x5[_0xcd2d[74]](true)},null,null,_0xcd2d[77]);this[_0xcd2d[10]](_0xcd2d[78],function(){_0x3da8x5[_0xcd2d[79]](false)},null,null,_0xcd2d[80]);this[_0xcd2d[10]](_0xcd2d[81],function(){_0x3da8x5[_0xcd2d[79]](true)},null,null,_0xcd2d[82]);this[_0xcd2d[10]](_0xcd2d[83],function(){_0x3da8x5[_0xcd2d[85]](_0x3da8x5[_0xcd2d[84]](null,0))},null,null,_0xcd2d[86]);this[_0xcd2d[10]](_0xcd2d[87],function(){_0x3da8x5[_0xcd2d[59]](_0x3da8x5[_0xcd2d[88]]())},null,null,_0xcd2d[89]);this[_0xcd2d[10]](_0xcd2d[90],function(){_0x3da8x5[_0xcd2d[91]]()});this[_0xcd2d[10]](_0xcd2d[92],function(){var _0x3da8x7=_0x3da8x5[_0xcd2d[93]]();var _0x3da8x8=_0x3da8x5[_0xcd2d[94]](_0x3da8x7);if(_0x3da8x8==null){_0x3da8x8=_0xcd2d[95]};_0x3da8x8=mxUtils[_0xcd2d[97]](mxResources[_0xcd2d[26]](_0xcd2d[96]),_0x3da8x8);if(_0x3da8x8!=null){_0x3da8x5[_0xcd2d[98]](_0x3da8x7,_0x3da8x8)}});this[_0xcd2d[10]](_0xcd2d[99],function(){var _0x3da8x7=_0x3da8x5[_0xcd2d[93]]();var _0x3da8x8=_0x3da8x5[_0xcd2d[94]](_0x3da8x7);if(_0x3da8x8!=null){window[_0xcd2d[11]](_0x3da8x8)}});this[_0xcd2d[10]](_0xcd2d[100],function(){var _0x3da8x9=_0x3da8x5[_0xcd2d[57]]();if(_0x3da8x9!=null){_0x3da8x5[_0xcd2d[102]]()[_0xcd2d[101]]();try{for(var _0x3da8xa=0;_0x3da8xa<_0x3da8x9[_0xcd2d[103]];_0x3da8xa++){var _0x3da8x7=_0x3da8x9[_0x3da8xa];if(_0x3da8x5[_0xcd2d[102]]()[_0xcd2d[104]](_0x3da8x7)){_0x3da8x5[_0xcd2d[105]]([_0x3da8x7],20)}else {_0x3da8x5[_0xcd2d[106]](_0x3da8x7)}}}finally{_0x3da8x5[_0xcd2d[102]]()[_0xcd2d[107]]()}}});this[_0xcd2d[10]](_0xcd2d[108],function(){var _0x3da8xb=_0x3da8x5[_0xcd2d[110]]()[_0xcd2d[109]](_0x3da8x5[_0xcd2d[93]]());var _0x3da8xc=_0xcd2d[111];if(_0x3da8xb!=null&&_0x3da8xb[_0xcd2d[113]][mxConstants[_0xcd2d[112]]]==_0xcd2d[111]){_0x3da8xc=null};_0x3da8x5[_0xcd2d[114]](mxConstants.STYLE_WHITE_SPACE,_0x3da8xc)});this[_0xcd2d[10]](_0xcd2d[115],function(){var _0x3da8xc=_0xcd2d[116];var _0x3da8xb=_0x3da8x5[_0xcd2d[110]]()[_0xcd2d[109]](_0x3da8x5[_0xcd2d[93]]());if(_0x3da8xb!=null){_0x3da8xc=_0x3da8xb[_0xcd2d[113]][mxConstants[_0xcd2d[117]]]||_0x3da8xc};_0x3da8xc=mxUtils[_0xcd2d[97]](mxResources[_0xcd2d[26]](_0xcd2d[96])+_0xcd2d[118]+mxResources[_0xcd2d[26]](_0xcd2d[115])+_0xcd2d[119],_0x3da8xc);if(_0x3da8xc!=null){_0x3da8x5[_0xcd2d[114]](mxConstants.STYLE_ROTATION,_0x3da8xc)}});this[_0xcd2d[10]](_0xcd2d[120],function(){var _0x3da8x9=_0x3da8x5[_0xcd2d[57]]();if(_0x3da8x9!=null){_0x3da8x5[_0xcd2d[102]]()[_0xcd2d[101]]();try{for(var _0x3da8xa=0;_0x3da8xa<_0x3da8x9[_0xcd2d[103]];_0x3da8xa++){var _0x3da8x7=_0x3da8x9[_0x3da8xa];if(_0x3da8x5[_0xcd2d[102]]()[_0xcd2d[121]](_0x3da8x7)&&_0x3da8x5[_0xcd2d[102]]()[_0xcd2d[104]](_0x3da8x7)==0){var _0x3da8xd=_0x3da8x5[_0xcd2d[122]](_0x3da8x7);if(_0x3da8xd!=null){_0x3da8xd=_0x3da8xd[_0xcd2d[123]]();_0x3da8xd[_0xcd2d[124]]+=_0x3da8xd[_0xcd2d[125]]/2-_0x3da8xd[_0xcd2d[126]]/2;_0x3da8xd[_0xcd2d[127]]+=_0x3da8xd[_0xcd2d[126]]/2-_0x3da8xd[_0xcd2d[125]]/2;var _0x3da8xe=_0x3da8xd[_0xcd2d[125]];_0x3da8xd[_0xcd2d[125]]=_0x3da8xd[_0xcd2d[126]];_0x3da8xd[_0xcd2d[126]]=_0x3da8xe;_0x3da8x5[_0xcd2d[102]]()[_0xcd2d[128]](_0x3da8x7,_0x3da8xd);var _0x3da8xb=_0x3da8x5[_0xcd2d[129]][_0xcd2d[109]](_0x3da8x7);if(_0x3da8xb!=null){var _0x3da8xf=_0x3da8xb[_0xcd2d[113]][mxConstants[_0xcd2d[130]]]||_0xcd2d[131];if(_0x3da8xf==_0xcd2d[131]){_0x3da8xf=_0xcd2d[132]}else {if(_0x3da8xf==_0xcd2d[132]){_0x3da8xf=_0xcd2d[133]}else {if(_0x3da8xf==_0xcd2d[133]){_0x3da8xf=_0xcd2d[134]}else {if(_0x3da8xf==_0xcd2d[134]){_0x3da8xf=_0xcd2d[131]}}}};_0x3da8x5[_0xcd2d[114]](mxConstants.STYLE_DIRECTION,_0x3da8xf,[_0x3da8x7])}}}}}finally{_0x3da8x5[_0xcd2d[102]]()[_0xcd2d[107]]()}}},null,null,_0xcd2d[135]);this[_0xcd2d[10]](_0xcd2d[136],function(){_0x3da8x5[_0xcd2d[137]](1)});this[_0xcd2d[10]](_0xcd2d[138],function(){_0x3da8x5[_0xcd2d[138]]()},null,null,_0xcd2d[139]);this[_0xcd2d[10]](_0xcd2d[140],function(){_0x3da8x5[_0xcd2d[140]]()},null,null,_0xcd2d[141]);this[_0xcd2d[10]](_0xcd2d[142],function(){_0x3da8x5[_0xcd2d[143]]()});this[_0xcd2d[10]](_0xcd2d[144],mxUtils[_0xcd2d[27]](this,function(){if(!_0x3da8x5[_0xcd2d[145]]){this[_0xcd2d[26]](_0xcd2d[147])[_0xcd2d[146]]()};var _0x3da8x10=_0x3da8x5[_0xcd2d[148]];var _0x3da8x11=_0x3da8x5[_0xcd2d[149]];var _0x3da8x12=_0x3da8x5[_0xcd2d[8]][_0xcd2d[150]]-20;var _0x3da8x13=_0x3da8x5[_0xcd2d[8]][_0xcd2d[151]]-20;var _0x3da8x14=Math[_0xcd2d[153]](100*Math[_0xcd2d[152]](_0x3da8x12/_0x3da8x10[_0xcd2d[125]]/_0x3da8x11,_0x3da8x13/_0x3da8x10[_0xcd2d[126]]/_0x3da8x11))/100;_0x3da8x5[_0xcd2d[137]](_0x3da8x14);_0x3da8x5[_0xcd2d[8]][_0xcd2d[154]]=Math[_0xcd2d[157]](_0x3da8x5[_0xcd2d[129]][_0xcd2d[155]][_0xcd2d[124]]*_0x3da8x14-Math[_0xcd2d[156]](10,(_0x3da8x5[_0xcd2d[8]][_0xcd2d[150]]-_0x3da8x10[_0xcd2d[125]]*_0x3da8x11*_0x3da8x14)/2));_0x3da8x5[_0xcd2d[8]][_0xcd2d[158]]=Math[_0xcd2d[157]](_0x3da8x5[_0xcd2d[129]][_0xcd2d[155]][_0xcd2d[127]]*_0x3da8x14-Math[_0xcd2d[156]](10,(_0x3da8x5[_0xcd2d[8]][_0xcd2d[151]]-_0x3da8x10[_0xcd2d[126]]*_0x3da8x11*_0x3da8x14)/2))}));this[_0xcd2d[10]](_0xcd2d[159],mxUtils[_0xcd2d[27]](this,function(){if(!_0x3da8x5[_0xcd2d[145]]){this[_0xcd2d[26]](_0xcd2d[147])[_0xcd2d[146]]()};var _0x3da8x10=_0x3da8x5[_0xcd2d[148]];var _0x3da8x11=_0x3da8x5[_0xcd2d[149]];var _0x3da8x12=_0x3da8x5[_0xcd2d[8]][_0xcd2d[150]]-20;var _0x3da8x14=Math[_0xcd2d[153]](100*_0x3da8x12/_0x3da8x10[_0xcd2d[125]]/_0x3da8x11)/100;_0x3da8x5[_0xcd2d[137]](_0x3da8x14);_0x3da8x5[_0xcd2d[8]][_0xcd2d[154]]=Math[_0xcd2d[157]](_0x3da8x5[_0xcd2d[129]][_0xcd2d[155]][_0xcd2d[124]]*_0x3da8x14-Math[_0xcd2d[156]](10,(_0x3da8x5[_0xcd2d[8]][_0xcd2d[150]]-_0x3da8x10[_0xcd2d[125]]*_0x3da8x11*_0x3da8x14)/2));_0x3da8x5[_0xcd2d[8]][_0xcd2d[158]]=Math[_0xcd2d[157]](_0x3da8x5[_0xcd2d[129]][_0xcd2d[155]][_0xcd2d[127]]*_0x3da8x14-Math[_0xcd2d[156]](10,(_0x3da8x5[_0xcd2d[8]][_0xcd2d[151]]-_0x3da8x10[_0xcd2d[126]]*_0x3da8x11*_0x3da8x14)/2))}));this[_0xcd2d[28]](_0xcd2d[160], new Action(mxResources[_0xcd2d[26]](_0xcd2d[161]),function(){var _0x3da8xc=mxUtils[_0xcd2d[97]](mxResources[_0xcd2d[26]](_0xcd2d[96])+_0xcd2d[162],parseInt(_0x3da8x5[_0xcd2d[110]]()[_0xcd2d[163]]()*100));if(_0x3da8xc!=null&&_0x3da8xc[_0xcd2d[103]]>0&&!isNaN(parseInt(_0x3da8xc))){_0x3da8x5[_0xcd2d[137]](parseInt(_0x3da8xc)/100)}}));var _0x3da8x15=null;_0x3da8x15=this[_0xcd2d[10]](_0xcd2d[164],function(){_0x3da8x5[_0xcd2d[166]](!_0x3da8x5[_0xcd2d[165]]());_0x3da8x4[_0xcd2d[167]]()},null,null,_0xcd2d[168]);_0x3da8x15[_0xcd2d[169]](true);_0x3da8x15[_0xcd2d[170]](function(){return _0x3da8x5[_0xcd2d[165]]()});_0x3da8x15=this[_0xcd2d[10]](_0xcd2d[171],function(){_0x3da8x5[_0xcd2d[173]][_0xcd2d[172]]=!_0x3da8x5[_0xcd2d[173]][_0xcd2d[172]]});_0x3da8x15[_0xcd2d[169]](true);_0x3da8x15[_0xcd2d[170]](function(){return _0x3da8x5[_0xcd2d[173]][_0xcd2d[172]]});_0x3da8x15=this[_0xcd2d[10]](_0xcd2d[174],function(){_0x3da8x5[_0xcd2d[176]][_0xcd2d[177]](!_0x3da8x5[_0xcd2d[176]][_0xcd2d[175]]())});_0x3da8x15[_0xcd2d[169]](true);_0x3da8x15[_0xcd2d[170]](function(){return _0x3da8x5[_0xcd2d[176]][_0xcd2d[175]]()});_0x3da8x15=this[_0xcd2d[10]](_0xcd2d[178],function(){_0x3da8x5[_0xcd2d[179]]=!_0x3da8x5[_0xcd2d[179]];_0x3da8x5[_0xcd2d[129]][_0xcd2d[180]]()});_0x3da8x15[_0xcd2d[169]](true);_0x3da8x15[_0xcd2d[170]](function(){return _0x3da8x5[_0xcd2d[179]]});_0x3da8x15=this[_0xcd2d[10]](_0xcd2d[181],function(){_0x3da8x5[_0xcd2d[181]]=!_0x3da8x5[_0xcd2d[181]];_0x3da8x4[_0xcd2d[167]]();if(!_0x3da8x5[_0xcd2d[181]]){var _0x3da8x16=_0x3da8x5[_0xcd2d[129]][_0xcd2d[155]];_0x3da8x5[_0xcd2d[129]][_0xcd2d[183]](_0x3da8x16[_0xcd2d[124]]-_0x3da8x5[_0xcd2d[8]][_0xcd2d[154]]/_0x3da8x5[_0xcd2d[129]][_0xcd2d[182]],_0x3da8x16[_0xcd2d[127]]-_0x3da8x5[_0xcd2d[8]][_0xcd2d[158]]/_0x3da8x5[_0xcd2d[129]][_0xcd2d[182]]);_0x3da8x5[_0xcd2d[8]][_0xcd2d[154]]=0;_0x3da8x5[_0xcd2d[8]][_0xcd2d[158]]=0;_0x3da8x5[_0xcd2d[184]]()}else {var _0x3da8x17=_0x3da8x5[_0xcd2d[129]][_0xcd2d[155]][_0xcd2d[124]];var _0x3da8x18=_0x3da8x5[_0xcd2d[129]][_0xcd2d[155]][_0xcd2d[127]];_0x3da8x5[_0xcd2d[129]][_0xcd2d[155]][_0xcd2d[124]]=0;_0x3da8x5[_0xcd2d[129]][_0xcd2d[155]][_0xcd2d[127]]=0;_0x3da8x5[_0xcd2d[184]]();_0x3da8x5[_0xcd2d[8]][_0xcd2d[154]]-=Math[_0xcd2d[157]](_0x3da8x17*_0x3da8x5[_0xcd2d[129]][_0xcd2d[182]]);_0x3da8x5[_0xcd2d[8]][_0xcd2d[158]]-=Math[_0xcd2d[157]](_0x3da8x18*_0x3da8x5[_0xcd2d[129]][_0xcd2d[182]])}},!mxClient.IS_TOUCH);_0x3da8x15[_0xcd2d[169]](true);_0x3da8x15[_0xcd2d[170]](function(){return _0x3da8x5[_0xcd2d[8]][_0xcd2d[113]][_0xcd2d[185]]==_0xcd2d[186]});_0x3da8x15=this[_0xcd2d[10]](_0xcd2d[147],mxUtils[_0xcd2d[27]](this,function(){_0x3da8x5[_0xcd2d[145]]=!_0x3da8x5[_0xcd2d[145]];_0x3da8x5[_0xcd2d[187]]=_0x3da8x5[_0xcd2d[145]];_0x3da8x5[_0xcd2d[188]]=_0x3da8x5[_0xcd2d[187]];_0x3da8x5[_0xcd2d[129]][_0xcd2d[189]]();_0x3da8x5[_0xcd2d[184]]();_0x3da8x4[_0xcd2d[167]]();_0x3da8x4[_0xcd2d[191]][_0xcd2d[190]]();if(mxUtils[_0xcd2d[192]](_0x3da8x5[_0xcd2d[8]])){if(_0x3da8x5[_0xcd2d[145]]){_0x3da8x5[_0xcd2d[8]][_0xcd2d[154]]-=20;_0x3da8x5[_0xcd2d[8]][_0xcd2d[158]]-=20}else {_0x3da8x5[_0xcd2d[8]][_0xcd2d[154]]+=20;_0x3da8x5[_0xcd2d[8]][_0xcd2d[158]]+=20}}}));_0x3da8x15[_0xcd2d[169]](true);_0x3da8x15[_0xcd2d[170]](function(){return _0x3da8x5[_0xcd2d[145]]});_0x3da8x15=this[_0xcd2d[10]](_0xcd2d[193],function(){_0x3da8x5[_0xcd2d[195]](!_0x3da8x5[_0xcd2d[194]][_0xcd2d[175]]())},null,null,_0xcd2d[196]);_0x3da8x15[_0xcd2d[169]](true);_0x3da8x15[_0xcd2d[170]](function(){return _0x3da8x5[_0xcd2d[194]][_0xcd2d[175]]()});_0x3da8x15=this[_0xcd2d[10]](_0xcd2d[197],function(){_0x3da8x5[_0xcd2d[194]][_0xcd2d[199]](!_0x3da8x5[_0xcd2d[194]][_0xcd2d[198]]())});_0x3da8x15[_0xcd2d[169]](true);_0x3da8x15[_0xcd2d[170]](function(){return _0x3da8x5[_0xcd2d[194]][_0xcd2d[198]]()});this[_0xcd2d[10]](_0xcd2d[200],function(){var _0x3da8x19=_0xcd2d[95];if(mxResources[_0xcd2d[202]](mxClient[_0xcd2d[201]])){_0x3da8x19=_0xcd2d[203]+mxClient[_0xcd2d[201]]};window[_0xcd2d[11]](RESOURCES_PATH+_0xcd2d[204]+_0x3da8x19+_0xcd2d[205])});this[_0xcd2d[28]](_0xcd2d[206], new Action(mxResources[_0xcd2d[26]](_0xcd2d[206])+_0xcd2d[207],function(){_0x3da8x3[_0xcd2d[9]]( new AboutDialog(_0x3da8x3)[_0xcd2d[8]],320,280,true,true)},null,null,_0xcd2d[208]));var _0x3da8x1a=mxUtils[_0xcd2d[27]](this,function(_0x3da8x1b,_0x3da8x1c){this[_0xcd2d[10]](_0x3da8x1b,function(){_0x3da8x5[_0xcd2d[209]](mxConstants.STYLE_FONTSTYLE,_0x3da8x1c)})});_0x3da8x1a(_0xcd2d[210],mxConstants.FONT_BOLD);_0x3da8x1a(_0xcd2d[211],mxConstants.FONT_ITALIC);_0x3da8x1a(_0xcd2d[212],mxConstants.FONT_UNDERLINE);this[_0xcd2d[10]](_0xcd2d[213],function(){_0x3da8x5[_0xcd2d[214]](mxConstants.STYLE_SHADOW)});this[_0xcd2d[10]](_0xcd2d[215],function(){_0x3da8x5[_0xcd2d[214]](mxConstants.STYLE_DASHED)});this[_0xcd2d[10]](_0xcd2d[216],function(){_0x3da8x5[_0xcd2d[214]](mxConstants.STYLE_ROUNDED)});this[_0xcd2d[10]](_0xcd2d[217],function(){_0x3da8x5[_0xcd2d[214]](mxConstants.STYLE_CURVED)});this[_0xcd2d[10]](_0xcd2d[113],function(){var _0x3da8x9=_0x3da8x5[_0xcd2d[57]]();if(_0x3da8x9!=null&&_0x3da8x9[_0xcd2d[103]]>0){var _0x3da8x1d=_0x3da8x5[_0xcd2d[102]]();var _0x3da8x1c=mxUtils[_0xcd2d[97]](mxResources[_0xcd2d[26]](_0xcd2d[96])+_0xcd2d[118]+mxResources[_0xcd2d[26]](_0xcd2d[113])+_0xcd2d[218],_0x3da8x1d[_0xcd2d[219]](_0x3da8x9[0])||_0xcd2d[95]);if(_0x3da8x1c!=null){_0x3da8x5[_0xcd2d[220]](_0x3da8x1c,_0x3da8x9)}}});this[_0xcd2d[10]](_0xcd2d[221],function(){_0x3da8x5[_0xcd2d[222]](_0x3da8x5[_0xcd2d[93]]())});this[_0xcd2d[10]](_0xcd2d[223],function(){var _0x3da8x7=_0x3da8x5[_0xcd2d[93]]();if(_0x3da8x7!=null&&_0x3da8x5[_0xcd2d[102]]()[_0xcd2d[224]](_0x3da8x7)){var _0x3da8x1e=_0x3da8x4[_0xcd2d[6]][_0xcd2d[226]][_0xcd2d[225]](_0x3da8x7);if(_0x3da8x1e instanceof mxEdgeHandler){var _0x3da8x16=_0x3da8x5[_0xcd2d[129]][_0xcd2d[155]];var _0x3da8x6=_0x3da8x5[_0xcd2d[129]][_0xcd2d[182]];var _0x3da8x17=_0x3da8x16[_0xcd2d[124]];var _0x3da8x18=_0x3da8x16[_0xcd2d[127]];var _0x3da8x1f=_0x3da8x5[_0xcd2d[102]]()[_0xcd2d[227]](_0x3da8x7);var _0x3da8x20=_0x3da8x5[_0xcd2d[122]](_0x3da8x1f);if(_0x3da8x5[_0xcd2d[102]]()[_0xcd2d[121]](_0x3da8x1f)&&_0x3da8x20!=null){_0x3da8x17+=_0x3da8x20[_0xcd2d[124]];_0x3da8x18+=_0x3da8x20[_0xcd2d[127]]};_0x3da8x1e[_0xcd2d[232]](_0x3da8x1e[_0xcd2d[228]],_0x3da8x5[_0xcd2d[230]][_0xcd2d[229]]/_0x3da8x6-_0x3da8x17,_0x3da8x5[_0xcd2d[230]][_0xcd2d[231]]/_0x3da8x6-_0x3da8x18)}}});this[_0xcd2d[10]](_0xcd2d[233],function(){var _0x3da8x21=_0x3da8x3[_0xcd2d[2]][_0xcd2d[26]](_0xcd2d[233]);if(_0x3da8x21[_0xcd2d[234]]!=null){_0x3da8x21[_0xcd2d[234]][_0xcd2d[236]](_0x3da8x21[_0xcd2d[234]][_0xcd2d[228]],_0x3da8x21[_0xcd2d[235]])}});this[_0xcd2d[10]](_0xcd2d[237],function(){function _0x3da8x22(_0x3da8xc,_0x3da8x23,_0x3da8x24){var _0x3da8x25=null;var _0x3da8x9=_0x3da8x5[_0xcd2d[57]]();_0x3da8x5[_0xcd2d[102]]()[_0xcd2d[101]]();try{if(_0x3da8x9[_0xcd2d[103]]==0){var _0x3da8x26=_0x3da8x5[_0xcd2d[238]]();_0x3da8x9=[_0x3da8x5[_0xcd2d[240]](_0x3da8x5[_0xcd2d[239]](),null,_0xcd2d[95],_0x3da8x26,_0x3da8x26,_0x3da8x23,_0x3da8x24)];_0x3da8x25=_0x3da8x9};_0x3da8x5[_0xcd2d[114]](mxConstants.STYLE_IMAGE,_0x3da8xc,_0x3da8x9);_0x3da8x5[_0xcd2d[114]](mxConstants.STYLE_SHAPE,_0xcd2d[237],_0x3da8x9);if(_0x3da8x5[_0xcd2d[241]]()==1){if(_0x3da8x23!=null&&_0x3da8x24!=null){var _0x3da8x7=_0x3da8x9[0];var _0x3da8xd=_0x3da8x5[_0xcd2d[102]]()[_0xcd2d[242]](_0x3da8x7);if(_0x3da8xd!=null){_0x3da8xd=_0x3da8xd[_0xcd2d[123]]();_0x3da8xd[_0xcd2d[125]]=_0x3da8x23;_0x3da8xd[_0xcd2d[126]]=_0x3da8x24;_0x3da8x5[_0xcd2d[102]]()[_0xcd2d[128]](_0x3da8x7,_0x3da8xd)}}}}finally{_0x3da8x5[_0xcd2d[102]]()[_0xcd2d[107]]()};if(_0x3da8x25!=null){_0x3da8x5[_0xcd2d[59]](_0x3da8x25);_0x3da8x5[_0xcd2d[243]](_0x3da8x25[0])}}var _0x3da8xc=_0xcd2d[95];var _0x3da8xb=_0x3da8x5[_0xcd2d[110]]()[_0xcd2d[109]](_0x3da8x5[_0xcd2d[93]]());if(_0x3da8xb!=null){_0x3da8xc=_0x3da8xb[_0xcd2d[113]][mxConstants[_0xcd2d[244]]]||_0x3da8xc};_0x3da8xc=mxUtils[_0xcd2d[97]](mxResources[_0xcd2d[26]](_0xcd2d[96])+_0xcd2d[118]+mxResources[_0xcd2d[26]](_0xcd2d[245])+_0xcd2d[218],_0x3da8xc);if(_0x3da8xc!=null){if(_0x3da8xc[_0xcd2d[103]]>0){var _0x3da8x27= new Image();_0x3da8x27[_0xcd2d[246]]=function(){_0x3da8x22(_0x3da8xc,_0x3da8x27[_0xcd2d[125]],_0x3da8x27[_0xcd2d[126]])};_0x3da8x27[_0xcd2d[247]]=function(){mxUtils[_0xcd2d[249]](mxResources[_0xcd2d[26]](_0xcd2d[248]))};_0x3da8x27[_0xcd2d[250]]=_0x3da8xc}}})};Actions[_0xcd2d[4]][_0xcd2d[10]]=function(_0x3da8x1b,_0x3da8x28,_0x3da8x29,_0x3da8x2a,_0x3da8x2b){return this[_0xcd2d[28]](_0x3da8x1b, new Action(mxResources[_0xcd2d[26]](_0x3da8x1b),_0x3da8x28,_0x3da8x29,_0x3da8x2a,_0x3da8x2b))};Actions[_0xcd2d[4]][_0xcd2d[28]]=function(_0x3da8x2c,_0x3da8x15){this[_0xcd2d[2]][_0x3da8x2c]=_0x3da8x15;return _0x3da8x15};Actions[_0xcd2d[4]][_0xcd2d[26]]=function(_0x3da8x2c){return this[_0xcd2d[2]][_0x3da8x2c]};function Action(_0x3da8x2e,_0x3da8x28,_0x3da8x29,_0x3da8x2a,_0x3da8x2b){mxEventSource[_0xcd2d[251]](this);this[_0xcd2d[252]]=_0x3da8x2e;this[_0xcd2d[146]]=_0x3da8x28;this[_0xcd2d[253]]=(_0x3da8x29!=null)?_0x3da8x29:true;this[_0xcd2d[254]]=_0x3da8x2a;this[_0xcd2d[255]]=_0x3da8x2b}mxUtils[_0xcd2d[256]](Action,mxEventSource);Action[_0xcd2d[4]][_0xcd2d[177]]=function(_0x3da8xc){if(this[_0xcd2d[253]]!=_0x3da8xc){this[_0xcd2d[253]]=_0x3da8xc;this[_0xcd2d[258]]( new mxEventObject(_0xcd2d[257]))}};Action[_0xcd2d[4]][_0xcd2d[169]]=function(_0x3da8xc){this[_0xcd2d[259]]=_0x3da8xc};Action[_0xcd2d[4]][_0xcd2d[170]]=function(_0x3da8x28){this[_0xcd2d[260]]=_0x3da8x28};Action[_0xcd2d[4]][_0xcd2d[261]]=function(){return this[_0xcd2d[260]]()} \ No newline at end of file +var _0x9a99=["\x75\x73\x65\x20\x73\x74\x72\x69\x63\x74","\x65\x64\x69\x74\x6F\x72\x55\x69","\x61\x63\x74\x69\x6F\x6E\x73","\x69\x6E\x69\x74","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x65\x64\x69\x74\x6F\x72","\x67\x72\x61\x70\x68","\x6E\x65\x77","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x73\x68\x6F\x77\x44\x69\x61\x6C\x6F\x67","\x61\x64\x64\x41\x63\x74\x69\x6F\x6E","\x6F\x70\x65\x6E","\x73\x61\x76\x65","\x73\x61\x76\x65\x46\x69\x6C\x65","\x43\x74\x72\x6C\x2B\x53","\x73\x61\x76\x65\x41\x73","\x43\x74\x72\x6C\x2B\x53\x68\x69\x66\x74\x2D\x53","\x70\x75\x62\x6C\x69\x73\x68","\x70\x75\x62\x6C\x69\x73\x68\x46\x69\x6C\x65","\x43\x74\x72\x6C\x2B\x53\x68\x69\x66\x74\x2B\x50","\x76\x61\x72\x69\x61\x62\x6C\x65","\x69\x6D\x70\x6F\x72\x74","\x65\x78\x70\x6F\x72\x74","\x43\x74\x72\x6C\x2B\x45","\x65\x64\x69\x74\x46\x69\x6C\x65","\x65\x64\x69\x74","\x67\x65\x74","\x62\x69\x6E\x64","\x70\x75\x74","\x72\x65\x6E\x61\x6D\x65","\x70\x72\x69\x6E\x74","\x70\x72\x69\x6E\x74\x53\x63\x72\x65\x65\x6E","\x73\x70\x72\x69\x74\x65\x2D\x70\x72\x69\x6E\x74","\x43\x74\x72\x6C\x2B\x50","\x70\x72\x65\x76\x69\x65\x77","\x73\x68\x6F\x77","\x75\x6E\x64\x6F","\x75\x6E\x64\x6F\x4D\x61\x6E\x61\x67\x65\x72","\x73\x70\x72\x69\x74\x65\x2D\x75\x6E\x64\x6F","\x43\x74\x72\x6C\x2B\x5A","\x72\x65\x64\x6F","\x73\x70\x72\x69\x74\x65\x2D\x72\x65\x64\x6F","\x43\x74\x72\x6C\x2B\x59","\x63\x75\x74","\x73\x70\x72\x69\x74\x65\x2D\x63\x75\x74","\x43\x74\x72\x6C\x2B\x58","\x63\x6F\x70\x79","\x73\x70\x72\x69\x74\x65\x2D\x63\x6F\x70\x79","\x43\x74\x72\x6C\x2B\x43","\x70\x61\x73\x74\x65","\x73\x70\x72\x69\x74\x65\x2D\x70\x61\x73\x74\x65","\x43\x74\x72\x6C\x2B\x56","\x64\x65\x6C\x65\x74\x65","\x72\x65\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73","\x44\x65\x6C\x65\x74\x65","\x64\x75\x70\x6C\x69\x63\x61\x74\x65","\x67\x72\x69\x64\x53\x69\x7A\x65","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x43\x74\x72\x6C\x2B\x44","\x73\x65\x6C\x65\x63\x74\x56\x65\x72\x74\x69\x63\x65\x73","\x43\x74\x72\x6C\x2B\x53\x68\x69\x66\x74\x2B\x56","\x73\x65\x6C\x65\x63\x74\x45\x64\x67\x65\x73","\x43\x74\x72\x6C\x2B\x53\x68\x69\x66\x74\x2B\x45","\x73\x65\x6C\x65\x63\x74\x41\x6C\x6C","\x43\x74\x72\x6C\x2B\x41","\x68\x6F\x6D\x65","\x48\x6F\x6D\x65","\x65\x78\x69\x74\x47\x72\x6F\x75\x70","\x50\x61\x67\x65\x20\x55\x70","\x65\x6E\x74\x65\x72\x47\x72\x6F\x75\x70","\x50\x61\x67\x65\x20\x44\x6F\x77\x6E","\x65\x78\x70\x61\x6E\x64","\x66\x6F\x6C\x64\x43\x65\x6C\x6C\x73","\x45\x6E\x74\x65\x72","\x63\x6F\x6C\x6C\x61\x70\x73\x65","\x42\x61\x63\x6B\x73\x70\x61\x63\x65","\x74\x6F\x46\x72\x6F\x6E\x74","\x6F\x72\x64\x65\x72\x43\x65\x6C\x6C\x73","\x43\x74\x72\x6C\x2B\x46","\x74\x6F\x42\x61\x63\x6B","\x43\x74\x72\x6C\x2B\x42","\x67\x72\x6F\x75\x70","\x67\x72\x6F\x75\x70\x43\x65\x6C\x6C\x73","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x43\x74\x72\x6C\x2B\x47","\x75\x6E\x67\x72\x6F\x75\x70","\x75\x6E\x67\x72\x6F\x75\x70\x43\x65\x6C\x6C\x73","\x43\x74\x72\x6C\x2B\x55","\x72\x65\x6D\x6F\x76\x65\x46\x72\x6F\x6D\x47\x72\x6F\x75\x70","\x72\x65\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73\x46\x72\x6F\x6D\x50\x61\x72\x65\x6E\x74","\x65\x64\x69\x74\x4C\x69\x6E\x6B","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x67\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","","\x65\x6E\x74\x65\x72\x56\x61\x6C\x75\x65","\x70\x72\x6F\x6D\x70\x74","\x73\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x6F\x70\x65\x6E\x4C\x69\x6E\x6B","\x61\x75\x74\x6F\x73\x69\x7A\x65","\x62\x65\x67\x69\x6E\x55\x70\x64\x61\x74\x65","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x6C\x65\x6E\x67\x74\x68","\x67\x65\x74\x43\x68\x69\x6C\x64\x43\x6F\x75\x6E\x74","\x75\x70\x64\x61\x74\x65\x47\x72\x6F\x75\x70\x42\x6F\x75\x6E\x64\x73","\x75\x70\x64\x61\x74\x65\x43\x65\x6C\x6C\x53\x69\x7A\x65","\x65\x6E\x64\x55\x70\x64\x61\x74\x65","\x77\x6F\x72\x64\x57\x72\x61\x70","\x67\x65\x74\x53\x74\x61\x74\x65","\x67\x65\x74\x56\x69\x65\x77","\x77\x72\x61\x70","\x53\x54\x59\x4C\x45\x5F\x57\x48\x49\x54\x45\x5F\x53\x50\x41\x43\x45","\x73\x74\x79\x6C\x65","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x72\x6F\x74\x61\x74\x69\x6F\x6E","\x30","\x53\x54\x59\x4C\x45\x5F\x52\x4F\x54\x41\x54\x49\x4F\x4E","\x20\x28","\x20\x30\x2D\x33\x36\x30\x29","\x74\x69\x6C\x74","\x69\x73\x56\x65\x72\x74\x65\x78","\x67\x65\x74\x43\x65\x6C\x6C\x47\x65\x6F\x6D\x65\x74\x72\x79","\x63\x6C\x6F\x6E\x65","\x78","\x77\x69\x64\x74\x68","\x68\x65\x69\x67\x68\x74","\x79","\x73\x65\x74\x47\x65\x6F\x6D\x65\x74\x72\x79","\x76\x69\x65\x77","\x53\x54\x59\x4C\x45\x5F\x44\x49\x52\x45\x43\x54\x49\x4F\x4E","\x65\x61\x73\x74","\x73\x6F\x75\x74\x68","\x77\x65\x73\x74","\x6E\x6F\x72\x74\x68","\x43\x74\x72\x6C\x2B\x52","\x61\x63\x74\x75\x61\x6C\x53\x69\x7A\x65","\x7A\x6F\x6F\x6D\x54\x6F","\x7A\x6F\x6F\x6D\x49\x6E","\x41\x64\x64","\x7A\x6F\x6F\x6D\x4F\x75\x74","\x53\x75\x62\x74\x72\x61\x63\x74","\x66\x69\x74\x57\x69\x6E\x64\x6F\x77","\x66\x69\x74","\x66\x69\x74\x50\x61\x67\x65","\x70\x61\x67\x65\x56\x69\x73\x69\x62\x6C\x65","\x66\x75\x6E\x63\x74","\x70\x61\x67\x65\x56\x69\x65\x77","\x70\x61\x67\x65\x46\x6F\x72\x6D\x61\x74","\x70\x61\x67\x65\x53\x63\x61\x6C\x65","\x63\x6C\x69\x65\x6E\x74\x57\x69\x64\x74\x68","\x63\x6C\x69\x65\x6E\x74\x48\x65\x69\x67\x68\x74","\x6D\x69\x6E","\x66\x6C\x6F\x6F\x72","\x73\x63\x72\x6F\x6C\x6C\x4C\x65\x66\x74","\x74\x72\x61\x6E\x73\x6C\x61\x74\x65","\x6D\x61\x78","\x72\x6F\x75\x6E\x64","\x73\x63\x72\x6F\x6C\x6C\x54\x6F\x70","\x66\x69\x74\x50\x61\x67\x65\x57\x69\x64\x74\x68","\x63\x75\x73\x74\x6F\x6D\x5A\x6F\x6F\x6D","\x63\x75\x73\x74\x6F\x6D","\x20\x28\x25\x29","\x67\x65\x74\x53\x63\x61\x6C\x65","\x67\x72\x69\x64","\x69\x73\x47\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x47\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64","\x75\x70\x64\x61\x74\x65\x47\x72\x61\x70\x68\x43\x6F\x6D\x70\x6F\x6E\x65\x6E\x74\x73","\x43\x74\x72\x6C\x2B\x53\x68\x69\x66\x74\x2B\x47","\x73\x65\x74\x54\x6F\x67\x67\x6C\x65\x41\x63\x74\x69\x6F\x6E","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x65\x64\x43\x61\x6C\x6C\x62\x61\x63\x6B","\x67\x75\x69\x64\x65\x73","\x67\x75\x69\x64\x65\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x61\x70\x68\x48\x61\x6E\x64\x6C\x65\x72","\x74\x6F\x6F\x6C\x74\x69\x70\x73","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x74\x6F\x6F\x6C\x74\x69\x70\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x6E\x61\x76\x69\x67\x61\x74\x69\x6F\x6E","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x72\x65\x76\x61\x6C\x69\x64\x61\x74\x65","\x73\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73","\x73\x63\x61\x6C\x65","\x73\x65\x74\x54\x72\x61\x6E\x73\x6C\x61\x74\x65","\x73\x69\x7A\x65\x44\x69\x64\x43\x68\x61\x6E\x67\x65","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x61\x75\x74\x6F","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x73\x56\x69\x73\x69\x62\x6C\x65","\x70\x72\x65\x66\x65\x72\x50\x61\x67\x65\x53\x69\x7A\x65","\x76\x61\x6C\x69\x64\x61\x74\x65","\x75\x70\x64\x61\x74\x65","\x6F\x75\x74\x6C\x69\x6E\x65","\x68\x61\x73\x53\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73","\x63\x6F\x6E\x6E\x65\x63\x74","\x63\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x43\x74\x72\x6C\x2B\x51","\x63\x6F\x70\x79\x43\x6F\x6E\x6E\x65\x63\x74","\x69\x73\x43\x72\x65\x61\x74\x65\x54\x61\x72\x67\x65\x74","\x73\x65\x74\x43\x72\x65\x61\x74\x65\x54\x61\x72\x67\x65\x74","\x68\x65\x6C\x70","\x6C\x61\x6E\x67\x75\x61\x67\x65","\x69\x73\x4C\x61\x6E\x67\x75\x61\x67\x65\x53\x75\x70\x70\x6F\x72\x74\x65\x64","\x5F","\x2F\x68\x65\x6C\x70","\x2E\x68\x74\x6D\x6C","\x61\x62\x6F\x75\x74","\x20\x43\x61\x6C\x6C\x79\x20\x53\x71\x75\x61\x72\x65","\x46\x31","\x74\x6F\x67\x67\x6C\x65\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x46\x6C\x61\x67\x73","\x62\x6F\x6C\x64","\x69\x74\x61\x6C\x69\x63","\x75\x6E\x64\x65\x72\x6C\x69\x6E\x65","\x73\x68\x61\x64\x6F\x77","\x74\x6F\x67\x67\x6C\x65\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x64\x61\x73\x68\x65\x64","\x72\x6F\x75\x6E\x64\x65\x64","\x63\x75\x72\x76\x65\x64","\x29","\x67\x65\x74\x53\x74\x79\x6C\x65","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65","\x73\x65\x74\x41\x73\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x73\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x61\x64\x64\x57\x61\x79\x70\x6F\x69\x6E\x74","\x69\x73\x45\x64\x67\x65","\x67\x65\x74\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x50\x61\x72\x65\x6E\x74","\x73\x74\x61\x74\x65","\x74\x72\x69\x67\x67\x65\x72\x58","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x74\x72\x69\x67\x67\x65\x72\x59","\x61\x64\x64\x50\x6F\x69\x6E\x74\x41\x74","\x72\x65\x6D\x6F\x76\x65\x57\x61\x79\x70\x6F\x69\x6E\x74","\x68\x61\x6E\x64\x6C\x65\x72","\x69\x6E\x64\x65\x78","\x72\x65\x6D\x6F\x76\x65\x50\x6F\x69\x6E\x74","\x69\x6D\x61\x67\x65","\x67\x65\x74\x47\x72\x69\x64\x53\x69\x7A\x65","\x67\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x50\x61\x72\x65\x6E\x74","\x69\x6E\x73\x65\x72\x74\x56\x65\x72\x74\x65\x78","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x6F\x75\x6E\x74","\x67\x65\x74\x47\x65\x6F\x6D\x65\x74\x72\x79","\x73\x63\x72\x6F\x6C\x6C\x43\x65\x6C\x6C\x54\x6F\x56\x69\x73\x69\x62\x6C\x65","\x53\x54\x59\x4C\x45\x5F\x49\x4D\x41\x47\x45","\x75\x72\x6C","\x6F\x6E\x6C\x6F\x61\x64","\x6F\x6E\x65\x72\x72\x6F\x72","\x66\x69\x6C\x65\x4E\x6F\x74\x46\x6F\x75\x6E\x64","\x61\x6C\x65\x72\x74","\x73\x72\x63","\x63\x61\x6C\x6C","\x6C\x61\x62\x65\x6C","\x65\x6E\x61\x62\x6C\x65\x64","\x69\x63\x6F\x6E\x43\x6C\x73","\x73\x68\x6F\x72\x74\x63\x75\x74","\x65\x78\x74\x65\x6E\x64","\x73\x74\x61\x74\x65\x43\x68\x61\x6E\x67\x65\x64","\x66\x69\x72\x65\x45\x76\x65\x6E\x74","\x74\x6F\x67\x67\x6C\x65\x41\x63\x74\x69\x6F\x6E","\x73\x65\x6C\x65\x63\x74\x65\x64\x43\x61\x6C\x6C\x62\x61\x63\x6B","\x69\x73\x53\x65\x6C\x65\x63\x74\x65\x64"];_0x9a99[0];function Actions(_0x9d36x2){this[_0x9a99[1]]=_0x9d36x2;this[_0x9a99[2]]= new Object();this[_0x9a99[3]]()}Actions[_0x9a99[4]][_0x9a99[3]]=function(){var _0x9d36x3=this[_0x9a99[1]];var _0x9d36x4=_0x9d36x3[_0x9a99[5]];var _0x9d36x5=_0x9d36x4[_0x9a99[6]];this[_0x9a99[10]](_0x9a99[7],function(){_0x9d36x3[_0x9a99[9]]( new NewDialog(_0x9d36x3)[_0x9a99[8]],300,180,true,true)});this[_0x9a99[10]](_0x9a99[11],function(){_0x9d36x3[_0x9a99[9]]( new OpenDialog(_0x9d36x3)[_0x9a99[8]],300,180,true,true)});this[_0x9a99[10]](_0x9a99[12],function(){_0x9d36x3[_0x9a99[13]](false)},null,null,_0x9a99[14]);this[_0x9a99[10]](_0x9a99[15],function(){_0x9d36x3[_0x9a99[13]](true)},null,null,_0x9a99[16]);this[_0x9a99[10]](_0x9a99[17],function(){_0x9d36x3[_0x9a99[18]](false)},null,null,_0x9a99[19]);this[_0x9a99[10]](_0x9a99[20],function(){_0x9d36x3[_0x9a99[9]]( new VariableDialog(_0x9d36x3)[_0x9a99[8]],300,180,true,true)});this[_0x9a99[10]](_0x9a99[21],function(){_0x9d36x3[_0x9a99[9]]( new ImportDialog(_0x9d36x3)[_0x9a99[8]],300,200,true,true)});this[_0x9a99[10]](_0x9a99[22],function(){_0x9d36x3[_0x9a99[9]]( new ExportDialog(_0x9d36x3)[_0x9a99[8]],300,200,true,true)},null,null,_0x9a99[23]);this[_0x9a99[28]](_0x9a99[24], new Action(mxResources[_0x9a99[26]](_0x9a99[25]),mxUtils[_0x9a99[27]](this,function(){this[_0x9a99[1]][_0x9a99[9]]( new EditFileDialog(_0x9d36x3)[_0x9a99[8]],620,420,true,true)})));this[_0x9a99[10]](_0x9a99[29],function(){_0x9d36x3[_0x9a99[9]]( new RenameDialog(_0x9d36x3)[_0x9a99[8]],300,180,true,true)});this[_0x9a99[10]](_0x9a99[30],function(){mxUtils[_0x9a99[31]](_0x9d36x5)},null,_0x9a99[32],_0x9a99[33]);this[_0x9a99[10]](_0x9a99[34],function(){mxUtils[_0x9a99[35]](_0x9d36x5,null,10,10)});this[_0x9a99[10]](_0x9a99[36],function(){_0x9d36x4[_0x9a99[37]][_0x9a99[36]]()},null,_0x9a99[38],_0x9a99[39]);this[_0x9a99[10]](_0x9a99[40],function(){_0x9d36x4[_0x9a99[37]][_0x9a99[40]]()},null,_0x9a99[41],_0x9a99[42]);this[_0x9a99[10]](_0x9a99[43],function(){mxClipboard[_0x9a99[43]](_0x9d36x5)},null,_0x9a99[44],_0x9a99[45]);this[_0x9a99[10]](_0x9a99[46],function(){mxClipboard[_0x9a99[46]](_0x9d36x5)},null,_0x9a99[47],_0x9a99[48]);this[_0x9a99[10]](_0x9a99[49],function(){mxClipboard[_0x9a99[49]](_0x9d36x5)},false,_0x9a99[50],_0x9a99[51]);this[_0x9a99[10]](_0x9a99[52],function(){_0x9d36x5[_0x9a99[53]]()},null,null,_0x9a99[54]);this[_0x9a99[10]](_0x9a99[55],function(){var _0x9d36x6=_0x9d36x5[_0x9a99[56]];_0x9d36x5[_0x9a99[59]](_0x9d36x5[_0x9a99[58]](_0x9d36x5[_0x9a99[57]](),_0x9d36x6,_0x9d36x6,true))},null,null,_0x9a99[60]);this[_0x9a99[10]](_0x9a99[61],function(){_0x9d36x5[_0x9a99[61]]()},null,null,_0x9a99[62]);this[_0x9a99[10]](_0x9a99[63],function(){_0x9d36x5[_0x9a99[63]]()},null,null,_0x9a99[64]);this[_0x9a99[10]](_0x9a99[65],function(){_0x9d36x5[_0x9a99[65]]()},null,null,_0x9a99[66]);this[_0x9a99[10]](_0x9a99[67],function(){_0x9d36x5[_0x9a99[67]]()},null,null,_0x9a99[68]);this[_0x9a99[10]](_0x9a99[69],function(){_0x9d36x5[_0x9a99[69]]()},null,null,_0x9a99[70]);this[_0x9a99[10]](_0x9a99[71],function(){_0x9d36x5[_0x9a99[71]]()},null,null,_0x9a99[72]);this[_0x9a99[10]](_0x9a99[73],function(){_0x9d36x5[_0x9a99[74]](false)},null,null,_0x9a99[75]);this[_0x9a99[10]](_0x9a99[76],function(){_0x9d36x5[_0x9a99[74]](true)},null,null,_0x9a99[77]);this[_0x9a99[10]](_0x9a99[78],function(){_0x9d36x5[_0x9a99[79]](false)},null,null,_0x9a99[80]);this[_0x9a99[10]](_0x9a99[81],function(){_0x9d36x5[_0x9a99[79]](true)},null,null,_0x9a99[82]);this[_0x9a99[10]](_0x9a99[83],function(){_0x9d36x5[_0x9a99[85]](_0x9d36x5[_0x9a99[84]](null,0))},null,null,_0x9a99[86]);this[_0x9a99[10]](_0x9a99[87],function(){_0x9d36x5[_0x9a99[59]](_0x9d36x5[_0x9a99[88]]())},null,null,_0x9a99[89]);this[_0x9a99[10]](_0x9a99[90],function(){_0x9d36x5[_0x9a99[91]]()});this[_0x9a99[10]](_0x9a99[92],function(){var _0x9d36x7=_0x9d36x5[_0x9a99[93]]();var _0x9d36x8=_0x9d36x5[_0x9a99[94]](_0x9d36x7);if(_0x9d36x8==null){_0x9d36x8=_0x9a99[95]};_0x9d36x8=mxUtils[_0x9a99[97]](mxResources[_0x9a99[26]](_0x9a99[96]),_0x9d36x8);if(_0x9d36x8!=null){_0x9d36x5[_0x9a99[98]](_0x9d36x7,_0x9d36x8)}});this[_0x9a99[10]](_0x9a99[99],function(){var _0x9d36x7=_0x9d36x5[_0x9a99[93]]();var _0x9d36x8=_0x9d36x5[_0x9a99[94]](_0x9d36x7);if(_0x9d36x8!=null){window[_0x9a99[11]](_0x9d36x8)}});this[_0x9a99[10]](_0x9a99[100],function(){var _0x9d36x9=_0x9d36x5[_0x9a99[57]]();if(_0x9d36x9!=null){_0x9d36x5[_0x9a99[102]]()[_0x9a99[101]]();try{for(var _0x9d36xa=0;_0x9d36xa<_0x9d36x9[_0x9a99[103]];_0x9d36xa++){var _0x9d36x7=_0x9d36x9[_0x9d36xa];if(_0x9d36x5[_0x9a99[102]]()[_0x9a99[104]](_0x9d36x7)){_0x9d36x5[_0x9a99[105]]([_0x9d36x7],20)}else {_0x9d36x5[_0x9a99[106]](_0x9d36x7)}}}finally{_0x9d36x5[_0x9a99[102]]()[_0x9a99[107]]()}}});this[_0x9a99[10]](_0x9a99[108],function(){var _0x9d36xb=_0x9d36x5[_0x9a99[110]]()[_0x9a99[109]](_0x9d36x5[_0x9a99[93]]());var _0x9d36xc=_0x9a99[111];if(_0x9d36xb!=null&&_0x9d36xb[_0x9a99[113]][mxConstants[_0x9a99[112]]]==_0x9a99[111]){_0x9d36xc=null};_0x9d36x5[_0x9a99[114]](mxConstants.STYLE_WHITE_SPACE,_0x9d36xc)});this[_0x9a99[10]](_0x9a99[115],function(){var _0x9d36xc=_0x9a99[116];var _0x9d36xb=_0x9d36x5[_0x9a99[110]]()[_0x9a99[109]](_0x9d36x5[_0x9a99[93]]());if(_0x9d36xb!=null){_0x9d36xc=_0x9d36xb[_0x9a99[113]][mxConstants[_0x9a99[117]]]||_0x9d36xc};_0x9d36xc=mxUtils[_0x9a99[97]](mxResources[_0x9a99[26]](_0x9a99[96])+_0x9a99[118]+mxResources[_0x9a99[26]](_0x9a99[115])+_0x9a99[119],_0x9d36xc);if(_0x9d36xc!=null){_0x9d36x5[_0x9a99[114]](mxConstants.STYLE_ROTATION,_0x9d36xc)}});this[_0x9a99[10]](_0x9a99[120],function(){var _0x9d36x9=_0x9d36x5[_0x9a99[57]]();if(_0x9d36x9!=null){_0x9d36x5[_0x9a99[102]]()[_0x9a99[101]]();try{for(var _0x9d36xa=0;_0x9d36xa<_0x9d36x9[_0x9a99[103]];_0x9d36xa++){var _0x9d36x7=_0x9d36x9[_0x9d36xa];if(_0x9d36x5[_0x9a99[102]]()[_0x9a99[121]](_0x9d36x7)&&_0x9d36x5[_0x9a99[102]]()[_0x9a99[104]](_0x9d36x7)==0){var _0x9d36xd=_0x9d36x5[_0x9a99[122]](_0x9d36x7);if(_0x9d36xd!=null){_0x9d36xd=_0x9d36xd[_0x9a99[123]]();_0x9d36xd[_0x9a99[124]]+=_0x9d36xd[_0x9a99[125]]/2-_0x9d36xd[_0x9a99[126]]/2;_0x9d36xd[_0x9a99[127]]+=_0x9d36xd[_0x9a99[126]]/2-_0x9d36xd[_0x9a99[125]]/2;var _0x9d36xe=_0x9d36xd[_0x9a99[125]];_0x9d36xd[_0x9a99[125]]=_0x9d36xd[_0x9a99[126]];_0x9d36xd[_0x9a99[126]]=_0x9d36xe;_0x9d36x5[_0x9a99[102]]()[_0x9a99[128]](_0x9d36x7,_0x9d36xd);var _0x9d36xb=_0x9d36x5[_0x9a99[129]][_0x9a99[109]](_0x9d36x7);if(_0x9d36xb!=null){var _0x9d36xf=_0x9d36xb[_0x9a99[113]][mxConstants[_0x9a99[130]]]||_0x9a99[131];if(_0x9d36xf==_0x9a99[131]){_0x9d36xf=_0x9a99[132]}else {if(_0x9d36xf==_0x9a99[132]){_0x9d36xf=_0x9a99[133]}else {if(_0x9d36xf==_0x9a99[133]){_0x9d36xf=_0x9a99[134]}else {if(_0x9d36xf==_0x9a99[134]){_0x9d36xf=_0x9a99[131]}}}};_0x9d36x5[_0x9a99[114]](mxConstants.STYLE_DIRECTION,_0x9d36xf,[_0x9d36x7])}}}}}finally{_0x9d36x5[_0x9a99[102]]()[_0x9a99[107]]()}}},null,null,_0x9a99[135]);this[_0x9a99[10]](_0x9a99[136],function(){_0x9d36x5[_0x9a99[137]](1)});this[_0x9a99[10]](_0x9a99[138],function(){_0x9d36x5[_0x9a99[138]]()},null,null,_0x9a99[139]);this[_0x9a99[10]](_0x9a99[140],function(){_0x9d36x5[_0x9a99[140]]()},null,null,_0x9a99[141]);this[_0x9a99[10]](_0x9a99[142],function(){_0x9d36x5[_0x9a99[143]]()});this[_0x9a99[10]](_0x9a99[144],mxUtils[_0x9a99[27]](this,function(){if(!_0x9d36x5[_0x9a99[145]]){this[_0x9a99[26]](_0x9a99[147])[_0x9a99[146]]()};var _0x9d36x10=_0x9d36x5[_0x9a99[148]];var _0x9d36x11=_0x9d36x5[_0x9a99[149]];var _0x9d36x12=_0x9d36x5[_0x9a99[8]][_0x9a99[150]]-20;var _0x9d36x13=_0x9d36x5[_0x9a99[8]][_0x9a99[151]]-20;var _0x9d36x14=Math[_0x9a99[153]](100*Math[_0x9a99[152]](_0x9d36x12/_0x9d36x10[_0x9a99[125]]/_0x9d36x11,_0x9d36x13/_0x9d36x10[_0x9a99[126]]/_0x9d36x11))/100;_0x9d36x5[_0x9a99[137]](_0x9d36x14);_0x9d36x5[_0x9a99[8]][_0x9a99[154]]=Math[_0x9a99[157]](_0x9d36x5[_0x9a99[129]][_0x9a99[155]][_0x9a99[124]]*_0x9d36x14-Math[_0x9a99[156]](10,(_0x9d36x5[_0x9a99[8]][_0x9a99[150]]-_0x9d36x10[_0x9a99[125]]*_0x9d36x11*_0x9d36x14)/2));_0x9d36x5[_0x9a99[8]][_0x9a99[158]]=Math[_0x9a99[157]](_0x9d36x5[_0x9a99[129]][_0x9a99[155]][_0x9a99[127]]*_0x9d36x14-Math[_0x9a99[156]](10,(_0x9d36x5[_0x9a99[8]][_0x9a99[151]]-_0x9d36x10[_0x9a99[126]]*_0x9d36x11*_0x9d36x14)/2))}));this[_0x9a99[10]](_0x9a99[159],mxUtils[_0x9a99[27]](this,function(){if(!_0x9d36x5[_0x9a99[145]]){this[_0x9a99[26]](_0x9a99[147])[_0x9a99[146]]()};var _0x9d36x10=_0x9d36x5[_0x9a99[148]];var _0x9d36x11=_0x9d36x5[_0x9a99[149]];var _0x9d36x12=_0x9d36x5[_0x9a99[8]][_0x9a99[150]]-20;var _0x9d36x14=Math[_0x9a99[153]](100*_0x9d36x12/_0x9d36x10[_0x9a99[125]]/_0x9d36x11)/100;_0x9d36x5[_0x9a99[137]](_0x9d36x14);_0x9d36x5[_0x9a99[8]][_0x9a99[154]]=Math[_0x9a99[157]](_0x9d36x5[_0x9a99[129]][_0x9a99[155]][_0x9a99[124]]*_0x9d36x14-Math[_0x9a99[156]](10,(_0x9d36x5[_0x9a99[8]][_0x9a99[150]]-_0x9d36x10[_0x9a99[125]]*_0x9d36x11*_0x9d36x14)/2));_0x9d36x5[_0x9a99[8]][_0x9a99[158]]=Math[_0x9a99[157]](_0x9d36x5[_0x9a99[129]][_0x9a99[155]][_0x9a99[127]]*_0x9d36x14-Math[_0x9a99[156]](10,(_0x9d36x5[_0x9a99[8]][_0x9a99[151]]-_0x9d36x10[_0x9a99[126]]*_0x9d36x11*_0x9d36x14)/2))}));this[_0x9a99[28]](_0x9a99[160], new Action(mxResources[_0x9a99[26]](_0x9a99[161]),function(){var _0x9d36xc=mxUtils[_0x9a99[97]](mxResources[_0x9a99[26]](_0x9a99[96])+_0x9a99[162],parseInt(_0x9d36x5[_0x9a99[110]]()[_0x9a99[163]]()*100));if(_0x9d36xc!=null&&_0x9d36xc[_0x9a99[103]]>0&&!isNaN(parseInt(_0x9d36xc))){_0x9d36x5[_0x9a99[137]](parseInt(_0x9d36xc)/100)}}));var _0x9d36x15=null;_0x9d36x15=this[_0x9a99[10]](_0x9a99[164],function(){_0x9d36x5[_0x9a99[166]](!_0x9d36x5[_0x9a99[165]]());_0x9d36x4[_0x9a99[167]]()},null,null,_0x9a99[168]);_0x9d36x15[_0x9a99[169]](true);_0x9d36x15[_0x9a99[170]](function(){return _0x9d36x5[_0x9a99[165]]()});_0x9d36x15=this[_0x9a99[10]](_0x9a99[171],function(){_0x9d36x5[_0x9a99[173]][_0x9a99[172]]=!_0x9d36x5[_0x9a99[173]][_0x9a99[172]]});_0x9d36x15[_0x9a99[169]](true);_0x9d36x15[_0x9a99[170]](function(){return _0x9d36x5[_0x9a99[173]][_0x9a99[172]]});_0x9d36x15=this[_0x9a99[10]](_0x9a99[174],function(){_0x9d36x5[_0x9a99[176]][_0x9a99[177]](!_0x9d36x5[_0x9a99[176]][_0x9a99[175]]())});_0x9d36x15[_0x9a99[169]](true);_0x9d36x15[_0x9a99[170]](function(){return _0x9d36x5[_0x9a99[176]][_0x9a99[175]]()});_0x9d36x15=this[_0x9a99[10]](_0x9a99[178],function(){_0x9d36x5[_0x9a99[179]]=!_0x9d36x5[_0x9a99[179]];_0x9d36x5[_0x9a99[129]][_0x9a99[180]]()});_0x9d36x15[_0x9a99[169]](true);_0x9d36x15[_0x9a99[170]](function(){return _0x9d36x5[_0x9a99[179]]});_0x9d36x15=this[_0x9a99[10]](_0x9a99[181],function(){_0x9d36x5[_0x9a99[181]]=!_0x9d36x5[_0x9a99[181]];_0x9d36x4[_0x9a99[167]]();if(!_0x9d36x5[_0x9a99[181]]){var _0x9d36x16=_0x9d36x5[_0x9a99[129]][_0x9a99[155]];_0x9d36x5[_0x9a99[129]][_0x9a99[183]](_0x9d36x16[_0x9a99[124]]-_0x9d36x5[_0x9a99[8]][_0x9a99[154]]/_0x9d36x5[_0x9a99[129]][_0x9a99[182]],_0x9d36x16[_0x9a99[127]]-_0x9d36x5[_0x9a99[8]][_0x9a99[158]]/_0x9d36x5[_0x9a99[129]][_0x9a99[182]]);_0x9d36x5[_0x9a99[8]][_0x9a99[154]]=0;_0x9d36x5[_0x9a99[8]][_0x9a99[158]]=0;_0x9d36x5[_0x9a99[184]]()}else {var _0x9d36x17=_0x9d36x5[_0x9a99[129]][_0x9a99[155]][_0x9a99[124]];var _0x9d36x18=_0x9d36x5[_0x9a99[129]][_0x9a99[155]][_0x9a99[127]];_0x9d36x5[_0x9a99[129]][_0x9a99[155]][_0x9a99[124]]=0;_0x9d36x5[_0x9a99[129]][_0x9a99[155]][_0x9a99[127]]=0;_0x9d36x5[_0x9a99[184]]();_0x9d36x5[_0x9a99[8]][_0x9a99[154]]-=Math[_0x9a99[157]](_0x9d36x17*_0x9d36x5[_0x9a99[129]][_0x9a99[182]]);_0x9d36x5[_0x9a99[8]][_0x9a99[158]]-=Math[_0x9a99[157]](_0x9d36x18*_0x9d36x5[_0x9a99[129]][_0x9a99[182]])}},!mxClient.IS_TOUCH);_0x9d36x15[_0x9a99[169]](true);_0x9d36x15[_0x9a99[170]](function(){return _0x9d36x5[_0x9a99[8]][_0x9a99[113]][_0x9a99[185]]==_0x9a99[186]});_0x9d36x15=this[_0x9a99[10]](_0x9a99[147],mxUtils[_0x9a99[27]](this,function(){_0x9d36x5[_0x9a99[145]]=!_0x9d36x5[_0x9a99[145]];_0x9d36x5[_0x9a99[187]]=_0x9d36x5[_0x9a99[145]];_0x9d36x5[_0x9a99[188]]=_0x9d36x5[_0x9a99[187]];_0x9d36x5[_0x9a99[129]][_0x9a99[189]]();_0x9d36x5[_0x9a99[184]]();_0x9d36x4[_0x9a99[167]]();_0x9d36x4[_0x9a99[191]][_0x9a99[190]]();if(mxUtils[_0x9a99[192]](_0x9d36x5[_0x9a99[8]])){if(_0x9d36x5[_0x9a99[145]]){_0x9d36x5[_0x9a99[8]][_0x9a99[154]]-=20;_0x9d36x5[_0x9a99[8]][_0x9a99[158]]-=20}else {_0x9d36x5[_0x9a99[8]][_0x9a99[154]]+=20;_0x9d36x5[_0x9a99[8]][_0x9a99[158]]+=20}}}));_0x9d36x15[_0x9a99[169]](true);_0x9d36x15[_0x9a99[170]](function(){return _0x9d36x5[_0x9a99[145]]});_0x9d36x15=this[_0x9a99[10]](_0x9a99[193],function(){_0x9d36x5[_0x9a99[195]](!_0x9d36x5[_0x9a99[194]][_0x9a99[175]]())},null,null,_0x9a99[196]);_0x9d36x15[_0x9a99[169]](true);_0x9d36x15[_0x9a99[170]](function(){return _0x9d36x5[_0x9a99[194]][_0x9a99[175]]()});_0x9d36x15=this[_0x9a99[10]](_0x9a99[197],function(){_0x9d36x5[_0x9a99[194]][_0x9a99[199]](!_0x9d36x5[_0x9a99[194]][_0x9a99[198]]())});_0x9d36x15[_0x9a99[169]](true);_0x9d36x15[_0x9a99[170]](function(){return _0x9d36x5[_0x9a99[194]][_0x9a99[198]]()});this[_0x9a99[10]](_0x9a99[200],function(){var _0x9d36x19=_0x9a99[95];if(mxResources[_0x9a99[202]](mxClient[_0x9a99[201]])){_0x9d36x19=_0x9a99[203]+mxClient[_0x9a99[201]]};window[_0x9a99[11]](RESOURCES_PATH+_0x9a99[204]+_0x9d36x19+_0x9a99[205])});this[_0x9a99[28]](_0x9a99[206], new Action(mxResources[_0x9a99[26]](_0x9a99[206])+_0x9a99[207],function(){_0x9d36x3[_0x9a99[9]]( new AboutDialog(_0x9d36x3)[_0x9a99[8]],320,280,true,true)},null,null,_0x9a99[208]));var _0x9d36x1a=mxUtils[_0x9a99[27]](this,function(_0x9d36x1b,_0x9d36x1c){this[_0x9a99[10]](_0x9d36x1b,function(){_0x9d36x5[_0x9a99[209]](mxConstants.STYLE_FONTSTYLE,_0x9d36x1c)})});_0x9d36x1a(_0x9a99[210],mxConstants.FONT_BOLD);_0x9d36x1a(_0x9a99[211],mxConstants.FONT_ITALIC);_0x9d36x1a(_0x9a99[212],mxConstants.FONT_UNDERLINE);this[_0x9a99[10]](_0x9a99[213],function(){_0x9d36x5[_0x9a99[214]](mxConstants.STYLE_SHADOW)});this[_0x9a99[10]](_0x9a99[215],function(){_0x9d36x5[_0x9a99[214]](mxConstants.STYLE_DASHED)});this[_0x9a99[10]](_0x9a99[216],function(){_0x9d36x5[_0x9a99[214]](mxConstants.STYLE_ROUNDED)});this[_0x9a99[10]](_0x9a99[217],function(){_0x9d36x5[_0x9a99[214]](mxConstants.STYLE_CURVED)});this[_0x9a99[10]](_0x9a99[113],function(){var _0x9d36x9=_0x9d36x5[_0x9a99[57]]();if(_0x9d36x9!=null&&_0x9d36x9[_0x9a99[103]]>0){var _0x9d36x1d=_0x9d36x5[_0x9a99[102]]();var _0x9d36x1c=mxUtils[_0x9a99[97]](mxResources[_0x9a99[26]](_0x9a99[96])+_0x9a99[118]+mxResources[_0x9a99[26]](_0x9a99[113])+_0x9a99[218],_0x9d36x1d[_0x9a99[219]](_0x9d36x9[0])||_0x9a99[95]);if(_0x9d36x1c!=null){_0x9d36x5[_0x9a99[220]](_0x9d36x1c,_0x9d36x9)}}});this[_0x9a99[10]](_0x9a99[221],function(){_0x9d36x5[_0x9a99[222]](_0x9d36x5[_0x9a99[93]]())});this[_0x9a99[10]](_0x9a99[223],function(){var _0x9d36x7=_0x9d36x5[_0x9a99[93]]();if(_0x9d36x7!=null&&_0x9d36x5[_0x9a99[102]]()[_0x9a99[224]](_0x9d36x7)){var _0x9d36x1e=_0x9d36x4[_0x9a99[6]][_0x9a99[226]][_0x9a99[225]](_0x9d36x7);if(_0x9d36x1e instanceof mxEdgeHandler){var _0x9d36x16=_0x9d36x5[_0x9a99[129]][_0x9a99[155]];var _0x9d36x6=_0x9d36x5[_0x9a99[129]][_0x9a99[182]];var _0x9d36x17=_0x9d36x16[_0x9a99[124]];var _0x9d36x18=_0x9d36x16[_0x9a99[127]];var _0x9d36x1f=_0x9d36x5[_0x9a99[102]]()[_0x9a99[227]](_0x9d36x7);var _0x9d36x20=_0x9d36x5[_0x9a99[122]](_0x9d36x1f);if(_0x9d36x5[_0x9a99[102]]()[_0x9a99[121]](_0x9d36x1f)&&_0x9d36x20!=null){_0x9d36x17+=_0x9d36x20[_0x9a99[124]];_0x9d36x18+=_0x9d36x20[_0x9a99[127]]};_0x9d36x1e[_0x9a99[232]](_0x9d36x1e[_0x9a99[228]],_0x9d36x5[_0x9a99[230]][_0x9a99[229]]/_0x9d36x6-_0x9d36x17,_0x9d36x5[_0x9a99[230]][_0x9a99[231]]/_0x9d36x6-_0x9d36x18)}}});this[_0x9a99[10]](_0x9a99[233],function(){var _0x9d36x21=_0x9d36x3[_0x9a99[2]][_0x9a99[26]](_0x9a99[233]);if(_0x9d36x21[_0x9a99[234]]!=null){_0x9d36x21[_0x9a99[234]][_0x9a99[236]](_0x9d36x21[_0x9a99[234]][_0x9a99[228]],_0x9d36x21[_0x9a99[235]])}});this[_0x9a99[10]](_0x9a99[237],function(){function _0x9d36x22(_0x9d36xc,_0x9d36x23,_0x9d36x24){var _0x9d36x25=null;var _0x9d36x9=_0x9d36x5[_0x9a99[57]]();_0x9d36x5[_0x9a99[102]]()[_0x9a99[101]]();try{if(_0x9d36x9[_0x9a99[103]]==0){var _0x9d36x26=_0x9d36x5[_0x9a99[238]]();_0x9d36x9=[_0x9d36x5[_0x9a99[240]](_0x9d36x5[_0x9a99[239]](),null,_0x9a99[95],_0x9d36x26,_0x9d36x26,_0x9d36x23,_0x9d36x24)];_0x9d36x25=_0x9d36x9};_0x9d36x5[_0x9a99[114]](mxConstants.STYLE_IMAGE,_0x9d36xc,_0x9d36x9);_0x9d36x5[_0x9a99[114]](mxConstants.STYLE_SHAPE,_0x9a99[237],_0x9d36x9);if(_0x9d36x5[_0x9a99[241]]()==1){if(_0x9d36x23!=null&&_0x9d36x24!=null){var _0x9d36x7=_0x9d36x9[0];var _0x9d36xd=_0x9d36x5[_0x9a99[102]]()[_0x9a99[242]](_0x9d36x7);if(_0x9d36xd!=null){_0x9d36xd=_0x9d36xd[_0x9a99[123]]();_0x9d36xd[_0x9a99[125]]=_0x9d36x23;_0x9d36xd[_0x9a99[126]]=_0x9d36x24;_0x9d36x5[_0x9a99[102]]()[_0x9a99[128]](_0x9d36x7,_0x9d36xd)}}}}finally{_0x9d36x5[_0x9a99[102]]()[_0x9a99[107]]()};if(_0x9d36x25!=null){_0x9d36x5[_0x9a99[59]](_0x9d36x25);_0x9d36x5[_0x9a99[243]](_0x9d36x25[0])}}var _0x9d36xc=_0x9a99[95];var _0x9d36xb=_0x9d36x5[_0x9a99[110]]()[_0x9a99[109]](_0x9d36x5[_0x9a99[93]]());if(_0x9d36xb!=null){_0x9d36xc=_0x9d36xb[_0x9a99[113]][mxConstants[_0x9a99[244]]]||_0x9d36xc};_0x9d36xc=mxUtils[_0x9a99[97]](mxResources[_0x9a99[26]](_0x9a99[96])+_0x9a99[118]+mxResources[_0x9a99[26]](_0x9a99[245])+_0x9a99[218],_0x9d36xc);if(_0x9d36xc!=null){if(_0x9d36xc[_0x9a99[103]]>0){var _0x9d36x27= new Image();_0x9d36x27[_0x9a99[246]]=function(){_0x9d36x22(_0x9d36xc,_0x9d36x27[_0x9a99[125]],_0x9d36x27[_0x9a99[126]])};_0x9d36x27[_0x9a99[247]]=function(){mxUtils[_0x9a99[249]](mxResources[_0x9a99[26]](_0x9a99[248]))};_0x9d36x27[_0x9a99[250]]=_0x9d36xc}}})};Actions[_0x9a99[4]][_0x9a99[10]]=function(_0x9d36x1b,_0x9d36x28,_0x9d36x29,_0x9d36x2a,_0x9d36x2b){return this[_0x9a99[28]](_0x9d36x1b, new Action(mxResources[_0x9a99[26]](_0x9d36x1b),_0x9d36x28,_0x9d36x29,_0x9d36x2a,_0x9d36x2b))};Actions[_0x9a99[4]][_0x9a99[28]]=function(_0x9d36x2c,_0x9d36x15){this[_0x9a99[2]][_0x9d36x2c]=_0x9d36x15;return _0x9d36x15};Actions[_0x9a99[4]][_0x9a99[26]]=function(_0x9d36x2c){return this[_0x9a99[2]][_0x9d36x2c]};function Action(_0x9d36x2e,_0x9d36x28,_0x9d36x29,_0x9d36x2a,_0x9d36x2b){mxEventSource[_0x9a99[251]](this);this[_0x9a99[252]]=_0x9d36x2e;this[_0x9a99[146]]=_0x9d36x28;this[_0x9a99[253]]=(_0x9d36x29!=null)?_0x9d36x29:true;this[_0x9a99[254]]=_0x9d36x2a;this[_0x9a99[255]]=_0x9d36x2b}mxUtils[_0x9a99[256]](Action,mxEventSource);Action[_0x9a99[4]][_0x9a99[177]]=function(_0x9d36xc){if(this[_0x9a99[253]]!=_0x9d36xc){this[_0x9a99[253]]=_0x9d36xc;this[_0x9a99[258]]( new mxEventObject(_0x9a99[257]))}};Action[_0x9a99[4]][_0x9a99[169]]=function(_0x9d36xc){this[_0x9a99[259]]=_0x9d36xc};Action[_0x9a99[4]][_0x9a99[170]]=function(_0x9d36x28){this[_0x9a99[260]]=_0x9d36x28};Action[_0x9a99[4]][_0x9a99[261]]=function(){return this[_0x9a99[260]]()} \ No newline at end of file diff --git a/public/assets/plugins/jscripty/js/Dialogs.js b/public/assets/plugins/jscripty/js/Dialogs.js index 4b70661..ec5ae37 100644 --- a/public/assets/plugins/jscripty/js/Dialogs.js +++ b/public/assets/plugins/jscripty/js/Dialogs.js @@ -1 +1 @@ -var _0x6e9a=["\x75\x73\x65\x20\x73\x74\x72\x69\x63\x74","\x69\x6E\x70\x75\x74","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x74\x79\x70\x65","\x63\x68\x65\x63\x6B\x62\x6F\x78","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x68\x65\x63\x6B\x65\x64","\x47\x45\x54","\x6F\x70\x65\x6E","\x41\x75\x74\x68\x6F\x72\x69\x7A\x61\x74\x69\x6F\x6E","\x42\x65\x61\x72\x65\x72\x20","\x74\x6F\x6B\x65\x6E","\x64\x61\x74\x61","\x65\x64\x69\x74\x6F\x72","\x73\x65\x74\x52\x65\x71\x75\x65\x73\x74\x48\x65\x61\x64\x65\x72","\x73\x65\x6E\x64","\x73\x74\x61\x74\x75\x73","\x72\x65\x73\x70\x6F\x6E\x73\x65","\x70\x61\x72\x73\x65","\x73\x65\x6C\x65\x63\x74","\x6F\x70\x74\x69\x6F\x6E","\x74\x65\x78\x74","\x2D\x2D\x20\x4E\x6F\x6E\x65\x20\x2D\x2D","\x76\x61\x6C\x75\x65","\x2D\x31","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x25","\x72\x65\x70\x6C\x61\x63\x65","\x66\x6F\x72\x45\x61\x63\x68","\x73\x65\x6C\x65\x63\x74\x65\x64","\x72\x6F\x77\x73","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x66\x6F\x72\x6D\x2D\x63\x6F\x6E\x74\x72\x6F\x6C\x20\x73\x65\x6C\x65\x63\x74\x32","\x30","\x66\x69\x6C\x74\x65\x72","\x73\x65\x6C\x65\x63\x74\x2D\x67\x72\x6F\x75\x70\x2D\x66\x61\x74\x68\x65\x72","\x74\x6F\x55\x70\x70\x65\x72\x43\x61\x73\x65","\x73\x65\x6C\x65\x63\x74\x2D\x67\x72\x6F\x75\x70\x2D\x73\x6F\x6E","\x2D","\x63\x61\x70\x69\x74\x61\x6C\x69\x7A\x65","","\x49\x53\x5F\x49\x45","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x4D\x6F\x64\x65","\x73\x63\x72\x6F\x6C\x6C\x57\x69\x64\x74\x68","\x62\x6F\x64\x79","\x72\x6F\x75\x6E\x64","\x6D\x61\x78","\x73\x63\x72\x6F\x6C\x6C\x48\x65\x69\x67\x68\x74","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x67\x65\x44\x69\x61\x6C\x6F\x67","\x63\x72\x65\x61\x74\x65\x44\x69\x76","\x6D\x6F\x64\x61\x6C\x20\x66\x61\x64\x65\x20\x69\x6E\x20\x63\x65\x6E\x74\x65\x72","\x64\x69\x73\x70\x6C\x61\x79","\x73\x74\x79\x6C\x65","\x62\x6C\x6F\x63\x6B","\x70\x61\x64\x64\x69\x6E\x67\x52\x69\x67\x68\x74","\x31\x32\x70\x78","\x67\x65\x4D\x6F\x64\x61\x6C\x44\x69\x61\x6C\x6F\x67","\x6D\x6F\x64\x61\x6C\x2D\x64\x69\x61\x6C\x6F\x67","\x62\x67","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x6D\x6F\x64\x61\x6C\x2D\x62\x61\x63\x6B\x64\x72\x6F\x70\x20\x66\x61\x64\x65\x20\x69\x6E","\x49\x53\x5F\x51\x55\x49\x52\x4B\x53","\x6F\x6E\x44\x69\x61\x6C\x6F\x67\x43\x6C\x6F\x73\x65","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x63\x6C\x6F\x73\x65","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x72\x65\x6D\x6F\x76\x65\x43\x68\x69\x6C\x64","\x70\x61\x72\x65\x6E\x74\x4E\x6F\x64\x65","\x6D\x6F\x64\x61\x6C\x2D\x63\x6F\x6E\x74\x65\x6E\x74","\x6D\x6F\x64\x61\x6C\x2D\x68\x65\x61\x64\x65\x72","\x6D\x6F\x64\x61\x6C\x2D\x62\x6F\x64\x79","\x6D\x6F\x64\x61\x6C\x2D\x66\x6F\x6F\x74\x65\x72","\x68\x34","\x63\x72\x65\x61\x74\x65\x48\x65\x61\x64\x65\x72","\x69\x6D\x70\x6F\x72\x74","\x67\x65\x74","\x20\x58\x4D\x4C","\x77\x72\x69\x74\x65","\x68\x69\x64\x65\x44\x69\x61\x6C\x6F\x67","\x62\x75\x74\x74\x6F\x6E","\x72\x6F\x77","\x63\x6F\x6C\x2D\x6D\x64\x2D\x31\x32","\x74\x65\x78\x74\x61\x72\x65\x61","\x77\x69\x64\x74\x68","\x31\x30\x30\x25","\x68\x65\x69\x67\x68\x74","\x33\x37\x34\x70\x78","\x66\x69\x6C\x65","\x61\x63\x63\x65\x70\x74","\x74\x65\x78\x74\x2F\x78\x6D\x6C","\x63\x68\x61\x6E\x67\x65","\x66\x69\x6C\x65\x73","\x74\x61\x72\x67\x65\x74","\x6C\x6F\x67","\x6F\x6E\x6C\x6F\x61\x64","\x72\x65\x73\x75\x6C\x74","\x72\x65\x61\x64\x41\x73\x54\x65\x78\x74","\x46\x61\x69\x6C\x65\x64\x20\x74\x6F\x20\x6C\x6F\x61\x64\x20\x66\x6F\x72\x6D\x61\x74\x20\x66\x69\x6C\x65","\x46\x61\x69\x6C\x65\x64\x20\x74\x6F\x20\x6C\x6F\x61\x64\x20\x66\x69\x6C\x65","\x61\x64\x64\x45\x76\x65\x6E\x74\x4C\x69\x73\x74\x65\x6E\x65\x72","\x70\x61\x72\x73\x65\x58\x6D\x6C","\x73\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x62\x69\x6E\x64","\x62\x74\x6E\x20\x62\x6C\x75\x65","\x63\x61\x6E\x63\x65\x6C","\x62\x74\x6E\x20\x64\x65\x66\x61\x75\x6C\x74","\x61\x62\x6F\x75\x74","\x20\x43\x61\x6C\x6C\x79\x20\x53\x71\x75\x61\x72\x65","\x69\x6D\x67","\x62\x6F\x72\x64\x65\x72","\x30\x70\x78","\x31\x37\x36","\x31\x35\x31","\x73\x72\x63","\x2F\x6C\x6F\x67\x6F\x2E\x70\x6E\x67","\x62\x72","\x50\x6F\x77\x65\x72\x65\x64\x20\x62\x79\x20\x58\x65\x6E\x69\x61\x6C\x61\x62\x20","\x56\x45\x52\x53\x49\x4F\x4E","\x61","\x68\x72\x65\x66","\x68\x74\x74\x70\x3A\x2F\x2F\x77\x77\x77\x2E\x63\x61\x6C\x6C\x79\x73\x71\x75\x61\x72\x65\x2E\x63\x6F\x6D\x2F","\x5F\x62\x6C\x61\x6E\x6B","\x77\x77\x77\x2E\x63\x61\x6C\x6C\x79\x73\x71\x75\x61\x72\x65\x2E\x63\x6F\x6D","\x73\x61\x76\x65\x41\x73","\x6E\x61\x6D\x65","\x5F","\x67\x65\x74\x4F\x72\x43\x72\x65\x61\x74\x65\x46\x69\x6C\x65\x6E\x61\x6D\x65","\x63\x6F\x6C\x2D\x6D\x64\x2D\x34","\x63\x6F\x6C\x2D\x6D\x64\x2D\x38","\x6C\x61\x62\x65\x6C","\x63\x6F\x6E\x74\x72\x6F\x6C\x2D\x6C\x61\x62\x65\x6C\x20\x70\x75\x6C\x6C\x2D\x72\x69\x67\x68\x74","\x5F\x63\x6F\x70\x79","\x69\x64","\x66\x6F\x72\x6D\x2D\x63\x6F\x6E\x74\x72\x6F\x6C","\x73\x61\x76\x65","\x6E\x65\x77","\x5F\x6E\x65\x77","\x76\x61\x72\x69\x61\x62\x6C\x65","\x76\x61\x72\x69\x61\x62\x6C\x65\x20\x6E\x61\x6D\x65","\x2F\x61\x70\x69\x2F\x6A\x73\x63\x72\x69\x70\x74\x79\x2F\x70\x72\x6F\x6A\x65\x63\x74\x73","\x6C\x65\x6E\x67\x74\x68","\x6A\x73\x63\x72\x69\x70\x74\x79\x2F\x70\x72\x6F\x6A\x65\x63\x74\x73\x2F\x76\x69\x65\x77\x2F","\x72\x65\x6E\x61\x6D\x65","\x66\x69\x6C\x65\x6E\x61\x6D\x65","\x50\x55\x54","\x43\x6F\x6E\x74\x65\x6E\x74\x2D\x54\x79\x70\x65","\x61\x70\x70\x6C\x69\x63\x61\x74\x69\x6F\x6E\x2F\x78\x2D\x77\x77\x77\x2D\x66\x6F\x72\x6D\x2D\x75\x72\x6C\x65\x6E\x63\x6F\x64\x65\x64","\x6E\x61\x6D\x65\x3D","\x50\x72\x6F\x6A\x65\x63\x74\x20\x73\x75\x63\x63\x65\x73\x73\x66\x75\x6C\x6C\x79\x20\x72\x65\x6E\x61\x6D\x65\x64","\x73\x65\x74\x53\x74\x61\x74\x75\x73","\x6D\x65\x73\x73\x61\x67\x65","\x65\x72\x72\x6F\x72\x73","\x6F\x6E\x65\x72\x72\x6F\x72","\x73\x74\x61\x74\x75\x73\x54\x65\x78\x74","\x61\x6C\x65\x72\x74","\x65\x64\x69\x74","\x67\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x67\x65\x74\x50\x72\x65\x74\x74\x79\x58\x6D\x6C","\x73\x74\x6F\x70\x50\x72\x6F\x70\x61\x67\x61\x74\x69\x6F\x6E","\x70\x72\x65\x76\x65\x6E\x74\x44\x65\x66\x61\x75\x6C\x74","\x64\x61\x74\x61\x54\x72\x61\x6E\x73\x66\x65\x72","\x64\x72\x61\x67\x6F\x76\x65\x72","\x64\x72\x6F\x70","\x6D\x6F\x64\x61\x6C\x2D\x62\x6F\x64\x79\x20\x66\x6F\x72\x6D","\x65\x78\x70\x6F\x72\x74","\x66\x6F\x72\x6D\x2D\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C\x20\x66\x6F\x72\x6D\x2D\x72\x6F\x77\x2D\x73\x65\x70\x65\x72\x61\x74\x65\x64","\x66\x6F\x72\x6D\x2D\x67\x72\x6F\x75\x70\x20\x6C\x61\x73\x74","\x63\x6F\x6C\x2D\x73\x6D\x2D\x34\x20\x63\x6F\x6E\x74\x72\x6F\x6C\x2D\x6C\x61\x62\x65\x6C","\x63\x6F\x6C\x2D\x73\x6D\x2D\x38","\x67\x65\x74\x58\x6D\x6C","\x73\x69\x6D\x75\x6C\x61\x74\x65","\x2F\x64\x6F\x77\x6E\x6C\x6F\x61\x64","\x66\x69\x6C\x65\x6E\x61\x6D\x65\x3D","\x67\x72\x61\x70\x68","\x6D\x6F\x64\x61\x6C\x2D\x62\x6F\x64\x79\x20\x66\x6F\x72\x6D\x20\x6D\x6F\x64\x61\x6C\x2D\x62\x6F\x64\x79\x2D\x73\x63\x72\x6F\x6C\x6C","\x20","\x6E\x6F\x64\x65\x4E\x61\x6D\x65","\x69\x6E\x70\x75\x74\x47\x72\x6F\x75\x70","\x61\x74\x74\x72\x69\x62\x75\x74\x65\x73","\x66\x6F\x72\x6D\x2D\x67\x72\x6F\x75\x70","\x64\x69\x73\x61\x62\x6C\x65\x64","\x6F\x6E\x63\x6C\x69\x63\x6B","\x4F\x4E","\x4F\x46\x46","\x62\x74\x6E\x20\x62\x74\x6E\x2D\x64\x61\x6E\x67\x65\x72\x20\x62\x74\x6E\x2D\x6D\x64","\x62\x74\x6E\x20\x62\x74\x6E\x2D\x73\x75\x63\x63\x65\x73\x73\x20\x62\x74\x6E\x2D\x6D\x64","\x73\x74\x61\x74\x65","\x69\x6E\x6E\x65\x72\x48\x54\x4D\x4C","\x42\x6F\x64\x79","\x71\x75\x65\x73\x74\x69\x6F\x6E","\x70\x6C\x61\x63\x65\x68\x6F\x6C\x64\x65\x72","\x49\x6E\x73\x65\x72\x74\x20\x6C\x61\x62\x65\x6C\x20\x66\x6F\x72\x20\x22\x4F\x74\x68\x65\x72\x22\x20\x61\x6E\x73\x77\x65\x72\x20\x6F\x70\x74\x69\x6F\x6E","\x6F\x74\x68\x65\x72","\x2F\x61\x70\x69\x2F\x73\x6D\x73\x2F\x61\x63\x63\x6F\x75\x6E\x74\x73","\x61\x63\x63\x6F\x75\x6E\x74\x5F\x69\x64","\x6D\x61\x78\x6C\x65\x6E\x67\x74\x68","\x31\x36\x30","\x73\x6D\x73\x5F\x74\x65\x78\x74","\x2B","\x62\x74\x6E\x20\x67\x72\x65\x65\x6E","\x61\x64\x64","\x68\x65\x6C\x70\x5F","\x70","\x68\x65\x6C\x70\x2D\x62\x6C\x6F\x63\x6B","\x63\x68\x69\x6C\x64\x72\x65\x6E","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74\x42\x79\x49\x64","\x67\x65\x74\x43\x68\x69\x6C\x64\x43\x6F\x75\x6E\x74","\x6D\x6F\x64\x65\x6C","\x63\x72\x65\x61\x74\x65\x58\x6D\x6C\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74\x73\x42\x79\x54\x61\x67\x4E\x61\x6D\x65","\x72\x65\x66\x72\x65\x73\x68","\x63\x6F\x6C\x2D\x6D\x64\x2D\x35","\x63\x6F\x6C\x2D\x6D\x64\x2D\x32","\x49\x6E\x73\x65\x72\x74\x20\x6C\x61\x62\x65\x6C","\x6E\x75\x6D\x62\x65\x72","\x78","\x70\x61\x72\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x62\x74\x6E\x20\x72\x65\x64"];_0x6e9a[0];function createCheckbox(_0x27d0x2){var _0x27d0x3=document[_0x6e9a[2]](_0x6e9a[1]);_0x27d0x3[_0x6e9a[5]](_0x6e9a[3],_0x6e9a[4]);if(_0x27d0x2){_0x27d0x3[_0x6e9a[5]](_0x6e9a[6],true)};return _0x27d0x3}function createDropdownFromApi(_0x27d0x5,_0x27d0x2,_0x27d0x6,_0x27d0x7,_0x27d0x8,_0x27d0x9,_0x27d0xa){var _0x27d0xb= new XMLHttpRequest();_0x27d0xb[_0x6e9a[8]](_0x6e9a[7],_0x27d0x5,false);_0x27d0xb[_0x6e9a[14]](_0x6e9a[9],_0x6e9a[10]+_0x27d0x8[_0x6e9a[13]][_0x6e9a[12]][_0x6e9a[11]]);_0x27d0xb[_0x6e9a[15]](null);var _0x27d0xc=[];if(_0x27d0xb[_0x6e9a[16]]===200){_0x27d0xc=JSON[_0x6e9a[18]](_0x27d0xb[_0x6e9a[17]])};var _0x27d0x3=document[_0x6e9a[2]](_0x6e9a[19]);var _0x27d0xd=document[_0x6e9a[2]](_0x6e9a[20]);_0x27d0xd[_0x6e9a[21]]=_0x6e9a[22];_0x27d0xd[_0x6e9a[23]]=_0x6e9a[24];_0x27d0x3[_0x6e9a[25]](_0x27d0xd);_0x27d0xc[_0x6e9a[30]][_0x6e9a[28]](function(_0x27d0xe){_0x27d0xd=document[_0x6e9a[2]](_0x6e9a[20]);_0x27d0xd[_0x6e9a[21]]=_0x27d0xe[_0x27d0x6];if(_0x27d0x9&&_0x27d0xa){_0x27d0x9[_0x6e9a[28]](function(_0x27d0xf){_0x27d0xa=_0x27d0xa[_0x6e9a[27]](_0x6e9a[26]+_0x27d0xf+_0x6e9a[26],_0x27d0xe[_0x27d0xf])});_0x27d0xd[_0x6e9a[23]]=_0x27d0xa;_0x27d0xd[_0x6e9a[29]]=(_0x27d0xa==_0x27d0x2)}else {_0x27d0xd[_0x6e9a[23]]=_0x27d0xe[_0x27d0x7];_0x27d0xd[_0x6e9a[29]]=(_0x27d0xe[_0x27d0x7]==_0x27d0x2)};_0x27d0x3[_0x6e9a[25]](_0x27d0xd)});_0x27d0x3[_0x6e9a[31]]=_0x6e9a[32];return _0x27d0x3}function createGroupedDropdownFromApi(_0x27d0x5,_0x27d0x2,_0x27d0x6,_0x27d0x7,_0x27d0x8,_0x27d0x11,_0x27d0x12){var _0x27d0xb= new XMLHttpRequest();_0x27d0xb[_0x6e9a[8]](_0x6e9a[7],_0x27d0x5,false);_0x27d0xb[_0x6e9a[14]](_0x6e9a[9],_0x6e9a[10]+_0x27d0x8[_0x6e9a[13]][_0x6e9a[12]][_0x6e9a[11]]);_0x27d0xb[_0x6e9a[15]](null);var _0x27d0xc=[];if(_0x27d0xb[_0x6e9a[16]]===200){_0x27d0xc=JSON[_0x6e9a[18]](_0x27d0xb[_0x6e9a[17]])};var _0x27d0x3=document[_0x6e9a[2]](_0x6e9a[19]);var _0x27d0xd=document[_0x6e9a[2]](_0x6e9a[20]);_0x27d0xd[_0x6e9a[21]]=_0x6e9a[22];_0x27d0xd[_0x6e9a[23]]=_0x6e9a[33];_0x27d0x3[_0x6e9a[25]](_0x27d0xd);var _0x27d0x13=_0x27d0x11?_0x27d0xc[_0x6e9a[30]]:_0x27d0xc;var _0x27d0x14={};var _0x27d0x15={};_0x27d0x14[_0x27d0x12]=null;var _0x27d0x16=_[_0x6e9a[34]](_0x27d0x13,_0x27d0x14);var _0x27d0x17={};_0x27d0x16[_0x6e9a[28]](function(_0x27d0xe){_0x27d0xd=document[_0x6e9a[2]](_0x6e9a[20]);_0x27d0xd[_0x6e9a[31]]=_0x6e9a[35];_0x27d0xd[_0x6e9a[21]]=_0x27d0xe[_0x27d0x6][_0x6e9a[36]]();_0x27d0xd[_0x6e9a[23]]=_0x27d0xe[_0x27d0x7];_0x27d0xd[_0x6e9a[29]]=(_0x27d0xe[_0x27d0x7]==_0x27d0x2);_0x27d0x3[_0x6e9a[25]](_0x27d0xd);_0x27d0x15[_0x27d0x12]=_0x27d0xe[_0x27d0x7];_0x27d0x17=_[_0x6e9a[34]](_0x27d0x13,_0x27d0x15);_0x27d0x17[_0x6e9a[28]](function(_0x27d0xe){_0x27d0xd=document[_0x6e9a[2]](_0x6e9a[20]);_0x27d0xd[_0x6e9a[31]]=_0x6e9a[37];_0x27d0xd[_0x6e9a[21]]=_0x6e9a[38]+_[_0x6e9a[39]](_0x27d0xe[_0x27d0x6]);_0x27d0xd[_0x6e9a[23]]=_0x27d0xe[_0x27d0x7];_0x27d0xd[_0x6e9a[29]]=(_0x27d0xe[_0x27d0x7]==_0x27d0x2);_0x27d0x3[_0x6e9a[25]](_0x27d0xd)})});_0x27d0x3[_0x6e9a[31]]=_0x6e9a[32];return _0x27d0x3}function createDropdownFromArray(_0x27d0x19,_0x27d0x2){var _0x27d0x3=document[_0x6e9a[2]](_0x6e9a[19]);for(var _0x27d0x1a in _0x27d0x19){var _0x27d0xd=document[_0x6e9a[2]](_0x6e9a[20]);_0x27d0xd[_0x6e9a[21]]=_0x27d0x19[_0x27d0x1a];_0x27d0xd[_0x6e9a[23]]=_0x27d0x1a;if(_0x27d0x2>0||_0x27d0x2!=_0x6e9a[40]){_0x27d0xd[_0x6e9a[29]]=(_0x27d0x2===_0x27d0x1a)?true:false};_0x27d0x3[_0x6e9a[25]](_0x27d0xd)};_0x27d0x3[_0x6e9a[31]]=_0x6e9a[32];return _0x27d0x3}function Dialog(_0x27d0x8,_0x27d0x1c,_0x27d0x1d,_0x27d0x1e,_0x27d0x1f,_0x27d0x20,_0x27d0x21){var _0x27d0x22=0;if(mxClient[_0x6e9a[41]]&&document[_0x6e9a[42]]!=9){_0x27d0x22=60};_0x27d0x1d+=_0x27d0x22;_0x27d0x1e+=_0x27d0x22;var _0x27d0x23=Math[_0x6e9a[46]](0,Math[_0x6e9a[45]]((document[_0x6e9a[44]][_0x6e9a[43]]-_0x27d0x1d)/2));var _0x27d0x24=Math[_0x6e9a[46]](0,Math[_0x6e9a[45]]((Math[_0x6e9a[46]](document[_0x6e9a[44]][_0x6e9a[47]],document[_0x6e9a[48]][_0x6e9a[47]])-_0x27d0x1e)/3));var _0x27d0x25=_0x27d0x8[_0x6e9a[50]](_0x6e9a[49]);_0x27d0x25[_0x6e9a[31]]=_0x6e9a[51];_0x27d0x25[_0x6e9a[53]][_0x6e9a[52]]=_0x6e9a[54];_0x27d0x25[_0x6e9a[53]][_0x6e9a[55]]=_0x6e9a[56];var _0x27d0x26=_0x27d0x8[_0x6e9a[50]](_0x6e9a[57]);_0x27d0x26[_0x6e9a[31]]=_0x6e9a[58];_0x27d0x26[_0x6e9a[25]](_0x27d0x1c);_0x27d0x25[_0x6e9a[25]](_0x27d0x26);if(this[_0x6e9a[59]]==null){this[_0x6e9a[59]]=_0x27d0x8[_0x6e9a[50]](_0x6e9a[60]);this[_0x6e9a[59]][_0x6e9a[31]]=_0x6e9a[61];if(mxClient[_0x6e9a[62]]){ new mxDivResizer(this[_0x6e9a[59]])}};if(_0x27d0x1f){document[_0x6e9a[44]][_0x6e9a[25]](this[_0x6e9a[59]])};document[_0x6e9a[44]][_0x6e9a[25]](_0x27d0x25);this[_0x6e9a[63]]=_0x27d0x21;this[_0x6e9a[64]]=_0x27d0x25}Dialog[_0x6e9a[66]][_0x6e9a[65]]=function(){if(this[_0x6e9a[63]]!=null){this[_0x6e9a[63]]();this[_0x6e9a[63]]=null};this[_0x6e9a[64]][_0x6e9a[68]][_0x6e9a[67]](this[_0x6e9a[64]]);this[_0x6e9a[59]][_0x6e9a[68]][_0x6e9a[67]](this[_0x6e9a[59]])};function ImportDialog(_0x27d0x8){var _0x27d0x28=_0x27d0x8[_0x6e9a[50]](_0x6e9a[69]);var _0x27d0x29=_0x27d0x8[_0x6e9a[50]](_0x6e9a[70]);var _0x27d0x2a=_0x27d0x8[_0x6e9a[50]](_0x6e9a[71]);var _0x27d0x2b=_0x27d0x8[_0x6e9a[50]](_0x6e9a[72]);var _0x27d0x2c=_0x27d0x8[_0x6e9a[74]](_0x6e9a[73]);mxUtils[_0x6e9a[78]](_0x27d0x2c,mxResources[_0x6e9a[76]](_0x6e9a[75])+_0x6e9a[77]);var _0x27d0x2d=mxUtils[_0x6e9a[80]](_0x6e9a[40],function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x2d[_0x6e9a[31]]=_0x6e9a[65];_0x27d0x29[_0x6e9a[25]](_0x27d0x2d);_0x27d0x29[_0x6e9a[25]](_0x27d0x2c);var _0x27d0x2e=_0x27d0x8[_0x6e9a[50]](_0x6e9a[81]);var _0x27d0x2f=_0x27d0x8[_0x6e9a[50]](_0x6e9a[82]);var _0x27d0x30=document[_0x6e9a[2]](_0x6e9a[83]);_0x27d0x30[_0x6e9a[53]][_0x6e9a[84]]=_0x6e9a[85];_0x27d0x30[_0x6e9a[53]][_0x6e9a[86]]=_0x6e9a[87];var _0x27d0x3=document[_0x6e9a[2]](_0x6e9a[1]);_0x27d0x3[_0x6e9a[3]]=_0x6e9a[88];_0x27d0x3[_0x6e9a[5]](_0x6e9a[89],_0x6e9a[90]);_0x27d0x3[_0x6e9a[100]](_0x6e9a[91],function _0x27d0x31(_0x27d0x32){var _0x27d0x33=_0x27d0x32[_0x6e9a[93]][_0x6e9a[92]][0];console[_0x6e9a[94]](_0x27d0x33);if(_0x27d0x33){if(_0x27d0x33[_0x6e9a[3]]===_0x6e9a[90]){var _0x27d0x34= new FileReader();_0x27d0x34[_0x6e9a[95]]=function(_0x27d0x35){var _0x27d0x36=_0x27d0x35[_0x6e9a[93]][_0x6e9a[96]];mxUtils[_0x6e9a[78]](_0x27d0x30,_0x27d0x36)};_0x27d0x34[_0x6e9a[97]](_0x27d0x33)}else {alert(_0x6e9a[98])}}else {alert(_0x6e9a[99])}},false);_0x27d0x2f[_0x6e9a[25]](_0x27d0x3);_0x27d0x2f[_0x6e9a[25]](_0x27d0x30);_0x27d0x2e[_0x6e9a[25]](_0x27d0x2f);_0x27d0x2a[_0x6e9a[25]](_0x27d0x2e);var _0x27d0x37=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[75]),mxUtils[_0x6e9a[103]](this,function(_0x27d0x38){var _0x27d0x39=mxUtils[_0x6e9a[101]](_0x27d0x30[_0x6e9a[23]]);_0x27d0x8[_0x6e9a[13]][_0x6e9a[102]](_0x27d0x39[_0x6e9a[48]]);_0x27d0x8[_0x6e9a[79]]()}));_0x27d0x37[_0x6e9a[31]]=_0x6e9a[104];var _0x27d0x3a=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[105]),function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x3a[_0x6e9a[31]]=_0x6e9a[106];_0x27d0x2b[_0x6e9a[25]](_0x27d0x37);_0x27d0x2b[_0x6e9a[25]](_0x27d0x3a);_0x27d0x28[_0x6e9a[25]](_0x27d0x29);_0x27d0x28[_0x6e9a[25]](_0x27d0x2a);_0x27d0x28[_0x6e9a[25]](_0x27d0x2b);this[_0x6e9a[64]]=_0x27d0x28}function AboutDialog(_0x27d0x8){var _0x27d0x28=_0x27d0x8[_0x6e9a[50]](_0x6e9a[69]);var _0x27d0x29=_0x27d0x8[_0x6e9a[50]](_0x6e9a[70]);var _0x27d0x2a=_0x27d0x8[_0x6e9a[50]](_0x6e9a[71]);var _0x27d0x2b=_0x27d0x8[_0x6e9a[50]](_0x6e9a[72]);var _0x27d0x2c=_0x27d0x8[_0x6e9a[74]](_0x6e9a[73]);mxUtils[_0x6e9a[78]](_0x27d0x2c,mxResources[_0x6e9a[76]](_0x6e9a[107])+_0x6e9a[108]);var _0x27d0x2d=mxUtils[_0x6e9a[80]](_0x6e9a[40],function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x2d[_0x6e9a[31]]=_0x6e9a[65];_0x27d0x29[_0x6e9a[25]](_0x27d0x2d);_0x27d0x29[_0x6e9a[25]](_0x27d0x2c);var _0x27d0x3c=document[_0x6e9a[2]](_0x6e9a[109]);_0x27d0x3c[_0x6e9a[53]][_0x6e9a[110]]=_0x6e9a[111];_0x27d0x3c[_0x6e9a[5]](_0x6e9a[84],_0x6e9a[112]);_0x27d0x3c[_0x6e9a[5]](_0x6e9a[84],_0x6e9a[113]);_0x27d0x3c[_0x6e9a[5]](_0x6e9a[114],IMAGE_PATH+_0x6e9a[115]);_0x27d0x2a[_0x6e9a[25]](_0x27d0x3c);mxUtils[_0x6e9a[116]](_0x27d0x2a);mxUtils[_0x6e9a[78]](_0x27d0x2a,_0x6e9a[117]+mxClient[_0x6e9a[118]]);mxUtils[_0x6e9a[116]](_0x27d0x2a);var _0x27d0x3d=document[_0x6e9a[2]](_0x6e9a[119]);_0x27d0x3d[_0x6e9a[5]](_0x6e9a[120],_0x6e9a[121]);_0x27d0x3d[_0x6e9a[5]](_0x6e9a[93],_0x6e9a[122]);mxUtils[_0x6e9a[78]](_0x27d0x3d,_0x6e9a[123]);_0x27d0x2a[_0x6e9a[25]](_0x27d0x3d);mxUtils[_0x6e9a[116]](_0x27d0x2a);mxUtils[_0x6e9a[116]](_0x27d0x2a);var _0x27d0x3a=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[65]),function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x3a[_0x6e9a[31]]=_0x6e9a[106];_0x27d0x2b[_0x6e9a[25]](_0x27d0x3a);_0x27d0x28[_0x6e9a[25]](_0x27d0x29);_0x27d0x28[_0x6e9a[25]](_0x27d0x2a);_0x27d0x28[_0x6e9a[25]](_0x27d0x2b);this[_0x6e9a[64]]=_0x27d0x28}function SaveDialog(_0x27d0x8){var _0x27d0x28=_0x27d0x8[_0x6e9a[50]](_0x6e9a[69]);var _0x27d0x29=_0x27d0x8[_0x6e9a[50]](_0x6e9a[70]);var _0x27d0x2a=_0x27d0x8[_0x6e9a[50]](_0x6e9a[71]);var _0x27d0x2b=_0x27d0x8[_0x6e9a[50]](_0x6e9a[72]);var _0x27d0x2c=_0x27d0x8[_0x6e9a[74]](_0x6e9a[73]);mxUtils[_0x6e9a[78]](_0x27d0x2c,mxResources[_0x6e9a[76]](_0x6e9a[124]));var _0x27d0x2d=mxUtils[_0x6e9a[80]](_0x6e9a[40],function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x2d[_0x6e9a[31]]=_0x6e9a[65];_0x27d0x29[_0x6e9a[25]](_0x27d0x2d);_0x27d0x29[_0x6e9a[25]](_0x27d0x2c);var _0x27d0x3f=_0x6e9a[125];var _0x27d0x40=_0x6e9a[126]+_0x27d0x3f;var _0x27d0x2=_0x27d0x8[_0x6e9a[13]][_0x6e9a[127]]();var _0x27d0x2e=_0x27d0x8[_0x6e9a[50]](_0x6e9a[81]);var _0x27d0x2f=_0x27d0x8[_0x6e9a[50]](_0x6e9a[128]);var _0x27d0x41=_0x27d0x8[_0x6e9a[50]](_0x6e9a[129]);var _0x27d0x42=document[_0x6e9a[2]](_0x6e9a[130]);_0x27d0x42[_0x6e9a[31]]=_0x6e9a[131];mxUtils[_0x6e9a[78]](_0x27d0x42,mxResources[_0x6e9a[76]](_0x27d0x3f));_0x27d0x2f[_0x6e9a[25]](_0x27d0x42);var _0x27d0x43=document[_0x6e9a[2]](_0x6e9a[1]);_0x27d0x43[_0x6e9a[5]](_0x6e9a[23],_0x27d0x2+_0x6e9a[132]);_0x27d0x43[_0x6e9a[5]](_0x6e9a[133],_0x27d0x40);_0x27d0x43[_0x6e9a[31]]=_0x6e9a[134];_0x27d0x41[_0x6e9a[25]](_0x27d0x43);_0x27d0x2e[_0x6e9a[25]](_0x27d0x2f);_0x27d0x2e[_0x6e9a[25]](_0x27d0x41);_0x27d0x2a[_0x6e9a[25]](_0x27d0x2e);var _0x27d0x37=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[135]),function(){_0x27d0x8[_0x6e9a[124]](_0x27d0x43[_0x6e9a[23]]);_0x27d0x8[_0x6e9a[79]]()});_0x27d0x37[_0x6e9a[31]]=_0x6e9a[104];var _0x27d0x3a=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[105]),function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x3a[_0x6e9a[31]]=_0x6e9a[106];_0x27d0x2b[_0x6e9a[25]](_0x27d0x37);_0x27d0x2b[_0x6e9a[25]](_0x27d0x3a);_0x27d0x28[_0x6e9a[25]](_0x27d0x29);_0x27d0x28[_0x6e9a[25]](_0x27d0x2a);_0x27d0x28[_0x6e9a[25]](_0x27d0x2b);this[_0x6e9a[64]]=_0x27d0x28}function NewDialog(_0x27d0x8){var _0x27d0x28=_0x27d0x8[_0x6e9a[50]](_0x6e9a[69]);var _0x27d0x29=_0x27d0x8[_0x6e9a[50]](_0x6e9a[70]);var _0x27d0x2a=_0x27d0x8[_0x6e9a[50]](_0x6e9a[71]);var _0x27d0x2b=_0x27d0x8[_0x6e9a[50]](_0x6e9a[72]);var _0x27d0x2c=_0x27d0x8[_0x6e9a[74]](_0x6e9a[73]);mxUtils[_0x6e9a[78]](_0x27d0x2c,mxResources[_0x6e9a[76]](_0x6e9a[136]));var _0x27d0x2d=mxUtils[_0x6e9a[80]](_0x6e9a[40],function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x2d[_0x6e9a[31]]=_0x6e9a[65];_0x27d0x29[_0x6e9a[25]](_0x27d0x2d);_0x27d0x29[_0x6e9a[25]](_0x27d0x2c);var _0x27d0x3f=_0x6e9a[125];var _0x27d0x40=_0x6e9a[126]+_0x27d0x3f;var _0x27d0x2=_0x27d0x8[_0x6e9a[13]][_0x6e9a[127]]();var _0x27d0x2e=_0x27d0x8[_0x6e9a[50]](_0x6e9a[81]);var _0x27d0x2f=_0x27d0x8[_0x6e9a[50]](_0x6e9a[128]);var _0x27d0x41=_0x27d0x8[_0x6e9a[50]](_0x6e9a[129]);var _0x27d0x42=document[_0x6e9a[2]](_0x6e9a[130]);_0x27d0x42[_0x6e9a[31]]=_0x6e9a[131];mxUtils[_0x6e9a[78]](_0x27d0x42,mxResources[_0x6e9a[76]](_0x27d0x3f));_0x27d0x2f[_0x6e9a[25]](_0x27d0x42);var _0x27d0x43=document[_0x6e9a[2]](_0x6e9a[1]);_0x27d0x43[_0x6e9a[5]](_0x6e9a[23],_0x27d0x2+_0x6e9a[137]);_0x27d0x43[_0x6e9a[5]](_0x6e9a[133],_0x27d0x40);_0x27d0x43[_0x6e9a[31]]=_0x6e9a[134];_0x27d0x41[_0x6e9a[25]](_0x27d0x43);_0x27d0x2e[_0x6e9a[25]](_0x27d0x2f);_0x27d0x2e[_0x6e9a[25]](_0x27d0x41);_0x27d0x2a[_0x6e9a[25]](_0x27d0x2e);var _0x27d0x37=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[136]),function(){_0x27d0x8[_0x6e9a[136]](_0x27d0x43[_0x6e9a[23]]);_0x27d0x8[_0x6e9a[79]]()});_0x27d0x37[_0x6e9a[31]]=_0x6e9a[104];var _0x27d0x3a=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[105]),function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x3a[_0x6e9a[31]]=_0x6e9a[106];_0x27d0x2b[_0x6e9a[25]](_0x27d0x37);_0x27d0x2b[_0x6e9a[25]](_0x27d0x3a);_0x27d0x28[_0x6e9a[25]](_0x27d0x29);_0x27d0x28[_0x6e9a[25]](_0x27d0x2a);_0x27d0x28[_0x6e9a[25]](_0x27d0x2b);this[_0x6e9a[64]]=_0x27d0x28}function VariableDialog(_0x27d0x8){var _0x27d0x28=_0x27d0x8[_0x6e9a[50]](_0x6e9a[69]);var _0x27d0x29=_0x27d0x8[_0x6e9a[50]](_0x6e9a[70]);var _0x27d0x2a=_0x27d0x8[_0x6e9a[50]](_0x6e9a[71]);var _0x27d0x2b=_0x27d0x8[_0x6e9a[50]](_0x6e9a[72]);var _0x27d0x2c=_0x27d0x8[_0x6e9a[74]](_0x6e9a[73]);mxUtils[_0x6e9a[78]](_0x27d0x2c,mxResources[_0x6e9a[76]](_0x6e9a[138]));var _0x27d0x2d=mxUtils[_0x6e9a[80]](_0x6e9a[40],function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x2d[_0x6e9a[31]]=_0x6e9a[65];_0x27d0x29[_0x6e9a[25]](_0x27d0x2d);_0x27d0x29[_0x6e9a[25]](_0x27d0x2c);var _0x27d0x3f=_0x6e9a[125];var _0x27d0x40=_0x6e9a[126]+_0x27d0x3f;var _0x27d0x2=_0x27d0x8[_0x6e9a[13]][_0x6e9a[127]]();var _0x27d0x2e=_0x27d0x8[_0x6e9a[50]](_0x6e9a[81]);var _0x27d0x2f=_0x27d0x8[_0x6e9a[50]](_0x6e9a[128]);var _0x27d0x41=_0x27d0x8[_0x6e9a[50]](_0x6e9a[129]);var _0x27d0x42=document[_0x6e9a[2]](_0x6e9a[130]);_0x27d0x42[_0x6e9a[31]]=_0x6e9a[131];mxUtils[_0x6e9a[78]](_0x27d0x42,mxResources[_0x6e9a[76]](_0x27d0x3f));_0x27d0x2f[_0x6e9a[25]](_0x27d0x42);var _0x27d0x43=document[_0x6e9a[2]](_0x6e9a[1]);_0x27d0x43[_0x6e9a[5]](_0x6e9a[23],_0x6e9a[139]);_0x27d0x43[_0x6e9a[5]](_0x6e9a[133],_0x27d0x40);_0x27d0x43[_0x6e9a[31]]=_0x6e9a[134];_0x27d0x41[_0x6e9a[25]](_0x27d0x43);_0x27d0x2e[_0x6e9a[25]](_0x27d0x2f);_0x27d0x2e[_0x6e9a[25]](_0x27d0x41);_0x27d0x2a[_0x6e9a[25]](_0x27d0x2e);var _0x27d0x37=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[136]),function(){_0x27d0x8[_0x6e9a[138]](_0x27d0x43[_0x6e9a[23]]);_0x27d0x8[_0x6e9a[79]]()});_0x27d0x37[_0x6e9a[31]]=_0x6e9a[104];var _0x27d0x3a=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[105]),function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x3a[_0x6e9a[31]]=_0x6e9a[106];_0x27d0x2b[_0x6e9a[25]](_0x27d0x37);_0x27d0x2b[_0x6e9a[25]](_0x27d0x3a);_0x27d0x28[_0x6e9a[25]](_0x27d0x29);_0x27d0x28[_0x6e9a[25]](_0x27d0x2a);_0x27d0x28[_0x6e9a[25]](_0x27d0x2b);this[_0x6e9a[64]]=_0x27d0x28}function OpenDialog(_0x27d0x8){var _0x27d0x28=_0x27d0x8[_0x6e9a[50]](_0x6e9a[69]);var _0x27d0x29=_0x27d0x8[_0x6e9a[50]](_0x6e9a[70]);var _0x27d0x2a=_0x27d0x8[_0x6e9a[50]](_0x6e9a[71]);var _0x27d0x2b=_0x27d0x8[_0x6e9a[50]](_0x6e9a[72]);var _0x27d0x2c=_0x27d0x8[_0x6e9a[74]](_0x6e9a[73]);mxUtils[_0x6e9a[78]](_0x27d0x2c,mxResources[_0x6e9a[76]](_0x6e9a[8]));var _0x27d0x2d=mxUtils[_0x6e9a[80]](_0x6e9a[40],function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x2d[_0x6e9a[31]]=_0x6e9a[65];_0x27d0x29[_0x6e9a[25]](_0x27d0x2d);_0x27d0x29[_0x6e9a[25]](_0x27d0x2c);var _0x27d0x2e=_0x27d0x8[_0x6e9a[50]](_0x6e9a[81]);var _0x27d0x2f=_0x27d0x8[_0x6e9a[50]](_0x6e9a[128]);var _0x27d0x41=_0x27d0x8[_0x6e9a[50]](_0x6e9a[129]);var _0x27d0x42=document[_0x6e9a[2]](_0x6e9a[130]);_0x27d0x42[_0x6e9a[31]]=_0x6e9a[131];mxUtils[_0x6e9a[78]](_0x27d0x42,mxResources[_0x6e9a[76]](_0x6e9a[125]));_0x27d0x2f[_0x6e9a[25]](_0x27d0x42);var _0x27d0xb= new XMLHttpRequest();_0x27d0xb[_0x6e9a[8]](_0x6e9a[7],_0x6e9a[140],false);_0x27d0xb[_0x6e9a[14]](_0x6e9a[9],_0x6e9a[10]+_0x27d0x8[_0x6e9a[13]][_0x6e9a[12]][_0x6e9a[11]]);_0x27d0xb[_0x6e9a[15]](null);var _0x27d0xc=[];if(_0x27d0xb[_0x6e9a[16]]===200){_0x27d0xc=JSON[_0x6e9a[18]](_0x27d0xb[_0x6e9a[17]])[_0x6e9a[30]]};var _0x27d0x43=document[_0x6e9a[2]](_0x6e9a[19]);for(var _0x27d0x47=0;_0x27d0x47<_0x27d0xc[_0x6e9a[141]];_0x27d0x47++){var _0x27d0xd=document[_0x6e9a[2]](_0x6e9a[20]);_0x27d0xd[_0x6e9a[21]]=_0x27d0xc[_0x27d0x47][_0x6e9a[125]];_0x27d0xd[_0x6e9a[23]]=_0x27d0xc[_0x27d0x47][_0x6e9a[133]];_0x27d0x43[_0x6e9a[25]](_0x27d0xd)};_0x27d0x43[_0x6e9a[31]]=_0x6e9a[134];_0x27d0x41[_0x6e9a[25]](_0x27d0x43);_0x27d0x2e[_0x6e9a[25]](_0x27d0x2f);_0x27d0x2e[_0x6e9a[25]](_0x27d0x41);_0x27d0x2a[_0x6e9a[25]](_0x27d0x2e);var _0x27d0x37=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[8]),function(){console[_0x6e9a[94]](_0x27d0x43);console[_0x6e9a[94]](_0x27d0x43[_0x6e9a[23]]);window[_0x6e9a[8]](_0x6e9a[142]+_0x27d0x43[_0x6e9a[23]],_0x6e9a[122]);_0x27d0x8[_0x6e9a[79]]()});_0x27d0x37[_0x6e9a[31]]=_0x6e9a[104];var _0x27d0x3a=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[105]),function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x3a[_0x6e9a[31]]=_0x6e9a[106];_0x27d0x2b[_0x6e9a[25]](_0x27d0x37);_0x27d0x2b[_0x6e9a[25]](_0x27d0x3a);_0x27d0x28[_0x6e9a[25]](_0x27d0x29);_0x27d0x28[_0x6e9a[25]](_0x27d0x2a);_0x27d0x28[_0x6e9a[25]](_0x27d0x2b);this[_0x6e9a[64]]=_0x27d0x28}function RenameDialog(_0x27d0x8){var _0x27d0x28=_0x27d0x8[_0x6e9a[50]](_0x6e9a[69]);var _0x27d0x29=_0x27d0x8[_0x6e9a[50]](_0x6e9a[70]);var _0x27d0x2a=_0x27d0x8[_0x6e9a[50]](_0x6e9a[71]);var _0x27d0x2b=_0x27d0x8[_0x6e9a[50]](_0x6e9a[72]);var _0x27d0x2c=_0x27d0x8[_0x6e9a[74]](_0x6e9a[73]);mxUtils[_0x6e9a[78]](_0x27d0x2c,mxResources[_0x6e9a[76]](_0x6e9a[143]));var _0x27d0x2d=mxUtils[_0x6e9a[80]](_0x6e9a[40],function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x2d[_0x6e9a[31]]=_0x6e9a[65];_0x27d0x29[_0x6e9a[25]](_0x27d0x2d);_0x27d0x29[_0x6e9a[25]](_0x27d0x2c);var _0x27d0x2e=_0x27d0x8[_0x6e9a[50]](_0x6e9a[81]);var _0x27d0x2f=_0x27d0x8[_0x6e9a[50]](_0x6e9a[128]);var _0x27d0x41=_0x27d0x8[_0x6e9a[50]](_0x6e9a[129]);var _0x27d0x42=document[_0x6e9a[2]](_0x6e9a[130]);_0x27d0x42[_0x6e9a[31]]=_0x6e9a[131];mxUtils[_0x6e9a[78]](_0x27d0x42,mxResources[_0x6e9a[76]](_0x6e9a[125]));_0x27d0x2f[_0x6e9a[25]](_0x27d0x42);var _0x27d0x3f=_0x27d0x8[_0x6e9a[13]][_0x6e9a[144]];var _0x27d0x3=document[_0x6e9a[2]](_0x6e9a[1]);_0x27d0x3[_0x6e9a[5]](_0x6e9a[23],_0x27d0x3f);_0x27d0x3[_0x6e9a[31]]=_0x6e9a[134];_0x27d0x41[_0x6e9a[25]](_0x27d0x3);_0x27d0x2e[_0x6e9a[25]](_0x27d0x2f);_0x27d0x2e[_0x6e9a[25]](_0x27d0x41);_0x27d0x2a[_0x6e9a[25]](_0x27d0x2e);var _0x27d0x37=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[135]),function(){var _0x27d0xb= new XMLHttpRequest();_0x27d0xb[_0x6e9a[8]](_0x6e9a[145],SAVE_URL+_0x27d0x8[_0x6e9a[13]][_0x6e9a[12]][_0x6e9a[133]],true);_0x27d0xb[_0x6e9a[14]](_0x6e9a[146],_0x6e9a[147]);_0x27d0xb[_0x6e9a[14]](_0x6e9a[9],_0x6e9a[10]+_0x27d0x8[_0x6e9a[13]][_0x6e9a[12]][_0x6e9a[11]]);_0x27d0xb[_0x6e9a[15]](_0x6e9a[148]+_0x27d0x3[_0x6e9a[23]]);_0x27d0xb[_0x6e9a[95]]=function(_0x27d0x35){if(_0x27d0xb[_0x6e9a[16]]===200){_0x27d0x8[_0x6e9a[13]][_0x6e9a[150]](_0x6e9a[149]);_0x27d0x8[_0x6e9a[13]][_0x6e9a[144]]=_0x27d0x3[_0x6e9a[23]]}else {_0x27d0x8[_0x6e9a[13]][_0x6e9a[150]](JSON[_0x6e9a[18]](_0x27d0xb[_0x6e9a[17]])[_0x6e9a[152]][0][_0x6e9a[151]])}};_0x27d0xb[_0x6e9a[153]]=function(_0x27d0x35){mxUtils[_0x6e9a[155]](_0x27d0xb[_0x6e9a[154]])};_0x27d0x8[_0x6e9a[79]]()});_0x27d0x37[_0x6e9a[31]]=_0x6e9a[104];var _0x27d0x3a=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[105]),function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x3a[_0x6e9a[31]]=_0x6e9a[106];_0x27d0x2b[_0x6e9a[25]](_0x27d0x37);_0x27d0x2b[_0x6e9a[25]](_0x27d0x3a);_0x27d0x28[_0x6e9a[25]](_0x27d0x29);_0x27d0x28[_0x6e9a[25]](_0x27d0x2a);_0x27d0x28[_0x6e9a[25]](_0x27d0x2b);this[_0x6e9a[64]]=_0x27d0x28}function EditFileDialog(_0x27d0x8){var _0x27d0x28=_0x27d0x8[_0x6e9a[50]](_0x6e9a[69]);var _0x27d0x29=_0x27d0x8[_0x6e9a[50]](_0x6e9a[70]);var _0x27d0x2a=_0x27d0x8[_0x6e9a[50]](_0x6e9a[71]);var _0x27d0x2b=_0x27d0x8[_0x6e9a[50]](_0x6e9a[72]);var _0x27d0x2c=_0x27d0x8[_0x6e9a[74]](_0x6e9a[73]);mxUtils[_0x6e9a[78]](_0x27d0x2c,mxResources[_0x6e9a[76]](_0x6e9a[156]));var _0x27d0x2d=mxUtils[_0x6e9a[80]](_0x6e9a[40],function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x2d[_0x6e9a[31]]=_0x6e9a[65];_0x27d0x29[_0x6e9a[25]](_0x27d0x2d);_0x27d0x29[_0x6e9a[25]](_0x27d0x2c);var _0x27d0x2e=_0x27d0x8[_0x6e9a[50]](_0x6e9a[81]);var _0x27d0x2f=_0x27d0x8[_0x6e9a[50]](_0x6e9a[82]);var _0x27d0x30=document[_0x6e9a[2]](_0x6e9a[83]);_0x27d0x30[_0x6e9a[53]][_0x6e9a[84]]=_0x6e9a[85];_0x27d0x30[_0x6e9a[53]][_0x6e9a[86]]=_0x6e9a[87];_0x27d0x30[_0x6e9a[23]]=mxUtils[_0x6e9a[158]](_0x27d0x8[_0x6e9a[13]][_0x6e9a[157]]());if(fileSupport){function _0x27d0x4a(_0x27d0x32){_0x27d0x32[_0x6e9a[159]]();_0x27d0x32[_0x6e9a[160]]();if(_0x27d0x32[_0x6e9a[161]][_0x6e9a[92]][_0x6e9a[141]]>0){var _0x27d0x4b=_0x27d0x32[_0x6e9a[161]][_0x6e9a[92]][0];var _0x27d0x4c= new FileReader();_0x27d0x4c[_0x6e9a[95]]=function(_0x27d0x35){_0x27d0x30[_0x6e9a[23]]=_0x27d0x35[_0x6e9a[93]][_0x6e9a[96]]};_0x27d0x4c[_0x6e9a[97]](_0x27d0x4b)}}function _0x27d0x4d(_0x27d0x32){_0x27d0x32[_0x6e9a[159]]();_0x27d0x32[_0x6e9a[160]]()}_0x27d0x30[_0x6e9a[100]](_0x6e9a[162],_0x27d0x4d,false);_0x27d0x30[_0x6e9a[100]](_0x6e9a[163],_0x27d0x4a,false)};_0x27d0x2f[_0x6e9a[25]](_0x27d0x30);_0x27d0x2e[_0x6e9a[25]](_0x27d0x2f);_0x27d0x2a[_0x6e9a[25]](_0x27d0x2e);var _0x27d0x37=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[135]),function(){var _0x27d0x39=mxUtils[_0x6e9a[101]](_0x27d0x30[_0x6e9a[23]]);_0x27d0x8[_0x6e9a[13]][_0x6e9a[102]](_0x27d0x39[_0x6e9a[48]]);_0x27d0x8[_0x6e9a[79]]()});_0x27d0x37[_0x6e9a[31]]=_0x6e9a[104];var _0x27d0x3a=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[105]),function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x3a[_0x6e9a[31]]=_0x6e9a[106];_0x27d0x2b[_0x6e9a[25]](_0x27d0x37);_0x27d0x2b[_0x6e9a[25]](_0x27d0x3a);_0x27d0x28[_0x6e9a[25]](_0x27d0x29);_0x27d0x28[_0x6e9a[25]](_0x27d0x2a);_0x27d0x28[_0x6e9a[25]](_0x27d0x2b);this[_0x6e9a[64]]=_0x27d0x28}function ExportDialog(_0x27d0x8){var _0x27d0x28=_0x27d0x8[_0x6e9a[50]](_0x6e9a[69]);var _0x27d0x29=_0x27d0x8[_0x6e9a[50]](_0x6e9a[70]);var _0x27d0x2a=_0x27d0x8[_0x6e9a[50]](_0x6e9a[164]);var _0x27d0x2b=_0x27d0x8[_0x6e9a[50]](_0x6e9a[72]);var _0x27d0x2c=_0x27d0x8[_0x6e9a[74]](_0x6e9a[73]);mxUtils[_0x6e9a[78]](_0x27d0x2c,mxResources[_0x6e9a[76]](_0x6e9a[165])+_0x6e9a[77]);var _0x27d0x2d=mxUtils[_0x6e9a[80]](_0x6e9a[40],function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x2d[_0x6e9a[31]]=_0x6e9a[65];_0x27d0x29[_0x6e9a[25]](_0x27d0x2d);_0x27d0x29[_0x6e9a[25]](_0x27d0x2c);var _0x27d0x4f=_0x27d0x8[_0x6e9a[50]](_0x6e9a[166]);var _0x27d0x50=_0x27d0x8[_0x6e9a[50]](_0x6e9a[167]);var _0x27d0x42=document[_0x6e9a[2]](_0x6e9a[130]);_0x27d0x42[_0x6e9a[31]]=_0x6e9a[168];mxUtils[_0x6e9a[78]](_0x27d0x42,mxResources[_0x6e9a[76]](_0x6e9a[144]));var _0x27d0x3=document[_0x6e9a[2]](_0x6e9a[1]);_0x27d0x3[_0x6e9a[5]](_0x6e9a[23],_0x27d0x8[_0x6e9a[13]][_0x6e9a[127]]());_0x27d0x3[_0x6e9a[31]]=_0x6e9a[134];var _0x27d0x25=_0x27d0x8[_0x6e9a[50]](_0x6e9a[169]);_0x27d0x25[_0x6e9a[25]](_0x27d0x3);_0x27d0x50[_0x6e9a[25]](_0x27d0x42);_0x27d0x50[_0x6e9a[25]](_0x27d0x25);_0x27d0x4f[_0x6e9a[25]](_0x27d0x50);_0x27d0x2a[_0x6e9a[25]](_0x27d0x4f);var _0x27d0x37=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[165]),mxUtils[_0x6e9a[103]](this,function(_0x27d0x38){_0x27d0x8[_0x6e9a[135]](false);var _0x27d0x51=encodeURIComponent(mxUtils[_0x6e9a[170]](_0x27d0x8[_0x6e9a[13]][_0x6e9a[157]]())); new mxXmlRequest(SAVE_URL+_0x27d0x8[_0x6e9a[13]][_0x6e9a[12]][_0x6e9a[133]]+_0x6e9a[172],_0x6e9a[173]+_0x27d0x3[_0x6e9a[23]],_0x6e9a[7])[_0x6e9a[171]](document,_0x6e9a[122]);_0x27d0x8[_0x6e9a[79]]()}));_0x27d0x37[_0x6e9a[31]]=_0x6e9a[104];var _0x27d0x3a=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[105]),function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x3a[_0x6e9a[31]]=_0x6e9a[106];_0x27d0x2b[_0x6e9a[25]](_0x27d0x37);_0x27d0x2b[_0x6e9a[25]](_0x27d0x3a);_0x27d0x28[_0x6e9a[25]](_0x27d0x29);_0x27d0x28[_0x6e9a[25]](_0x27d0x2a);_0x27d0x28[_0x6e9a[25]](_0x27d0x2b);this[_0x6e9a[64]]=_0x27d0x28}function GeneralDialog(_0x27d0x8,_0x27d0x53){var _0x27d0x54=_0x27d0x8[_0x6e9a[13]][_0x6e9a[174]];var _0x27d0x28=_0x27d0x8[_0x6e9a[50]](_0x6e9a[69]);var _0x27d0x29=_0x27d0x8[_0x6e9a[50]](_0x6e9a[70]);var _0x27d0x2a=_0x27d0x8[_0x6e9a[50]](_0x6e9a[175]);var _0x27d0x2b=_0x27d0x8[_0x6e9a[50]](_0x6e9a[72]);var _0x27d0x2c=_0x27d0x8[_0x6e9a[74]](_0x6e9a[73]);mxUtils[_0x6e9a[78]](_0x27d0x2c,mxResources[_0x6e9a[76]](_0x6e9a[156])+_0x6e9a[176]+mxResources[_0x6e9a[76]](_0x27d0x53[_0x6e9a[23]][_0x6e9a[177]]));var _0x27d0x2d=mxUtils[_0x6e9a[80]](_0x6e9a[40],function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x2d[_0x6e9a[31]]=_0x6e9a[65];_0x27d0x29[_0x6e9a[25]](_0x27d0x2d);_0x27d0x29[_0x6e9a[25]](_0x27d0x2c);var _0x27d0x55=_0x27d0x8[_0x6e9a[50]](_0x6e9a[166]);_0x27d0x55[_0x6e9a[5]](_0x6e9a[133],_0x6e9a[178]);var _0x27d0x56=_0x27d0x53[_0x6e9a[23]][_0x6e9a[179]][_0x6e9a[141]];var _0x27d0x4f=_0x27d0x8[_0x6e9a[50]](_0x6e9a[166]);for(var _0x27d0x57=0;_0x27d0x57<_0x27d0x56;_0x27d0x57++){var _0x27d0x3f=_0x27d0x53[_0x6e9a[23]][_0x6e9a[179]][_0x27d0x57][_0x6e9a[125]];var _0x27d0x40=_0x6e9a[126]+_0x27d0x3f;var _0x27d0x2=_0x27d0x53[_0x6e9a[23]][_0x6e9a[179]][_0x27d0x57][_0x6e9a[23]];console[_0x6e9a[94]](_0x27d0x3f);var _0x27d0x50=_0x27d0x8[_0x6e9a[50]]((_0x27d0x57==_0x27d0x56-1)?_0x6e9a[167]:_0x6e9a[180]);var _0x27d0x42=document[_0x6e9a[2]](_0x6e9a[130]);_0x27d0x42[_0x6e9a[31]]=_0x6e9a[168];mxUtils[_0x6e9a[78]](_0x27d0x42,mxResources[_0x6e9a[76]](_0x27d0x3f));_0x27d0x50[_0x6e9a[25]](_0x27d0x42);var _0x27d0x3;switch(_0x27d0x3f){case _0x6e9a[133]:_0x27d0x3=document[_0x6e9a[2]](_0x6e9a[1]);_0x27d0x2=_0x27d0x53[_0x6e9a[133]];_0x27d0x3[_0x6e9a[5]](_0x6e9a[23],_0x27d0x2);_0x27d0x3[_0x6e9a[5]](_0x6e9a[181],true);_0x27d0x3[_0x6e9a[31]]=_0x6e9a[134];break;case _0x6e9a[187]:_0x27d0x3=document[_0x6e9a[2]](_0x6e9a[1]);_0x27d0x3[_0x6e9a[5]](_0x6e9a[3],_0x6e9a[80]);_0x27d0x3[_0x6e9a[182]]=function(){if(this[_0x6e9a[23]]==_0x6e9a[183]){this[_0x6e9a[5]](_0x6e9a[23],_0x6e9a[184]);this[_0x6e9a[31]]=_0x6e9a[185]}else {this[_0x6e9a[31]]=_0x6e9a[186];this[_0x6e9a[5]](_0x6e9a[23],_0x6e9a[183])}};_0x27d0x3[_0x6e9a[5]](_0x6e9a[23],_0x27d0x2);_0x27d0x3[_0x6e9a[31]]=(_0x27d0x2==_0x6e9a[183]?_0x6e9a[186]:_0x6e9a[185]);break;case _0x6e9a[21]:_0x27d0x3=document[_0x6e9a[2]](_0x6e9a[83]);_0x27d0x3[_0x6e9a[188]]=_0x27d0x2;_0x27d0x3[_0x6e9a[31]]=_0x6e9a[134];break;case _0x6e9a[189]:_0x27d0x3=document[_0x6e9a[2]](_0x6e9a[83]);_0x27d0x3[_0x6e9a[188]]=_0x27d0x2;_0x27d0x3[_0x6e9a[31]]=_0x6e9a[134];break;case _0x6e9a[190]:_0x27d0x3=document[_0x6e9a[2]](_0x6e9a[83]);_0x27d0x3[_0x6e9a[188]]=_0x27d0x2;_0x27d0x3[_0x6e9a[31]]=_0x6e9a[134];break;case _0x6e9a[193]:_0x27d0x3=document[_0x6e9a[2]](_0x6e9a[1]);_0x27d0x3[_0x6e9a[5]](_0x6e9a[23],_0x27d0x2);_0x27d0x3[_0x6e9a[5]](_0x6e9a[191],_0x6e9a[192]);_0x27d0x3[_0x6e9a[31]]=_0x6e9a[134];break;case _0x6e9a[195]:_0x27d0x3=createDropdownFromApi(_0x6e9a[194],_0x27d0x2,_0x6e9a[125],_0x6e9a[133],_0x27d0x8);break;case _0x6e9a[198]:_0x27d0x3=document[_0x6e9a[2]](_0x6e9a[83]);_0x27d0x3[_0x6e9a[188]]=_0x27d0x2;_0x27d0x3[_0x6e9a[31]]=_0x6e9a[134];_0x27d0x3[_0x6e9a[5]](_0x6e9a[196],_0x6e9a[197]);break;case _0x6e9a[201]:var _0x27d0x3=mxUtils[_0x6e9a[80]](_0x6e9a[199],function(){var _0x27d0x58=createDynamicInput(null,null,_0x27d0x8);_0x27d0x55[_0x6e9a[25]](_0x27d0x58);_0x27d0x2a[_0x6e9a[25]](_0x27d0x55)});_0x27d0x3[_0x6e9a[31]]=_0x6e9a[200];break;default:_0x27d0x3=document[_0x6e9a[2]](_0x6e9a[1]);_0x27d0x3[_0x6e9a[5]](_0x6e9a[23],_0x27d0x2);_0x27d0x3[_0x6e9a[31]]=_0x6e9a[134];break};_0x27d0x3[_0x6e9a[5]](_0x6e9a[133],_0x27d0x40);var _0x27d0x25=_0x27d0x8[_0x6e9a[50]](_0x6e9a[169]);_0x27d0x25[_0x6e9a[25]](_0x27d0x3);if(mxResources[_0x6e9a[76]](_0x6e9a[202]+_0x27d0x3f)){var _0x27d0x59=_0x27d0x8[_0x6e9a[50]](_0x6e9a[203]);_0x27d0x59[_0x6e9a[31]]=_0x6e9a[204];mxUtils[_0x6e9a[78]](_0x27d0x59,mxResources[_0x6e9a[76]](_0x6e9a[202]+_0x27d0x3f));_0x27d0x25[_0x6e9a[25]](_0x27d0x59)};_0x27d0x50[_0x6e9a[25]](_0x27d0x25);_0x27d0x4f[_0x6e9a[25]](_0x27d0x50)};_0x27d0x2a[_0x6e9a[25]](_0x27d0x4f);if(_0x27d0x53[_0x6e9a[23]][_0x6e9a[177]]==_0x6e9a[1]){if(_0x27d0x53[_0x6e9a[205]]){for(var _0x27d0x47=0;_0x27d0x47<_0x27d0x53[_0x6e9a[205]][_0x6e9a[141]];_0x27d0x47++){var _0x27d0x5a=_0x6e9a[40];var _0x27d0x5b=_0x6e9a[40];_[_0x6e9a[28]](_0x27d0x53[_0x6e9a[205]][_0x27d0x47][_0x6e9a[23]][_0x6e9a[179]],function(_0x27d0x5c){if(_0x27d0x5c[_0x6e9a[125]]==_0x6e9a[3]){_0x27d0x5a=_0x27d0x5c[_0x6e9a[23]]}else {if(_0x27d0x5c[_0x6e9a[125]]==_0x6e9a[130]){_0x27d0x5b=_0x27d0x5c[_0x6e9a[23]]}}});var _0x27d0x58=createDynamicInput(_0x27d0x5a,_0x27d0x5b,_0x27d0x8);_0x27d0x55[_0x6e9a[25]](_0x27d0x58)}}};_0x27d0x2a[_0x6e9a[25]](_0x27d0x55);var _0x27d0x37=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[135]),mxUtils[_0x6e9a[103]](this,function(_0x27d0x38){for(var _0x27d0x57=0;_0x27d0x57<_0x27d0x53[_0x6e9a[23]][_0x6e9a[179]][_0x6e9a[141]];_0x27d0x57++){var _0x27d0x40=_0x6e9a[126]+_0x27d0x53[_0x6e9a[23]][_0x6e9a[179]][_0x27d0x57][_0x6e9a[125]];var _0x27d0x3f=_0x27d0x53[_0x6e9a[23]][_0x6e9a[179]][_0x27d0x57][_0x6e9a[125]];console[_0x6e9a[94]](_0x27d0x3f);_0x27d0x53[_0x6e9a[5]](_0x27d0x3f,document[_0x6e9a[206]](_0x27d0x40)[_0x6e9a[23]]);console[_0x6e9a[94]](document[_0x6e9a[206]](_0x27d0x40)[_0x6e9a[23]])};if(_0x27d0x53[_0x6e9a[23]][_0x6e9a[177]]==_0x6e9a[1]){if(_0x27d0x54[_0x6e9a[208]][_0x6e9a[207]](_0x27d0x53)){_0x27d0x53[_0x6e9a[205]]=[]};if(document[_0x6e9a[206]](_0x6e9a[178])){var _0x27d0x55=document[_0x6e9a[206]](_0x6e9a[178])[_0x6e9a[205]];for(var _0x27d0x47=0;_0x27d0x47<_0x27d0x55[_0x6e9a[141]];_0x27d0x47++){var _0x27d0x5d=_0x27d0x55[_0x27d0x47][_0x6e9a[205]][1];var _0x27d0x5e=_0x27d0x55[_0x27d0x47][_0x6e9a[205]][0];var _0x27d0x39=mxUtils[_0x6e9a[209]]();var _0x27d0x5f=_0x27d0x39[_0x6e9a[2]](_0x6e9a[178]);_0x27d0x5f[_0x6e9a[5]](_0x6e9a[3],_0x27d0x55[_0x27d0x47][_0x6e9a[210]](_0x6e9a[19])[0][_0x6e9a[23]]);_0x27d0x5f[_0x6e9a[5]](_0x6e9a[130],_0x27d0x55[_0x27d0x47][_0x6e9a[210]](_0x6e9a[1])[0][_0x6e9a[23]]);var _0x27d0x60= new mxCell(_0x27d0x5f);_0x27d0x54[_0x6e9a[208]][_0x6e9a[201]](_0x27d0x53,_0x27d0x60)}}};_0x27d0x54[_0x6e9a[211]](_0x27d0x53);_0x27d0x8[_0x6e9a[79]]()}));_0x27d0x37[_0x6e9a[31]]=_0x6e9a[104];var _0x27d0x3a=mxUtils[_0x6e9a[80]](mxResources[_0x6e9a[76]](_0x6e9a[105]),function(){_0x27d0x8[_0x6e9a[79]]()});_0x27d0x3a[_0x6e9a[31]]=_0x6e9a[106];_0x27d0x2b[_0x6e9a[25]](_0x27d0x37);_0x27d0x2b[_0x6e9a[25]](_0x27d0x3a);_0x27d0x28[_0x6e9a[25]](_0x27d0x29);_0x27d0x28[_0x6e9a[25]](_0x27d0x2a);_0x27d0x28[_0x6e9a[25]](_0x27d0x2b);this[_0x6e9a[64]]=_0x27d0x28}function createDynamicInput(_0x27d0x5a,_0x27d0x5b,_0x27d0x8){var _0x27d0x58=_0x27d0x8[_0x6e9a[50]](_0x6e9a[180]);var _0x27d0x2f=_0x27d0x8[_0x6e9a[50]](_0x6e9a[212]);var _0x27d0x41=_0x27d0x8[_0x6e9a[50]](_0x6e9a[212]);var _0x27d0x62=_0x27d0x8[_0x6e9a[50]](_0x6e9a[213]);var _0x27d0x3=document[_0x6e9a[2]](_0x6e9a[1]);_0x27d0x3[_0x6e9a[5]](_0x6e9a[191],_0x6e9a[214]);_0x27d0x3[_0x6e9a[23]]=_0x27d0x5b;_0x27d0x3[_0x6e9a[31]]=_0x6e9a[134];_0x27d0x2f[_0x6e9a[25]](_0x27d0x3);var _0x27d0x43=document[_0x6e9a[2]](_0x6e9a[19]);var _0x27d0xd=document[_0x6e9a[2]](_0x6e9a[20]);_0x27d0xd[_0x6e9a[21]]=_0x6e9a[22];_0x27d0xd[_0x6e9a[23]]=_0x6e9a[40];_0x27d0x43[_0x6e9a[25]](_0x27d0xd);var _0x27d0x13=[_0x6e9a[21],_0x6e9a[215],_0x6e9a[83],_0x6e9a[4]];_0x27d0x13[_0x6e9a[28]](function(_0x27d0xe){_0x27d0xd=document[_0x6e9a[2]](_0x6e9a[20]);_0x27d0xd[_0x6e9a[21]]=_0x27d0xe;_0x27d0xd[_0x6e9a[23]]=_0x27d0xe;_0x27d0xd[_0x6e9a[29]]=(_0x27d0xe==_0x27d0x5a);_0x27d0x43[_0x6e9a[25]](_0x27d0xd)});_0x27d0x43[_0x6e9a[31]]=_0x6e9a[134];_0x27d0x41[_0x6e9a[25]](_0x27d0x43);var _0x27d0x63=mxUtils[_0x6e9a[80]](_0x6e9a[216],function(){var _0x27d0x64=_0x27d0x63[_0x6e9a[217]];var _0x27d0x65=_0x27d0x64[_0x6e9a[217]];document[_0x6e9a[206]](_0x6e9a[178])[_0x6e9a[67]](_0x27d0x65)});_0x27d0x63[_0x6e9a[31]]=_0x6e9a[218];_0x27d0x62[_0x6e9a[25]](_0x27d0x63);_0x27d0x58[_0x6e9a[25]](_0x27d0x2f);_0x27d0x58[_0x6e9a[25]](_0x27d0x41);_0x27d0x58[_0x6e9a[25]](_0x27d0x62);return _0x27d0x58} \ No newline at end of file +var _0x61ac=["\x75\x73\x65\x20\x73\x74\x72\x69\x63\x74","\x69\x6E\x70\x75\x74","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x74\x79\x70\x65","\x63\x68\x65\x63\x6B\x62\x6F\x78","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x68\x65\x63\x6B\x65\x64","\x47\x45\x54","\x6F\x70\x65\x6E","\x41\x75\x74\x68\x6F\x72\x69\x7A\x61\x74\x69\x6F\x6E","\x42\x65\x61\x72\x65\x72\x20","\x74\x6F\x6B\x65\x6E","\x64\x61\x74\x61","\x65\x64\x69\x74\x6F\x72","\x73\x65\x74\x52\x65\x71\x75\x65\x73\x74\x48\x65\x61\x64\x65\x72","\x73\x65\x6E\x64","\x73\x74\x61\x74\x75\x73","\x72\x65\x73\x70\x6F\x6E\x73\x65","\x70\x61\x72\x73\x65","\x73\x65\x6C\x65\x63\x74","\x6F\x70\x74\x69\x6F\x6E","\x74\x65\x78\x74","\x2D\x2D\x20\x4E\x6F\x6E\x65\x20\x2D\x2D","\x76\x61\x6C\x75\x65","\x2D\x31","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x25","\x72\x65\x70\x6C\x61\x63\x65","\x66\x6F\x72\x45\x61\x63\x68","\x73\x65\x6C\x65\x63\x74\x65\x64","\x72\x6F\x77\x73","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x66\x6F\x72\x6D\x2D\x63\x6F\x6E\x74\x72\x6F\x6C\x20\x73\x65\x6C\x65\x63\x74\x32","\x30","\x66\x69\x6C\x74\x65\x72","\x73\x65\x6C\x65\x63\x74\x2D\x67\x72\x6F\x75\x70\x2D\x66\x61\x74\x68\x65\x72","\x74\x6F\x55\x70\x70\x65\x72\x43\x61\x73\x65","\x73\x65\x6C\x65\x63\x74\x2D\x67\x72\x6F\x75\x70\x2D\x73\x6F\x6E","\x2D","\x63\x61\x70\x69\x74\x61\x6C\x69\x7A\x65","","\x49\x53\x5F\x49\x45","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x4D\x6F\x64\x65","\x73\x63\x72\x6F\x6C\x6C\x57\x69\x64\x74\x68","\x62\x6F\x64\x79","\x72\x6F\x75\x6E\x64","\x6D\x61\x78","\x73\x63\x72\x6F\x6C\x6C\x48\x65\x69\x67\x68\x74","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x67\x65\x44\x69\x61\x6C\x6F\x67","\x63\x72\x65\x61\x74\x65\x44\x69\x76","\x6D\x6F\x64\x61\x6C\x20\x66\x61\x64\x65\x20\x69\x6E\x20\x63\x65\x6E\x74\x65\x72","\x64\x69\x73\x70\x6C\x61\x79","\x73\x74\x79\x6C\x65","\x62\x6C\x6F\x63\x6B","\x70\x61\x64\x64\x69\x6E\x67\x52\x69\x67\x68\x74","\x31\x32\x70\x78","\x67\x65\x4D\x6F\x64\x61\x6C\x44\x69\x61\x6C\x6F\x67","\x6D\x6F\x64\x61\x6C\x2D\x64\x69\x61\x6C\x6F\x67","\x62\x67","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x6D\x6F\x64\x61\x6C\x2D\x62\x61\x63\x6B\x64\x72\x6F\x70\x20\x66\x61\x64\x65\x20\x69\x6E","\x49\x53\x5F\x51\x55\x49\x52\x4B\x53","\x6F\x6E\x44\x69\x61\x6C\x6F\x67\x43\x6C\x6F\x73\x65","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x63\x6C\x6F\x73\x65","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x72\x65\x6D\x6F\x76\x65\x43\x68\x69\x6C\x64","\x70\x61\x72\x65\x6E\x74\x4E\x6F\x64\x65","\x6D\x6F\x64\x61\x6C\x2D\x63\x6F\x6E\x74\x65\x6E\x74","\x6D\x6F\x64\x61\x6C\x2D\x68\x65\x61\x64\x65\x72","\x6D\x6F\x64\x61\x6C\x2D\x62\x6F\x64\x79","\x6D\x6F\x64\x61\x6C\x2D\x66\x6F\x6F\x74\x65\x72","\x68\x34","\x63\x72\x65\x61\x74\x65\x48\x65\x61\x64\x65\x72","\x69\x6D\x70\x6F\x72\x74","\x67\x65\x74","\x20\x58\x4D\x4C","\x77\x72\x69\x74\x65","\x68\x69\x64\x65\x44\x69\x61\x6C\x6F\x67","\x62\x75\x74\x74\x6F\x6E","\x72\x6F\x77","\x63\x6F\x6C\x2D\x6D\x64\x2D\x31\x32","\x74\x65\x78\x74\x61\x72\x65\x61","\x77\x69\x64\x74\x68","\x31\x30\x30\x25","\x68\x65\x69\x67\x68\x74","\x33\x37\x34\x70\x78","\x66\x69\x6C\x65","\x61\x63\x63\x65\x70\x74","\x74\x65\x78\x74\x2F\x78\x6D\x6C","\x63\x68\x61\x6E\x67\x65","\x66\x69\x6C\x65\x73","\x74\x61\x72\x67\x65\x74","\x6C\x6F\x67","\x6F\x6E\x6C\x6F\x61\x64","\x72\x65\x73\x75\x6C\x74","\x72\x65\x61\x64\x41\x73\x54\x65\x78\x74","\x46\x61\x69\x6C\x65\x64\x20\x74\x6F\x20\x6C\x6F\x61\x64\x20\x66\x6F\x72\x6D\x61\x74\x20\x66\x69\x6C\x65","\x46\x61\x69\x6C\x65\x64\x20\x74\x6F\x20\x6C\x6F\x61\x64\x20\x66\x69\x6C\x65","\x61\x64\x64\x45\x76\x65\x6E\x74\x4C\x69\x73\x74\x65\x6E\x65\x72","\x70\x61\x72\x73\x65\x58\x6D\x6C","\x73\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x62\x69\x6E\x64","\x62\x74\x6E\x20\x62\x6C\x75\x65","\x63\x61\x6E\x63\x65\x6C","\x62\x74\x6E\x20\x64\x65\x66\x61\x75\x6C\x74","\x61\x62\x6F\x75\x74","\x20\x43\x61\x6C\x6C\x79\x20\x53\x71\x75\x61\x72\x65","\x69\x6D\x67","\x62\x6F\x72\x64\x65\x72","\x30\x70\x78","\x31\x37\x36","\x31\x35\x31","\x73\x72\x63","\x2F\x6C\x6F\x67\x6F\x2E\x70\x6E\x67","\x62\x72","\x50\x6F\x77\x65\x72\x65\x64\x20\x62\x79\x20\x58\x65\x6E\x69\x61\x6C\x61\x62\x20","\x56\x45\x52\x53\x49\x4F\x4E","\x61","\x68\x72\x65\x66","\x68\x74\x74\x70\x3A\x2F\x2F\x77\x77\x77\x2E\x63\x61\x6C\x6C\x79\x73\x71\x75\x61\x72\x65\x2E\x63\x6F\x6D\x2F","\x5F\x62\x6C\x61\x6E\x6B","\x77\x77\x77\x2E\x63\x61\x6C\x6C\x79\x73\x71\x75\x61\x72\x65\x2E\x63\x6F\x6D","\x73\x61\x76\x65\x41\x73","\x6E\x61\x6D\x65","\x5F","\x67\x65\x74\x4F\x72\x43\x72\x65\x61\x74\x65\x46\x69\x6C\x65\x6E\x61\x6D\x65","\x63\x6F\x6C\x2D\x6D\x64\x2D\x34","\x63\x6F\x6C\x2D\x6D\x64\x2D\x38","\x6C\x61\x62\x65\x6C","\x63\x6F\x6E\x74\x72\x6F\x6C\x2D\x6C\x61\x62\x65\x6C\x20\x70\x75\x6C\x6C\x2D\x72\x69\x67\x68\x74","\x5F\x63\x6F\x70\x79","\x69\x64","\x66\x6F\x72\x6D\x2D\x63\x6F\x6E\x74\x72\x6F\x6C","\x73\x61\x76\x65","\x6E\x65\x77","\x5F\x6E\x65\x77","\x76\x61\x72\x69\x61\x62\x6C\x65","\x76\x61\x72\x69\x61\x62\x6C\x65\x20\x6E\x61\x6D\x65","\x2F\x61\x70\x69\x2F\x6A\x73\x63\x72\x69\x70\x74\x79\x2F\x70\x72\x6F\x6A\x65\x63\x74\x73","\x6C\x65\x6E\x67\x74\x68","\x6A\x73\x63\x72\x69\x70\x74\x79\x2F\x70\x72\x6F\x6A\x65\x63\x74\x73\x2F\x76\x69\x65\x77\x2F","\x72\x65\x6E\x61\x6D\x65","\x66\x69\x6C\x65\x6E\x61\x6D\x65","\x50\x55\x54","\x43\x6F\x6E\x74\x65\x6E\x74\x2D\x54\x79\x70\x65","\x61\x70\x70\x6C\x69\x63\x61\x74\x69\x6F\x6E\x2F\x78\x2D\x77\x77\x77\x2D\x66\x6F\x72\x6D\x2D\x75\x72\x6C\x65\x6E\x63\x6F\x64\x65\x64","\x6E\x61\x6D\x65\x3D","\x50\x72\x6F\x6A\x65\x63\x74\x20\x73\x75\x63\x63\x65\x73\x73\x66\x75\x6C\x6C\x79\x20\x72\x65\x6E\x61\x6D\x65\x64","\x73\x65\x74\x53\x74\x61\x74\x75\x73","\x6D\x65\x73\x73\x61\x67\x65","\x65\x72\x72\x6F\x72\x73","\x6F\x6E\x65\x72\x72\x6F\x72","\x73\x74\x61\x74\x75\x73\x54\x65\x78\x74","\x61\x6C\x65\x72\x74","\x65\x64\x69\x74","\x67\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x67\x65\x74\x50\x72\x65\x74\x74\x79\x58\x6D\x6C","\x73\x74\x6F\x70\x50\x72\x6F\x70\x61\x67\x61\x74\x69\x6F\x6E","\x70\x72\x65\x76\x65\x6E\x74\x44\x65\x66\x61\x75\x6C\x74","\x64\x61\x74\x61\x54\x72\x61\x6E\x73\x66\x65\x72","\x64\x72\x61\x67\x6F\x76\x65\x72","\x64\x72\x6F\x70","\x6D\x6F\x64\x61\x6C\x2D\x62\x6F\x64\x79\x20\x66\x6F\x72\x6D","\x65\x78\x70\x6F\x72\x74","\x66\x6F\x72\x6D\x2D\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C\x20\x66\x6F\x72\x6D\x2D\x72\x6F\x77\x2D\x73\x65\x70\x65\x72\x61\x74\x65\x64","\x66\x6F\x72\x6D\x2D\x67\x72\x6F\x75\x70\x20\x6C\x61\x73\x74","\x63\x6F\x6C\x2D\x73\x6D\x2D\x34\x20\x63\x6F\x6E\x74\x72\x6F\x6C\x2D\x6C\x61\x62\x65\x6C","\x63\x6F\x6C\x2D\x73\x6D\x2D\x38","\x67\x65\x74\x58\x6D\x6C","\x73\x69\x6D\x75\x6C\x61\x74\x65","\x2F\x64\x6F\x77\x6E\x6C\x6F\x61\x64","\x66\x69\x6C\x65\x6E\x61\x6D\x65\x3D","\x67\x72\x61\x70\x68","\x6D\x6F\x64\x61\x6C\x2D\x62\x6F\x64\x79\x20\x66\x6F\x72\x6D\x20\x6D\x6F\x64\x61\x6C\x2D\x62\x6F\x64\x79\x2D\x73\x63\x72\x6F\x6C\x6C","\x20","\x6E\x6F\x64\x65\x4E\x61\x6D\x65","\x69\x6E\x70\x75\x74\x47\x72\x6F\x75\x70","\x61\x74\x74\x72\x69\x62\x75\x74\x65\x73","\x66\x6F\x72\x6D\x2D\x67\x72\x6F\x75\x70","\x64\x69\x73\x61\x62\x6C\x65\x64","\x6F\x6E\x63\x6C\x69\x63\x6B","\x4F\x4E","\x4F\x46\x46","\x62\x74\x6E\x20\x62\x74\x6E\x2D\x64\x61\x6E\x67\x65\x72\x20\x62\x74\x6E\x2D\x6D\x64","\x62\x74\x6E\x20\x62\x74\x6E\x2D\x73\x75\x63\x63\x65\x73\x73\x20\x62\x74\x6E\x2D\x6D\x64","\x73\x74\x61\x74\x65","\x69\x6E\x6E\x65\x72\x48\x54\x4D\x4C","\x42\x6F\x64\x79","\x71\x75\x65\x73\x74\x69\x6F\x6E","\x70\x6C\x61\x63\x65\x68\x6F\x6C\x64\x65\x72","\x49\x6E\x73\x65\x72\x74\x20\x6C\x61\x62\x65\x6C\x20\x66\x6F\x72\x20\x22\x4F\x74\x68\x65\x72\x22\x20\x61\x6E\x73\x77\x65\x72\x20\x6F\x70\x74\x69\x6F\x6E","\x6F\x74\x68\x65\x72","\x2F\x61\x70\x69\x2F\x73\x6D\x73\x2F\x61\x63\x63\x6F\x75\x6E\x74\x73","\x61\x63\x63\x6F\x75\x6E\x74\x5F\x69\x64","\x6D\x61\x78\x6C\x65\x6E\x67\x74\x68","\x31\x36\x30","\x73\x6D\x73\x5F\x74\x65\x78\x74","\x2B","\x62\x74\x6E\x20\x67\x72\x65\x65\x6E","\x61\x64\x64","\x68\x65\x6C\x70\x5F","\x70","\x68\x65\x6C\x70\x2D\x62\x6C\x6F\x63\x6B","\x63\x68\x69\x6C\x64\x72\x65\x6E","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74\x42\x79\x49\x64","\x67\x65\x74\x43\x68\x69\x6C\x64\x43\x6F\x75\x6E\x74","\x6D\x6F\x64\x65\x6C","\x63\x72\x65\x61\x74\x65\x58\x6D\x6C\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74\x73\x42\x79\x54\x61\x67\x4E\x61\x6D\x65","\x72\x65\x66\x72\x65\x73\x68","\x63\x6F\x6C\x2D\x6D\x64\x2D\x35","\x63\x6F\x6C\x2D\x6D\x64\x2D\x32","\x49\x6E\x73\x65\x72\x74\x20\x6C\x61\x62\x65\x6C","\x6E\x75\x6D\x62\x65\x72","\x78","\x70\x61\x72\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x62\x74\x6E\x20\x72\x65\x64"];_0x61ac[0];function createCheckbox(_0xf68ax2){var _0xf68ax3=document[_0x61ac[2]](_0x61ac[1]);_0xf68ax3[_0x61ac[5]](_0x61ac[3],_0x61ac[4]);if(_0xf68ax2){_0xf68ax3[_0x61ac[5]](_0x61ac[6],true)};return _0xf68ax3}function createDropdownFromApi(_0xf68ax5,_0xf68ax2,_0xf68ax6,_0xf68ax7,_0xf68ax8,_0xf68ax9,_0xf68axa){var _0xf68axb= new XMLHttpRequest();_0xf68axb[_0x61ac[8]](_0x61ac[7],_0xf68ax5,false);_0xf68axb[_0x61ac[14]](_0x61ac[9],_0x61ac[10]+_0xf68ax8[_0x61ac[13]][_0x61ac[12]][_0x61ac[11]]);_0xf68axb[_0x61ac[15]](null);var _0xf68axc=[];if(_0xf68axb[_0x61ac[16]]===200){_0xf68axc=JSON[_0x61ac[18]](_0xf68axb[_0x61ac[17]])};var _0xf68ax3=document[_0x61ac[2]](_0x61ac[19]);var _0xf68axd=document[_0x61ac[2]](_0x61ac[20]);_0xf68axd[_0x61ac[21]]=_0x61ac[22];_0xf68axd[_0x61ac[23]]=_0x61ac[24];_0xf68ax3[_0x61ac[25]](_0xf68axd);_0xf68axc[_0x61ac[30]][_0x61ac[28]](function(_0xf68axe){_0xf68axd=document[_0x61ac[2]](_0x61ac[20]);_0xf68axd[_0x61ac[21]]=_0xf68axe[_0xf68ax6];if(_0xf68ax9&&_0xf68axa){_0xf68ax9[_0x61ac[28]](function(_0xf68axf){_0xf68axa=_0xf68axa[_0x61ac[27]](_0x61ac[26]+_0xf68axf+_0x61ac[26],_0xf68axe[_0xf68axf])});_0xf68axd[_0x61ac[23]]=_0xf68axa;_0xf68axd[_0x61ac[29]]=(_0xf68axa==_0xf68ax2)}else {_0xf68axd[_0x61ac[23]]=_0xf68axe[_0xf68ax7];_0xf68axd[_0x61ac[29]]=(_0xf68axe[_0xf68ax7]==_0xf68ax2)};_0xf68ax3[_0x61ac[25]](_0xf68axd)});_0xf68ax3[_0x61ac[31]]=_0x61ac[32];return _0xf68ax3}function createGroupedDropdownFromApi(_0xf68ax5,_0xf68ax2,_0xf68ax6,_0xf68ax7,_0xf68ax8,_0xf68ax11,_0xf68ax12){var _0xf68axb= new XMLHttpRequest();_0xf68axb[_0x61ac[8]](_0x61ac[7],_0xf68ax5,false);_0xf68axb[_0x61ac[14]](_0x61ac[9],_0x61ac[10]+_0xf68ax8[_0x61ac[13]][_0x61ac[12]][_0x61ac[11]]);_0xf68axb[_0x61ac[15]](null);var _0xf68axc=[];if(_0xf68axb[_0x61ac[16]]===200){_0xf68axc=JSON[_0x61ac[18]](_0xf68axb[_0x61ac[17]])};var _0xf68ax3=document[_0x61ac[2]](_0x61ac[19]);var _0xf68axd=document[_0x61ac[2]](_0x61ac[20]);_0xf68axd[_0x61ac[21]]=_0x61ac[22];_0xf68axd[_0x61ac[23]]=_0x61ac[33];_0xf68ax3[_0x61ac[25]](_0xf68axd);var _0xf68ax13=_0xf68ax11?_0xf68axc[_0x61ac[30]]:_0xf68axc;var _0xf68ax14={};var _0xf68ax15={};_0xf68ax14[_0xf68ax12]=null;var _0xf68ax16=_[_0x61ac[34]](_0xf68ax13,_0xf68ax14);var _0xf68ax17={};_0xf68ax16[_0x61ac[28]](function(_0xf68axe){_0xf68axd=document[_0x61ac[2]](_0x61ac[20]);_0xf68axd[_0x61ac[31]]=_0x61ac[35];_0xf68axd[_0x61ac[21]]=_0xf68axe[_0xf68ax6][_0x61ac[36]]();_0xf68axd[_0x61ac[23]]=_0xf68axe[_0xf68ax7];_0xf68axd[_0x61ac[29]]=(_0xf68axe[_0xf68ax7]==_0xf68ax2);_0xf68ax3[_0x61ac[25]](_0xf68axd);_0xf68ax15[_0xf68ax12]=_0xf68axe[_0xf68ax7];_0xf68ax17=_[_0x61ac[34]](_0xf68ax13,_0xf68ax15);_0xf68ax17[_0x61ac[28]](function(_0xf68axe){_0xf68axd=document[_0x61ac[2]](_0x61ac[20]);_0xf68axd[_0x61ac[31]]=_0x61ac[37];_0xf68axd[_0x61ac[21]]=_0x61ac[38]+_[_0x61ac[39]](_0xf68axe[_0xf68ax6]);_0xf68axd[_0x61ac[23]]=_0xf68axe[_0xf68ax7];_0xf68axd[_0x61ac[29]]=(_0xf68axe[_0xf68ax7]==_0xf68ax2);_0xf68ax3[_0x61ac[25]](_0xf68axd)})});_0xf68ax3[_0x61ac[31]]=_0x61ac[32];return _0xf68ax3}function createDropdownFromArray(_0xf68ax19,_0xf68ax2){var _0xf68ax3=document[_0x61ac[2]](_0x61ac[19]);for(var _0xf68ax1a in _0xf68ax19){var _0xf68axd=document[_0x61ac[2]](_0x61ac[20]);_0xf68axd[_0x61ac[21]]=_0xf68ax19[_0xf68ax1a];_0xf68axd[_0x61ac[23]]=_0xf68ax1a;if(_0xf68ax2>0||_0xf68ax2!=_0x61ac[40]){_0xf68axd[_0x61ac[29]]=(_0xf68ax2===_0xf68ax1a)?true:false};_0xf68ax3[_0x61ac[25]](_0xf68axd)};_0xf68ax3[_0x61ac[31]]=_0x61ac[32];return _0xf68ax3}function Dialog(_0xf68ax8,_0xf68ax1c,_0xf68ax1d,_0xf68ax1e,_0xf68ax1f,_0xf68ax20,_0xf68ax21){var _0xf68ax22=0;if(mxClient[_0x61ac[41]]&&document[_0x61ac[42]]!=9){_0xf68ax22=60};_0xf68ax1d+=_0xf68ax22;_0xf68ax1e+=_0xf68ax22;var _0xf68ax23=Math[_0x61ac[46]](0,Math[_0x61ac[45]]((document[_0x61ac[44]][_0x61ac[43]]-_0xf68ax1d)/2));var _0xf68ax24=Math[_0x61ac[46]](0,Math[_0x61ac[45]]((Math[_0x61ac[46]](document[_0x61ac[44]][_0x61ac[47]],document[_0x61ac[48]][_0x61ac[47]])-_0xf68ax1e)/3));var _0xf68ax25=_0xf68ax8[_0x61ac[50]](_0x61ac[49]);_0xf68ax25[_0x61ac[31]]=_0x61ac[51];_0xf68ax25[_0x61ac[53]][_0x61ac[52]]=_0x61ac[54];_0xf68ax25[_0x61ac[53]][_0x61ac[55]]=_0x61ac[56];var _0xf68ax26=_0xf68ax8[_0x61ac[50]](_0x61ac[57]);_0xf68ax26[_0x61ac[31]]=_0x61ac[58];_0xf68ax26[_0x61ac[25]](_0xf68ax1c);_0xf68ax25[_0x61ac[25]](_0xf68ax26);if(this[_0x61ac[59]]==null){this[_0x61ac[59]]=_0xf68ax8[_0x61ac[50]](_0x61ac[60]);this[_0x61ac[59]][_0x61ac[31]]=_0x61ac[61];if(mxClient[_0x61ac[62]]){ new mxDivResizer(this[_0x61ac[59]])}};if(_0xf68ax1f){document[_0x61ac[44]][_0x61ac[25]](this[_0x61ac[59]])};document[_0x61ac[44]][_0x61ac[25]](_0xf68ax25);this[_0x61ac[63]]=_0xf68ax21;this[_0x61ac[64]]=_0xf68ax25}Dialog[_0x61ac[66]][_0x61ac[65]]=function(){if(this[_0x61ac[63]]!=null){this[_0x61ac[63]]();this[_0x61ac[63]]=null};this[_0x61ac[64]][_0x61ac[68]][_0x61ac[67]](this[_0x61ac[64]]);this[_0x61ac[59]][_0x61ac[68]][_0x61ac[67]](this[_0x61ac[59]])};function ImportDialog(_0xf68ax8){var _0xf68ax28=_0xf68ax8[_0x61ac[50]](_0x61ac[69]);var _0xf68ax29=_0xf68ax8[_0x61ac[50]](_0x61ac[70]);var _0xf68ax2a=_0xf68ax8[_0x61ac[50]](_0x61ac[71]);var _0xf68ax2b=_0xf68ax8[_0x61ac[50]](_0x61ac[72]);var _0xf68ax2c=_0xf68ax8[_0x61ac[74]](_0x61ac[73]);mxUtils[_0x61ac[78]](_0xf68ax2c,mxResources[_0x61ac[76]](_0x61ac[75])+_0x61ac[77]);var _0xf68ax2d=mxUtils[_0x61ac[80]](_0x61ac[40],function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax2d[_0x61ac[31]]=_0x61ac[65];_0xf68ax29[_0x61ac[25]](_0xf68ax2d);_0xf68ax29[_0x61ac[25]](_0xf68ax2c);var _0xf68ax2e=_0xf68ax8[_0x61ac[50]](_0x61ac[81]);var _0xf68ax2f=_0xf68ax8[_0x61ac[50]](_0x61ac[82]);var _0xf68ax30=document[_0x61ac[2]](_0x61ac[83]);_0xf68ax30[_0x61ac[53]][_0x61ac[84]]=_0x61ac[85];_0xf68ax30[_0x61ac[53]][_0x61ac[86]]=_0x61ac[87];var _0xf68ax3=document[_0x61ac[2]](_0x61ac[1]);_0xf68ax3[_0x61ac[3]]=_0x61ac[88];_0xf68ax3[_0x61ac[5]](_0x61ac[89],_0x61ac[90]);_0xf68ax3[_0x61ac[100]](_0x61ac[91],function _0xf68ax31(_0xf68ax32){var _0xf68ax33=_0xf68ax32[_0x61ac[93]][_0x61ac[92]][0];console[_0x61ac[94]](_0xf68ax33);if(_0xf68ax33){if(_0xf68ax33[_0x61ac[3]]===_0x61ac[90]){var _0xf68ax34= new FileReader();_0xf68ax34[_0x61ac[95]]=function(_0xf68ax35){var _0xf68ax36=_0xf68ax35[_0x61ac[93]][_0x61ac[96]];mxUtils[_0x61ac[78]](_0xf68ax30,_0xf68ax36)};_0xf68ax34[_0x61ac[97]](_0xf68ax33)}else {alert(_0x61ac[98])}}else {alert(_0x61ac[99])}},false);_0xf68ax2f[_0x61ac[25]](_0xf68ax3);_0xf68ax2f[_0x61ac[25]](_0xf68ax30);_0xf68ax2e[_0x61ac[25]](_0xf68ax2f);_0xf68ax2a[_0x61ac[25]](_0xf68ax2e);var _0xf68ax37=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[75]),mxUtils[_0x61ac[103]](this,function(_0xf68ax38){var _0xf68ax39=mxUtils[_0x61ac[101]](_0xf68ax30[_0x61ac[23]]);_0xf68ax8[_0x61ac[13]][_0x61ac[102]](_0xf68ax39[_0x61ac[48]]);_0xf68ax8[_0x61ac[79]]()}));_0xf68ax37[_0x61ac[31]]=_0x61ac[104];var _0xf68ax3a=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[105]),function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax3a[_0x61ac[31]]=_0x61ac[106];_0xf68ax2b[_0x61ac[25]](_0xf68ax37);_0xf68ax2b[_0x61ac[25]](_0xf68ax3a);_0xf68ax28[_0x61ac[25]](_0xf68ax29);_0xf68ax28[_0x61ac[25]](_0xf68ax2a);_0xf68ax28[_0x61ac[25]](_0xf68ax2b);this[_0x61ac[64]]=_0xf68ax28}function AboutDialog(_0xf68ax8){var _0xf68ax28=_0xf68ax8[_0x61ac[50]](_0x61ac[69]);var _0xf68ax29=_0xf68ax8[_0x61ac[50]](_0x61ac[70]);var _0xf68ax2a=_0xf68ax8[_0x61ac[50]](_0x61ac[71]);var _0xf68ax2b=_0xf68ax8[_0x61ac[50]](_0x61ac[72]);var _0xf68ax2c=_0xf68ax8[_0x61ac[74]](_0x61ac[73]);mxUtils[_0x61ac[78]](_0xf68ax2c,mxResources[_0x61ac[76]](_0x61ac[107])+_0x61ac[108]);var _0xf68ax2d=mxUtils[_0x61ac[80]](_0x61ac[40],function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax2d[_0x61ac[31]]=_0x61ac[65];_0xf68ax29[_0x61ac[25]](_0xf68ax2d);_0xf68ax29[_0x61ac[25]](_0xf68ax2c);var _0xf68ax3c=document[_0x61ac[2]](_0x61ac[109]);_0xf68ax3c[_0x61ac[53]][_0x61ac[110]]=_0x61ac[111];_0xf68ax3c[_0x61ac[5]](_0x61ac[84],_0x61ac[112]);_0xf68ax3c[_0x61ac[5]](_0x61ac[84],_0x61ac[113]);_0xf68ax3c[_0x61ac[5]](_0x61ac[114],IMAGE_PATH+_0x61ac[115]);_0xf68ax2a[_0x61ac[25]](_0xf68ax3c);mxUtils[_0x61ac[116]](_0xf68ax2a);mxUtils[_0x61ac[78]](_0xf68ax2a,_0x61ac[117]+mxClient[_0x61ac[118]]);mxUtils[_0x61ac[116]](_0xf68ax2a);var _0xf68ax3d=document[_0x61ac[2]](_0x61ac[119]);_0xf68ax3d[_0x61ac[5]](_0x61ac[120],_0x61ac[121]);_0xf68ax3d[_0x61ac[5]](_0x61ac[93],_0x61ac[122]);mxUtils[_0x61ac[78]](_0xf68ax3d,_0x61ac[123]);_0xf68ax2a[_0x61ac[25]](_0xf68ax3d);mxUtils[_0x61ac[116]](_0xf68ax2a);mxUtils[_0x61ac[116]](_0xf68ax2a);var _0xf68ax3a=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[65]),function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax3a[_0x61ac[31]]=_0x61ac[106];_0xf68ax2b[_0x61ac[25]](_0xf68ax3a);_0xf68ax28[_0x61ac[25]](_0xf68ax29);_0xf68ax28[_0x61ac[25]](_0xf68ax2a);_0xf68ax28[_0x61ac[25]](_0xf68ax2b);this[_0x61ac[64]]=_0xf68ax28}function SaveDialog(_0xf68ax8){var _0xf68ax28=_0xf68ax8[_0x61ac[50]](_0x61ac[69]);var _0xf68ax29=_0xf68ax8[_0x61ac[50]](_0x61ac[70]);var _0xf68ax2a=_0xf68ax8[_0x61ac[50]](_0x61ac[71]);var _0xf68ax2b=_0xf68ax8[_0x61ac[50]](_0x61ac[72]);var _0xf68ax2c=_0xf68ax8[_0x61ac[74]](_0x61ac[73]);mxUtils[_0x61ac[78]](_0xf68ax2c,mxResources[_0x61ac[76]](_0x61ac[124]));var _0xf68ax2d=mxUtils[_0x61ac[80]](_0x61ac[40],function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax2d[_0x61ac[31]]=_0x61ac[65];_0xf68ax29[_0x61ac[25]](_0xf68ax2d);_0xf68ax29[_0x61ac[25]](_0xf68ax2c);var _0xf68ax3f=_0x61ac[125];var _0xf68ax40=_0x61ac[126]+_0xf68ax3f;var _0xf68ax2=_0xf68ax8[_0x61ac[13]][_0x61ac[127]]();var _0xf68ax2e=_0xf68ax8[_0x61ac[50]](_0x61ac[81]);var _0xf68ax2f=_0xf68ax8[_0x61ac[50]](_0x61ac[128]);var _0xf68ax41=_0xf68ax8[_0x61ac[50]](_0x61ac[129]);var _0xf68ax42=document[_0x61ac[2]](_0x61ac[130]);_0xf68ax42[_0x61ac[31]]=_0x61ac[131];mxUtils[_0x61ac[78]](_0xf68ax42,mxResources[_0x61ac[76]](_0xf68ax3f));_0xf68ax2f[_0x61ac[25]](_0xf68ax42);var _0xf68ax43=document[_0x61ac[2]](_0x61ac[1]);_0xf68ax43[_0x61ac[5]](_0x61ac[23],_0xf68ax2+_0x61ac[132]);_0xf68ax43[_0x61ac[5]](_0x61ac[133],_0xf68ax40);_0xf68ax43[_0x61ac[31]]=_0x61ac[134];_0xf68ax41[_0x61ac[25]](_0xf68ax43);_0xf68ax2e[_0x61ac[25]](_0xf68ax2f);_0xf68ax2e[_0x61ac[25]](_0xf68ax41);_0xf68ax2a[_0x61ac[25]](_0xf68ax2e);var _0xf68ax37=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[135]),function(){_0xf68ax8[_0x61ac[124]](_0xf68ax43[_0x61ac[23]]);_0xf68ax8[_0x61ac[79]]()});_0xf68ax37[_0x61ac[31]]=_0x61ac[104];var _0xf68ax3a=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[105]),function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax3a[_0x61ac[31]]=_0x61ac[106];_0xf68ax2b[_0x61ac[25]](_0xf68ax37);_0xf68ax2b[_0x61ac[25]](_0xf68ax3a);_0xf68ax28[_0x61ac[25]](_0xf68ax29);_0xf68ax28[_0x61ac[25]](_0xf68ax2a);_0xf68ax28[_0x61ac[25]](_0xf68ax2b);this[_0x61ac[64]]=_0xf68ax28}function NewDialog(_0xf68ax8){var _0xf68ax28=_0xf68ax8[_0x61ac[50]](_0x61ac[69]);var _0xf68ax29=_0xf68ax8[_0x61ac[50]](_0x61ac[70]);var _0xf68ax2a=_0xf68ax8[_0x61ac[50]](_0x61ac[71]);var _0xf68ax2b=_0xf68ax8[_0x61ac[50]](_0x61ac[72]);var _0xf68ax2c=_0xf68ax8[_0x61ac[74]](_0x61ac[73]);mxUtils[_0x61ac[78]](_0xf68ax2c,mxResources[_0x61ac[76]](_0x61ac[136]));var _0xf68ax2d=mxUtils[_0x61ac[80]](_0x61ac[40],function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax2d[_0x61ac[31]]=_0x61ac[65];_0xf68ax29[_0x61ac[25]](_0xf68ax2d);_0xf68ax29[_0x61ac[25]](_0xf68ax2c);var _0xf68ax3f=_0x61ac[125];var _0xf68ax40=_0x61ac[126]+_0xf68ax3f;var _0xf68ax2=_0xf68ax8[_0x61ac[13]][_0x61ac[127]]();var _0xf68ax2e=_0xf68ax8[_0x61ac[50]](_0x61ac[81]);var _0xf68ax2f=_0xf68ax8[_0x61ac[50]](_0x61ac[128]);var _0xf68ax41=_0xf68ax8[_0x61ac[50]](_0x61ac[129]);var _0xf68ax42=document[_0x61ac[2]](_0x61ac[130]);_0xf68ax42[_0x61ac[31]]=_0x61ac[131];mxUtils[_0x61ac[78]](_0xf68ax42,mxResources[_0x61ac[76]](_0xf68ax3f));_0xf68ax2f[_0x61ac[25]](_0xf68ax42);var _0xf68ax43=document[_0x61ac[2]](_0x61ac[1]);_0xf68ax43[_0x61ac[5]](_0x61ac[23],_0xf68ax2+_0x61ac[137]);_0xf68ax43[_0x61ac[5]](_0x61ac[133],_0xf68ax40);_0xf68ax43[_0x61ac[31]]=_0x61ac[134];_0xf68ax41[_0x61ac[25]](_0xf68ax43);_0xf68ax2e[_0x61ac[25]](_0xf68ax2f);_0xf68ax2e[_0x61ac[25]](_0xf68ax41);_0xf68ax2a[_0x61ac[25]](_0xf68ax2e);var _0xf68ax37=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[136]),function(){_0xf68ax8[_0x61ac[136]](_0xf68ax43[_0x61ac[23]]);_0xf68ax8[_0x61ac[79]]()});_0xf68ax37[_0x61ac[31]]=_0x61ac[104];var _0xf68ax3a=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[105]),function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax3a[_0x61ac[31]]=_0x61ac[106];_0xf68ax2b[_0x61ac[25]](_0xf68ax37);_0xf68ax2b[_0x61ac[25]](_0xf68ax3a);_0xf68ax28[_0x61ac[25]](_0xf68ax29);_0xf68ax28[_0x61ac[25]](_0xf68ax2a);_0xf68ax28[_0x61ac[25]](_0xf68ax2b);this[_0x61ac[64]]=_0xf68ax28}function VariableDialog(_0xf68ax8){var _0xf68ax28=_0xf68ax8[_0x61ac[50]](_0x61ac[69]);var _0xf68ax29=_0xf68ax8[_0x61ac[50]](_0x61ac[70]);var _0xf68ax2a=_0xf68ax8[_0x61ac[50]](_0x61ac[71]);var _0xf68ax2b=_0xf68ax8[_0x61ac[50]](_0x61ac[72]);var _0xf68ax2c=_0xf68ax8[_0x61ac[74]](_0x61ac[73]);mxUtils[_0x61ac[78]](_0xf68ax2c,mxResources[_0x61ac[76]](_0x61ac[138]));var _0xf68ax2d=mxUtils[_0x61ac[80]](_0x61ac[40],function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax2d[_0x61ac[31]]=_0x61ac[65];_0xf68ax29[_0x61ac[25]](_0xf68ax2d);_0xf68ax29[_0x61ac[25]](_0xf68ax2c);var _0xf68ax3f=_0x61ac[125];var _0xf68ax40=_0x61ac[126]+_0xf68ax3f;var _0xf68ax2=_0xf68ax8[_0x61ac[13]][_0x61ac[127]]();var _0xf68ax2e=_0xf68ax8[_0x61ac[50]](_0x61ac[81]);var _0xf68ax2f=_0xf68ax8[_0x61ac[50]](_0x61ac[128]);var _0xf68ax41=_0xf68ax8[_0x61ac[50]](_0x61ac[129]);var _0xf68ax42=document[_0x61ac[2]](_0x61ac[130]);_0xf68ax42[_0x61ac[31]]=_0x61ac[131];mxUtils[_0x61ac[78]](_0xf68ax42,mxResources[_0x61ac[76]](_0xf68ax3f));_0xf68ax2f[_0x61ac[25]](_0xf68ax42);var _0xf68ax43=document[_0x61ac[2]](_0x61ac[1]);_0xf68ax43[_0x61ac[5]](_0x61ac[23],_0x61ac[139]);_0xf68ax43[_0x61ac[5]](_0x61ac[133],_0xf68ax40);_0xf68ax43[_0x61ac[31]]=_0x61ac[134];_0xf68ax41[_0x61ac[25]](_0xf68ax43);_0xf68ax2e[_0x61ac[25]](_0xf68ax2f);_0xf68ax2e[_0x61ac[25]](_0xf68ax41);_0xf68ax2a[_0x61ac[25]](_0xf68ax2e);var _0xf68ax37=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[136]),function(){_0xf68ax8[_0x61ac[138]](_0xf68ax43[_0x61ac[23]]);_0xf68ax8[_0x61ac[79]]()});_0xf68ax37[_0x61ac[31]]=_0x61ac[104];var _0xf68ax3a=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[105]),function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax3a[_0x61ac[31]]=_0x61ac[106];_0xf68ax2b[_0x61ac[25]](_0xf68ax37);_0xf68ax2b[_0x61ac[25]](_0xf68ax3a);_0xf68ax28[_0x61ac[25]](_0xf68ax29);_0xf68ax28[_0x61ac[25]](_0xf68ax2a);_0xf68ax28[_0x61ac[25]](_0xf68ax2b);this[_0x61ac[64]]=_0xf68ax28}function OpenDialog(_0xf68ax8){var _0xf68ax28=_0xf68ax8[_0x61ac[50]](_0x61ac[69]);var _0xf68ax29=_0xf68ax8[_0x61ac[50]](_0x61ac[70]);var _0xf68ax2a=_0xf68ax8[_0x61ac[50]](_0x61ac[71]);var _0xf68ax2b=_0xf68ax8[_0x61ac[50]](_0x61ac[72]);var _0xf68ax2c=_0xf68ax8[_0x61ac[74]](_0x61ac[73]);mxUtils[_0x61ac[78]](_0xf68ax2c,mxResources[_0x61ac[76]](_0x61ac[8]));var _0xf68ax2d=mxUtils[_0x61ac[80]](_0x61ac[40],function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax2d[_0x61ac[31]]=_0x61ac[65];_0xf68ax29[_0x61ac[25]](_0xf68ax2d);_0xf68ax29[_0x61ac[25]](_0xf68ax2c);var _0xf68ax2e=_0xf68ax8[_0x61ac[50]](_0x61ac[81]);var _0xf68ax2f=_0xf68ax8[_0x61ac[50]](_0x61ac[128]);var _0xf68ax41=_0xf68ax8[_0x61ac[50]](_0x61ac[129]);var _0xf68ax42=document[_0x61ac[2]](_0x61ac[130]);_0xf68ax42[_0x61ac[31]]=_0x61ac[131];mxUtils[_0x61ac[78]](_0xf68ax42,mxResources[_0x61ac[76]](_0x61ac[125]));_0xf68ax2f[_0x61ac[25]](_0xf68ax42);var _0xf68axb= new XMLHttpRequest();_0xf68axb[_0x61ac[8]](_0x61ac[7],_0x61ac[140],false);_0xf68axb[_0x61ac[14]](_0x61ac[9],_0x61ac[10]+_0xf68ax8[_0x61ac[13]][_0x61ac[12]][_0x61ac[11]]);_0xf68axb[_0x61ac[15]](null);var _0xf68axc=[];if(_0xf68axb[_0x61ac[16]]===200){_0xf68axc=JSON[_0x61ac[18]](_0xf68axb[_0x61ac[17]])[_0x61ac[30]]};var _0xf68ax43=document[_0x61ac[2]](_0x61ac[19]);for(var _0xf68ax47=0;_0xf68ax47<_0xf68axc[_0x61ac[141]];_0xf68ax47++){var _0xf68axd=document[_0x61ac[2]](_0x61ac[20]);_0xf68axd[_0x61ac[21]]=_0xf68axc[_0xf68ax47][_0x61ac[125]];_0xf68axd[_0x61ac[23]]=_0xf68axc[_0xf68ax47][_0x61ac[133]];_0xf68ax43[_0x61ac[25]](_0xf68axd)};_0xf68ax43[_0x61ac[31]]=_0x61ac[134];_0xf68ax41[_0x61ac[25]](_0xf68ax43);_0xf68ax2e[_0x61ac[25]](_0xf68ax2f);_0xf68ax2e[_0x61ac[25]](_0xf68ax41);_0xf68ax2a[_0x61ac[25]](_0xf68ax2e);var _0xf68ax37=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[8]),function(){console[_0x61ac[94]](_0xf68ax43);console[_0x61ac[94]](_0xf68ax43[_0x61ac[23]]);window[_0x61ac[8]](_0x61ac[142]+_0xf68ax43[_0x61ac[23]],_0x61ac[122]);_0xf68ax8[_0x61ac[79]]()});_0xf68ax37[_0x61ac[31]]=_0x61ac[104];var _0xf68ax3a=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[105]),function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax3a[_0x61ac[31]]=_0x61ac[106];_0xf68ax2b[_0x61ac[25]](_0xf68ax37);_0xf68ax2b[_0x61ac[25]](_0xf68ax3a);_0xf68ax28[_0x61ac[25]](_0xf68ax29);_0xf68ax28[_0x61ac[25]](_0xf68ax2a);_0xf68ax28[_0x61ac[25]](_0xf68ax2b);this[_0x61ac[64]]=_0xf68ax28}function RenameDialog(_0xf68ax8){var _0xf68ax28=_0xf68ax8[_0x61ac[50]](_0x61ac[69]);var _0xf68ax29=_0xf68ax8[_0x61ac[50]](_0x61ac[70]);var _0xf68ax2a=_0xf68ax8[_0x61ac[50]](_0x61ac[71]);var _0xf68ax2b=_0xf68ax8[_0x61ac[50]](_0x61ac[72]);var _0xf68ax2c=_0xf68ax8[_0x61ac[74]](_0x61ac[73]);mxUtils[_0x61ac[78]](_0xf68ax2c,mxResources[_0x61ac[76]](_0x61ac[143]));var _0xf68ax2d=mxUtils[_0x61ac[80]](_0x61ac[40],function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax2d[_0x61ac[31]]=_0x61ac[65];_0xf68ax29[_0x61ac[25]](_0xf68ax2d);_0xf68ax29[_0x61ac[25]](_0xf68ax2c);var _0xf68ax2e=_0xf68ax8[_0x61ac[50]](_0x61ac[81]);var _0xf68ax2f=_0xf68ax8[_0x61ac[50]](_0x61ac[128]);var _0xf68ax41=_0xf68ax8[_0x61ac[50]](_0x61ac[129]);var _0xf68ax42=document[_0x61ac[2]](_0x61ac[130]);_0xf68ax42[_0x61ac[31]]=_0x61ac[131];mxUtils[_0x61ac[78]](_0xf68ax42,mxResources[_0x61ac[76]](_0x61ac[125]));_0xf68ax2f[_0x61ac[25]](_0xf68ax42);var _0xf68ax3f=_0xf68ax8[_0x61ac[13]][_0x61ac[144]];var _0xf68ax3=document[_0x61ac[2]](_0x61ac[1]);_0xf68ax3[_0x61ac[5]](_0x61ac[23],_0xf68ax3f);_0xf68ax3[_0x61ac[31]]=_0x61ac[134];_0xf68ax41[_0x61ac[25]](_0xf68ax3);_0xf68ax2e[_0x61ac[25]](_0xf68ax2f);_0xf68ax2e[_0x61ac[25]](_0xf68ax41);_0xf68ax2a[_0x61ac[25]](_0xf68ax2e);var _0xf68ax37=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[135]),function(){var _0xf68axb= new XMLHttpRequest();_0xf68axb[_0x61ac[8]](_0x61ac[145],SAVE_URL+_0xf68ax8[_0x61ac[13]][_0x61ac[12]][_0x61ac[133]],true);_0xf68axb[_0x61ac[14]](_0x61ac[146],_0x61ac[147]);_0xf68axb[_0x61ac[14]](_0x61ac[9],_0x61ac[10]+_0xf68ax8[_0x61ac[13]][_0x61ac[12]][_0x61ac[11]]);_0xf68axb[_0x61ac[15]](_0x61ac[148]+_0xf68ax3[_0x61ac[23]]);_0xf68axb[_0x61ac[95]]=function(_0xf68ax35){if(_0xf68axb[_0x61ac[16]]===200){_0xf68ax8[_0x61ac[13]][_0x61ac[150]](_0x61ac[149]);_0xf68ax8[_0x61ac[13]][_0x61ac[144]]=_0xf68ax3[_0x61ac[23]]}else {_0xf68ax8[_0x61ac[13]][_0x61ac[150]](JSON[_0x61ac[18]](_0xf68axb[_0x61ac[17]])[_0x61ac[152]][0][_0x61ac[151]])}};_0xf68axb[_0x61ac[153]]=function(_0xf68ax35){mxUtils[_0x61ac[155]](_0xf68axb[_0x61ac[154]])};_0xf68ax8[_0x61ac[79]]()});_0xf68ax37[_0x61ac[31]]=_0x61ac[104];var _0xf68ax3a=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[105]),function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax3a[_0x61ac[31]]=_0x61ac[106];_0xf68ax2b[_0x61ac[25]](_0xf68ax37);_0xf68ax2b[_0x61ac[25]](_0xf68ax3a);_0xf68ax28[_0x61ac[25]](_0xf68ax29);_0xf68ax28[_0x61ac[25]](_0xf68ax2a);_0xf68ax28[_0x61ac[25]](_0xf68ax2b);this[_0x61ac[64]]=_0xf68ax28}function EditFileDialog(_0xf68ax8){var _0xf68ax28=_0xf68ax8[_0x61ac[50]](_0x61ac[69]);var _0xf68ax29=_0xf68ax8[_0x61ac[50]](_0x61ac[70]);var _0xf68ax2a=_0xf68ax8[_0x61ac[50]](_0x61ac[71]);var _0xf68ax2b=_0xf68ax8[_0x61ac[50]](_0x61ac[72]);var _0xf68ax2c=_0xf68ax8[_0x61ac[74]](_0x61ac[73]);mxUtils[_0x61ac[78]](_0xf68ax2c,mxResources[_0x61ac[76]](_0x61ac[156]));var _0xf68ax2d=mxUtils[_0x61ac[80]](_0x61ac[40],function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax2d[_0x61ac[31]]=_0x61ac[65];_0xf68ax29[_0x61ac[25]](_0xf68ax2d);_0xf68ax29[_0x61ac[25]](_0xf68ax2c);var _0xf68ax2e=_0xf68ax8[_0x61ac[50]](_0x61ac[81]);var _0xf68ax2f=_0xf68ax8[_0x61ac[50]](_0x61ac[82]);var _0xf68ax30=document[_0x61ac[2]](_0x61ac[83]);_0xf68ax30[_0x61ac[53]][_0x61ac[84]]=_0x61ac[85];_0xf68ax30[_0x61ac[53]][_0x61ac[86]]=_0x61ac[87];_0xf68ax30[_0x61ac[23]]=mxUtils[_0x61ac[158]](_0xf68ax8[_0x61ac[13]][_0x61ac[157]]());if(fileSupport){function _0xf68ax4a(_0xf68ax32){_0xf68ax32[_0x61ac[159]]();_0xf68ax32[_0x61ac[160]]();if(_0xf68ax32[_0x61ac[161]][_0x61ac[92]][_0x61ac[141]]>0){var _0xf68ax4b=_0xf68ax32[_0x61ac[161]][_0x61ac[92]][0];var _0xf68ax4c= new FileReader();_0xf68ax4c[_0x61ac[95]]=function(_0xf68ax35){_0xf68ax30[_0x61ac[23]]=_0xf68ax35[_0x61ac[93]][_0x61ac[96]]};_0xf68ax4c[_0x61ac[97]](_0xf68ax4b)}}function _0xf68ax4d(_0xf68ax32){_0xf68ax32[_0x61ac[159]]();_0xf68ax32[_0x61ac[160]]()}_0xf68ax30[_0x61ac[100]](_0x61ac[162],_0xf68ax4d,false);_0xf68ax30[_0x61ac[100]](_0x61ac[163],_0xf68ax4a,false)};_0xf68ax2f[_0x61ac[25]](_0xf68ax30);_0xf68ax2e[_0x61ac[25]](_0xf68ax2f);_0xf68ax2a[_0x61ac[25]](_0xf68ax2e);var _0xf68ax37=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[135]),function(){var _0xf68ax39=mxUtils[_0x61ac[101]](_0xf68ax30[_0x61ac[23]]);_0xf68ax8[_0x61ac[13]][_0x61ac[102]](_0xf68ax39[_0x61ac[48]]);_0xf68ax8[_0x61ac[79]]()});_0xf68ax37[_0x61ac[31]]=_0x61ac[104];var _0xf68ax3a=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[105]),function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax3a[_0x61ac[31]]=_0x61ac[106];_0xf68ax2b[_0x61ac[25]](_0xf68ax37);_0xf68ax2b[_0x61ac[25]](_0xf68ax3a);_0xf68ax28[_0x61ac[25]](_0xf68ax29);_0xf68ax28[_0x61ac[25]](_0xf68ax2a);_0xf68ax28[_0x61ac[25]](_0xf68ax2b);this[_0x61ac[64]]=_0xf68ax28}function ExportDialog(_0xf68ax8){var _0xf68ax28=_0xf68ax8[_0x61ac[50]](_0x61ac[69]);var _0xf68ax29=_0xf68ax8[_0x61ac[50]](_0x61ac[70]);var _0xf68ax2a=_0xf68ax8[_0x61ac[50]](_0x61ac[164]);var _0xf68ax2b=_0xf68ax8[_0x61ac[50]](_0x61ac[72]);var _0xf68ax2c=_0xf68ax8[_0x61ac[74]](_0x61ac[73]);mxUtils[_0x61ac[78]](_0xf68ax2c,mxResources[_0x61ac[76]](_0x61ac[165])+_0x61ac[77]);var _0xf68ax2d=mxUtils[_0x61ac[80]](_0x61ac[40],function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax2d[_0x61ac[31]]=_0x61ac[65];_0xf68ax29[_0x61ac[25]](_0xf68ax2d);_0xf68ax29[_0x61ac[25]](_0xf68ax2c);var _0xf68ax4f=_0xf68ax8[_0x61ac[50]](_0x61ac[166]);var _0xf68ax50=_0xf68ax8[_0x61ac[50]](_0x61ac[167]);var _0xf68ax42=document[_0x61ac[2]](_0x61ac[130]);_0xf68ax42[_0x61ac[31]]=_0x61ac[168];mxUtils[_0x61ac[78]](_0xf68ax42,mxResources[_0x61ac[76]](_0x61ac[144]));var _0xf68ax3=document[_0x61ac[2]](_0x61ac[1]);_0xf68ax3[_0x61ac[5]](_0x61ac[23],_0xf68ax8[_0x61ac[13]][_0x61ac[127]]());_0xf68ax3[_0x61ac[31]]=_0x61ac[134];var _0xf68ax25=_0xf68ax8[_0x61ac[50]](_0x61ac[169]);_0xf68ax25[_0x61ac[25]](_0xf68ax3);_0xf68ax50[_0x61ac[25]](_0xf68ax42);_0xf68ax50[_0x61ac[25]](_0xf68ax25);_0xf68ax4f[_0x61ac[25]](_0xf68ax50);_0xf68ax2a[_0x61ac[25]](_0xf68ax4f);var _0xf68ax37=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[165]),mxUtils[_0x61ac[103]](this,function(_0xf68ax38){_0xf68ax8[_0x61ac[135]](false);var _0xf68ax51=encodeURIComponent(mxUtils[_0x61ac[170]](_0xf68ax8[_0x61ac[13]][_0x61ac[157]]())); new mxXmlRequest(SAVE_URL+_0xf68ax8[_0x61ac[13]][_0x61ac[12]][_0x61ac[133]]+_0x61ac[172],_0x61ac[173]+_0xf68ax3[_0x61ac[23]],_0x61ac[7])[_0x61ac[171]](document,_0x61ac[122]);_0xf68ax8[_0x61ac[79]]()}));_0xf68ax37[_0x61ac[31]]=_0x61ac[104];var _0xf68ax3a=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[105]),function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax3a[_0x61ac[31]]=_0x61ac[106];_0xf68ax2b[_0x61ac[25]](_0xf68ax37);_0xf68ax2b[_0x61ac[25]](_0xf68ax3a);_0xf68ax28[_0x61ac[25]](_0xf68ax29);_0xf68ax28[_0x61ac[25]](_0xf68ax2a);_0xf68ax28[_0x61ac[25]](_0xf68ax2b);this[_0x61ac[64]]=_0xf68ax28}function GeneralDialog(_0xf68ax8,_0xf68ax53){var _0xf68ax54=_0xf68ax8[_0x61ac[13]][_0x61ac[174]];var _0xf68ax28=_0xf68ax8[_0x61ac[50]](_0x61ac[69]);var _0xf68ax29=_0xf68ax8[_0x61ac[50]](_0x61ac[70]);var _0xf68ax2a=_0xf68ax8[_0x61ac[50]](_0x61ac[175]);var _0xf68ax2b=_0xf68ax8[_0x61ac[50]](_0x61ac[72]);var _0xf68ax2c=_0xf68ax8[_0x61ac[74]](_0x61ac[73]);mxUtils[_0x61ac[78]](_0xf68ax2c,mxResources[_0x61ac[76]](_0x61ac[156])+_0x61ac[176]+mxResources[_0x61ac[76]](_0xf68ax53[_0x61ac[23]][_0x61ac[177]]));var _0xf68ax2d=mxUtils[_0x61ac[80]](_0x61ac[40],function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax2d[_0x61ac[31]]=_0x61ac[65];_0xf68ax29[_0x61ac[25]](_0xf68ax2d);_0xf68ax29[_0x61ac[25]](_0xf68ax2c);var _0xf68ax55=_0xf68ax8[_0x61ac[50]](_0x61ac[166]);_0xf68ax55[_0x61ac[5]](_0x61ac[133],_0x61ac[178]);var _0xf68ax56=_0xf68ax53[_0x61ac[23]][_0x61ac[179]][_0x61ac[141]];var _0xf68ax4f=_0xf68ax8[_0x61ac[50]](_0x61ac[166]);for(var _0xf68ax57=0;_0xf68ax57<_0xf68ax56;_0xf68ax57++){var _0xf68ax3f=_0xf68ax53[_0x61ac[23]][_0x61ac[179]][_0xf68ax57][_0x61ac[125]];var _0xf68ax40=_0x61ac[126]+_0xf68ax3f;var _0xf68ax2=_0xf68ax53[_0x61ac[23]][_0x61ac[179]][_0xf68ax57][_0x61ac[23]];console[_0x61ac[94]](_0xf68ax3f);var _0xf68ax50=_0xf68ax8[_0x61ac[50]]((_0xf68ax57==_0xf68ax56-1)?_0x61ac[167]:_0x61ac[180]);var _0xf68ax42=document[_0x61ac[2]](_0x61ac[130]);_0xf68ax42[_0x61ac[31]]=_0x61ac[168];mxUtils[_0x61ac[78]](_0xf68ax42,mxResources[_0x61ac[76]](_0xf68ax3f));_0xf68ax50[_0x61ac[25]](_0xf68ax42);var _0xf68ax3;switch(_0xf68ax3f){case _0x61ac[133]:_0xf68ax3=document[_0x61ac[2]](_0x61ac[1]);_0xf68ax2=_0xf68ax53[_0x61ac[133]];_0xf68ax3[_0x61ac[5]](_0x61ac[23],_0xf68ax2);_0xf68ax3[_0x61ac[5]](_0x61ac[181],true);_0xf68ax3[_0x61ac[31]]=_0x61ac[134];break;case _0x61ac[187]:_0xf68ax3=document[_0x61ac[2]](_0x61ac[1]);_0xf68ax3[_0x61ac[5]](_0x61ac[3],_0x61ac[80]);_0xf68ax3[_0x61ac[182]]=function(){if(this[_0x61ac[23]]==_0x61ac[183]){this[_0x61ac[5]](_0x61ac[23],_0x61ac[184]);this[_0x61ac[31]]=_0x61ac[185]}else {this[_0x61ac[31]]=_0x61ac[186];this[_0x61ac[5]](_0x61ac[23],_0x61ac[183])}};_0xf68ax3[_0x61ac[5]](_0x61ac[23],_0xf68ax2);_0xf68ax3[_0x61ac[31]]=(_0xf68ax2==_0x61ac[183]?_0x61ac[186]:_0x61ac[185]);break;case _0x61ac[21]:_0xf68ax3=document[_0x61ac[2]](_0x61ac[83]);_0xf68ax3[_0x61ac[188]]=_0xf68ax2;_0xf68ax3[_0x61ac[31]]=_0x61ac[134];break;case _0x61ac[189]:_0xf68ax3=document[_0x61ac[2]](_0x61ac[83]);_0xf68ax3[_0x61ac[188]]=_0xf68ax2;_0xf68ax3[_0x61ac[31]]=_0x61ac[134];break;case _0x61ac[190]:_0xf68ax3=document[_0x61ac[2]](_0x61ac[83]);_0xf68ax3[_0x61ac[188]]=_0xf68ax2;_0xf68ax3[_0x61ac[31]]=_0x61ac[134];break;case _0x61ac[193]:_0xf68ax3=document[_0x61ac[2]](_0x61ac[1]);_0xf68ax3[_0x61ac[5]](_0x61ac[23],_0xf68ax2);_0xf68ax3[_0x61ac[5]](_0x61ac[191],_0x61ac[192]);_0xf68ax3[_0x61ac[31]]=_0x61ac[134];break;case _0x61ac[195]:_0xf68ax3=createDropdownFromApi(_0x61ac[194],_0xf68ax2,_0x61ac[125],_0x61ac[133],_0xf68ax8);break;case _0x61ac[198]:_0xf68ax3=document[_0x61ac[2]](_0x61ac[83]);_0xf68ax3[_0x61ac[188]]=_0xf68ax2;_0xf68ax3[_0x61ac[31]]=_0x61ac[134];_0xf68ax3[_0x61ac[5]](_0x61ac[196],_0x61ac[197]);break;case _0x61ac[201]:var _0xf68ax3=mxUtils[_0x61ac[80]](_0x61ac[199],function(){var _0xf68ax58=createDynamicInput(null,null,_0xf68ax8);_0xf68ax55[_0x61ac[25]](_0xf68ax58);_0xf68ax2a[_0x61ac[25]](_0xf68ax55)});_0xf68ax3[_0x61ac[31]]=_0x61ac[200];break;default:_0xf68ax3=document[_0x61ac[2]](_0x61ac[1]);_0xf68ax3[_0x61ac[5]](_0x61ac[23],_0xf68ax2);_0xf68ax3[_0x61ac[31]]=_0x61ac[134];break};_0xf68ax3[_0x61ac[5]](_0x61ac[133],_0xf68ax40);var _0xf68ax25=_0xf68ax8[_0x61ac[50]](_0x61ac[169]);_0xf68ax25[_0x61ac[25]](_0xf68ax3);if(mxResources[_0x61ac[76]](_0x61ac[202]+_0xf68ax3f)){var _0xf68ax59=_0xf68ax8[_0x61ac[50]](_0x61ac[203]);_0xf68ax59[_0x61ac[31]]=_0x61ac[204];mxUtils[_0x61ac[78]](_0xf68ax59,mxResources[_0x61ac[76]](_0x61ac[202]+_0xf68ax3f));_0xf68ax25[_0x61ac[25]](_0xf68ax59)};_0xf68ax50[_0x61ac[25]](_0xf68ax25);_0xf68ax4f[_0x61ac[25]](_0xf68ax50)};_0xf68ax2a[_0x61ac[25]](_0xf68ax4f);if(_0xf68ax53[_0x61ac[23]][_0x61ac[177]]==_0x61ac[1]){if(_0xf68ax53[_0x61ac[205]]){for(var _0xf68ax47=0;_0xf68ax47<_0xf68ax53[_0x61ac[205]][_0x61ac[141]];_0xf68ax47++){var _0xf68ax5a=_0x61ac[40];var _0xf68ax5b=_0x61ac[40];_[_0x61ac[28]](_0xf68ax53[_0x61ac[205]][_0xf68ax47][_0x61ac[23]][_0x61ac[179]],function(_0xf68ax5c){if(_0xf68ax5c[_0x61ac[125]]==_0x61ac[3]){_0xf68ax5a=_0xf68ax5c[_0x61ac[23]]}else {if(_0xf68ax5c[_0x61ac[125]]==_0x61ac[130]){_0xf68ax5b=_0xf68ax5c[_0x61ac[23]]}}});var _0xf68ax58=createDynamicInput(_0xf68ax5a,_0xf68ax5b,_0xf68ax8);_0xf68ax55[_0x61ac[25]](_0xf68ax58)}}};_0xf68ax2a[_0x61ac[25]](_0xf68ax55);var _0xf68ax37=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[135]),mxUtils[_0x61ac[103]](this,function(_0xf68ax38){for(var _0xf68ax57=0;_0xf68ax57<_0xf68ax53[_0x61ac[23]][_0x61ac[179]][_0x61ac[141]];_0xf68ax57++){var _0xf68ax40=_0x61ac[126]+_0xf68ax53[_0x61ac[23]][_0x61ac[179]][_0xf68ax57][_0x61ac[125]];var _0xf68ax3f=_0xf68ax53[_0x61ac[23]][_0x61ac[179]][_0xf68ax57][_0x61ac[125]];console[_0x61ac[94]](_0xf68ax3f);_0xf68ax53[_0x61ac[5]](_0xf68ax3f,document[_0x61ac[206]](_0xf68ax40)[_0x61ac[23]]);console[_0x61ac[94]](document[_0x61ac[206]](_0xf68ax40)[_0x61ac[23]])};if(_0xf68ax53[_0x61ac[23]][_0x61ac[177]]==_0x61ac[1]){if(_0xf68ax54[_0x61ac[208]][_0x61ac[207]](_0xf68ax53)){_0xf68ax53[_0x61ac[205]]=[]};if(document[_0x61ac[206]](_0x61ac[178])){var _0xf68ax55=document[_0x61ac[206]](_0x61ac[178])[_0x61ac[205]];for(var _0xf68ax47=0;_0xf68ax47<_0xf68ax55[_0x61ac[141]];_0xf68ax47++){var _0xf68ax5d=_0xf68ax55[_0xf68ax47][_0x61ac[205]][1];var _0xf68ax5e=_0xf68ax55[_0xf68ax47][_0x61ac[205]][0];var _0xf68ax39=mxUtils[_0x61ac[209]]();var _0xf68ax5f=_0xf68ax39[_0x61ac[2]](_0x61ac[178]);_0xf68ax5f[_0x61ac[5]](_0x61ac[3],_0xf68ax55[_0xf68ax47][_0x61ac[210]](_0x61ac[19])[0][_0x61ac[23]]);_0xf68ax5f[_0x61ac[5]](_0x61ac[130],_0xf68ax55[_0xf68ax47][_0x61ac[210]](_0x61ac[1])[0][_0x61ac[23]]);var _0xf68ax60= new mxCell(_0xf68ax5f);_0xf68ax54[_0x61ac[208]][_0x61ac[201]](_0xf68ax53,_0xf68ax60)}}};_0xf68ax54[_0x61ac[211]](_0xf68ax53);_0xf68ax8[_0x61ac[79]]()}));_0xf68ax37[_0x61ac[31]]=_0x61ac[104];var _0xf68ax3a=mxUtils[_0x61ac[80]](mxResources[_0x61ac[76]](_0x61ac[105]),function(){_0xf68ax8[_0x61ac[79]]()});_0xf68ax3a[_0x61ac[31]]=_0x61ac[106];_0xf68ax2b[_0x61ac[25]](_0xf68ax37);_0xf68ax2b[_0x61ac[25]](_0xf68ax3a);_0xf68ax28[_0x61ac[25]](_0xf68ax29);_0xf68ax28[_0x61ac[25]](_0xf68ax2a);_0xf68ax28[_0x61ac[25]](_0xf68ax2b);this[_0x61ac[64]]=_0xf68ax28}function createDynamicInput(_0xf68ax5a,_0xf68ax5b,_0xf68ax8){var _0xf68ax58=_0xf68ax8[_0x61ac[50]](_0x61ac[180]);var _0xf68ax2f=_0xf68ax8[_0x61ac[50]](_0x61ac[212]);var _0xf68ax41=_0xf68ax8[_0x61ac[50]](_0x61ac[212]);var _0xf68ax62=_0xf68ax8[_0x61ac[50]](_0x61ac[213]);var _0xf68ax3=document[_0x61ac[2]](_0x61ac[1]);_0xf68ax3[_0x61ac[5]](_0x61ac[191],_0x61ac[214]);_0xf68ax3[_0x61ac[23]]=_0xf68ax5b;_0xf68ax3[_0x61ac[31]]=_0x61ac[134];_0xf68ax2f[_0x61ac[25]](_0xf68ax3);var _0xf68ax43=document[_0x61ac[2]](_0x61ac[19]);var _0xf68axd=document[_0x61ac[2]](_0x61ac[20]);_0xf68axd[_0x61ac[21]]=_0x61ac[22];_0xf68axd[_0x61ac[23]]=_0x61ac[40];_0xf68ax43[_0x61ac[25]](_0xf68axd);var _0xf68ax13=[_0x61ac[21],_0x61ac[215],_0x61ac[83],_0x61ac[4]];_0xf68ax13[_0x61ac[28]](function(_0xf68axe){_0xf68axd=document[_0x61ac[2]](_0x61ac[20]);_0xf68axd[_0x61ac[21]]=_0xf68axe;_0xf68axd[_0x61ac[23]]=_0xf68axe;_0xf68axd[_0x61ac[29]]=(_0xf68axe==_0xf68ax5a);_0xf68ax43[_0x61ac[25]](_0xf68axd)});_0xf68ax43[_0x61ac[31]]=_0x61ac[134];_0xf68ax41[_0x61ac[25]](_0xf68ax43);var _0xf68ax63=mxUtils[_0x61ac[80]](_0x61ac[216],function(){var _0xf68ax64=_0xf68ax63[_0x61ac[217]];var _0xf68ax65=_0xf68ax64[_0x61ac[217]];document[_0x61ac[206]](_0x61ac[178])[_0x61ac[67]](_0xf68ax65)});_0xf68ax63[_0x61ac[31]]=_0x61ac[218];_0xf68ax62[_0x61ac[25]](_0xf68ax63);_0xf68ax58[_0x61ac[25]](_0xf68ax2f);_0xf68ax58[_0x61ac[25]](_0xf68ax41);_0xf68ax58[_0x61ac[25]](_0xf68ax62);return _0xf68ax58} \ No newline at end of file diff --git a/public/assets/plugins/jscripty/js/Editor.js b/public/assets/plugins/jscripty/js/Editor.js index fbc523d..90884dd 100644 --- a/public/assets/plugins/jscripty/js/Editor.js +++ b/public/assets/plugins/jscripty/js/Editor.js @@ -1 +1 @@ -var _0xfb51=["\x49\x53\x5F\x54\x4F\x55\x43\x48","\x73\x74\x6F\x72\x61\x67\x65","\x6C\x6F\x63\x61\x6C","\x75\x6E\x64\x65\x66\x69\x6E\x65\x64","\x46\x69\x6C\x65","\x46\x69\x6C\x65\x52\x65\x61\x64\x65\x72","\x46\x69\x6C\x65\x4C\x69\x73\x74","\x74\x6F\x75\x63\x68","\x31","\x6F\x70\x65\x6E\x65\x72","\x63\x6F\x75\x6E\x74\x65\x72","\x63\x61\x6C\x6C","\x69\x6E\x69\x74","\x69\x6E\x69\x74\x53\x74\x65\x6E\x63\x69\x6C\x52\x65\x67\x69\x73\x74\x72\x79","\x67\x72\x61\x70\x68","\x6F\x75\x74\x6C\x69\x6E\x65","\x75\x70\x64\x61\x74\x65\x4F\x6E\x50\x61\x6E","\x75\x6E\x64\x6F\x4D\x61\x6E\x61\x67\x65\x72","\x63\x72\x65\x61\x74\x65\x55\x6E\x64\x6F\x4D\x61\x6E\x61\x67\x65\x72","\x73\x74\x61\x74\x75\x73","","\x66\x69\x6C\x65\x6E\x61\x6D\x65","\x67\x65\x74\x4F\x72\x43\x72\x65\x61\x74\x65\x46\x69\x6C\x65\x6E\x61\x6D\x65","\x64\x72\x61\x77\x69\x6E\x67","\x67\x65\x74","\x2E\x78\x6D\x6C","\x67\x65\x74\x46\x69\x6C\x65\x6E\x61\x6D\x65","\x73\x65\x74\x53\x74\x61\x74\x75\x73","\x73\x74\x61\x74\x75\x73\x43\x68\x61\x6E\x67\x65\x64","\x66\x69\x72\x65\x45\x76\x65\x6E\x74","\x67\x65\x74\x53\x74\x61\x74\x75\x73","\x6D\x6F\x64\x69\x66\x69\x65\x64","\x67\x72\x61\x70\x68\x43\x68\x61\x6E\x67\x65\x4C\x69\x73\x74\x65\x6E\x65\x72","\x61\x70\x70\x6C\x79","\x62\x69\x6E\x64","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x6F\x6E\x62\x65\x66\x6F\x72\x65\x75\x6E\x6C\x6F\x61\x64","\x61\x6C\x6C\x43\x68\x61\x6E\x67\x65\x73\x4C\x6F\x73\x74","\x72\x65\x73\x65\x74\x56\x69\x65\x77\x4F\x6E\x52\x6F\x6F\x74\x43\x68\x61\x6E\x67\x65","\x73\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x65\x78\x74\x65\x6E\x64","\x67\x72\x69\x64\x49\x6D\x61\x67\x65","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x2F\x67\x72\x69\x64\x2E\x67\x69\x66","\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x49\x6D\x61\x67\x65","\x2F\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x2E\x67\x69\x66","\x73\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x6F\x77\x6E\x65\x72\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x6E\x6F\x64\x65\x4E\x61\x6D\x65","\x6D\x78\x47\x72\x61\x70\x68\x4D\x6F\x64\x65\x6C","\x73\x63\x61\x6C\x65","\x76\x69\x65\x77","\x67\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x69\x64","\x67\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x30","\x67\x75\x69\x64\x65\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x61\x70\x68\x48\x61\x6E\x64\x6C\x65\x72","\x67\x75\x69\x64\x65\x73","\x74\x6F\x6F\x6C\x74\x69\x70\x73","\x73\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x63\x6F\x6E\x6E\x65\x63\x74","\x73\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x66\x6F\x6C\x64","\x73\x63\x72\x6F\x6C\x6C\x4C\x65\x66\x74","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x73\x63\x72\x6F\x6C\x6C\x54\x6F\x70","\x78","\x74\x72\x61\x6E\x73\x6C\x61\x74\x65","\x64\x78","\x79","\x64\x79","\x70\x61\x67\x65\x56\x69\x73\x69\x62\x6C\x65","\x70\x61\x67\x65","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x73\x56\x69\x73\x69\x62\x6C\x65","\x70\x72\x65\x66\x65\x72\x50\x61\x67\x65\x53\x69\x7A\x65","\x70\x61\x67\x65\x53\x63\x61\x6C\x65","\x70\x61\x67\x65\x57\x69\x64\x74\x68","\x70\x61\x67\x65\x48\x65\x69\x67\x68\x74","\x70\x61\x67\x65\x46\x6F\x72\x6D\x61\x74","\x6C\x65\x6E\x67\x74\x68","\x64\x65\x63\x6F\x64\x65","\x75\x70\x64\x61\x74\x65\x47\x72\x61\x70\x68\x43\x6F\x6D\x70\x6F\x6E\x65\x6E\x74\x73","\x67\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x63\x72\x65\x61\x74\x65\x58\x6D\x6C\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x65\x6E\x63\x6F\x64\x65","\x72\x6F\x75\x6E\x64","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x69\x73\x47\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x74\x6F\x6F\x6C\x74\x69\x70\x48\x61\x6E\x64\x6C\x65\x72","\x63\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x77\x69\x64\x74\x68","\x68\x65\x69\x67\x68\x74","\x6E\x6F\x6E\x65","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x43\x6F\x6C\x6F\x72","\x73\x74\x79\x6C\x65","\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65\x53\x68\x61\x70\x65","\x66\x69\x6C\x6C","\x72\x65\x63\x6F\x6E\x66\x69\x67\x75\x72\x65","\x23\x65\x62\x65\x62\x65\x62","\x62\x6F\x72\x64\x65\x72\x53\x74\x79\x6C\x65","\x73\x6F\x6C\x69\x64","\x62\x6F\x72\x64\x65\x72\x43\x6F\x6C\x6F\x72","\x23\x65\x35\x65\x35\x65\x35","\x62\x6F\x72\x64\x65\x72\x54\x6F\x70\x57\x69\x64\x74\x68","\x31\x70\x78","\x62\x6F\x72\x64\x65\x72\x4C\x65\x66\x74\x57\x69\x64\x74\x68","\x62\x6F\x72\x64\x65\x72\x52\x69\x67\x68\x74\x57\x69\x64\x74\x68","\x30\x70\x78","\x62\x6F\x72\x64\x65\x72\x42\x6F\x74\x74\x6F\x6D\x57\x69\x64\x74\x68","\x62\x6F\x72\x64\x65\x72","\x76\x61\x6C\x69\x64\x61\x74\x65","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x68\x69\x64\x64\x65\x6E","\x61\x75\x74\x6F","\x49\x53\x5F\x49\x45","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x4D\x6F\x64\x65","\x75\x72\x6C\x28","\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x6E\x6F\x64\x65","\x49\x53\x5F\x49\x45\x36","\x73\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x2F\x67\x72\x61\x70\x68\x65\x64\x69\x74\x6F\x72\x2D\x69\x65\x36\x2E\x63\x73\x73","\x6C\x69\x6E\x6B","\x6C\x6F\x61\x64\x44\x65\x66\x61\x75\x6C\x74\x42\x75\x6E\x64\x6C\x65","\x61\x64\x64","\x44\x45\x46\x41\x55\x4C\x54\x5F\x48\x4F\x54\x53\x50\x4F\x54","\x63\x72\x65\x61\x74\x65\x4D\x61\x72\x6B\x65\x72","\x69\x6E\x74\x65\x72\x73\x65\x63\x74\x73","\x69\x73\x43\x6F\x6E\x6E\x65\x63\x74\x69\x6E\x67","\x53\x48\x41\x44\x4F\x57\x43\x4F\x4C\x4F\x52","\x23\x64\x30\x64\x30\x64\x30","\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x23\x39\x39\x63\x63\x66\x66","\x48\x41\x4E\x44\x4C\x45\x5F\x53\x54\x52\x4F\x4B\x45\x43\x4F\x4C\x4F\x52","\x23\x30\x30\x38\x38\x63\x66","\x56\x45\x52\x54\x45\x58\x5F\x53\x45\x4C\x45\x43\x54\x49\x4F\x4E\x5F\x43\x4F\x4C\x4F\x52","\x23\x30\x30\x61\x38\x66\x66","\x4F\x55\x54\x4C\x49\x4E\x45\x5F\x43\x4F\x4C\x4F\x52","\x4F\x55\x54\x4C\x49\x4E\x45\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x4F\x55\x54\x4C\x49\x4E\x45\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x53\x54\x52\x4F\x4B\x45\x43\x4F\x4C\x4F\x52","\x43\x4F\x4E\x4E\x45\x43\x54\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x23\x63\x65\x65\x37\x66\x66","\x45\x44\x47\x45\x5F\x53\x45\x4C\x45\x43\x54\x49\x4F\x4E\x5F\x43\x4F\x4C\x4F\x52","\x44\x45\x46\x41\x55\x4C\x54\x5F\x56\x41\x4C\x49\x44\x5F\x43\x4F\x4C\x4F\x52","\x4C\x41\x42\x45\x4C\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x47\x55\x49\x44\x45\x5F\x43\x4F\x4C\x4F\x52","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x43\x6F\x6C\x6F\x72","\x23\x63\x30\x63\x30\x63\x30","\x64\x65\x66\x61\x75\x6C\x74\x4F\x70\x61\x63\x69\x74\x79","\x63\x72\x65\x61\x74\x65\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65\x53\x68\x61\x70\x65","\x77\x68\x69\x74\x65","\x23\x63\x61\x63\x61\x63\x61","\x67\x65\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65\x42\x6F\x75\x6E\x64\x73","\x67\x65\x74\x47\x72\x61\x70\x68\x42\x6F\x75\x6E\x64\x73","\x6D\x69\x6E","\x66\x6C\x6F\x6F\x72","\x6D\x61\x78","\x63\x65\x69\x6C","\x70\x61\x6E\x47\x72\x61\x70\x68","\x64\x69\x61\x6C\x65\x63\x74","\x44\x49\x41\x4C\x45\x43\x54\x5F\x53\x56\x47","\x75\x73\x65\x53\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73\x46\x6F\x72\x50\x61\x6E\x6E\x69\x6E\x67","\x68\x61\x73\x53\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73","\x6D\x61\x72\x67\x69\x6E\x4C\x65\x66\x74","\x70\x78","\x6D\x61\x72\x67\x69\x6E\x54\x6F\x70","\x76\x61\x6C\x69\x64\x61\x74\x65\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x67\x65\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x69\x6D\x61\x67\x65","\x73\x72\x63","\x64\x65\x73\x74\x72\x6F\x79","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x6E\x65","\x72\x65\x64\x72\x61\x77","\x72\x65\x64\x72\x61\x77\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x69\x73\x53\x68\x61\x64\x6F\x77","\x44\x49\x41\x4C\x45\x43\x54\x5F\x53\x54\x52\x49\x43\x54\x48\x54\x4D\x4C","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x66\x69\x72\x73\x74\x43\x68\x69\x6C\x64","\x61\x62\x73\x6F\x6C\x75\x74\x65","\x69\x6E\x73\x65\x72\x74\x42\x65\x66\x6F\x72\x65","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x2D\x31\x70\x78\x20\x2D\x31\x70\x78","\x64\x62\x6C\x63\x6C\x69\x63\x6B","\x64\x62\x6C\x43\x6C\x69\x63\x6B","\x66\x69\x72\x65\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74","\x69\x73\x48\x69\x64\x65\x4F\x6E\x48\x6F\x76\x65\x72","\x68\x69\x64\x65","\x69\x73\x4D\x6F\x75\x73\x65\x44\x6F\x77\x6E","\x69\x73\x43\x6F\x6E\x73\x75\x6D\x65\x64","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x62\x6F\x75\x6E\x64\x73","\x75\x70\x64\x61\x74\x65\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x73","\x6D\x69\x6E\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x44\x69\x73\x74","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x73","\x70\x6F\x69\x6E\x74\x73","\x69\x73\x44\x61\x73\x68\x65\x64","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x44\x61\x73\x68\x65\x64","\x61\x64\x64\x50\x69\x70\x65","\x73\x70\x6C\x69\x63\x65","\x76\x65\x72\x74\x69\x63\x61\x6C\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x73","\x73\x6E\x61\x70\x54\x6F\x54\x65\x72\x6D\x69\x6E\x61\x6C\x73","\x73\x68\x6F\x75\x6C\x64\x52\x65\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73\x46\x72\x6F\x6D\x50\x61\x72\x65\x6E\x74","\x69\x73\x56\x65\x72\x74\x65\x78","\x67\x65\x74\x43\x65\x6C\x6C\x47\x65\x6F\x6D\x65\x74\x72\x79","\x72\x65\x6C\x61\x74\x69\x76\x65","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64\x46\x6F\x72\x45\x76\x65\x6E\x74","\x69\x73\x41\x6C\x74\x44\x6F\x77\x6E","\x61\x64\x64\x49\x74\x65\x6D","\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E","\x63\x6F\x6E\x73\x75\x6D\x65","\x67\x65\x74\x49\x6E\x69\x74\x69\x61\x6C\x43\x65\x6C\x6C\x46\x6F\x72\x45\x76\x65\x6E\x74","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x67\x65\x74\x50\x61\x72\x65\x6E\x74","\x69\x73\x43\x65\x6C\x6C\x53\x65\x6C\x65\x63\x74\x65\x64","\x69\x73\x56\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x69\x73\x44\x65\x6C\x61\x79\x65\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x73\x65\x6C\x65\x63\x74\x44\x65\x6C\x61\x79\x65\x64","\x67\x65\x74\x43\x65\x6C\x6C","\x63\x65\x6C\x6C","\x67\x65\x74\x45\x76\x65\x6E\x74","\x73\x65\x6C\x65\x63\x74\x43\x65\x6C\x6C\x46\x6F\x72\x45\x76\x65\x6E\x74","\x67\x65\x74\x43\x65\x6C\x6C\x46\x6F\x72\x50\x6F\x70\x75\x70\x45\x76\x65\x6E\x74","\x65\x64\x69\x74","\x67\x65\x74\x50\x72\x6F\x70\x65\x72\x74\x79","\x75\x6E\x64\x6F\x61\x62\x6C\x65\x45\x64\x69\x74\x48\x61\x70\x70\x65\x6E\x65\x64","\x67\x65\x74\x56\x69\x65\x77","\x63\x68\x61\x6E\x67\x65\x73","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73\x46\x6F\x72\x43\x68\x61\x6E\x67\x65\x73","\x67\x65\x74\x53\x74\x61\x74\x65","\x70\x75\x73\x68","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x2F\x67\x65\x6E\x65\x72\x61\x6C\x2E\x78\x6D\x6C","\x6C\x6F\x61\x64\x53\x74\x65\x6E\x63\x69\x6C\x53\x65\x74","\x6C\x69\x62\x72\x61\x72\x69\x65\x73","\x70\x61\x63\x6B\x61\x67\x65\x73","\x67\x65\x74\x53\x74\x65\x6E\x63\x69\x6C","\x73\x74\x65\x6E\x63\x69\x6C\x73","\x67\x65\x74\x42\x61\x73\x65\x6E\x61\x6D\x65\x46\x6F\x72\x53\x74\x65\x6E\x63\x69\x6C","\x73\x75\x62\x73\x74\x72\x69\x6E\x67","\x74\x6F\x4C\x6F\x77\x65\x72\x43\x61\x73\x65","\x2E\x6A\x73","\x6C\x6F\x61\x64","\x67\x65\x74\x54\x65\x78\x74","\x2F","\x2E","\x73\x70\x6C\x69\x74","\x6D\x78\x67\x72\x61\x70\x68","\x67\x65\x74\x58\x6D\x6C","\x70\x61\x72\x73\x65\x53\x74\x65\x6E\x63\x69\x6C\x53\x65\x74","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x6E\x61\x6D\x65","\x6E\x6F\x64\x65\x54\x79\x70\x65","\x4E\x4F\x44\x45\x54\x59\x50\x45\x5F\x45\x4C\x45\x4D\x45\x4E\x54","\x5F","\x72\x65\x70\x6C\x61\x63\x65","\x61\x64\x64\x53\x74\x65\x6E\x63\x69\x6C","\x77","\x68","\x6E\x65\x78\x74\x53\x69\x62\x6C\x69\x6E\x67","\x70\x72\x6F\x64\x75\x63\x65\x72","\x63\x6F\x6E\x73\x75\x6D\x65\x72","\x64\x6F\x6E\x65","\x73\x65\x74\x43\x6F\x6E\x73\x75\x6D\x65\x72","\x65\x78\x65\x63\x75\x74\x65","\x73\x65\x74\x44\x61\x74\x61","\x64\x61\x74\x61","\x65\x72\x72\x6F\x72","\x63\x61\x6E\x63\x65\x6C","\x61\x6C\x65\x72\x74"];var useLocalStorage=(mxClient[_0xfb51[0]]||urlParams[_0xfb51[1]]==_0xfb51[2])&& typeof (localStorage)!=_0xfb51[3];var fileSupport=window[_0xfb51[4]]!=null&&window[_0xfb51[5]]!=null&&window[_0xfb51[6]]!=null;var touchStyle=mxClient[_0xfb51[0]]||urlParams[_0xfb51[7]]==_0xfb51[8];var counter=0;try{var op=window;while(op[_0xfb51[9]]!=null&&!isNaN(op[_0xfb51[9]][_0xfb51[10]])){op=op[_0xfb51[9]]};if(op!=null){op[_0xfb51[10]]++;counter=op[_0xfb51[10]]}}catch(e){};Editor=function(){mxEventSource[_0xfb51[11]](this);this[_0xfb51[12]]();this[_0xfb51[13]]();this[_0xfb51[14]]= new Graph();this[_0xfb51[15]]= new mxOutline(this[_0xfb51[14]]);this[_0xfb51[15]][_0xfb51[16]]=true;this[_0xfb51[17]]=this[_0xfb51[18]]();this[_0xfb51[19]]=_0xfb51[20];this[_0xfb51[21]]=null;this[_0xfb51[22]]=function(){return this[_0xfb51[21]]||mxResources[_0xfb51[24]](_0xfb51[23],[counter])+_0xfb51[25]};this[_0xfb51[26]]=function(){return this[_0xfb51[21]]};this[_0xfb51[27]]=function(_0x1882x6){this[_0xfb51[19]]=_0x1882x6;this[_0xfb51[29]]( new mxEventObject(_0xfb51[28]))};this[_0xfb51[30]]=function(){return this[_0xfb51[19]]};this[_0xfb51[31]]=false;this[_0xfb51[32]]=function(){this[_0xfb51[31]]=true};this[_0xfb51[14]][_0xfb51[36]]()[_0xfb51[35]](mxEvent.CHANGE,mxUtils[_0xfb51[34]](this,function(){this[_0xfb51[32]][_0xfb51[33]](this,arguments)}));window[_0xfb51[37]]=mxUtils[_0xfb51[34]](this,function(){if(this[_0xfb51[31]]){return mxResources[_0xfb51[24]](_0xfb51[38])}});this[_0xfb51[14]][_0xfb51[39]]=false;this[_0xfb51[14]][_0xfb51[40]]=true;this[_0xfb51[14]][_0xfb51[41]]=null};mxUtils[_0xfb51[42]](Editor,mxEventSource);Editor[_0xfb51[44]][_0xfb51[43]]=IMAGE_PATH+_0xfb51[45];Editor[_0xfb51[44]][_0xfb51[46]]=IMAGE_PATH+_0xfb51[47];Editor[_0xfb51[44]][_0xfb51[48]]=function(_0x1882x7){var _0x1882x8= new mxCodec(_0x1882x7[_0xfb51[49]]);if(_0x1882x7[_0xfb51[50]]==_0xfb51[51]){this[_0xfb51[14]][_0xfb51[53]][_0xfb51[52]]=1;this[_0xfb51[14]][_0xfb51[54]]=_0x1882x7[_0xfb51[56]](_0xfb51[55])!=_0xfb51[57];this[_0xfb51[14]][_0xfb51[59]][_0xfb51[58]]=_0x1882x7[_0xfb51[56]](_0xfb51[60])!=_0xfb51[57];this[_0xfb51[14]][_0xfb51[62]](_0x1882x7[_0xfb51[56]](_0xfb51[61])!=_0xfb51[57]);this[_0xfb51[14]][_0xfb51[64]](_0x1882x7[_0xfb51[56]](_0xfb51[63])!=_0xfb51[57]);this[_0xfb51[14]][_0xfb51[65]]=_0x1882x7[_0xfb51[56]](_0xfb51[66])!=_0xfb51[57];this[_0xfb51[14]][_0xfb51[40]]=_0x1882x7[_0xfb51[56]](_0xfb51[40])!=_0xfb51[57];if(!this[_0xfb51[14]][_0xfb51[40]]){this[_0xfb51[14]][_0xfb51[68]][_0xfb51[67]]=0;this[_0xfb51[14]][_0xfb51[68]][_0xfb51[69]]=0;this[_0xfb51[14]][_0xfb51[53]][_0xfb51[71]][_0xfb51[70]]=Number(_0x1882x7[_0xfb51[56]](_0xfb51[72])||0);this[_0xfb51[14]][_0xfb51[53]][_0xfb51[71]][_0xfb51[73]]=Number(_0x1882x7[_0xfb51[56]](_0xfb51[74])||0)};this[_0xfb51[14]][_0xfb51[75]]=_0x1882x7[_0xfb51[56]](_0xfb51[76])==_0xfb51[8];this[_0xfb51[14]][_0xfb51[77]]=this[_0xfb51[14]][_0xfb51[75]];this[_0xfb51[14]][_0xfb51[78]]=this[_0xfb51[14]][_0xfb51[77]];var _0x1882x9=_0x1882x7[_0xfb51[56]](_0xfb51[79]);if(_0x1882x9!=null){this[_0xfb51[14]][_0xfb51[79]]=_0x1882x9}else {this[_0xfb51[14]][_0xfb51[79]]=1.5};var _0x1882xa=_0x1882x7[_0xfb51[56]](_0xfb51[80]);var _0x1882xb=_0x1882x7[_0xfb51[56]](_0xfb51[81]);if(_0x1882xa!=null&&_0x1882xb!=null){this[_0xfb51[14]][_0xfb51[82]]= new mxRectangle(0,0,parseFloat(_0x1882xa),parseFloat(_0x1882xb));this[_0xfb51[15]][_0xfb51[15]][_0xfb51[82]]=this[_0xfb51[14]][_0xfb51[82]]};var _0x1882xc=_0x1882x7[_0xfb51[56]](_0xfb51[41]);if(_0x1882xc!=null&&_0x1882xc[_0xfb51[83]]>0){this[_0xfb51[14]][_0xfb51[41]]=_0x1882xc};_0x1882x8[_0xfb51[84]](_0x1882x7,this[_0xfb51[14]][_0xfb51[36]]());this[_0xfb51[85]]()}};Editor[_0xfb51[44]][_0xfb51[86]]=function(){var _0x1882xd= new mxCodec(mxUtils[_0xfb51[87]]());var _0x1882x7=_0x1882xd[_0xfb51[88]](this[_0xfb51[14]][_0xfb51[36]]());if(this[_0xfb51[14]][_0xfb51[53]][_0xfb51[71]][_0xfb51[70]]!=0||this[_0xfb51[14]][_0xfb51[53]][_0xfb51[71]][_0xfb51[73]]!=0){_0x1882x7[_0xfb51[90]](_0xfb51[72],Math[_0xfb51[89]](this[_0xfb51[14]][_0xfb51[53]][_0xfb51[71]][_0xfb51[70]]*100)/100);_0x1882x7[_0xfb51[90]](_0xfb51[74],Math[_0xfb51[89]](this[_0xfb51[14]][_0xfb51[53]][_0xfb51[71]][_0xfb51[73]]*100)/100)};_0x1882x7[_0xfb51[90]](_0xfb51[55],(this[_0xfb51[14]][_0xfb51[91]]())?_0xfb51[8]:_0xfb51[57]);_0x1882x7[_0xfb51[90]](_0xfb51[60],(this[_0xfb51[14]][_0xfb51[59]][_0xfb51[58]])?_0xfb51[8]:_0xfb51[57]);_0x1882x7[_0xfb51[90]](_0xfb51[60],(this[_0xfb51[14]][_0xfb51[59]][_0xfb51[58]])?_0xfb51[8]:_0xfb51[57]);_0x1882x7[_0xfb51[90]](_0xfb51[61],(this[_0xfb51[14]][_0xfb51[93]][_0xfb51[92]]())?_0xfb51[8]:_0xfb51[57]);_0x1882x7[_0xfb51[90]](_0xfb51[63],(this[_0xfb51[14]][_0xfb51[94]][_0xfb51[92]]())?_0xfb51[8]:_0xfb51[57]);_0x1882x7[_0xfb51[90]](_0xfb51[66],(this[_0xfb51[14]][_0xfb51[65]])?_0xfb51[8]:_0xfb51[57]);_0x1882x7[_0xfb51[90]](_0xfb51[76],(this[_0xfb51[14]][_0xfb51[75]])?_0xfb51[8]:_0xfb51[57]);_0x1882x7[_0xfb51[90]](_0xfb51[79],this[_0xfb51[14]][_0xfb51[79]]);_0x1882x7[_0xfb51[90]](_0xfb51[80],this[_0xfb51[14]][_0xfb51[82]][_0xfb51[95]]);_0x1882x7[_0xfb51[90]](_0xfb51[81],this[_0xfb51[14]][_0xfb51[82]][_0xfb51[96]]);if(!this[_0xfb51[14]][_0xfb51[40]]){_0x1882x7[_0xfb51[90]](_0xfb51[40],_0xfb51[57])};if(this[_0xfb51[14]][_0xfb51[41]]!=null){_0x1882x7[_0xfb51[90]](_0xfb51[41],this[_0xfb51[14]][_0xfb51[41]])};return _0x1882x7};Editor[_0xfb51[44]][_0xfb51[85]]=function(){var _0x1882xe=this[_0xfb51[14]];var _0x1882xf=this[_0xfb51[15]];if(_0x1882xe[_0xfb51[68]]!=null&&_0x1882xf[_0xfb51[15]][_0xfb51[68]]!=null){if(_0x1882xe[_0xfb51[41]]!=null){if(_0x1882xe[_0xfb51[41]]==_0xfb51[97]){_0x1882xe[_0xfb51[68]][_0xfb51[99]][_0xfb51[98]]=_0xfb51[100]}else {if(_0x1882xe[_0xfb51[53]][_0xfb51[101]]!=null){_0x1882xe[_0xfb51[53]][_0xfb51[101]][_0xfb51[102]]=_0x1882xe[_0xfb51[41]];_0x1882xe[_0xfb51[53]][_0xfb51[101]][_0xfb51[103]]()};_0x1882xe[_0xfb51[68]][_0xfb51[99]][_0xfb51[98]]=_0x1882xe[_0xfb51[41]]}}else {_0x1882xe[_0xfb51[68]][_0xfb51[99]][_0xfb51[98]]=_0xfb51[20]};if(_0x1882xe[_0xfb51[75]]){_0x1882xe[_0xfb51[68]][_0xfb51[99]][_0xfb51[98]]=_0xfb51[104];_0x1882xe[_0xfb51[68]][_0xfb51[99]][_0xfb51[105]]=_0xfb51[106];_0x1882xe[_0xfb51[68]][_0xfb51[99]][_0xfb51[107]]=_0xfb51[108];_0x1882xe[_0xfb51[68]][_0xfb51[99]][_0xfb51[109]]=_0xfb51[110];_0x1882xe[_0xfb51[68]][_0xfb51[99]][_0xfb51[111]]=_0xfb51[110];_0x1882xe[_0xfb51[68]][_0xfb51[99]][_0xfb51[112]]=_0xfb51[113];_0x1882xe[_0xfb51[68]][_0xfb51[99]][_0xfb51[114]]=_0xfb51[113]}else {_0x1882xe[_0xfb51[68]][_0xfb51[99]][_0xfb51[115]]=_0xfb51[20]};_0x1882xf[_0xfb51[15]][_0xfb51[68]][_0xfb51[99]][_0xfb51[98]]=_0x1882xe[_0xfb51[68]][_0xfb51[99]][_0xfb51[98]];if(_0x1882xf[_0xfb51[15]][_0xfb51[75]]!=_0x1882xe[_0xfb51[75]]||_0x1882xf[_0xfb51[15]][_0xfb51[79]]!=_0x1882xe[_0xfb51[79]]){_0x1882xf[_0xfb51[15]][_0xfb51[79]]=_0x1882xe[_0xfb51[79]];_0x1882xf[_0xfb51[15]][_0xfb51[75]]=_0x1882xe[_0xfb51[75]];_0x1882xf[_0xfb51[15]][_0xfb51[53]][_0xfb51[116]]()};if(_0x1882xe[_0xfb51[40]]&&_0x1882xe[_0xfb51[68]][_0xfb51[99]][_0xfb51[117]]==_0xfb51[118]&& !touchStyle){_0x1882xe[_0xfb51[68]][_0xfb51[99]][_0xfb51[117]]=_0xfb51[119]}else {if(!_0x1882xe[_0xfb51[40]]||touchStyle){_0x1882xe[_0xfb51[68]][_0xfb51[99]][_0xfb51[117]]=_0xfb51[118]}};var _0x1882x10=(mxClient[_0xfb51[120]]&&document[_0xfb51[121]]>=9)?_0xfb51[122]+this[_0xfb51[46]]+_0xfb51[123]:_0xfb51[97];_0x1882xe[_0xfb51[68]][_0xfb51[99]][_0xfb51[124]]=(!_0x1882xe[_0xfb51[75]]&&_0x1882xe[_0xfb51[91]]())?_0xfb51[122]+this[_0xfb51[43]]+_0xfb51[123]:_0x1882x10;if(_0x1882xe[_0xfb51[53]][_0xfb51[101]]!=null){_0x1882xe[_0xfb51[53]][_0xfb51[101]][_0xfb51[125]][_0xfb51[99]][_0xfb51[124]]=(this[_0xfb51[14]][_0xfb51[91]]())?_0xfb51[122]+this[_0xfb51[43]]+_0xfb51[123]:_0xfb51[97]}}};Editor[_0xfb51[44]][_0xfb51[12]]=function(){if(mxClient[_0xfb51[126]]){mxClient[_0xfb51[129]](_0xfb51[127],CSS_PATH+_0xfb51[128])};mxResources[_0xfb51[130]]=false;mxResources[_0xfb51[131]](RESOURCE_BASE);mxConstants[_0xfb51[132]]=0.3;var _0x1882x11=mxConnectionHandler[_0xfb51[44]][_0xfb51[133]];mxConnectionHandler[_0xfb51[44]][_0xfb51[133]]=function(){var _0x1882x12=_0x1882x11[_0xfb51[33]](this,arguments);_0x1882x12[_0xfb51[134]]=mxUtils[_0xfb51[34]](this,function(_0x1882x13,_0x1882x14){if(this[_0xfb51[135]]()){return true};return mxCellMarker[_0xfb51[44]][_0xfb51[134]][_0xfb51[33]](_0x1882x12,arguments)});return _0x1882x12};mxConstants[_0xfb51[136]]=_0xfb51[137];mxConstants[_0xfb51[138]]=_0xfb51[139];mxConstants[_0xfb51[140]]=_0xfb51[141];mxConstants[_0xfb51[142]]=_0xfb51[143];mxConstants[_0xfb51[144]]=_0xfb51[143];mxConstants[_0xfb51[145]]=_0xfb51[139];mxConstants[_0xfb51[146]]=_0xfb51[143];mxConstants[_0xfb51[147]]=_0xfb51[148];mxConstants[_0xfb51[149]]=_0xfb51[143];mxConstants[_0xfb51[150]]=_0xfb51[143];mxConstants[_0xfb51[151]]=_0xfb51[148];mxConstants[_0xfb51[152]]=_0xfb51[141];mxGraph[_0xfb51[44]][_0xfb51[153]]=_0xfb51[154];mxGraph[_0xfb51[44]][_0xfb51[79]]=1;mxRubberband[_0xfb51[44]][_0xfb51[155]]=30;mxGraphView[_0xfb51[44]][_0xfb51[156]]=function(_0x1882x15){return new mxRectangleShape(_0x1882x15,this[_0xfb51[14]][_0xfb51[41]]||_0xfb51[157],_0xfb51[158])};mxGraphView[_0xfb51[44]][_0xfb51[159]]=function(){var _0x1882x16=this[_0xfb51[160]]();var _0x1882x17=(_0x1882x16[_0xfb51[95]]>0)?_0x1882x16[_0xfb51[70]]/this[_0xfb51[52]]-this[_0xfb51[71]][_0xfb51[70]]:0;var _0x1882x18=(_0x1882x16[_0xfb51[96]]>0)?_0x1882x16[_0xfb51[73]]/this[_0xfb51[52]]-this[_0xfb51[71]][_0xfb51[73]]:0;var _0x1882x19=_0x1882x16[_0xfb51[95]]/this[_0xfb51[52]];var _0x1882x1a=_0x1882x16[_0xfb51[96]]/this[_0xfb51[52]];var _0x1882x1b=this[_0xfb51[14]][_0xfb51[82]];var _0x1882x9=this[_0xfb51[14]][_0xfb51[79]];var _0x1882xa=_0x1882x1b[_0xfb51[95]]*_0x1882x9;var _0x1882xb=_0x1882x1b[_0xfb51[96]]*_0x1882x9;var _0x1882x1c=Math[_0xfb51[162]](Math[_0xfb51[161]](0,_0x1882x17)/_0x1882xa);var _0x1882x1d=Math[_0xfb51[162]](Math[_0xfb51[161]](0,_0x1882x18)/_0x1882xb);var _0x1882x1e=Math[_0xfb51[164]](Math[_0xfb51[163]](1,_0x1882x17+_0x1882x19)/_0x1882xa);var _0x1882x1f=Math[_0xfb51[164]](Math[_0xfb51[163]](1,_0x1882x18+_0x1882x1a)/_0x1882xb);var _0x1882x20=_0x1882x1e-_0x1882x1c;var _0x1882x21=_0x1882x1f-_0x1882x1d;var _0x1882x15= new mxRectangle(this[_0xfb51[52]]*(this[_0xfb51[71]][_0xfb51[70]]+_0x1882x1c*_0x1882xa),this[_0xfb51[52]]*(this[_0xfb51[71]][_0xfb51[73]]+_0x1882x1d*_0x1882xb),this[_0xfb51[52]]*_0x1882x20*_0x1882xa,this[_0xfb51[52]]*_0x1882x21*_0x1882xb);return _0x1882x15};var _0x1882x22=mxGraph[_0xfb51[44]][_0xfb51[165]];mxGraph[_0xfb51[44]][_0xfb51[165]]=function(_0x1882x23,_0x1882x24){_0x1882x22[_0xfb51[33]](this,arguments);if((this[_0xfb51[166]]!=mxConstants[_0xfb51[167]]&&this[_0xfb51[53]][_0xfb51[101]]!=null)&&(!this[_0xfb51[168]]||!mxUtils[_0xfb51[169]](this[_0xfb51[68]]))){this[_0xfb51[53]][_0xfb51[101]][_0xfb51[125]][_0xfb51[99]][_0xfb51[170]]=_0x1882x23+_0xfb51[171];this[_0xfb51[53]][_0xfb51[101]][_0xfb51[125]][_0xfb51[99]][_0xfb51[172]]=_0x1882x24+_0xfb51[171]}};var _0x1882x25=this;mxGraphView[_0xfb51[44]][_0xfb51[173]]=function(){var _0x1882xc=this[_0xfb51[14]][_0xfb51[174]]();if(_0x1882xc!=null){if(this[_0xfb51[124]]==null||this[_0xfb51[124]][_0xfb51[175]]!=_0x1882xc[_0xfb51[176]]){if(this[_0xfb51[124]]!=null){this[_0xfb51[124]][_0xfb51[177]]()};var _0x1882x15= new mxRectangle(0,0,1,1);this[_0xfb51[124]]= new mxImageShape(_0x1882x15,_0x1882xc[_0xfb51[176]]);this[_0xfb51[124]][_0xfb51[166]]=this[_0xfb51[14]][_0xfb51[166]];this[_0xfb51[124]][_0xfb51[12]](this[_0xfb51[178]]);this[_0xfb51[124]][_0xfb51[179]]()};this[_0xfb51[180]](this[_0xfb51[124]],_0x1882xc)}else {if(this[_0xfb51[124]]!=null){this[_0xfb51[124]][_0xfb51[177]]();this[_0xfb51[124]]=null}};if(this[_0xfb51[14]][_0xfb51[75]]){var _0x1882x15=this[_0xfb51[159]]();if(this[_0xfb51[101]]==null){this[_0xfb51[101]]=this[_0xfb51[156]](_0x1882x15);this[_0xfb51[101]][_0xfb51[52]]=1;this[_0xfb51[101]][_0xfb51[181]]=true;this[_0xfb51[101]][_0xfb51[166]]=mxConstants[_0xfb51[182]];this[_0xfb51[101]][_0xfb51[12]](this[_0xfb51[14]][_0xfb51[68]]);this[_0xfb51[14]][_0xfb51[68]][_0xfb51[184]][_0xfb51[99]][_0xfb51[183]]=_0xfb51[185];this[_0xfb51[14]][_0xfb51[68]][_0xfb51[186]](this[_0xfb51[101]][_0xfb51[125]],this[_0xfb51[14]][_0xfb51[68]][_0xfb51[184]]);this[_0xfb51[101]][_0xfb51[179]]();this[_0xfb51[101]][_0xfb51[125]][_0xfb51[187]]=_0xfb51[188];this[_0xfb51[101]][_0xfb51[125]][_0xfb51[99]][_0xfb51[189]]=_0xfb51[190];mxEvent[_0xfb51[35]](this[_0xfb51[101]][_0xfb51[125]],_0xfb51[191],mxUtils[_0xfb51[34]](this,function(_0x1882x14){this[_0xfb51[14]][_0xfb51[192]](_0x1882x14)}));mxEvent[_0xfb51[198]](this[_0xfb51[101]][_0xfb51[125]],mxUtils[_0xfb51[34]](this,function(_0x1882x14){this[_0xfb51[14]][_0xfb51[193]](mxEvent.MOUSE_DOWN, new mxMouseEvent(_0x1882x14))}),mxUtils[_0xfb51[34]](this,function(_0x1882x14){if(this[_0xfb51[14]][_0xfb51[93]]!=null&&this[_0xfb51[14]][_0xfb51[93]][_0xfb51[194]]()){this[_0xfb51[14]][_0xfb51[93]][_0xfb51[195]]()};if(this[_0xfb51[14]][_0xfb51[196]]&&!mxEvent[_0xfb51[197]](_0x1882x14)){this[_0xfb51[14]][_0xfb51[193]](mxEvent.MOUSE_MOVE, new mxMouseEvent(_0x1882x14))}}),mxUtils[_0xfb51[34]](this,function(_0x1882x14){this[_0xfb51[14]][_0xfb51[193]](mxEvent.MOUSE_UP, new mxMouseEvent(_0x1882x14))}))}else {this[_0xfb51[101]][_0xfb51[52]]=1;this[_0xfb51[101]][_0xfb51[199]]=_0x1882x15;this[_0xfb51[101]][_0xfb51[179]]()};this[_0xfb51[101]][_0xfb51[125]][_0xfb51[99]][_0xfb51[124]]=(this[_0xfb51[14]][_0xfb51[91]]())?_0xfb51[122]+_0x1882x25[_0xfb51[43]]+_0xfb51[123]:_0xfb51[97]}else {if(this[_0xfb51[101]]!=null){this[_0xfb51[101]][_0xfb51[177]]();this[_0xfb51[101]]=null}}};mxGraph[_0xfb51[44]][_0xfb51[200]]=function(_0x1882x26,_0x1882x27,_0x1882x28){var _0x1882x29=this[_0xfb51[53]][_0xfb51[52]];var _0x1882x2a=this[_0xfb51[53]][_0xfb51[71]];var _0x1882x1b=this[_0xfb51[82]];var _0x1882x9=_0x1882x29*this[_0xfb51[79]];var _0x1882x2b=this[_0xfb51[53]][_0xfb51[159]]();_0x1882x27=_0x1882x2b[_0xfb51[95]];_0x1882x28=_0x1882x2b[_0xfb51[96]];var _0x1882x15= new mxRectangle(_0x1882x29*_0x1882x2a[_0xfb51[70]],_0x1882x29*_0x1882x2a[_0xfb51[73]],_0x1882x1b[_0xfb51[95]]*_0x1882x9,_0x1882x1b[_0xfb51[96]]*_0x1882x9);_0x1882x26=_0x1882x26&&Math[_0xfb51[161]](_0x1882x15[_0xfb51[95]],_0x1882x15[_0xfb51[96]])>this[_0xfb51[201]];var _0x1882x2c=(_0x1882x26)?Math[_0xfb51[164]](_0x1882x27/_0x1882x15[_0xfb51[95]])-1:0;var _0x1882x2d=(_0x1882x26)?Math[_0xfb51[164]](_0x1882x28/_0x1882x15[_0xfb51[96]])-1:0;var _0x1882x2e=_0x1882x2b[_0xfb51[70]]+_0x1882x27;var _0x1882x2f=_0x1882x2b[_0xfb51[73]]+_0x1882x28;if(this[_0xfb51[202]]==null&&_0x1882x2c>0){this[_0xfb51[202]]=[]};if(this[_0xfb51[202]]!=null){for(var _0x1882x30=0;_0x1882x30<=_0x1882x2c;_0x1882x30++){var _0x1882x31=[ new mxPoint(_0x1882x2b[_0xfb51[70]]+(_0x1882x30+1)*_0x1882x15[_0xfb51[95]],_0x1882x2b[_0xfb51[73]]), new mxPoint(_0x1882x2b[_0xfb51[70]]+(_0x1882x30+1)*_0x1882x15[_0xfb51[95]],_0x1882x2f)];if(this[_0xfb51[202]][_0x1882x30]!=null){this[_0xfb51[202]][_0x1882x30][_0xfb51[52]]=1;this[_0xfb51[202]][_0x1882x30][_0xfb51[203]]=_0x1882x31;this[_0xfb51[202]][_0x1882x30][_0xfb51[179]]()}else {var _0x1882x32= new mxPolyline(_0x1882x31,this[_0xfb51[153]],this[_0xfb51[52]]);_0x1882x32[_0xfb51[166]]=this[_0xfb51[166]];_0x1882x32[_0xfb51[204]]=this[_0xfb51[205]];_0x1882x32[_0xfb51[206]]=false;_0x1882x32[_0xfb51[52]]=_0x1882x29;_0x1882x32[_0xfb51[12]](this[_0xfb51[53]][_0xfb51[178]]);_0x1882x32[_0xfb51[179]]();this[_0xfb51[202]][_0x1882x30]=_0x1882x32}};for(var _0x1882x30=_0x1882x2c;_0x1882x300){this[_0xfb51[208]]=[]};if(this[_0xfb51[208]]!=null){for(var _0x1882x30=0;_0x1882x30<=_0x1882x2d;_0x1882x30++){var _0x1882x31=[ new mxPoint(_0x1882x2b[_0xfb51[70]],_0x1882x2b[_0xfb51[73]]+(_0x1882x30+1)*_0x1882x15[_0xfb51[96]]), new mxPoint(_0x1882x2e,_0x1882x2b[_0xfb51[73]]+(_0x1882x30+1)*_0x1882x15[_0xfb51[96]])];if(this[_0xfb51[208]][_0x1882x30]!=null){this[_0xfb51[208]][_0x1882x30][_0xfb51[52]]=1;this[_0xfb51[208]][_0x1882x30][_0xfb51[203]]=_0x1882x31;this[_0xfb51[208]][_0x1882x30][_0xfb51[179]]()}else {var _0x1882x32= new mxPolyline(_0x1882x31,this[_0xfb51[153]],_0x1882x29);_0x1882x32[_0xfb51[166]]=this[_0xfb51[166]];_0x1882x32[_0xfb51[204]]=this[_0xfb51[205]];_0x1882x32[_0xfb51[206]]=false;_0x1882x32[_0xfb51[52]]=_0x1882x29;_0x1882x32[_0xfb51[12]](this[_0xfb51[53]][_0xfb51[178]]);_0x1882x32[_0xfb51[179]]();this[_0xfb51[208]][_0x1882x30]=_0x1882x32}};for(var _0x1882x30=_0x1882x2d;_0x1882x300&&_0x1882x4d[0]==_0xfb51[255]){_0x1882x4e=_0x1882x4d[1];for(var _0x1882x30=2;_0x1882x30<_0x1882x4d[_0xfb51[83]]-1;_0x1882x30++){_0x1882x4e+=_0xfb51[252]+_0x1882x4d[_0x1882x30]}};return _0x1882x4e};mxStencilRegistry[_0xfb51[241]]=function(_0x1882x4f,_0x1882x50,_0x1882x51){_0x1882x51=(_0x1882x51!=null)?_0x1882x51:false;var _0x1882x52=mxStencilRegistry[_0xfb51[243]][_0x1882x4f];if(_0x1882x51||_0x1882x52==null){var _0x1882x53=false;if(_0x1882x52==null){var _0x1882x4c=mxUtils[_0xfb51[250]](_0x1882x4f);_0x1882x52=_0x1882x4c[_0xfb51[256]]();mxStencilRegistry[_0xfb51[243]][_0x1882x4f]=_0x1882x52;_0x1882x53=true};mxStencilRegistry[_0xfb51[257]](_0x1882x52,_0x1882x50,_0x1882x53)}};mxStencilRegistry[_0xfb51[257]]=function(_0x1882x54,_0x1882x50,_0x1882x53){_0x1882x53=(_0x1882x53!=null)?_0x1882x53:true;var _0x1882x55=_0x1882x54[_0xfb51[258]];var _0x1882x56=_0x1882x55[_0xfb51[184]];var _0x1882x57=_0xfb51[20];var _0x1882x48=_0x1882x55[_0xfb51[56]](_0xfb51[259]);if(_0x1882x48!=null){_0x1882x57=_0x1882x48+_0xfb51[253]};while(_0x1882x56!=null){if(_0x1882x56[_0xfb51[260]]==mxConstants[_0xfb51[261]]){_0x1882x48=_0x1882x56[_0xfb51[56]](_0xfb51[259]);if(_0x1882x48!=null){_0x1882x57=_0x1882x57[_0xfb51[248]]();var _0x1882x58=_0x1882x48[_0xfb51[263]](/ /g,_0xfb51[262]);if(_0x1882x53){mxStencilRegistry[_0xfb51[264]](_0x1882x57+_0x1882x58[_0xfb51[248]](), new mxStencil(_0x1882x56))};if(_0x1882x50!=null){var _0x1882x19=_0x1882x56[_0xfb51[56]](_0xfb51[265]);var _0x1882x1a=_0x1882x56[_0xfb51[56]](_0xfb51[266]);_0x1882x19=(_0x1882x19==null)?80:parseInt(_0x1882x19,10);_0x1882x1a=(_0x1882x1a==null)?80:parseInt(_0x1882x1a,10);_0x1882x50(_0x1882x57,_0x1882x58,_0x1882x48,_0x1882x19,_0x1882x1a)}}};_0x1882x56=_0x1882x56[_0xfb51[267]]}}})();OpenFile=function(_0x1882x59){this[_0xfb51[268]]=null;this[_0xfb51[269]]=null;this[_0xfb51[270]]=_0x1882x59};OpenFile[_0xfb51[44]][_0xfb51[271]]=function(_0x1882x6){this[_0xfb51[269]]=_0x1882x6;this[_0xfb51[272]]()};OpenFile[_0xfb51[44]][_0xfb51[273]]=function(_0x1882x6,_0x1882x5a){this[_0xfb51[274]]=_0x1882x6;this[_0xfb51[21]]=_0x1882x5a;this[_0xfb51[272]]()};OpenFile[_0xfb51[44]][_0xfb51[275]]=function(_0x1882x5b){this[_0xfb51[276]]();mxUtils[_0xfb51[277]](_0x1882x5b)};OpenFile[_0xfb51[44]][_0xfb51[272]]=function(){if(this[_0xfb51[269]]!=null&&this[_0xfb51[274]]!=null){this[_0xfb51[269]](this[_0xfb51[274]],this[_0xfb51[21]]);this[_0xfb51[276]]()}};OpenFile[_0xfb51[44]][_0xfb51[276]]=function(){if(this[_0xfb51[270]]!=null){this[_0xfb51[270]]()}} \ No newline at end of file +var _0xd915=["\x49\x53\x5F\x54\x4F\x55\x43\x48","\x73\x74\x6F\x72\x61\x67\x65","\x6C\x6F\x63\x61\x6C","\x75\x6E\x64\x65\x66\x69\x6E\x65\x64","\x46\x69\x6C\x65","\x46\x69\x6C\x65\x52\x65\x61\x64\x65\x72","\x46\x69\x6C\x65\x4C\x69\x73\x74","\x74\x6F\x75\x63\x68","\x31","\x6F\x70\x65\x6E\x65\x72","\x63\x6F\x75\x6E\x74\x65\x72","\x63\x61\x6C\x6C","\x69\x6E\x69\x74","\x69\x6E\x69\x74\x53\x74\x65\x6E\x63\x69\x6C\x52\x65\x67\x69\x73\x74\x72\x79","\x67\x72\x61\x70\x68","\x6F\x75\x74\x6C\x69\x6E\x65","\x75\x70\x64\x61\x74\x65\x4F\x6E\x50\x61\x6E","\x75\x6E\x64\x6F\x4D\x61\x6E\x61\x67\x65\x72","\x63\x72\x65\x61\x74\x65\x55\x6E\x64\x6F\x4D\x61\x6E\x61\x67\x65\x72","\x73\x74\x61\x74\x75\x73","","\x66\x69\x6C\x65\x6E\x61\x6D\x65","\x67\x65\x74\x4F\x72\x43\x72\x65\x61\x74\x65\x46\x69\x6C\x65\x6E\x61\x6D\x65","\x64\x72\x61\x77\x69\x6E\x67","\x67\x65\x74","\x2E\x78\x6D\x6C","\x67\x65\x74\x46\x69\x6C\x65\x6E\x61\x6D\x65","\x73\x65\x74\x53\x74\x61\x74\x75\x73","\x73\x74\x61\x74\x75\x73\x43\x68\x61\x6E\x67\x65\x64","\x66\x69\x72\x65\x45\x76\x65\x6E\x74","\x67\x65\x74\x53\x74\x61\x74\x75\x73","\x6D\x6F\x64\x69\x66\x69\x65\x64","\x67\x72\x61\x70\x68\x43\x68\x61\x6E\x67\x65\x4C\x69\x73\x74\x65\x6E\x65\x72","\x61\x70\x70\x6C\x79","\x62\x69\x6E\x64","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x6F\x6E\x62\x65\x66\x6F\x72\x65\x75\x6E\x6C\x6F\x61\x64","\x61\x6C\x6C\x43\x68\x61\x6E\x67\x65\x73\x4C\x6F\x73\x74","\x72\x65\x73\x65\x74\x56\x69\x65\x77\x4F\x6E\x52\x6F\x6F\x74\x43\x68\x61\x6E\x67\x65","\x73\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x65\x78\x74\x65\x6E\x64","\x67\x72\x69\x64\x49\x6D\x61\x67\x65","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x2F\x67\x72\x69\x64\x2E\x67\x69\x66","\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x49\x6D\x61\x67\x65","\x2F\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x2E\x67\x69\x66","\x73\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x6F\x77\x6E\x65\x72\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x6E\x6F\x64\x65\x4E\x61\x6D\x65","\x6D\x78\x47\x72\x61\x70\x68\x4D\x6F\x64\x65\x6C","\x73\x63\x61\x6C\x65","\x76\x69\x65\x77","\x67\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x69\x64","\x67\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x30","\x67\x75\x69\x64\x65\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x61\x70\x68\x48\x61\x6E\x64\x6C\x65\x72","\x67\x75\x69\x64\x65\x73","\x74\x6F\x6F\x6C\x74\x69\x70\x73","\x73\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x63\x6F\x6E\x6E\x65\x63\x74","\x73\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x66\x6F\x6C\x64","\x73\x63\x72\x6F\x6C\x6C\x4C\x65\x66\x74","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x73\x63\x72\x6F\x6C\x6C\x54\x6F\x70","\x78","\x74\x72\x61\x6E\x73\x6C\x61\x74\x65","\x64\x78","\x79","\x64\x79","\x70\x61\x67\x65\x56\x69\x73\x69\x62\x6C\x65","\x70\x61\x67\x65","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x73\x56\x69\x73\x69\x62\x6C\x65","\x70\x72\x65\x66\x65\x72\x50\x61\x67\x65\x53\x69\x7A\x65","\x70\x61\x67\x65\x53\x63\x61\x6C\x65","\x70\x61\x67\x65\x57\x69\x64\x74\x68","\x70\x61\x67\x65\x48\x65\x69\x67\x68\x74","\x70\x61\x67\x65\x46\x6F\x72\x6D\x61\x74","\x6C\x65\x6E\x67\x74\x68","\x64\x65\x63\x6F\x64\x65","\x75\x70\x64\x61\x74\x65\x47\x72\x61\x70\x68\x43\x6F\x6D\x70\x6F\x6E\x65\x6E\x74\x73","\x67\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x63\x72\x65\x61\x74\x65\x58\x6D\x6C\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x65\x6E\x63\x6F\x64\x65","\x72\x6F\x75\x6E\x64","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x69\x73\x47\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x74\x6F\x6F\x6C\x74\x69\x70\x48\x61\x6E\x64\x6C\x65\x72","\x63\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x77\x69\x64\x74\x68","\x68\x65\x69\x67\x68\x74","\x6E\x6F\x6E\x65","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x43\x6F\x6C\x6F\x72","\x73\x74\x79\x6C\x65","\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65\x53\x68\x61\x70\x65","\x66\x69\x6C\x6C","\x72\x65\x63\x6F\x6E\x66\x69\x67\x75\x72\x65","\x23\x65\x62\x65\x62\x65\x62","\x62\x6F\x72\x64\x65\x72\x53\x74\x79\x6C\x65","\x73\x6F\x6C\x69\x64","\x62\x6F\x72\x64\x65\x72\x43\x6F\x6C\x6F\x72","\x23\x65\x35\x65\x35\x65\x35","\x62\x6F\x72\x64\x65\x72\x54\x6F\x70\x57\x69\x64\x74\x68","\x31\x70\x78","\x62\x6F\x72\x64\x65\x72\x4C\x65\x66\x74\x57\x69\x64\x74\x68","\x62\x6F\x72\x64\x65\x72\x52\x69\x67\x68\x74\x57\x69\x64\x74\x68","\x30\x70\x78","\x62\x6F\x72\x64\x65\x72\x42\x6F\x74\x74\x6F\x6D\x57\x69\x64\x74\x68","\x62\x6F\x72\x64\x65\x72","\x76\x61\x6C\x69\x64\x61\x74\x65","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x68\x69\x64\x64\x65\x6E","\x61\x75\x74\x6F","\x49\x53\x5F\x49\x45","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x4D\x6F\x64\x65","\x75\x72\x6C\x28","\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x6E\x6F\x64\x65","\x49\x53\x5F\x49\x45\x36","\x73\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x2F\x67\x72\x61\x70\x68\x65\x64\x69\x74\x6F\x72\x2D\x69\x65\x36\x2E\x63\x73\x73","\x6C\x69\x6E\x6B","\x6C\x6F\x61\x64\x44\x65\x66\x61\x75\x6C\x74\x42\x75\x6E\x64\x6C\x65","\x61\x64\x64","\x44\x45\x46\x41\x55\x4C\x54\x5F\x48\x4F\x54\x53\x50\x4F\x54","\x63\x72\x65\x61\x74\x65\x4D\x61\x72\x6B\x65\x72","\x69\x6E\x74\x65\x72\x73\x65\x63\x74\x73","\x69\x73\x43\x6F\x6E\x6E\x65\x63\x74\x69\x6E\x67","\x53\x48\x41\x44\x4F\x57\x43\x4F\x4C\x4F\x52","\x23\x64\x30\x64\x30\x64\x30","\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x23\x39\x39\x63\x63\x66\x66","\x48\x41\x4E\x44\x4C\x45\x5F\x53\x54\x52\x4F\x4B\x45\x43\x4F\x4C\x4F\x52","\x23\x30\x30\x38\x38\x63\x66","\x56\x45\x52\x54\x45\x58\x5F\x53\x45\x4C\x45\x43\x54\x49\x4F\x4E\x5F\x43\x4F\x4C\x4F\x52","\x23\x30\x30\x61\x38\x66\x66","\x4F\x55\x54\x4C\x49\x4E\x45\x5F\x43\x4F\x4C\x4F\x52","\x4F\x55\x54\x4C\x49\x4E\x45\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x4F\x55\x54\x4C\x49\x4E\x45\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x53\x54\x52\x4F\x4B\x45\x43\x4F\x4C\x4F\x52","\x43\x4F\x4E\x4E\x45\x43\x54\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x23\x63\x65\x65\x37\x66\x66","\x45\x44\x47\x45\x5F\x53\x45\x4C\x45\x43\x54\x49\x4F\x4E\x5F\x43\x4F\x4C\x4F\x52","\x44\x45\x46\x41\x55\x4C\x54\x5F\x56\x41\x4C\x49\x44\x5F\x43\x4F\x4C\x4F\x52","\x4C\x41\x42\x45\x4C\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x47\x55\x49\x44\x45\x5F\x43\x4F\x4C\x4F\x52","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x43\x6F\x6C\x6F\x72","\x23\x63\x30\x63\x30\x63\x30","\x64\x65\x66\x61\x75\x6C\x74\x4F\x70\x61\x63\x69\x74\x79","\x63\x72\x65\x61\x74\x65\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65\x53\x68\x61\x70\x65","\x77\x68\x69\x74\x65","\x23\x63\x61\x63\x61\x63\x61","\x67\x65\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65\x42\x6F\x75\x6E\x64\x73","\x67\x65\x74\x47\x72\x61\x70\x68\x42\x6F\x75\x6E\x64\x73","\x6D\x69\x6E","\x66\x6C\x6F\x6F\x72","\x6D\x61\x78","\x63\x65\x69\x6C","\x70\x61\x6E\x47\x72\x61\x70\x68","\x64\x69\x61\x6C\x65\x63\x74","\x44\x49\x41\x4C\x45\x43\x54\x5F\x53\x56\x47","\x75\x73\x65\x53\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73\x46\x6F\x72\x50\x61\x6E\x6E\x69\x6E\x67","\x68\x61\x73\x53\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73","\x6D\x61\x72\x67\x69\x6E\x4C\x65\x66\x74","\x70\x78","\x6D\x61\x72\x67\x69\x6E\x54\x6F\x70","\x76\x61\x6C\x69\x64\x61\x74\x65\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x67\x65\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x69\x6D\x61\x67\x65","\x73\x72\x63","\x64\x65\x73\x74\x72\x6F\x79","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x6E\x65","\x72\x65\x64\x72\x61\x77","\x72\x65\x64\x72\x61\x77\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x69\x73\x53\x68\x61\x64\x6F\x77","\x44\x49\x41\x4C\x45\x43\x54\x5F\x53\x54\x52\x49\x43\x54\x48\x54\x4D\x4C","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x66\x69\x72\x73\x74\x43\x68\x69\x6C\x64","\x61\x62\x73\x6F\x6C\x75\x74\x65","\x69\x6E\x73\x65\x72\x74\x42\x65\x66\x6F\x72\x65","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x2D\x31\x70\x78\x20\x2D\x31\x70\x78","\x64\x62\x6C\x63\x6C\x69\x63\x6B","\x64\x62\x6C\x43\x6C\x69\x63\x6B","\x66\x69\x72\x65\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74","\x69\x73\x48\x69\x64\x65\x4F\x6E\x48\x6F\x76\x65\x72","\x68\x69\x64\x65","\x69\x73\x4D\x6F\x75\x73\x65\x44\x6F\x77\x6E","\x69\x73\x43\x6F\x6E\x73\x75\x6D\x65\x64","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x62\x6F\x75\x6E\x64\x73","\x75\x70\x64\x61\x74\x65\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x73","\x6D\x69\x6E\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x44\x69\x73\x74","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x73","\x70\x6F\x69\x6E\x74\x73","\x69\x73\x44\x61\x73\x68\x65\x64","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x44\x61\x73\x68\x65\x64","\x61\x64\x64\x50\x69\x70\x65","\x73\x70\x6C\x69\x63\x65","\x76\x65\x72\x74\x69\x63\x61\x6C\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x73","\x73\x6E\x61\x70\x54\x6F\x54\x65\x72\x6D\x69\x6E\x61\x6C\x73","\x73\x68\x6F\x75\x6C\x64\x52\x65\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73\x46\x72\x6F\x6D\x50\x61\x72\x65\x6E\x74","\x69\x73\x56\x65\x72\x74\x65\x78","\x67\x65\x74\x43\x65\x6C\x6C\x47\x65\x6F\x6D\x65\x74\x72\x79","\x72\x65\x6C\x61\x74\x69\x76\x65","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64\x46\x6F\x72\x45\x76\x65\x6E\x74","\x69\x73\x41\x6C\x74\x44\x6F\x77\x6E","\x61\x64\x64\x49\x74\x65\x6D","\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E","\x63\x6F\x6E\x73\x75\x6D\x65","\x67\x65\x74\x49\x6E\x69\x74\x69\x61\x6C\x43\x65\x6C\x6C\x46\x6F\x72\x45\x76\x65\x6E\x74","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x67\x65\x74\x50\x61\x72\x65\x6E\x74","\x69\x73\x43\x65\x6C\x6C\x53\x65\x6C\x65\x63\x74\x65\x64","\x69\x73\x56\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x69\x73\x44\x65\x6C\x61\x79\x65\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x73\x65\x6C\x65\x63\x74\x44\x65\x6C\x61\x79\x65\x64","\x67\x65\x74\x43\x65\x6C\x6C","\x63\x65\x6C\x6C","\x67\x65\x74\x45\x76\x65\x6E\x74","\x73\x65\x6C\x65\x63\x74\x43\x65\x6C\x6C\x46\x6F\x72\x45\x76\x65\x6E\x74","\x67\x65\x74\x43\x65\x6C\x6C\x46\x6F\x72\x50\x6F\x70\x75\x70\x45\x76\x65\x6E\x74","\x65\x64\x69\x74","\x67\x65\x74\x50\x72\x6F\x70\x65\x72\x74\x79","\x75\x6E\x64\x6F\x61\x62\x6C\x65\x45\x64\x69\x74\x48\x61\x70\x70\x65\x6E\x65\x64","\x67\x65\x74\x56\x69\x65\x77","\x63\x68\x61\x6E\x67\x65\x73","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73\x46\x6F\x72\x43\x68\x61\x6E\x67\x65\x73","\x67\x65\x74\x53\x74\x61\x74\x65","\x70\x75\x73\x68","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x2F\x67\x65\x6E\x65\x72\x61\x6C\x2E\x78\x6D\x6C","\x6C\x6F\x61\x64\x53\x74\x65\x6E\x63\x69\x6C\x53\x65\x74","\x6C\x69\x62\x72\x61\x72\x69\x65\x73","\x70\x61\x63\x6B\x61\x67\x65\x73","\x67\x65\x74\x53\x74\x65\x6E\x63\x69\x6C","\x73\x74\x65\x6E\x63\x69\x6C\x73","\x67\x65\x74\x42\x61\x73\x65\x6E\x61\x6D\x65\x46\x6F\x72\x53\x74\x65\x6E\x63\x69\x6C","\x73\x75\x62\x73\x74\x72\x69\x6E\x67","\x74\x6F\x4C\x6F\x77\x65\x72\x43\x61\x73\x65","\x2E\x6A\x73","\x6C\x6F\x61\x64","\x67\x65\x74\x54\x65\x78\x74","\x2F","\x2E","\x73\x70\x6C\x69\x74","\x6D\x78\x67\x72\x61\x70\x68","\x67\x65\x74\x58\x6D\x6C","\x70\x61\x72\x73\x65\x53\x74\x65\x6E\x63\x69\x6C\x53\x65\x74","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x6E\x61\x6D\x65","\x6E\x6F\x64\x65\x54\x79\x70\x65","\x4E\x4F\x44\x45\x54\x59\x50\x45\x5F\x45\x4C\x45\x4D\x45\x4E\x54","\x5F","\x72\x65\x70\x6C\x61\x63\x65","\x61\x64\x64\x53\x74\x65\x6E\x63\x69\x6C","\x77","\x68","\x6E\x65\x78\x74\x53\x69\x62\x6C\x69\x6E\x67","\x70\x72\x6F\x64\x75\x63\x65\x72","\x63\x6F\x6E\x73\x75\x6D\x65\x72","\x64\x6F\x6E\x65","\x73\x65\x74\x43\x6F\x6E\x73\x75\x6D\x65\x72","\x65\x78\x65\x63\x75\x74\x65","\x73\x65\x74\x44\x61\x74\x61","\x64\x61\x74\x61","\x65\x72\x72\x6F\x72","\x63\x61\x6E\x63\x65\x6C","\x61\x6C\x65\x72\x74"];var useLocalStorage=(mxClient[_0xd915[0]]||urlParams[_0xd915[1]]==_0xd915[2])&& typeof (localStorage)!=_0xd915[3];var fileSupport=window[_0xd915[4]]!=null&&window[_0xd915[5]]!=null&&window[_0xd915[6]]!=null;var touchStyle=mxClient[_0xd915[0]]||urlParams[_0xd915[7]]==_0xd915[8];var counter=0;try{var op=window;while(op[_0xd915[9]]!=null&&!isNaN(op[_0xd915[9]][_0xd915[10]])){op=op[_0xd915[9]]};if(op!=null){op[_0xd915[10]]++;counter=op[_0xd915[10]]}}catch(e){};Editor=function(){mxEventSource[_0xd915[11]](this);this[_0xd915[12]]();this[_0xd915[13]]();this[_0xd915[14]]= new Graph();this[_0xd915[15]]= new mxOutline(this[_0xd915[14]]);this[_0xd915[15]][_0xd915[16]]=true;this[_0xd915[17]]=this[_0xd915[18]]();this[_0xd915[19]]=_0xd915[20];this[_0xd915[21]]=null;this[_0xd915[22]]=function(){return this[_0xd915[21]]||mxResources[_0xd915[24]](_0xd915[23],[counter])+_0xd915[25]};this[_0xd915[26]]=function(){return this[_0xd915[21]]};this[_0xd915[27]]=function(_0xbd4dx6){this[_0xd915[19]]=_0xbd4dx6;this[_0xd915[29]]( new mxEventObject(_0xd915[28]))};this[_0xd915[30]]=function(){return this[_0xd915[19]]};this[_0xd915[31]]=false;this[_0xd915[32]]=function(){this[_0xd915[31]]=true};this[_0xd915[14]][_0xd915[36]]()[_0xd915[35]](mxEvent.CHANGE,mxUtils[_0xd915[34]](this,function(){this[_0xd915[32]][_0xd915[33]](this,arguments)}));window[_0xd915[37]]=mxUtils[_0xd915[34]](this,function(){if(this[_0xd915[31]]){return mxResources[_0xd915[24]](_0xd915[38])}});this[_0xd915[14]][_0xd915[39]]=false;this[_0xd915[14]][_0xd915[40]]=true;this[_0xd915[14]][_0xd915[41]]=null};mxUtils[_0xd915[42]](Editor,mxEventSource);Editor[_0xd915[44]][_0xd915[43]]=IMAGE_PATH+_0xd915[45];Editor[_0xd915[44]][_0xd915[46]]=IMAGE_PATH+_0xd915[47];Editor[_0xd915[44]][_0xd915[48]]=function(_0xbd4dx7){var _0xbd4dx8= new mxCodec(_0xbd4dx7[_0xd915[49]]);if(_0xbd4dx7[_0xd915[50]]==_0xd915[51]){this[_0xd915[14]][_0xd915[53]][_0xd915[52]]=1;this[_0xd915[14]][_0xd915[54]]=_0xbd4dx7[_0xd915[56]](_0xd915[55])!=_0xd915[57];this[_0xd915[14]][_0xd915[59]][_0xd915[58]]=_0xbd4dx7[_0xd915[56]](_0xd915[60])!=_0xd915[57];this[_0xd915[14]][_0xd915[62]](_0xbd4dx7[_0xd915[56]](_0xd915[61])!=_0xd915[57]);this[_0xd915[14]][_0xd915[64]](_0xbd4dx7[_0xd915[56]](_0xd915[63])!=_0xd915[57]);this[_0xd915[14]][_0xd915[65]]=_0xbd4dx7[_0xd915[56]](_0xd915[66])!=_0xd915[57];this[_0xd915[14]][_0xd915[40]]=_0xbd4dx7[_0xd915[56]](_0xd915[40])!=_0xd915[57];if(!this[_0xd915[14]][_0xd915[40]]){this[_0xd915[14]][_0xd915[68]][_0xd915[67]]=0;this[_0xd915[14]][_0xd915[68]][_0xd915[69]]=0;this[_0xd915[14]][_0xd915[53]][_0xd915[71]][_0xd915[70]]=Number(_0xbd4dx7[_0xd915[56]](_0xd915[72])||0);this[_0xd915[14]][_0xd915[53]][_0xd915[71]][_0xd915[73]]=Number(_0xbd4dx7[_0xd915[56]](_0xd915[74])||0)};this[_0xd915[14]][_0xd915[75]]=_0xbd4dx7[_0xd915[56]](_0xd915[76])==_0xd915[8];this[_0xd915[14]][_0xd915[77]]=this[_0xd915[14]][_0xd915[75]];this[_0xd915[14]][_0xd915[78]]=this[_0xd915[14]][_0xd915[77]];var _0xbd4dx9=_0xbd4dx7[_0xd915[56]](_0xd915[79]);if(_0xbd4dx9!=null){this[_0xd915[14]][_0xd915[79]]=_0xbd4dx9}else {this[_0xd915[14]][_0xd915[79]]=1.5};var _0xbd4dxa=_0xbd4dx7[_0xd915[56]](_0xd915[80]);var _0xbd4dxb=_0xbd4dx7[_0xd915[56]](_0xd915[81]);if(_0xbd4dxa!=null&&_0xbd4dxb!=null){this[_0xd915[14]][_0xd915[82]]= new mxRectangle(0,0,parseFloat(_0xbd4dxa),parseFloat(_0xbd4dxb));this[_0xd915[15]][_0xd915[15]][_0xd915[82]]=this[_0xd915[14]][_0xd915[82]]};var _0xbd4dxc=_0xbd4dx7[_0xd915[56]](_0xd915[41]);if(_0xbd4dxc!=null&&_0xbd4dxc[_0xd915[83]]>0){this[_0xd915[14]][_0xd915[41]]=_0xbd4dxc};_0xbd4dx8[_0xd915[84]](_0xbd4dx7,this[_0xd915[14]][_0xd915[36]]());this[_0xd915[85]]()}};Editor[_0xd915[44]][_0xd915[86]]=function(){var _0xbd4dxd= new mxCodec(mxUtils[_0xd915[87]]());var _0xbd4dx7=_0xbd4dxd[_0xd915[88]](this[_0xd915[14]][_0xd915[36]]());if(this[_0xd915[14]][_0xd915[53]][_0xd915[71]][_0xd915[70]]!=0||this[_0xd915[14]][_0xd915[53]][_0xd915[71]][_0xd915[73]]!=0){_0xbd4dx7[_0xd915[90]](_0xd915[72],Math[_0xd915[89]](this[_0xd915[14]][_0xd915[53]][_0xd915[71]][_0xd915[70]]*100)/100);_0xbd4dx7[_0xd915[90]](_0xd915[74],Math[_0xd915[89]](this[_0xd915[14]][_0xd915[53]][_0xd915[71]][_0xd915[73]]*100)/100)};_0xbd4dx7[_0xd915[90]](_0xd915[55],(this[_0xd915[14]][_0xd915[91]]())?_0xd915[8]:_0xd915[57]);_0xbd4dx7[_0xd915[90]](_0xd915[60],(this[_0xd915[14]][_0xd915[59]][_0xd915[58]])?_0xd915[8]:_0xd915[57]);_0xbd4dx7[_0xd915[90]](_0xd915[60],(this[_0xd915[14]][_0xd915[59]][_0xd915[58]])?_0xd915[8]:_0xd915[57]);_0xbd4dx7[_0xd915[90]](_0xd915[61],(this[_0xd915[14]][_0xd915[93]][_0xd915[92]]())?_0xd915[8]:_0xd915[57]);_0xbd4dx7[_0xd915[90]](_0xd915[63],(this[_0xd915[14]][_0xd915[94]][_0xd915[92]]())?_0xd915[8]:_0xd915[57]);_0xbd4dx7[_0xd915[90]](_0xd915[66],(this[_0xd915[14]][_0xd915[65]])?_0xd915[8]:_0xd915[57]);_0xbd4dx7[_0xd915[90]](_0xd915[76],(this[_0xd915[14]][_0xd915[75]])?_0xd915[8]:_0xd915[57]);_0xbd4dx7[_0xd915[90]](_0xd915[79],this[_0xd915[14]][_0xd915[79]]);_0xbd4dx7[_0xd915[90]](_0xd915[80],this[_0xd915[14]][_0xd915[82]][_0xd915[95]]);_0xbd4dx7[_0xd915[90]](_0xd915[81],this[_0xd915[14]][_0xd915[82]][_0xd915[96]]);if(!this[_0xd915[14]][_0xd915[40]]){_0xbd4dx7[_0xd915[90]](_0xd915[40],_0xd915[57])};if(this[_0xd915[14]][_0xd915[41]]!=null){_0xbd4dx7[_0xd915[90]](_0xd915[41],this[_0xd915[14]][_0xd915[41]])};return _0xbd4dx7};Editor[_0xd915[44]][_0xd915[85]]=function(){var _0xbd4dxe=this[_0xd915[14]];var _0xbd4dxf=this[_0xd915[15]];if(_0xbd4dxe[_0xd915[68]]!=null&&_0xbd4dxf[_0xd915[15]][_0xd915[68]]!=null){if(_0xbd4dxe[_0xd915[41]]!=null){if(_0xbd4dxe[_0xd915[41]]==_0xd915[97]){_0xbd4dxe[_0xd915[68]][_0xd915[99]][_0xd915[98]]=_0xd915[100]}else {if(_0xbd4dxe[_0xd915[53]][_0xd915[101]]!=null){_0xbd4dxe[_0xd915[53]][_0xd915[101]][_0xd915[102]]=_0xbd4dxe[_0xd915[41]];_0xbd4dxe[_0xd915[53]][_0xd915[101]][_0xd915[103]]()};_0xbd4dxe[_0xd915[68]][_0xd915[99]][_0xd915[98]]=_0xbd4dxe[_0xd915[41]]}}else {_0xbd4dxe[_0xd915[68]][_0xd915[99]][_0xd915[98]]=_0xd915[20]};if(_0xbd4dxe[_0xd915[75]]){_0xbd4dxe[_0xd915[68]][_0xd915[99]][_0xd915[98]]=_0xd915[104];_0xbd4dxe[_0xd915[68]][_0xd915[99]][_0xd915[105]]=_0xd915[106];_0xbd4dxe[_0xd915[68]][_0xd915[99]][_0xd915[107]]=_0xd915[108];_0xbd4dxe[_0xd915[68]][_0xd915[99]][_0xd915[109]]=_0xd915[110];_0xbd4dxe[_0xd915[68]][_0xd915[99]][_0xd915[111]]=_0xd915[110];_0xbd4dxe[_0xd915[68]][_0xd915[99]][_0xd915[112]]=_0xd915[113];_0xbd4dxe[_0xd915[68]][_0xd915[99]][_0xd915[114]]=_0xd915[113]}else {_0xbd4dxe[_0xd915[68]][_0xd915[99]][_0xd915[115]]=_0xd915[20]};_0xbd4dxf[_0xd915[15]][_0xd915[68]][_0xd915[99]][_0xd915[98]]=_0xbd4dxe[_0xd915[68]][_0xd915[99]][_0xd915[98]];if(_0xbd4dxf[_0xd915[15]][_0xd915[75]]!=_0xbd4dxe[_0xd915[75]]||_0xbd4dxf[_0xd915[15]][_0xd915[79]]!=_0xbd4dxe[_0xd915[79]]){_0xbd4dxf[_0xd915[15]][_0xd915[79]]=_0xbd4dxe[_0xd915[79]];_0xbd4dxf[_0xd915[15]][_0xd915[75]]=_0xbd4dxe[_0xd915[75]];_0xbd4dxf[_0xd915[15]][_0xd915[53]][_0xd915[116]]()};if(_0xbd4dxe[_0xd915[40]]&&_0xbd4dxe[_0xd915[68]][_0xd915[99]][_0xd915[117]]==_0xd915[118]&& !touchStyle){_0xbd4dxe[_0xd915[68]][_0xd915[99]][_0xd915[117]]=_0xd915[119]}else {if(!_0xbd4dxe[_0xd915[40]]||touchStyle){_0xbd4dxe[_0xd915[68]][_0xd915[99]][_0xd915[117]]=_0xd915[118]}};var _0xbd4dx10=(mxClient[_0xd915[120]]&&document[_0xd915[121]]>=9)?_0xd915[122]+this[_0xd915[46]]+_0xd915[123]:_0xd915[97];_0xbd4dxe[_0xd915[68]][_0xd915[99]][_0xd915[124]]=(!_0xbd4dxe[_0xd915[75]]&&_0xbd4dxe[_0xd915[91]]())?_0xd915[122]+this[_0xd915[43]]+_0xd915[123]:_0xbd4dx10;if(_0xbd4dxe[_0xd915[53]][_0xd915[101]]!=null){_0xbd4dxe[_0xd915[53]][_0xd915[101]][_0xd915[125]][_0xd915[99]][_0xd915[124]]=(this[_0xd915[14]][_0xd915[91]]())?_0xd915[122]+this[_0xd915[43]]+_0xd915[123]:_0xd915[97]}}};Editor[_0xd915[44]][_0xd915[12]]=function(){if(mxClient[_0xd915[126]]){mxClient[_0xd915[129]](_0xd915[127],CSS_PATH+_0xd915[128])};mxResources[_0xd915[130]]=false;mxResources[_0xd915[131]](RESOURCE_BASE);mxConstants[_0xd915[132]]=0.3;var _0xbd4dx11=mxConnectionHandler[_0xd915[44]][_0xd915[133]];mxConnectionHandler[_0xd915[44]][_0xd915[133]]=function(){var _0xbd4dx12=_0xbd4dx11[_0xd915[33]](this,arguments);_0xbd4dx12[_0xd915[134]]=mxUtils[_0xd915[34]](this,function(_0xbd4dx13,_0xbd4dx14){if(this[_0xd915[135]]()){return true};return mxCellMarker[_0xd915[44]][_0xd915[134]][_0xd915[33]](_0xbd4dx12,arguments)});return _0xbd4dx12};mxConstants[_0xd915[136]]=_0xd915[137];mxConstants[_0xd915[138]]=_0xd915[139];mxConstants[_0xd915[140]]=_0xd915[141];mxConstants[_0xd915[142]]=_0xd915[143];mxConstants[_0xd915[144]]=_0xd915[143];mxConstants[_0xd915[145]]=_0xd915[139];mxConstants[_0xd915[146]]=_0xd915[143];mxConstants[_0xd915[147]]=_0xd915[148];mxConstants[_0xd915[149]]=_0xd915[143];mxConstants[_0xd915[150]]=_0xd915[143];mxConstants[_0xd915[151]]=_0xd915[148];mxConstants[_0xd915[152]]=_0xd915[141];mxGraph[_0xd915[44]][_0xd915[153]]=_0xd915[154];mxGraph[_0xd915[44]][_0xd915[79]]=1;mxRubberband[_0xd915[44]][_0xd915[155]]=30;mxGraphView[_0xd915[44]][_0xd915[156]]=function(_0xbd4dx15){return new mxRectangleShape(_0xbd4dx15,this[_0xd915[14]][_0xd915[41]]||_0xd915[157],_0xd915[158])};mxGraphView[_0xd915[44]][_0xd915[159]]=function(){var _0xbd4dx16=this[_0xd915[160]]();var _0xbd4dx17=(_0xbd4dx16[_0xd915[95]]>0)?_0xbd4dx16[_0xd915[70]]/this[_0xd915[52]]-this[_0xd915[71]][_0xd915[70]]:0;var _0xbd4dx18=(_0xbd4dx16[_0xd915[96]]>0)?_0xbd4dx16[_0xd915[73]]/this[_0xd915[52]]-this[_0xd915[71]][_0xd915[73]]:0;var _0xbd4dx19=_0xbd4dx16[_0xd915[95]]/this[_0xd915[52]];var _0xbd4dx1a=_0xbd4dx16[_0xd915[96]]/this[_0xd915[52]];var _0xbd4dx1b=this[_0xd915[14]][_0xd915[82]];var _0xbd4dx9=this[_0xd915[14]][_0xd915[79]];var _0xbd4dxa=_0xbd4dx1b[_0xd915[95]]*_0xbd4dx9;var _0xbd4dxb=_0xbd4dx1b[_0xd915[96]]*_0xbd4dx9;var _0xbd4dx1c=Math[_0xd915[162]](Math[_0xd915[161]](0,_0xbd4dx17)/_0xbd4dxa);var _0xbd4dx1d=Math[_0xd915[162]](Math[_0xd915[161]](0,_0xbd4dx18)/_0xbd4dxb);var _0xbd4dx1e=Math[_0xd915[164]](Math[_0xd915[163]](1,_0xbd4dx17+_0xbd4dx19)/_0xbd4dxa);var _0xbd4dx1f=Math[_0xd915[164]](Math[_0xd915[163]](1,_0xbd4dx18+_0xbd4dx1a)/_0xbd4dxb);var _0xbd4dx20=_0xbd4dx1e-_0xbd4dx1c;var _0xbd4dx21=_0xbd4dx1f-_0xbd4dx1d;var _0xbd4dx15= new mxRectangle(this[_0xd915[52]]*(this[_0xd915[71]][_0xd915[70]]+_0xbd4dx1c*_0xbd4dxa),this[_0xd915[52]]*(this[_0xd915[71]][_0xd915[73]]+_0xbd4dx1d*_0xbd4dxb),this[_0xd915[52]]*_0xbd4dx20*_0xbd4dxa,this[_0xd915[52]]*_0xbd4dx21*_0xbd4dxb);return _0xbd4dx15};var _0xbd4dx22=mxGraph[_0xd915[44]][_0xd915[165]];mxGraph[_0xd915[44]][_0xd915[165]]=function(_0xbd4dx23,_0xbd4dx24){_0xbd4dx22[_0xd915[33]](this,arguments);if((this[_0xd915[166]]!=mxConstants[_0xd915[167]]&&this[_0xd915[53]][_0xd915[101]]!=null)&&(!this[_0xd915[168]]||!mxUtils[_0xd915[169]](this[_0xd915[68]]))){this[_0xd915[53]][_0xd915[101]][_0xd915[125]][_0xd915[99]][_0xd915[170]]=_0xbd4dx23+_0xd915[171];this[_0xd915[53]][_0xd915[101]][_0xd915[125]][_0xd915[99]][_0xd915[172]]=_0xbd4dx24+_0xd915[171]}};var _0xbd4dx25=this;mxGraphView[_0xd915[44]][_0xd915[173]]=function(){var _0xbd4dxc=this[_0xd915[14]][_0xd915[174]]();if(_0xbd4dxc!=null){if(this[_0xd915[124]]==null||this[_0xd915[124]][_0xd915[175]]!=_0xbd4dxc[_0xd915[176]]){if(this[_0xd915[124]]!=null){this[_0xd915[124]][_0xd915[177]]()};var _0xbd4dx15= new mxRectangle(0,0,1,1);this[_0xd915[124]]= new mxImageShape(_0xbd4dx15,_0xbd4dxc[_0xd915[176]]);this[_0xd915[124]][_0xd915[166]]=this[_0xd915[14]][_0xd915[166]];this[_0xd915[124]][_0xd915[12]](this[_0xd915[178]]);this[_0xd915[124]][_0xd915[179]]()};this[_0xd915[180]](this[_0xd915[124]],_0xbd4dxc)}else {if(this[_0xd915[124]]!=null){this[_0xd915[124]][_0xd915[177]]();this[_0xd915[124]]=null}};if(this[_0xd915[14]][_0xd915[75]]){var _0xbd4dx15=this[_0xd915[159]]();if(this[_0xd915[101]]==null){this[_0xd915[101]]=this[_0xd915[156]](_0xbd4dx15);this[_0xd915[101]][_0xd915[52]]=1;this[_0xd915[101]][_0xd915[181]]=true;this[_0xd915[101]][_0xd915[166]]=mxConstants[_0xd915[182]];this[_0xd915[101]][_0xd915[12]](this[_0xd915[14]][_0xd915[68]]);this[_0xd915[14]][_0xd915[68]][_0xd915[184]][_0xd915[99]][_0xd915[183]]=_0xd915[185];this[_0xd915[14]][_0xd915[68]][_0xd915[186]](this[_0xd915[101]][_0xd915[125]],this[_0xd915[14]][_0xd915[68]][_0xd915[184]]);this[_0xd915[101]][_0xd915[179]]();this[_0xd915[101]][_0xd915[125]][_0xd915[187]]=_0xd915[188];this[_0xd915[101]][_0xd915[125]][_0xd915[99]][_0xd915[189]]=_0xd915[190];mxEvent[_0xd915[35]](this[_0xd915[101]][_0xd915[125]],_0xd915[191],mxUtils[_0xd915[34]](this,function(_0xbd4dx14){this[_0xd915[14]][_0xd915[192]](_0xbd4dx14)}));mxEvent[_0xd915[198]](this[_0xd915[101]][_0xd915[125]],mxUtils[_0xd915[34]](this,function(_0xbd4dx14){this[_0xd915[14]][_0xd915[193]](mxEvent.MOUSE_DOWN, new mxMouseEvent(_0xbd4dx14))}),mxUtils[_0xd915[34]](this,function(_0xbd4dx14){if(this[_0xd915[14]][_0xd915[93]]!=null&&this[_0xd915[14]][_0xd915[93]][_0xd915[194]]()){this[_0xd915[14]][_0xd915[93]][_0xd915[195]]()};if(this[_0xd915[14]][_0xd915[196]]&&!mxEvent[_0xd915[197]](_0xbd4dx14)){this[_0xd915[14]][_0xd915[193]](mxEvent.MOUSE_MOVE, new mxMouseEvent(_0xbd4dx14))}}),mxUtils[_0xd915[34]](this,function(_0xbd4dx14){this[_0xd915[14]][_0xd915[193]](mxEvent.MOUSE_UP, new mxMouseEvent(_0xbd4dx14))}))}else {this[_0xd915[101]][_0xd915[52]]=1;this[_0xd915[101]][_0xd915[199]]=_0xbd4dx15;this[_0xd915[101]][_0xd915[179]]()};this[_0xd915[101]][_0xd915[125]][_0xd915[99]][_0xd915[124]]=(this[_0xd915[14]][_0xd915[91]]())?_0xd915[122]+_0xbd4dx25[_0xd915[43]]+_0xd915[123]:_0xd915[97]}else {if(this[_0xd915[101]]!=null){this[_0xd915[101]][_0xd915[177]]();this[_0xd915[101]]=null}}};mxGraph[_0xd915[44]][_0xd915[200]]=function(_0xbd4dx26,_0xbd4dx27,_0xbd4dx28){var _0xbd4dx29=this[_0xd915[53]][_0xd915[52]];var _0xbd4dx2a=this[_0xd915[53]][_0xd915[71]];var _0xbd4dx1b=this[_0xd915[82]];var _0xbd4dx9=_0xbd4dx29*this[_0xd915[79]];var _0xbd4dx2b=this[_0xd915[53]][_0xd915[159]]();_0xbd4dx27=_0xbd4dx2b[_0xd915[95]];_0xbd4dx28=_0xbd4dx2b[_0xd915[96]];var _0xbd4dx15= new mxRectangle(_0xbd4dx29*_0xbd4dx2a[_0xd915[70]],_0xbd4dx29*_0xbd4dx2a[_0xd915[73]],_0xbd4dx1b[_0xd915[95]]*_0xbd4dx9,_0xbd4dx1b[_0xd915[96]]*_0xbd4dx9);_0xbd4dx26=_0xbd4dx26&&Math[_0xd915[161]](_0xbd4dx15[_0xd915[95]],_0xbd4dx15[_0xd915[96]])>this[_0xd915[201]];var _0xbd4dx2c=(_0xbd4dx26)?Math[_0xd915[164]](_0xbd4dx27/_0xbd4dx15[_0xd915[95]])-1:0;var _0xbd4dx2d=(_0xbd4dx26)?Math[_0xd915[164]](_0xbd4dx28/_0xbd4dx15[_0xd915[96]])-1:0;var _0xbd4dx2e=_0xbd4dx2b[_0xd915[70]]+_0xbd4dx27;var _0xbd4dx2f=_0xbd4dx2b[_0xd915[73]]+_0xbd4dx28;if(this[_0xd915[202]]==null&&_0xbd4dx2c>0){this[_0xd915[202]]=[]};if(this[_0xd915[202]]!=null){for(var _0xbd4dx30=0;_0xbd4dx30<=_0xbd4dx2c;_0xbd4dx30++){var _0xbd4dx31=[ new mxPoint(_0xbd4dx2b[_0xd915[70]]+(_0xbd4dx30+1)*_0xbd4dx15[_0xd915[95]],_0xbd4dx2b[_0xd915[73]]), new mxPoint(_0xbd4dx2b[_0xd915[70]]+(_0xbd4dx30+1)*_0xbd4dx15[_0xd915[95]],_0xbd4dx2f)];if(this[_0xd915[202]][_0xbd4dx30]!=null){this[_0xd915[202]][_0xbd4dx30][_0xd915[52]]=1;this[_0xd915[202]][_0xbd4dx30][_0xd915[203]]=_0xbd4dx31;this[_0xd915[202]][_0xbd4dx30][_0xd915[179]]()}else {var _0xbd4dx32= new mxPolyline(_0xbd4dx31,this[_0xd915[153]],this[_0xd915[52]]);_0xbd4dx32[_0xd915[166]]=this[_0xd915[166]];_0xbd4dx32[_0xd915[204]]=this[_0xd915[205]];_0xbd4dx32[_0xd915[206]]=false;_0xbd4dx32[_0xd915[52]]=_0xbd4dx29;_0xbd4dx32[_0xd915[12]](this[_0xd915[53]][_0xd915[178]]);_0xbd4dx32[_0xd915[179]]();this[_0xd915[202]][_0xbd4dx30]=_0xbd4dx32}};for(var _0xbd4dx30=_0xbd4dx2c;_0xbd4dx300){this[_0xd915[208]]=[]};if(this[_0xd915[208]]!=null){for(var _0xbd4dx30=0;_0xbd4dx30<=_0xbd4dx2d;_0xbd4dx30++){var _0xbd4dx31=[ new mxPoint(_0xbd4dx2b[_0xd915[70]],_0xbd4dx2b[_0xd915[73]]+(_0xbd4dx30+1)*_0xbd4dx15[_0xd915[96]]), new mxPoint(_0xbd4dx2e,_0xbd4dx2b[_0xd915[73]]+(_0xbd4dx30+1)*_0xbd4dx15[_0xd915[96]])];if(this[_0xd915[208]][_0xbd4dx30]!=null){this[_0xd915[208]][_0xbd4dx30][_0xd915[52]]=1;this[_0xd915[208]][_0xbd4dx30][_0xd915[203]]=_0xbd4dx31;this[_0xd915[208]][_0xbd4dx30][_0xd915[179]]()}else {var _0xbd4dx32= new mxPolyline(_0xbd4dx31,this[_0xd915[153]],_0xbd4dx29);_0xbd4dx32[_0xd915[166]]=this[_0xd915[166]];_0xbd4dx32[_0xd915[204]]=this[_0xd915[205]];_0xbd4dx32[_0xd915[206]]=false;_0xbd4dx32[_0xd915[52]]=_0xbd4dx29;_0xbd4dx32[_0xd915[12]](this[_0xd915[53]][_0xd915[178]]);_0xbd4dx32[_0xd915[179]]();this[_0xd915[208]][_0xbd4dx30]=_0xbd4dx32}};for(var _0xbd4dx30=_0xbd4dx2d;_0xbd4dx300&&_0xbd4dx4d[0]==_0xd915[255]){_0xbd4dx4e=_0xbd4dx4d[1];for(var _0xbd4dx30=2;_0xbd4dx30<_0xbd4dx4d[_0xd915[83]]-1;_0xbd4dx30++){_0xbd4dx4e+=_0xd915[252]+_0xbd4dx4d[_0xbd4dx30]}};return _0xbd4dx4e};mxStencilRegistry[_0xd915[241]]=function(_0xbd4dx4f,_0xbd4dx50,_0xbd4dx51){_0xbd4dx51=(_0xbd4dx51!=null)?_0xbd4dx51:false;var _0xbd4dx52=mxStencilRegistry[_0xd915[243]][_0xbd4dx4f];if(_0xbd4dx51||_0xbd4dx52==null){var _0xbd4dx53=false;if(_0xbd4dx52==null){var _0xbd4dx4c=mxUtils[_0xd915[250]](_0xbd4dx4f);_0xbd4dx52=_0xbd4dx4c[_0xd915[256]]();mxStencilRegistry[_0xd915[243]][_0xbd4dx4f]=_0xbd4dx52;_0xbd4dx53=true};mxStencilRegistry[_0xd915[257]](_0xbd4dx52,_0xbd4dx50,_0xbd4dx53)}};mxStencilRegistry[_0xd915[257]]=function(_0xbd4dx54,_0xbd4dx50,_0xbd4dx53){_0xbd4dx53=(_0xbd4dx53!=null)?_0xbd4dx53:true;var _0xbd4dx55=_0xbd4dx54[_0xd915[258]];var _0xbd4dx56=_0xbd4dx55[_0xd915[184]];var _0xbd4dx57=_0xd915[20];var _0xbd4dx48=_0xbd4dx55[_0xd915[56]](_0xd915[259]);if(_0xbd4dx48!=null){_0xbd4dx57=_0xbd4dx48+_0xd915[253]};while(_0xbd4dx56!=null){if(_0xbd4dx56[_0xd915[260]]==mxConstants[_0xd915[261]]){_0xbd4dx48=_0xbd4dx56[_0xd915[56]](_0xd915[259]);if(_0xbd4dx48!=null){_0xbd4dx57=_0xbd4dx57[_0xd915[248]]();var _0xbd4dx58=_0xbd4dx48[_0xd915[263]](/ /g,_0xd915[262]);if(_0xbd4dx53){mxStencilRegistry[_0xd915[264]](_0xbd4dx57+_0xbd4dx58[_0xd915[248]](), new mxStencil(_0xbd4dx56))};if(_0xbd4dx50!=null){var _0xbd4dx19=_0xbd4dx56[_0xd915[56]](_0xd915[265]);var _0xbd4dx1a=_0xbd4dx56[_0xd915[56]](_0xd915[266]);_0xbd4dx19=(_0xbd4dx19==null)?80:parseInt(_0xbd4dx19,10);_0xbd4dx1a=(_0xbd4dx1a==null)?80:parseInt(_0xbd4dx1a,10);_0xbd4dx50(_0xbd4dx57,_0xbd4dx58,_0xbd4dx48,_0xbd4dx19,_0xbd4dx1a)}}};_0xbd4dx56=_0xbd4dx56[_0xd915[267]]}}})();OpenFile=function(_0xbd4dx59){this[_0xd915[268]]=null;this[_0xd915[269]]=null;this[_0xd915[270]]=_0xbd4dx59};OpenFile[_0xd915[44]][_0xd915[271]]=function(_0xbd4dx6){this[_0xd915[269]]=_0xbd4dx6;this[_0xd915[272]]()};OpenFile[_0xd915[44]][_0xd915[273]]=function(_0xbd4dx6,_0xbd4dx5a){this[_0xd915[274]]=_0xbd4dx6;this[_0xd915[21]]=_0xbd4dx5a;this[_0xd915[272]]()};OpenFile[_0xd915[44]][_0xd915[275]]=function(_0xbd4dx5b){this[_0xd915[276]]();mxUtils[_0xd915[277]](_0xbd4dx5b)};OpenFile[_0xd915[44]][_0xd915[272]]=function(){if(this[_0xd915[269]]!=null&&this[_0xd915[274]]!=null){this[_0xd915[269]](this[_0xd915[274]],this[_0xd915[21]]);this[_0xd915[276]]()}};OpenFile[_0xd915[44]][_0xd915[276]]=function(){if(this[_0xd915[270]]!=null){this[_0xd915[270]]()}} \ No newline at end of file diff --git a/public/assets/plugins/jscripty/js/EditorUi.js b/public/assets/plugins/jscripty/js/EditorUi.js index 8c982fd..0f84d46 100644 --- a/public/assets/plugins/jscripty/js/EditorUi.js +++ b/public/assets/plugins/jscripty/js/EditorUi.js @@ -1 +1 @@ -var _0x67ad=["\x65\x64\x69\x74\x6F\x72","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x45\x64\x69\x74\x6F\x72","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74\x42\x79\x49\x64","\x67\x72\x61\x70\x68","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x73\x74\x79\x6C\x65","\x68\x69\x64\x64\x65\x6E","\x73\x72\x63","\x73\x75\x62\x6D\x65\x6E\x75\x49\x6D\x61\x67\x65","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x63\x6F\x6E\x6E\x65\x63\x74\x49\x6D\x61\x67\x65","\x61\x63\x74\x69\x6F\x6E\x73","\x6D\x65\x6E\x75\x73","\x63\x72\x65\x61\x74\x65\x44\x69\x76\x73","\x72\x65\x66\x72\x65\x73\x68","\x63\x72\x65\x61\x74\x65\x55\x69","\x65\x76\x65\x6E\x74","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x41\x6C\x6C\x6F\x77\x65\x64","\x69\x73\x45\x64\x69\x74\x69\x6E\x67","\x62\x69\x6E\x64","\x62\x6F\x64\x79","\x6F\x6E\x73\x65\x6C\x65\x63\x74\x73\x74\x61\x72\x74","\x6D\x65\x6E\x75\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x6F\x6E\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E","\x74\x6F\x6F\x6C\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x64\x69\x61\x67\x72\x61\x6D\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x73\x69\x64\x65\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x66\x6F\x6F\x74\x65\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x49\x53\x5F\x49\x45","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x4D\x6F\x64\x65","\x75\x6E\x64\x65\x66\x69\x6E\x65\x64","\x63\x6F\x6E\x74\x65\x78\x74\x6D\x65\x6E\x75","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x6F\x6E\x63\x6F\x6E\x74\x65\x78\x74\x6D\x65\x6E\x75","\x69\x6E\x69\x74","\x74\x61\x62\x69\x6E\x64\x65\x78","\x30","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x75\x72\x73\x6F\x72","\x64\x65\x66\x61\x75\x6C\x74","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x75\x72\x6C\x28","\x67\x72\x69\x64\x49\x6D\x61\x67\x65","\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x2D\x31\x70\x78\x20\x2D\x31\x70\x78","\x66\x6F\x63\x75\x73","\x66\x69\x72\x65\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74","\x4D\x4F\x55\x53\x45\x5F\x44\x4F\x57\x4E","\x61\x70\x70\x6C\x79","\x6D\x75\x6C\x74\x69\x70\x6C\x69\x63\x69\x74\x69\x65\x73","\x73\x74\x61\x72\x74","\x65\x6E\x64","\x69\x6E\x70\x75\x74","\x71\x75\x65\x73\x74\x69\x6F\x6E","\x65\x6D\x61\x69\x6C","\x6E","\x63\x72\x65\x61\x74\x65\x4D\x75\x6C\x74\x69\x70\x6C\x69\x63\x69\x74\x69\x65\x73","\x64\x62\x6C\x43\x6C\x69\x63\x6B","\x63\x65\x6C\x6C","\x66\x69\x72\x65\x45\x76\x65\x6E\x74","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x43\x6F\x6E\x73\x75\x6D\x65\x64","\x69\x73\x45\x64\x67\x65","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x74\x61\x67\x4E\x61\x6D\x65","\x76\x61\x6C\x75\x65","\x73\x6F\x75\x72\x63\x65","\x69\x6E\x64\x65\x78\x4F\x66","\x73\x74\x61\x72\x74\x45\x64\x69\x74\x69\x6E\x67\x41\x74\x43\x65\x6C\x6C","\x69\x73\x56\x65\x72\x74\x65\x78","\x6C\x6F\x67","\x73\x68\x6F\x77\x44\x69\x61\x6C\x6F\x67","\x63\x6F\x6E\x73\x75\x6D\x65","\x61\x75\x74\x6F\x45\x78\x70\x61\x6E\x64","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x66\x61\x63\x74\x6F\x72\x79\x4D\x65\x74\x68\x6F\x64","\x63\x72\x65\x61\x74\x65\x50\x6F\x70\x75\x70\x4D\x65\x6E\x75","\x6F\x75\x74\x6C\x69\x6E\x65\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x6F\x75\x74\x6C\x69\x6E\x65","\x68\x69\x64\x65\x4D\x65\x6E\x75","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x49\x53\x5F\x54\x4F\x55\x43\x48","\x67\x65\x73\x74\x75\x72\x65\x63\x68\x61\x6E\x67\x65","\x74\x72\x61\x6E\x73\x66\x6F\x72\x6D","\x73\x63\x61\x6C\x65\x28","\x73\x63\x61\x6C\x65","\x67\x65\x74\x44\x72\x61\x77\x50\x61\x6E\x65","\x76\x69\x65\x77","\x76\x69\x73\x69\x62\x69\x6C\x69\x74\x79","\x67\x65\x74\x4F\x76\x65\x72\x6C\x61\x79\x50\x61\x6E\x65","\x67\x65\x73\x74\x75\x72\x65\x65\x6E\x64","\x72\x65\x6D\x6F\x76\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x7A\x6F\x6F\x6D\x54\x6F\x43\x65\x6E\x74\x65\x72","\x7A\x6F\x6F\x6D","\x76\x69\x73\x69\x62\x6C\x65","\x63\x72\x65\x61\x74\x65\x4B\x65\x79\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x4B\x65\x79\x48\x61\x6E\x64\x6C\x65\x72","\x72\x65\x73\x69\x7A\x65","\x73\x69\x7A\x65\x44\x69\x64\x43\x68\x61\x6E\x67\x65","\x75\x70\x64\x61\x74\x65","\x6F\x70\x65\x6E","\x73\x70\x6C\x69\x74\x53\x69\x7A\x65","\x6D\x65\x6E\x75\x62\x61\x72\x48\x65\x69\x67\x68\x74","\x74\x6F\x6F\x6C\x62\x61\x72\x48\x65\x69\x67\x68\x74","\x66\x6F\x6F\x74\x65\x72\x48\x65\x69\x67\x68\x74","\x68\x73\x70\x6C\x69\x74\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x76\x73\x70\x6C\x69\x74\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x61\x64\x64\x55\x6E\x64\x6F\x4C\x69\x73\x74\x65\x6E\x65\x72","\x61\x64\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x4C\x69\x73\x74\x65\x6E\x65\x72","\x70\x61\x73\x74\x65","\x67\x65\x74","\x69\x73\x45\x6D\x70\x74\x79","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x63\x75\x74","\x63\x6F\x70\x79","\x6F\x70\x65\x6E\x65\x72","\x6F\x70\x65\x6E\x46\x69\x6C\x65","\x70\x61\x72\x73\x65\x58\x6D\x6C","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x73\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x6D\x6F\x64\x69\x66\x69\x65\x64","\x63\x6C\x65\x61\x72","\x75\x6E\x64\x6F\x4D\x61\x6E\x61\x67\x65\x72","\x66\x69\x6C\x65\x6E\x61\x6D\x65","\x69\x6E\x76\x61\x6C\x69\x64\x4F\x72\x4D\x69\x73\x73\x69\x6E\x67\x46\x69\x6C\x65","\x3A\x20","\x6D\x65\x73\x73\x61\x67\x65","\x61\x6C\x65\x72\x74","\x73\x65\x74\x43\x6F\x6E\x73\x75\x6D\x65\x72","\x6F\x70\x65\x6E\x53\x74\x72\x69\x6E\x67","\x64\x61\x74\x61","\x6E\x65\x77","\x67\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x67\x65\x74\x50\x72\x65\x74\x74\x79\x58\x6D\x6C","\x67\x65\x74\x49\x74\x65\x6D","\x72\x65\x70\x6C\x61\x63\x65","\x63\x6F\x6E\x66\x69\x72\x6D","\x73\x65\x74\x49\x74\x65\x6D","\x73\x61\x76\x65\x64","\x20","\x73\x65\x74\x53\x74\x61\x74\x75\x73","\x6C\x65\x6E\x67\x74\x68","\x50\x4F\x53\x54","\x43\x6F\x6E\x74\x65\x6E\x74\x2D\x54\x79\x70\x65","\x61\x70\x70\x6C\x69\x63\x61\x74\x69\x6F\x6E\x2F\x78\x2D\x77\x77\x77\x2D\x66\x6F\x72\x6D\x2D\x75\x72\x6C\x65\x6E\x63\x6F\x64\x65\x64","\x73\x65\x74\x52\x65\x71\x75\x65\x73\x74\x48\x65\x61\x64\x65\x72","\x41\x75\x74\x68\x6F\x72\x69\x7A\x61\x74\x69\x6F\x6E","\x42\x65\x61\x72\x65\x72\x20","\x74\x6F\x6B\x65\x6E","\x6F\x6E\x6C\x6F\x61\x64","\x72\x65\x61\x64\x79\x53\x74\x61\x74\x65","\x73\x74\x61\x74\x75\x73","\x50\x72\x6F\x6A\x65\x63\x74\x20","\x6E\x61\x6D\x65","\x72\x65\x73\x70\x6F\x6E\x73\x65","\x70\x61\x72\x73\x65","\x20\x69\x73\x20\x6F\x70\x65\x6E\x69\x6E\x67\x20\x69\x6E\x20\x6E\x65\x77\x20\x77\x69\x6E\x64\x6F\x77\x21","\x6A\x73\x63\x72\x69\x70\x74\x79\x2F\x70\x72\x6F\x6A\x65\x63\x74\x73\x2F\x76\x69\x65\x77\x2F","\x69\x64","\x5F\x62\x6C\x61\x6E\x6B","\x45\x72\x72\x6F\x72\x20\x63\x72\x65\x61\x74\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74\x3A\x20","\x65\x72\x72\x6F\x72\x73","\x6F\x6E\x65\x72\x72\x6F\x72","\x73\x74\x61\x74\x75\x73\x54\x65\x78\x74","\x64\x65\x73\x63\x72\x69\x70\x74\x69\x6F\x6E\x3D\x70\x72\x6F\x6A\x65\x63\x74\x5F\x6E\x65\x77\x26\x6E\x61\x6D\x65\x3D","\x73\x65\x6E\x64","\x64\x72\x61\x77\x69\x6E\x67\x54\x6F\x6F\x4C\x61\x72\x67\x65","\x70\x6F\x70\x75\x70","\x45\x72\x72\x6F\x72\x20\x63\x72\x65\x61\x74\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74","\x73\x61\x76\x65","\x50\x55\x54","\x55\x70\x64\x61\x74\x65\x64\x20\x61\x74\x20","\x75\x70\x64\x61\x74\x65\x64\x41\x74","\x20\x28","\x45\x72\x72\x6F\x72\x20\x73\x61\x76\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74\x3A\x20","\x64\x72\x61\x66\x74\x3D","\x45\x72\x72\x6F\x72\x20\x73\x61\x76\x69\x6E\x67\x20\x66\x69\x6C\x65","\x73\x61\x76\x65\x41\x73","\x6A\x73\x63\x72\x69\x70\x74\x79\x2F\x70\x72\x6F\x6A\x65\x63\x74\x2F","\x45\x72\x72\x6F\x72\x20\x63\x6C\x6F\x6E\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74\x3A\x20","\x64\x65\x73\x63\x72\x69\x70\x74\x69\x6F\x6E\x3D\x70\x72\x6F\x6A\x65\x63\x74\x5F\x63\x6C\x6F\x6E\x65\x64\x26\x6E\x61\x6D\x65\x3D","\x26\x64\x72\x61\x66\x74\x3D","\x26\x70\x72\x6F\x64\x75\x63\x74\x69\x6F\x6E\x3D","\x76\x61\x6C\x69\x64\x61\x74\x65","\x67\x65\x74\x52\x6F\x6F\x74","\x6D\x6F\x64\x65\x6C","\x67\x65\x74\x43\x68\x69\x6C\x64\x43\x6F\x75\x6E\x74","\x67\x65\x74\x43\x68\x69\x6C\x64\x41\x74","\x69\x73\x56\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x3C\x62\x72\x3E","\x73\x65\x74\x43\x65\x6C\x6C\x57\x61\x72\x6E\x69\x6E\x67","","\x67\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x51\x75\x65\x73\x74\x69\x6F\x6E\x20\x63\x61\x6E\x20\x6E\x6F\x74\x20\x62\x65\x20\x65\x6D\x70\x74\x79","\x0A","\x6C\x61\x62\x65\x6C","\x4C\x61\x62\x65\x6C\x20\x63\x61\x6E\x20\x6E\x6F\x74\x20\x62\x65\x20\x65\x6D\x70\x74\x79","\x76\x61\x6C\x69\x64\x61\x74\x65\x42\x6C\x6F\x63\x6B","\x4F\x6E\x6C\x79\x20\x6F\x6E\x65\x20\x53\x74\x61\x72\x74\x20\x62\x6C\x6F\x63\x6B\x20\x69\x73\x20\x61\x6C\x6C\x6F\x77\x65\x64","\x6E\x6F\x64\x65\x4E\x61\x6D\x65","\x67\x65\x74\x49\x64","\x67\x65\x74\x43\x65\x6C\x6C","\x67\x65\x74\x56\x61\x6C\x75\x65","\x6E\x75\x6C\x6C","\x56\x61\x6C\x75\x65\x20\x63\x61\x6E\x20\x6E\x6F\x74\x20\x62\x65\x20\x65\x6D\x70\x74\x79","\x2C","\x73\x70\x6C\x69\x74","\x74\x72\x69\x6D","\x56\x61\x6C\x75\x65","\x20\x63\x61\x6E\x20\x6E\x6F\x74\x20\x62\x65\x20\x65\x6D\x70\x74\x79","\x66\x6F\x72\x45\x61\x63\x68","\x69\x73\x43\x65\x6C\x6C\x43\x6F\x6C\x6C\x61\x70\x73\x65\x64","\x63\x6F\x6E\x74\x61\x69\x6E\x73\x56\x61\x6C\x69\x64\x61\x74\x69\x6F\x6E\x45\x72\x72\x6F\x72\x73\x52\x65\x73\x6F\x75\x72\x63\x65","\x67\x65\x74\x54\x65\x72\x6D\x69\x6E\x61\x6C","\x67\x65\x74\x45\x64\x67\x65\x56\x61\x6C\x69\x64\x61\x74\x69\x6F\x6E\x45\x72\x72\x6F\x72","\x67\x65\x74\x43\x65\x6C\x6C\x56\x61\x6C\x69\x64\x61\x74\x69\x6F\x6E\x45\x72\x72\x6F\x72","\x76\x61\x6C\x69\x64\x61\x74\x65\x43\x65\x6C\x6C","\x67\x65\x74\x50\x61\x72\x65\x6E\x74","\x67\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x50\x61\x72\x65\x6E\x74","\x63\x68\x69\x6C\x64\x72\x65\x6E","\x70\x75\x62\x6C\x69\x73\x68","\x50\x75\x62\x6C\x69\x73\x68\x65\x64\x20\x61\x74\x20","\x45\x72\x72\x6F\x72\x20\x70\x75\x62\x6C\x69\x73\x68\x69\x6E\x67\x20\x66\x69\x6C\x65","\x45\x72\x72\x6F\x72\x20\x70\x75\x62\x6C\x69\x73\x68\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74\x3A\x20","\x76\x61\x72\x69\x61\x62\x6C\x65","\x56\x61\x72\x69\x61\x62\x6C\x65\x20\x63\x72\x65\x61\x74\x65\x64\x20\x61\x74\x20","\x63\x72\x65\x61\x74\x65\x64\x41\x74","\x6E\x61\x6D\x65\x3D","\x45\x72\x72\x6F\x72\x20\x63\x72\x65\x61\x74\x69\x6E\x67\x20\x76\x61\x72\x69\x61\x62\x6C\x65","\x67\x65\x74\x55\x72\x6C","\x70\x61\x74\x68\x6E\x61\x6D\x65","\x6C\x6F\x63\x61\x74\x69\x6F\x6E","\x3F","\x26","\x3D","\x75\x6E\x64\x6F","\x72\x65\x64\x6F","\x63\x61\x6E\x55\x6E\x64\x6F","\x63\x61\x6E\x52\x65\x64\x6F","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6D\x70\x74\x79","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x64\x65\x6C\x65\x74\x65","\x64\x75\x70\x6C\x69\x63\x61\x74\x65","\x62\x6F\x6C\x64","\x69\x74\x61\x6C\x69\x63","\x75\x6E\x64\x65\x72\x6C\x69\x6E\x65","\x74\x6F\x46\x72\x6F\x6E\x74","\x74\x6F\x42\x61\x63\x6B","\x64\x61\x73\x68\x65\x64","\x72\x6F\x75\x6E\x64\x65\x64","\x73\x68\x61\x64\x6F\x77","\x74\x69\x6C\x74","\x61\x75\x74\x6F\x73\x69\x7A\x65","\x63\x75\x72\x76\x65\x64","\x72\x6F\x74\x61\x74\x69\x6F\x6E","\x77\x6F\x72\x64\x57\x72\x61\x70","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x6F\x75\x6E\x74","\x67\x72\x6F\x75\x70","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x75\x6E\x67\x72\x6F\x75\x70","\x72\x65\x6D\x6F\x76\x65\x46\x72\x6F\x6D\x47\x72\x6F\x75\x70","\x66\x6F\x6E\x74\x46\x61\x6D\x69\x6C\x79","\x66\x6F\x6E\x74\x53\x69\x7A\x65","\x61\x6C\x69\x67\x6E\x6D\x65\x6E\x74","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x74\x65\x78\x74","\x66\x6F\x72\x6D\x61\x74","\x61\x72\x72\x61\x6E\x67\x65","\x6C\x69\x6E\x65\x77\x69\x64\x74\x68","\x73\x70\x61\x63\x69\x6E\x67","\x6C\x69\x6E\x65","\x6C\x69\x6E\x65\x65\x6E\x64","\x6C\x69\x6E\x65\x73\x74\x61\x72\x74","\x73\x65\x74\x41\x73\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x61\x6C\x69\x67\x6E","\x67\x65\x74\x53\x74\x61\x74\x65","\x69\x73\x4C\x6F\x6F\x70","\x64\x69\x72\x65\x63\x74\x69\x6F\x6E","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x63\x75\x72\x72\x65\x6E\x74\x52\x6F\x6F\x74","\x6E\x61\x76\x69\x67\x61\x74\x69\x6F\x6E","\x68\x6F\x6D\x65","\x65\x78\x69\x74\x47\x72\x6F\x75\x70","\x65\x6E\x74\x65\x72\x47\x72\x6F\x75\x70","\x65\x78\x70\x61\x6E\x64","\x63\x6F\x6C\x6C\x61\x70\x73\x65","\x65\x64\x69\x74\x4C\x69\x6E\x6B","\x67\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x6F\x70\x65\x6E\x4C\x69\x6E\x6B","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x4D\x6F\x64\x65\x6C","\x63\x6C\x69\x65\x6E\x74\x57\x69\x64\x74\x68","\x63\x6C\x69\x65\x6E\x74\x48\x65\x69\x67\x68\x74","\x6D\x69\x6E","\x6D\x61\x78","\x68\x65\x69\x67\x68\x74","\x70\x78","\x74\x6F\x70","\x49\x53\x5F\x51\x55\x49\x52\x4B\x53","\x77\x69\x64\x74\x68","\x62\x6F\x74\x74\x6F\x6D","\x6C\x65\x66\x74","\x64\x69\x73\x70\x6C\x61\x79","\x6E\x6F\x6E\x65","\x68\x73\x70\x6C\x69\x74","\x76\x73\x70\x6C\x69\x74","\x67\x65\x4D\x65\x6E\x75\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x63\x72\x65\x61\x74\x65\x44\x69\x76","\x67\x65\x54\x6F\x6F\x6C\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x53\x69\x64\x65\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x4F\x75\x74\x6C\x69\x6E\x65\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x44\x69\x61\x67\x72\x61\x6D\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x46\x6F\x6F\x74\x65\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x48\x73\x70\x6C\x69\x74","\x67\x65\x56\x73\x70\x6C\x69\x74","\x30\x70\x78","\x72\x69\x67\x68\x74","\x6D\x65\x6E\x75\x62\x61\x72","\x67\x65\x4D\x65\x6E\x75\x62\x61\x72","\x63\x72\x65\x61\x74\x65\x4D\x65\x6E\x75\x62\x61\x72","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x74\x6F\x6F\x6C\x62\x61\x72","\x67\x65\x54\x6F\x6F\x6C\x62\x61\x72","\x63\x72\x65\x61\x74\x65\x54\x6F\x6F\x6C\x62\x61\x72","\x73\x69\x64\x65\x62\x61\x72","\x63\x72\x65\x61\x74\x65\x53\x69\x64\x65\x62\x61\x72","\x63\x72\x65\x61\x74\x65\x46\x6F\x6F\x74\x65\x72","\x73\x74\x61\x74\x75\x73\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x63\x72\x65\x61\x74\x65\x53\x74\x61\x74\x75\x73\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x73\x74\x61\x74\x75\x73\x43\x68\x61\x6E\x67\x65\x64","\x67\x65\x74\x53\x74\x61\x74\x75\x73","\x73\x65\x74\x53\x74\x61\x74\x75\x73\x54\x65\x78\x74","\x61\x64\x64\x53\x70\x6C\x69\x74\x48\x61\x6E\x64\x6C\x65\x72","\x61","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x49\x74\x65\x6D\x20\x67\x65\x53\x74\x61\x74\x75\x73","\x69\x6E\x6E\x65\x72\x48\x54\x4D\x4C","\x67\x65\x46\x6F\x6F\x74\x65\x72","\x64\x69\x76","\x63\x72\x65\x61\x74\x65\x48\x65\x61\x64\x65\x72","\x63\x72\x65\x61\x74\x65\x46\x6F\x72\x6D","\x66\x6F\x72\x6D","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x58","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x59","\x78","\x79","\x6D\x6F\x75\x73\x65\x6D\x6F\x76\x65","\x74\x6F\x75\x63\x68\x6D\x6F\x76\x65","\x6D\x6F\x75\x73\x65\x75\x70","\x74\x6F\x75\x63\x68\x65\x6E\x64","\x68\x69\x64\x65\x44\x69\x61\x6C\x6F\x67","\x64\x69\x61\x6C\x6F\x67","\x49\x53\x5F\x56\x4D\x4C","\x63\x6C\x6F\x73\x65","\x73\x61\x76\x65\x46\x69\x6C\x65","\x67\x65\x74\x4F\x72\x43\x72\x65\x61\x74\x65\x46\x69\x6C\x65\x6E\x61\x6D\x65","\x70\x75\x62\x6C\x69\x73\x68\x46\x69\x6C\x65","\x65\x78\x65\x63\x75\x74\x65\x4C\x61\x79\x6F\x75\x74","\x61\x6E\x69\x6D\x61\x74\x65","\x62\x65\x67\x69\x6E\x55\x70\x64\x61\x74\x65","\x65\x78\x65\x63\x75\x74\x65","\x43\x61\x6D\x69\x6E\x6F","\x75\x73\x65\x72\x41\x67\x65\x6E\x74","\x65\x6E\x64\x55\x70\x64\x61\x74\x65","\x73\x74\x61\x72\x74\x41\x6E\x69\x6D\x61\x74\x69\x6F\x6E","\x69\x73\x43\x6F\x6E\x74\x72\x6F\x6C\x44\x6F\x77\x6E","\x49\x53\x5F\x4D\x41\x43","\x6D\x65\x74\x61\x4B\x65\x79","\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73","\x73\x63\x72\x6F\x6C\x6C\x43\x65\x6C\x6C\x54\x6F\x56\x69\x73\x69\x62\x6C\x65","\x65\x6E\x61\x62\x6C\x65\x64","\x66\x75\x6E\x63\x74","\x62\x69\x6E\x64\x43\x6F\x6E\x74\x72\x6F\x6C\x53\x68\x69\x66\x74\x4B\x65\x79","\x62\x69\x6E\x64\x43\x6F\x6E\x74\x72\x6F\x6C\x4B\x65\x79","\x62\x69\x6E\x64\x53\x68\x69\x66\x74\x4B\x65\x79","\x62\x69\x6E\x64\x4B\x65\x79","\x65\x73\x63\x61\x70\x65","\x65\x6E\x74\x65\x72","\x66\x6F\x6C\x64\x43\x65\x6C\x6C\x73","\x7A\x6F\x6F\x6D\x49\x6E","\x7A\x6F\x6F\x6D\x4F\x75\x74","\x73\x65\x6C\x65\x63\x74\x41\x6C\x6C","\x73\x65\x6C\x65\x63\x74\x56\x65\x72\x74\x69\x63\x65\x73","\x73\x65\x6C\x65\x63\x74\x45\x64\x67\x65\x73","\x65\x78\x70\x6F\x72\x74","\x63\x6F\x6E\x6E\x65\x63\x74","\x67\x72\x69\x64","\x61\x62\x6F\x75\x74","\x20\x6D\x75\x73\x74\x20\x68\x61\x76\x65\x20","\x20\x6F\x75\x74\x67\x6F\x69\x6E\x67\x20\x65\x64\x67\x65\x2E","\x70\x75\x73\x68","\x20\x69\x6E\x63\x6F\x6D\x69\x6E\x67\x20\x65\x64\x67\x65\x2E"];EditorUi=function(_0xdeccx1,_0xdeccx2){this[_0x67ad[0]]=_0xdeccx1|| new Editor();this[_0x67ad[1]]=_0xdeccx2||document[_0x67ad[3]](_0x67ad[2]);var _0xdeccx3=_0xdeccx1[_0x67ad[4]];var _0xdeccx4=this;this[_0x67ad[1]][_0x67ad[6]][_0x67ad[5]]=_0x67ad[7]; new Image()[_0x67ad[8]]=mxPopupMenu[_0x67ad[10]][_0x67ad[9]];if(mxConnectionHandler[_0x67ad[10]][_0x67ad[11]]!=null){ new Image()[_0x67ad[8]]=mxConnectionHandler[_0x67ad[10]][_0x67ad[11]][_0x67ad[8]]};this[_0x67ad[12]]= new Actions(this);this[_0x67ad[13]]= new Menus(this);this[_0x67ad[14]]();this[_0x67ad[15]]();this[_0x67ad[16]]();var _0xdeccx5=mxUtils[_0x67ad[20]](this,function(_0xdeccx6){if(_0xdeccx6==null){_0xdeccx6=window[_0x67ad[17]]};if(this[_0x67ad[18]](_0xdeccx6)){return true};return _0xdeccx3[_0x67ad[19]]()});if(this[_0x67ad[1]]==document[_0x67ad[21]]){this[_0x67ad[23]][_0x67ad[22]]=_0xdeccx5;this[_0x67ad[23]][_0x67ad[24]]=_0xdeccx5;this[_0x67ad[25]][_0x67ad[22]]=_0xdeccx5;this[_0x67ad[25]][_0x67ad[24]]=_0xdeccx5;this[_0x67ad[26]][_0x67ad[22]]=_0xdeccx5;this[_0x67ad[26]][_0x67ad[24]]=_0xdeccx5;this[_0x67ad[27]][_0x67ad[22]]=_0xdeccx5;this[_0x67ad[27]][_0x67ad[24]]=_0xdeccx5;this[_0x67ad[28]][_0x67ad[22]]=_0xdeccx5;this[_0x67ad[28]][_0x67ad[24]]=_0xdeccx5};if(mxClient[_0x67ad[29]]&&( typeof (document[_0x67ad[30]])===_0x67ad[31]||document[_0x67ad[30]]<9)){mxEvent[_0x67ad[33]](this[_0x67ad[26]],_0x67ad[32],_0xdeccx5);mxEvent[_0x67ad[33]](this[_0x67ad[27]],_0x67ad[32],_0xdeccx5)}else {this[_0x67ad[26]][_0x67ad[34]]=_0xdeccx5;this[_0x67ad[27]][_0x67ad[34]]=_0xdeccx5};_0xdeccx3[_0x67ad[35]](this[_0x67ad[26]]);_0xdeccx3[_0x67ad[15]]();_0xdeccx3[_0x67ad[1]][_0x67ad[38]](_0x67ad[36],_0x67ad[37]);_0xdeccx3[_0x67ad[1]][_0x67ad[6]][_0x67ad[39]]=_0x67ad[40];_0xdeccx3[_0x67ad[1]][_0x67ad[6]][_0x67ad[41]]=_0x67ad[42]+_0xdeccx1[_0x67ad[43]]+_0x67ad[44];_0xdeccx3[_0x67ad[1]][_0x67ad[6]][_0x67ad[45]]=_0x67ad[46];_0xdeccx3[_0x67ad[1]][_0x67ad[47]]();var _0xdeccx7=_0xdeccx3[_0x67ad[48]];_0xdeccx3[_0x67ad[48]]=function(_0xdeccx8,_0xdeccx9,_0xdeccxa){if(_0xdeccx8==mxEvent[_0x67ad[49]]){this[_0x67ad[1]][_0x67ad[47]]()};_0xdeccx7[_0x67ad[50]](this,arguments)};this[_0x67ad[58]](_0xdeccx3[_0x67ad[51]],[_0x67ad[52],_0x67ad[53],_0x67ad[54],_0x67ad[55],_0x67ad[56]],[[1,1],[0,0],[1,1],[1,_0x67ad[57]],[1,_0x67ad[57]]],[[0,0],[1,_0x67ad[57]],[1,_0x67ad[57]],[1,_0x67ad[57]],[1,_0x67ad[57]]]);_0xdeccx3[_0x67ad[59]]=function(_0xdeccx6,_0xdeccxb){var _0xdeccxc=[_0x67ad[55]];var _0xdeccxd= new mxEventObject(mxEvent.DOUBLE_CLICK,_0x67ad[17],_0xdeccx6,_0x67ad[60],_0xdeccxb);this[_0x67ad[61]](_0xdeccxd);if(this[_0x67ad[62]]()&&!mxEvent[_0x67ad[63]](_0xdeccx6)&&!_0xdeccxd[_0x67ad[63]]()){if(this[_0x67ad[65]]()[_0x67ad[64]](_0xdeccxb)){if(_0xdeccxc[_0x67ad[69]](_0xdeccxb[_0x67ad[68]][_0x67ad[67]][_0x67ad[66]])>=0){this[_0x67ad[70]](_0xdeccxb)}}else {if(this[_0x67ad[65]]()[_0x67ad[71]](_0xdeccxb)){console[_0x67ad[72]](_0xdeccx4);console[_0x67ad[72]](_0xdeccxb);_0xdeccx4[_0x67ad[73]]( new GeneralDialog(_0xdeccx4,_0xdeccxb)[_0x67ad[1]],320,280,true,true)}};_0xdeccxd[_0x67ad[74]]()}};_0xdeccx3[_0x67ad[76]][_0x67ad[75]]=true;_0xdeccx3[_0x67ad[76]][_0x67ad[77]]=mxUtils[_0x67ad[20]](this,function(_0xdeccxe,_0xdeccxb,_0xdeccx6){this[_0x67ad[13]][_0x67ad[78]](_0xdeccxe,_0xdeccxb,_0xdeccx6)});_0xdeccx1[_0x67ad[80]][_0x67ad[35]](this[_0x67ad[79]]);mxEvent[_0x67ad[82]](document,mxUtils[_0x67ad[20]](this,function(_0xdeccx6){_0xdeccx3[_0x67ad[76]][_0x67ad[81]]()}));if(mxClient[_0x67ad[83]]){mxEvent[_0x67ad[33]](_0xdeccx3[_0x67ad[1]],_0x67ad[84],mxUtils[_0x67ad[20]](this,function(_0xdeccx6){_0xdeccx3[_0x67ad[89]][_0x67ad[88]]()[_0x67ad[38]](_0x67ad[85],_0x67ad[86]+_0xdeccx6[_0x67ad[87]]+_0x67ad[44]);_0xdeccx3[_0x67ad[89]][_0x67ad[91]]()[_0x67ad[6]][_0x67ad[90]]=_0x67ad[7]}));mxEvent[_0x67ad[33]](_0xdeccx3[_0x67ad[1]],_0x67ad[92],mxUtils[_0x67ad[20]](this,function(_0xdeccx6){_0xdeccx3[_0x67ad[89]][_0x67ad[88]]()[_0x67ad[93]](_0x67ad[85]);_0xdeccx3[_0x67ad[94]]=true;_0xdeccx3[_0x67ad[95]](_0xdeccx6[_0x67ad[87]]);_0xdeccx3[_0x67ad[89]][_0x67ad[91]]()[_0x67ad[6]][_0x67ad[90]]=_0x67ad[96]}))};var _0xdeccxf=this[_0x67ad[97]](_0xdeccx1);this[_0x67ad[98]]=function(){return _0xdeccxf};mxEvent[_0x67ad[33]](window,_0x67ad[99],mxUtils[_0x67ad[20]](this,function(){this[_0x67ad[15]]();_0xdeccx3[_0x67ad[100]]();this[_0x67ad[0]][_0x67ad[80]][_0x67ad[101]](false);this[_0x67ad[0]][_0x67ad[80]][_0x67ad[80]][_0x67ad[100]]()}));this[_0x67ad[35]]();this[_0x67ad[102]]()};EditorUi[_0x67ad[10]][_0x67ad[103]]=(mxClient[_0x67ad[83]])?16:8;EditorUi[_0x67ad[10]][_0x67ad[104]]=33;EditorUi[_0x67ad[10]][_0x67ad[105]]=36;EditorUi[_0x67ad[10]][_0x67ad[106]]=28;EditorUi[_0x67ad[10]][_0x67ad[107]]=204;EditorUi[_0x67ad[10]][_0x67ad[108]]=190;EditorUi[_0x67ad[10]][_0x67ad[35]]=function(){this[_0x67ad[109]]();this[_0x67ad[110]]();var _0xdeccx10=this[_0x67ad[12]][_0x67ad[112]](_0x67ad[111]);var _0xdeccx11=function(){_0xdeccx10[_0x67ad[114]](!mxClipboard[_0x67ad[113]]())};var _0xdeccx12=mxClipboard[_0x67ad[115]];mxClipboard[_0x67ad[115]]=function(){_0xdeccx12[_0x67ad[50]](this,arguments);_0xdeccx11()};var _0xdeccx13=mxClipboard[_0x67ad[116]];mxClipboard[_0x67ad[116]]=function(){_0xdeccx13[_0x67ad[50]](this,arguments);_0xdeccx11()}};EditorUi[_0x67ad[10]][_0x67ad[18]]=function(_0xdeccx6){return false};EditorUi[_0x67ad[10]][_0x67ad[102]]=function(){try{if(window[_0x67ad[117]]!=null&&window[_0x67ad[117]][_0x67ad[118]]!=null){window[_0x67ad[117]][_0x67ad[118]][_0x67ad[130]](mxUtils[_0x67ad[20]](this,function(_0xdeccx14,_0xdeccx15){try{var _0xdeccx16=mxUtils[_0x67ad[119]](_0xdeccx14);this[_0x67ad[0]][_0x67ad[121]](_0xdeccx16[_0x67ad[120]]);this[_0x67ad[0]][_0x67ad[122]]=false;this[_0x67ad[0]][_0x67ad[124]][_0x67ad[123]]();if(_0xdeccx15!=null){this[_0x67ad[0]][_0x67ad[125]]=_0xdeccx15}}catch(e){mxUtils[_0x67ad[129]](mxResources[_0x67ad[112]](_0x67ad[126])+_0x67ad[127]+e[_0x67ad[128]])}}))}}catch(e){}};EditorUi[_0x67ad[10]][_0x67ad[131]]=function(_0xdeccx14,_0xdeccx15,_0xdeccx17){try{var _0xdeccx16=mxUtils[_0x67ad[119]](_0xdeccx14);this[_0x67ad[0]][_0x67ad[121]](_0xdeccx16[_0x67ad[120]]);this[_0x67ad[0]][_0x67ad[122]]=false;this[_0x67ad[0]][_0x67ad[124]][_0x67ad[123]]();if(_0xdeccx15!=null){this[_0x67ad[0]][_0x67ad[125]]=_0xdeccx15};if(_0xdeccx17!=null){this[_0x67ad[0]][_0x67ad[132]]=_0xdeccx17}}catch(e){mxUtils[_0x67ad[129]](mxResources[_0x67ad[112]](_0x67ad[126])+_0x67ad[127]+e[_0x67ad[128]])}};EditorUi[_0x67ad[10]][_0x67ad[133]]=function(_0xdeccx18){var _0xdeccx1=this[_0x67ad[0]];if(_0xdeccx18!=null){var _0xdeccx14=mxUtils[_0x67ad[135]](this[_0x67ad[0]][_0x67ad[134]]());try{if(useLocalStorage){if(localStorage[_0x67ad[136]](_0xdeccx18)!=null&&!mxUtils[_0x67ad[138]](mxResources[_0x67ad[112]](_0x67ad[137],[_0xdeccx18]))){return};localStorage[_0x67ad[139]](_0xdeccx18,_0xdeccx14);this[_0x67ad[0]][_0x67ad[142]](mxResources[_0x67ad[112]](_0x67ad[140])+_0x67ad[141]+ new Date())}else {console[_0x67ad[72]](_0xdeccx14[_0x67ad[143]]);console[_0x67ad[72]](MAX_REQUEST_SIZE);if(_0xdeccx14[_0x67ad[143]]1?false:true};EditorUi[_0x67ad[10]][_0x67ad[222]]=function(_0xdeccx18){var _0xdeccx1=this[_0x67ad[0]];if(_0xdeccx18!=null){var _0xdeccx2a=this[_0x67ad[185]]();if(_0xdeccx2a===null){var _0xdeccx14=mxUtils[_0x67ad[135]](this[_0x67ad[0]][_0x67ad[134]]());try{if(useLocalStorage){if(localStorage[_0x67ad[136]](_0xdeccx18)!=null&&!mxUtils[_0x67ad[138]](mxResources[_0x67ad[112]](_0x67ad[137],[_0xdeccx18]))){return};localStorage[_0x67ad[139]](_0xdeccx18,_0xdeccx14);this[_0x67ad[0]][_0x67ad[142]](mxResources[_0x67ad[112]](_0x67ad[140])+_0x67ad[141]+ new Date())}else {console[_0x67ad[72]](_0xdeccx14[_0x67ad[143]]);console[_0x67ad[72]](MAX_REQUEST_SIZE);if(_0xdeccx14[_0x67ad[143]]0)?1:0;for(var _0xdeccx25 in urlParams){if(_0xdeccx2d==0){_0xdeccx2c+=_0x67ad[234]}else {_0xdeccx2c+=_0x67ad[235]};_0xdeccx2c+=_0xdeccx25+_0x67ad[236]+urlParams[_0xdeccx25];_0xdeccx2d++};return _0xdeccx2c};EditorUi[_0x67ad[10]][_0x67ad[109]]=function(){var _0xdeccx2e=this[_0x67ad[12]][_0x67ad[112]](_0x67ad[237]);var _0xdeccx2f=this[_0x67ad[12]][_0x67ad[112]](_0x67ad[238]);var _0xdeccx30=this[_0x67ad[0]][_0x67ad[124]];var _0xdeccx31=function(){_0xdeccx2e[_0x67ad[114]](_0xdeccx30[_0x67ad[239]]());_0xdeccx2f[_0x67ad[114]](_0xdeccx30[_0x67ad[240]]())};_0xdeccx30[_0x67ad[33]](mxEvent.ADD,_0xdeccx31);_0xdeccx30[_0x67ad[33]](mxEvent.UNDO,_0xdeccx31);_0xdeccx30[_0x67ad[33]](mxEvent.REDO,_0xdeccx31);_0xdeccx30[_0x67ad[33]](mxEvent.CLEAR,_0xdeccx31);_0xdeccx31()};EditorUi[_0x67ad[10]][_0x67ad[110]]=function(){var _0xdeccx32=mxUtils[_0x67ad[20]](this,function(){var _0xdeccx3=this[_0x67ad[0]][_0x67ad[4]];var _0xdeccx33=!_0xdeccx3[_0x67ad[241]]();var _0xdeccx34=false;var _0xdeccx35=false;var _0xdeccx36=_0xdeccx3[_0x67ad[242]]();if(_0xdeccx36!=null){for(var _0xdeccx37=0;_0xdeccx37<_0xdeccx36[_0x67ad[143]];_0xdeccx37++){var _0xdeccxb=_0xdeccx36[_0xdeccx37];if(_0xdeccx3[_0x67ad[65]]()[_0x67ad[64]](_0xdeccxb)){_0xdeccx35=true};if(_0xdeccx3[_0x67ad[65]]()[_0x67ad[71]](_0xdeccxb)){_0xdeccx34=true};if(_0xdeccx35&&_0xdeccx34){break}}};var _0xdeccx38=[_0x67ad[115],_0x67ad[116],_0x67ad[243],_0x67ad[244],_0x67ad[245],_0x67ad[246],_0x67ad[6],_0x67ad[247],_0x67ad[248],_0x67ad[249],_0x67ad[250],_0x67ad[251],_0x67ad[252],_0x67ad[253],_0x67ad[254]];for(var _0xdeccx37=0;_0xdeccx37<_0xdeccx38[_0x67ad[143]];_0xdeccx37++){this[_0x67ad[12]][_0x67ad[112]](_0xdeccx38[_0xdeccx37])[_0x67ad[114]](_0xdeccx33)};this[_0x67ad[12]][_0x67ad[112]](_0x67ad[255])[_0x67ad[114]](_0xdeccx35);this[_0x67ad[12]][_0x67ad[112]](_0x67ad[256])[_0x67ad[114]](_0xdeccx34);this[_0x67ad[12]][_0x67ad[112]](_0x67ad[257])[_0x67ad[114]](_0xdeccx34);this[_0x67ad[12]][_0x67ad[112]](_0x67ad[259])[_0x67ad[114]](_0xdeccx3[_0x67ad[258]]()>1);this[_0x67ad[12]][_0x67ad[112]](_0x67ad[261])[_0x67ad[114]](_0xdeccx3[_0x67ad[258]]()==1&&_0xdeccx3[_0x67ad[65]]()[_0x67ad[188]](_0xdeccx3[_0x67ad[260]]())>0);var _0xdeccx39=_0xdeccx34&&_0xdeccx3[_0x67ad[258]]()==1;this[_0x67ad[12]][_0x67ad[112]](_0x67ad[262])[_0x67ad[114]](_0xdeccx39&&_0xdeccx3[_0x67ad[65]]()[_0x67ad[71]](_0xdeccx3[_0x67ad[65]]()[_0x67ad[219]](_0xdeccx3[_0x67ad[260]]())));var _0xdeccx3a=[_0x67ad[263],_0x67ad[264],_0x67ad[265],_0x67ad[266],_0x67ad[267],_0x67ad[268],_0x67ad[269],_0x67ad[270],_0x67ad[271]];for(var _0xdeccx37=0;_0xdeccx37<_0xdeccx3a[_0x67ad[143]];_0xdeccx37++){this[_0x67ad[13]][_0x67ad[112]](_0xdeccx3a[_0xdeccx37])[_0x67ad[114]](_0xdeccx33)};_0xdeccx3a=[_0x67ad[272],_0x67ad[273],_0x67ad[274]];for(var _0xdeccx37=0;_0xdeccx37<_0xdeccx3a[_0x67ad[143]];_0xdeccx37++){this[_0x67ad[13]][_0x67ad[112]](_0xdeccx3a[_0xdeccx37])[_0x67ad[114]](_0xdeccx35)};this[_0x67ad[12]][_0x67ad[112]](_0x67ad[275])[_0x67ad[114]](_0xdeccx35);this[_0x67ad[13]][_0x67ad[112]](_0x67ad[276])[_0x67ad[114]](_0xdeccx3[_0x67ad[258]]()>1);this[_0x67ad[13]][_0x67ad[112]](_0x67ad[279])[_0x67ad[114]](_0xdeccx34||(_0xdeccx35&&_0xdeccx3[_0x67ad[278]](_0xdeccx3[_0x67ad[89]][_0x67ad[277]](_0xdeccx3[_0x67ad[260]]()))));this[_0x67ad[13]][_0x67ad[112]](_0x67ad[282])[_0x67ad[114]](_0xdeccx3[_0x67ad[280]]&&((_0xdeccx3[_0x67ad[89]][_0x67ad[281]]!=null)||(_0xdeccx3[_0x67ad[258]]()==1&&_0xdeccx3[_0x67ad[190]](_0xdeccx3[_0x67ad[260]]()))));this[_0x67ad[12]][_0x67ad[112]](_0x67ad[283])[_0x67ad[114]](_0xdeccx3[_0x67ad[89]][_0x67ad[281]]!=null);this[_0x67ad[12]][_0x67ad[112]](_0x67ad[284])[_0x67ad[114]](_0xdeccx3[_0x67ad[89]][_0x67ad[281]]!=null);var _0xdeccx3b=_0xdeccx3[_0x67ad[258]]()==1&&_0xdeccx3[_0x67ad[190]](_0xdeccx3[_0x67ad[260]]());this[_0x67ad[12]][_0x67ad[112]](_0x67ad[285])[_0x67ad[114]](_0xdeccx3b);this[_0x67ad[12]][_0x67ad[112]](_0x67ad[286])[_0x67ad[114]](_0xdeccx3b);this[_0x67ad[12]][_0x67ad[112]](_0x67ad[287])[_0x67ad[114]](_0xdeccx3b);this[_0x67ad[12]][_0x67ad[112]](_0x67ad[288])[_0x67ad[114]](_0xdeccx3[_0x67ad[258]]()==1);this[_0x67ad[12]][_0x67ad[112]](_0x67ad[290])[_0x67ad[114]](_0xdeccx3[_0x67ad[258]]()==1&&_0xdeccx3[_0x67ad[289]](_0xdeccx3[_0x67ad[260]]())!=null)});this[_0x67ad[0]][_0x67ad[4]][_0x67ad[291]]()[_0x67ad[33]](mxEvent.CHANGE,_0xdeccx32);_0xdeccx32()};EditorUi[_0x67ad[10]][_0x67ad[15]]=function(){var _0xdeccx3c=mxClient[_0x67ad[29]]&&(document[_0x67ad[30]]==null||document[_0x67ad[30]]==5);var _0xdeccx3d=this[_0x67ad[1]][_0x67ad[292]];var _0xdeccx3e=this[_0x67ad[1]][_0x67ad[293]];if(this[_0x67ad[1]]==document[_0x67ad[21]]){_0xdeccx3d=document[_0x67ad[21]][_0x67ad[292]]||document[_0x67ad[120]][_0x67ad[292]];_0xdeccx3e=(_0xdeccx3c)?document[_0x67ad[21]][_0x67ad[293]]||document[_0x67ad[120]][_0x67ad[293]]:document[_0x67ad[120]][_0x67ad[293]]};var _0xdeccx3f=Math[_0x67ad[295]](0,Math[_0x67ad[294]](this[_0x67ad[107]],_0xdeccx3d-this[_0x67ad[103]]-20));var _0xdeccx40=Math[_0x67ad[295]](0,Math[_0x67ad[294]](this[_0x67ad[108]],_0xdeccx3e-this[_0x67ad[104]]-this[_0x67ad[105]]-this[_0x67ad[106]]-this[_0x67ad[103]]-1));this[_0x67ad[23]][_0x67ad[6]][_0x67ad[296]]=this[_0x67ad[104]]+_0x67ad[297];this[_0x67ad[25]][_0x67ad[6]][_0x67ad[298]]=this[_0x67ad[104]]+_0x67ad[297];this[_0x67ad[25]][_0x67ad[6]][_0x67ad[296]]=this[_0x67ad[105]]+_0x67ad[297];var _0xdeccx41=this[_0x67ad[104]]+this[_0x67ad[105]];if(!mxClient[_0x67ad[299]]){_0xdeccx41+=1};this[_0x67ad[27]][_0x67ad[6]][_0x67ad[298]]=_0xdeccx41+_0x67ad[297];this[_0x67ad[27]][_0x67ad[6]][_0x67ad[300]]=_0xdeccx3f+_0x67ad[297];this[_0x67ad[79]][_0x67ad[6]][_0x67ad[300]]=_0xdeccx3f+_0x67ad[297];this[_0x67ad[79]][_0x67ad[6]][_0x67ad[296]]=_0xdeccx40+_0x67ad[297];this[_0x67ad[79]][_0x67ad[6]][_0x67ad[301]]=this[_0x67ad[106]]+_0x67ad[297];this[_0x67ad[26]][_0x67ad[6]][_0x67ad[302]]=(_0xdeccx3f+this[_0x67ad[103]])+_0x67ad[297];this[_0x67ad[26]][_0x67ad[6]][_0x67ad[298]]=this[_0x67ad[27]][_0x67ad[6]][_0x67ad[298]];this[_0x67ad[28]][_0x67ad[6]][_0x67ad[296]]=this[_0x67ad[106]]+_0x67ad[297];this[_0x67ad[28]][_0x67ad[6]][_0x67ad[303]]=_0x67ad[304];this[_0x67ad[305]][_0x67ad[6]][_0x67ad[298]]=this[_0x67ad[27]][_0x67ad[6]][_0x67ad[298]];this[_0x67ad[305]][_0x67ad[6]][_0x67ad[301]]=this[_0x67ad[79]][_0x67ad[6]][_0x67ad[301]];this[_0x67ad[305]][_0x67ad[6]][_0x67ad[302]]=_0xdeccx3f+_0x67ad[297];this[_0x67ad[306]][_0x67ad[6]][_0x67ad[300]]=this[_0x67ad[27]][_0x67ad[6]][_0x67ad[300]];this[_0x67ad[306]][_0x67ad[6]][_0x67ad[301]]=(_0xdeccx40+this[_0x67ad[106]])+_0x67ad[297];if(_0xdeccx3c){this[_0x67ad[23]][_0x67ad[6]][_0x67ad[300]]=_0xdeccx3d+_0x67ad[297];this[_0x67ad[25]][_0x67ad[6]][_0x67ad[300]]=this[_0x67ad[23]][_0x67ad[6]][_0x67ad[300]];var _0xdeccx42=(_0xdeccx3e-_0xdeccx40-this[_0x67ad[103]]-this[_0x67ad[106]]-this[_0x67ad[104]]-this[_0x67ad[105]]);this[_0x67ad[27]][_0x67ad[6]][_0x67ad[296]]=_0xdeccx42+_0x67ad[297];this[_0x67ad[26]][_0x67ad[6]][_0x67ad[300]]=(_0xdeccx3d-_0xdeccx3f-this[_0x67ad[103]])+_0x67ad[297];var _0xdeccx43=(_0xdeccx3e-this[_0x67ad[106]]-this[_0x67ad[104]]-this[_0x67ad[105]]);this[_0x67ad[26]][_0x67ad[6]][_0x67ad[296]]=_0xdeccx43+_0x67ad[297];this[_0x67ad[28]][_0x67ad[6]][_0x67ad[300]]=this[_0x67ad[23]][_0x67ad[6]][_0x67ad[300]];this[_0x67ad[305]][_0x67ad[6]][_0x67ad[296]]=_0xdeccx43+_0x67ad[297]}else {this[_0x67ad[27]][_0x67ad[6]][_0x67ad[301]]=(_0xdeccx40+this[_0x67ad[103]]+this[_0x67ad[106]])+_0x67ad[297];this[_0x67ad[26]][_0x67ad[6]][_0x67ad[301]]=this[_0x67ad[79]][_0x67ad[6]][_0x67ad[301]]}};EditorUi[_0x67ad[10]][_0x67ad[14]]=function(){this[_0x67ad[23]]=this[_0x67ad[308]](_0x67ad[307]);this[_0x67ad[25]]=this[_0x67ad[308]](_0x67ad[309]);this[_0x67ad[27]]=this[_0x67ad[308]](_0x67ad[310]);this[_0x67ad[79]]=this[_0x67ad[308]](_0x67ad[311]);this[_0x67ad[26]]=this[_0x67ad[308]](_0x67ad[312]);this[_0x67ad[28]]=this[_0x67ad[308]](_0x67ad[313]);this[_0x67ad[305]]=this[_0x67ad[308]](_0x67ad[314]);this[_0x67ad[306]]=this[_0x67ad[308]](_0x67ad[315]);this[_0x67ad[23]][_0x67ad[6]][_0x67ad[298]]=_0x67ad[316];this[_0x67ad[23]][_0x67ad[6]][_0x67ad[302]]=_0x67ad[316];this[_0x67ad[23]][_0x67ad[6]][_0x67ad[317]]=_0x67ad[316];this[_0x67ad[25]][_0x67ad[6]][_0x67ad[302]]=_0x67ad[316];this[_0x67ad[25]][_0x67ad[6]][_0x67ad[317]]=_0x67ad[316];this[_0x67ad[27]][_0x67ad[6]][_0x67ad[302]]=_0x67ad[316];this[_0x67ad[79]][_0x67ad[6]][_0x67ad[302]]=_0x67ad[316];this[_0x67ad[26]][_0x67ad[6]][_0x67ad[317]]=_0x67ad[316];this[_0x67ad[28]][_0x67ad[6]][_0x67ad[302]]=_0x67ad[316];this[_0x67ad[28]][_0x67ad[6]][_0x67ad[317]]=_0x67ad[316];this[_0x67ad[28]][_0x67ad[6]][_0x67ad[301]]=_0x67ad[316];this[_0x67ad[306]][_0x67ad[6]][_0x67ad[302]]=_0x67ad[316];this[_0x67ad[306]][_0x67ad[6]][_0x67ad[296]]=this[_0x67ad[103]]+_0x67ad[297];this[_0x67ad[305]][_0x67ad[6]][_0x67ad[300]]=this[_0x67ad[103]]+_0x67ad[297]};EditorUi[_0x67ad[10]][_0x67ad[16]]=function(){this[_0x67ad[318]]=this[_0x67ad[13]][_0x67ad[320]](this[_0x67ad[308]](_0x67ad[319]));this[_0x67ad[23]][_0x67ad[321]](this[_0x67ad[318]][_0x67ad[1]]);this[_0x67ad[322]]=this[_0x67ad[324]](this[_0x67ad[308]](_0x67ad[323]));this[_0x67ad[25]][_0x67ad[321]](this[_0x67ad[322]][_0x67ad[1]]);this[_0x67ad[325]]=this[_0x67ad[326]](this[_0x67ad[27]]);this[_0x67ad[28]][_0x67ad[321]](this[_0x67ad[327]]());this[_0x67ad[328]]=this[_0x67ad[329]]();this[_0x67ad[0]][_0x67ad[33]](_0x67ad[330],mxUtils[_0x67ad[20]](this,function(){this[_0x67ad[332]](this[_0x67ad[0]][_0x67ad[331]]())}));this[_0x67ad[332]](this[_0x67ad[0]][_0x67ad[331]]());this[_0x67ad[318]][_0x67ad[1]][_0x67ad[321]](this[_0x67ad[328]]);this[_0x67ad[1]][_0x67ad[321]](this[_0x67ad[23]]);this[_0x67ad[1]][_0x67ad[321]](this[_0x67ad[25]]);this[_0x67ad[1]][_0x67ad[321]](this[_0x67ad[27]]);this[_0x67ad[1]][_0x67ad[321]](this[_0x67ad[79]]);this[_0x67ad[1]][_0x67ad[321]](this[_0x67ad[26]]);this[_0x67ad[1]][_0x67ad[321]](this[_0x67ad[28]]);this[_0x67ad[1]][_0x67ad[321]](this[_0x67ad[305]]);this[_0x67ad[1]][_0x67ad[321]](this[_0x67ad[306]]);this[_0x67ad[333]](this[_0x67ad[305]],true,0,mxUtils[_0x67ad[20]](this,function(_0xdeccx44){this[_0x67ad[107]]=_0xdeccx44;this[_0x67ad[15]]();this[_0x67ad[0]][_0x67ad[4]][_0x67ad[100]]();this[_0x67ad[0]][_0x67ad[80]][_0x67ad[101]](false);this[_0x67ad[0]][_0x67ad[80]][_0x67ad[80]][_0x67ad[100]]()}));this[_0x67ad[333]](this[_0x67ad[306]],false,this[_0x67ad[106]],mxUtils[_0x67ad[20]](this,function(_0xdeccx44){this[_0x67ad[108]]=_0xdeccx44;this[_0x67ad[15]]();this[_0x67ad[0]][_0x67ad[80]][_0x67ad[101]](false);this[_0x67ad[0]][_0x67ad[80]][_0x67ad[80]][_0x67ad[100]]()}))};EditorUi[_0x67ad[10]][_0x67ad[329]]=function(){var _0xdeccx2=document[_0x67ad[335]](_0x67ad[334]);_0xdeccx2[_0x67ad[336]]=_0x67ad[337];return _0xdeccx2};EditorUi[_0x67ad[10]][_0x67ad[329]]=function(){var _0xdeccx2=document[_0x67ad[335]](_0x67ad[334]);_0xdeccx2[_0x67ad[336]]=_0x67ad[337];return _0xdeccx2};EditorUi[_0x67ad[10]][_0x67ad[332]]=function(_0xdeccx44){this[_0x67ad[328]][_0x67ad[338]]=_0xdeccx44};EditorUi[_0x67ad[10]][_0x67ad[324]]=function(_0xdeccx2){return new Toolbar(this,_0xdeccx2)};EditorUi[_0x67ad[10]][_0x67ad[326]]=function(_0xdeccx2){return new Sidebar(this,_0xdeccx2)};EditorUi[_0x67ad[10]][_0x67ad[327]]=function(){return this[_0x67ad[308]](_0x67ad[339])};EditorUi[_0x67ad[10]][_0x67ad[308]]=function(_0xdeccx45){var _0xdeccx46=document[_0x67ad[335]](_0x67ad[340]);_0xdeccx46[_0x67ad[336]]=_0xdeccx45;return _0xdeccx46};EditorUi[_0x67ad[10]][_0x67ad[341]]=function(_0xdeccx45){var _0xdeccx46=document[_0x67ad[335]](_0xdeccx45);return _0xdeccx46};EditorUi[_0x67ad[10]][_0x67ad[342]]=function(_0xdeccx45){var _0xdeccx46=document[_0x67ad[335]](_0x67ad[343]);_0xdeccx46[_0x67ad[336]]=_0xdeccx45;return _0xdeccx46};EditorUi[_0x67ad[10]][_0x67ad[333]]=function(_0xdeccx46,_0xdeccx47,_0xdeccx48,_0xdeccx49){var _0xdeccx4a=null;var _0xdeccx4b=null;function _0xdeccx4c(){return parseInt(((_0xdeccx47)?_0xdeccx46[_0x67ad[6]][_0x67ad[302]]:_0xdeccx46[_0x67ad[6]][_0x67ad[301]]))}function _0xdeccx4d(_0xdeccx6){if(_0xdeccx4a!=null){var _0xdeccx4e= new mxPoint(mxEvent[_0x67ad[344]](_0xdeccx6),mxEvent[_0x67ad[345]](_0xdeccx6));_0xdeccx49(Math[_0x67ad[295]](0,_0xdeccx4b+((_0xdeccx47)?(_0xdeccx4e[_0x67ad[346]]-_0xdeccx4a[_0x67ad[346]]):(_0xdeccx4a[_0x67ad[347]]-_0xdeccx4e[_0x67ad[347]]))-_0xdeccx48));mxEvent[_0x67ad[74]](_0xdeccx6)}}function _0xdeccx4f(_0xdeccx6){_0xdeccx4d(_0xdeccx6);_0xdeccx4a=null;_0xdeccx4b=null}mxEvent[_0x67ad[82]](_0xdeccx46,function(_0xdeccx6){_0xdeccx4a= new mxPoint(mxEvent[_0x67ad[344]](_0xdeccx6),mxEvent[_0x67ad[345]](_0xdeccx6));_0xdeccx4b=_0xdeccx4c();mxEvent[_0x67ad[74]](_0xdeccx6)});mxEvent[_0x67ad[33]](document,_0x67ad[348],_0xdeccx4d);mxEvent[_0x67ad[33]](document,_0x67ad[349],_0xdeccx4d);mxEvent[_0x67ad[33]](document,_0x67ad[350],_0xdeccx4f);mxEvent[_0x67ad[33]](document,_0x67ad[351],_0xdeccx4f)};EditorUi[_0x67ad[10]][_0x67ad[73]]=function(_0xdeccx46,_0xdeccx3d,_0xdeccx3e,_0xdeccx50,_0xdeccx51,_0xdeccx52){this[_0x67ad[352]]();this[_0x67ad[353]]= new Dialog(this,_0xdeccx46,_0xdeccx3d,(mxClient[_0x67ad[354]])?_0xdeccx3e-12:_0xdeccx3e,_0xdeccx50,_0xdeccx51,_0xdeccx52)};EditorUi[_0x67ad[10]][_0x67ad[352]]=function(){if(this[_0x67ad[353]]!=null){this[_0x67ad[353]][_0x67ad[355]]();this[_0x67ad[353]]=null;this[_0x67ad[0]][_0x67ad[4]][_0x67ad[1]][_0x67ad[47]]()}};EditorUi[_0x67ad[10]][_0x67ad[356]]=function(_0xdeccx53){if(!_0xdeccx53&&this[_0x67ad[0]][_0x67ad[125]]!=null){this[_0x67ad[171]](this[_0x67ad[0]][_0x67ad[357]]())}else {this[_0x67ad[73]]( new SaveDialog(this)[_0x67ad[1]],300,100,true,true)}};EditorUi[_0x67ad[10]][_0x67ad[358]]=function(_0xdeccx53){if(!_0xdeccx53&&this[_0x67ad[0]][_0x67ad[125]]!=null){this[_0x67ad[222]](this[_0x67ad[0]][_0x67ad[357]]())}else {}};EditorUi[_0x67ad[10]][_0x67ad[359]]=function(_0xdeccx54,_0xdeccx55,_0xdeccx56){var _0xdeccx3=this[_0x67ad[0]][_0x67ad[4]];var _0xdeccxb=_0xdeccx3[_0x67ad[260]]();_0xdeccx55=this[_0x67ad[360]]!=null?this[_0x67ad[360]]:_0xdeccx55;_0xdeccx3[_0x67ad[65]]()[_0x67ad[361]]();try{_0xdeccx54[_0x67ad[362]](_0xdeccx3[_0x67ad[220]](),_0xdeccxb)}catch(e){throw e}finally{if(_0xdeccx55&&navigator[_0x67ad[364]][_0x67ad[69]](_0x67ad[363])<0){var _0xdeccx57= new mxMorphing(_0xdeccx3);_0xdeccx57[_0x67ad[33]](mxEvent.DONE,mxUtils[_0x67ad[20]](this,function(){_0xdeccx3[_0x67ad[65]]()[_0x67ad[365]]()}));_0xdeccx57[_0x67ad[366]]()}else {_0xdeccx3[_0x67ad[65]]()[_0x67ad[365]]()}}};EditorUi[_0x67ad[10]][_0x67ad[97]]=function(_0xdeccx1){var _0xdeccx3=this[_0x67ad[0]][_0x67ad[4]];var _0xdeccxf= new mxKeyHandler(_0xdeccx3);_0xdeccxf[_0x67ad[367]]=function(_0xdeccx6){return mxEvent[_0x67ad[367]](_0xdeccx6)||(mxClient[_0x67ad[368]]&&_0xdeccx6[_0x67ad[369]])};function _0xdeccx58(_0xdeccx59){if(!_0xdeccx3[_0x67ad[241]]()){var _0xdeccx48=0;var _0xdeccx5a=0;if(_0xdeccx59==37){_0xdeccx48= -1}else {if(_0xdeccx59==38){_0xdeccx5a= -1}else {if(_0xdeccx59==39){_0xdeccx48=1}else {if(_0xdeccx59==40){_0xdeccx5a=1}}}};_0xdeccx3[_0x67ad[370]](_0xdeccx3[_0x67ad[242]](),_0xdeccx48,_0xdeccx5a);_0xdeccx3[_0x67ad[371]](_0xdeccx3[_0x67ad[260]]())}}var _0xdeccx5b=mxUtils[_0x67ad[20]](this,function(_0xdeccx5c,_0xdeccx5d,_0xdeccx25,_0xdeccx5e){var _0xdeccx5f=this[_0x67ad[12]][_0x67ad[112]](_0xdeccx25);if(_0xdeccx5f!=null){var _0xdeccx1f=function(){if(_0xdeccx5f[_0x67ad[372]]){_0xdeccx5f[_0x67ad[373]]()}};if(_0xdeccx5d){if(_0xdeccx5e){_0xdeccxf[_0x67ad[374]](_0xdeccx5c,_0xdeccx1f)}else {_0xdeccxf[_0x67ad[375]](_0xdeccx5c,_0xdeccx1f)}}else {if(_0xdeccx5e){_0xdeccxf[_0x67ad[376]](_0xdeccx5c,_0xdeccx1f)}else {_0xdeccxf[_0x67ad[377]](_0xdeccx5c,_0xdeccx1f)}}}});var _0xdeccx60=this;var _0xdeccx61=_0xdeccxf[_0x67ad[378]];_0xdeccxf[_0x67ad[378]]=function(_0xdeccx6){_0xdeccx60[_0x67ad[352]]();_0xdeccx61[_0x67ad[50]](this,arguments)};_0xdeccxf[_0x67ad[379]]=function(){};_0xdeccxf[_0x67ad[377]](8,function(){_0xdeccx3[_0x67ad[380]](true)});_0xdeccxf[_0x67ad[377]](13,function(){_0xdeccx3[_0x67ad[380]](false)});_0xdeccxf[_0x67ad[377]](33,function(){_0xdeccx3[_0x67ad[284]]()});_0xdeccxf[_0x67ad[377]](34,function(){_0xdeccx3[_0x67ad[285]]()});_0xdeccxf[_0x67ad[377]](36,function(){_0xdeccx3[_0x67ad[283]]()});_0xdeccxf[_0x67ad[377]](35,function(){_0xdeccx3[_0x67ad[15]]()});_0xdeccxf[_0x67ad[377]](37,function(){_0xdeccx58(37)});_0xdeccxf[_0x67ad[377]](38,function(){_0xdeccx58(38)});_0xdeccxf[_0x67ad[377]](39,function(){_0xdeccx58(39)});_0xdeccxf[_0x67ad[377]](40,function(){_0xdeccx58(40)});_0xdeccxf[_0x67ad[377]](113,function(){_0xdeccx3[_0x67ad[70]]()});_0xdeccx5b(46,false,_0x67ad[243]);_0xdeccx5b(82,true,_0x67ad[253]);_0xdeccx5b(83,true,_0x67ad[171]);_0xdeccx5b(83,true,_0x67ad[179],true);_0xdeccx5b(107,false,_0x67ad[381]);_0xdeccx5b(109,false,_0x67ad[382]);_0xdeccx5b(65,true,_0x67ad[383]);_0xdeccx5b(86,true,_0x67ad[384],true);_0xdeccx5b(69,true,_0x67ad[385],true);_0xdeccx5b(69,true,_0x67ad[386]);_0xdeccx5b(66,true,_0x67ad[249]);_0xdeccx5b(70,true,_0x67ad[248]);_0xdeccx5b(68,true,_0x67ad[244]);_0xdeccx5b(90,true,_0x67ad[237]);_0xdeccx5b(89,true,_0x67ad[238]);_0xdeccx5b(88,true,_0x67ad[115]);_0xdeccx5b(67,true,_0x67ad[116]);_0xdeccx5b(81,true,_0x67ad[387]);_0xdeccx5b(86,true,_0x67ad[111]);_0xdeccx5b(71,true,_0x67ad[259]);_0xdeccx5b(71,true,_0x67ad[388],true);_0xdeccx5b(85,true,_0x67ad[261]);_0xdeccx5b(112,false,_0x67ad[389]);_0xdeccx5b(80,true,_0x67ad[222],true);return _0xdeccxf};EditorUi[_0x67ad[10]][_0x67ad[58]]=function(_0xdeccx3,_0xdeccx36,_0xdeccx62,_0xdeccx63){for(var _0xdeccx37=0;_0xdeccx37<_0xdeccx36[_0x67ad[143]];_0xdeccx37++){_0xdeccx3[_0x67ad[392]]( new mxMultiplicity(true,_0xdeccx36[_0xdeccx37],null,null,_0xdeccx62[_0xdeccx37][0],_0xdeccx62[_0xdeccx37][1],null,mxResources[_0x67ad[112]](_0xdeccx36[_0xdeccx37])+_0x67ad[390]+_0xdeccx62[_0xdeccx37][0]+_0x67ad[391],null));_0xdeccx3[_0x67ad[392]]( new mxMultiplicity(false,_0xdeccx36[_0xdeccx37],null,null,_0xdeccx63[_0xdeccx37][0],_0xdeccx63[_0xdeccx37][1],null,mxResources[_0x67ad[112]](_0xdeccx36[_0xdeccx37])+_0x67ad[390]+_0xdeccx63[_0xdeccx37][0]+_0x67ad[393],null))}} \ No newline at end of file +var _0x93fe=["\x65\x64\x69\x74\x6F\x72","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x45\x64\x69\x74\x6F\x72","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74\x42\x79\x49\x64","\x67\x72\x61\x70\x68","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x73\x74\x79\x6C\x65","\x68\x69\x64\x64\x65\x6E","\x73\x72\x63","\x73\x75\x62\x6D\x65\x6E\x75\x49\x6D\x61\x67\x65","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x63\x6F\x6E\x6E\x65\x63\x74\x49\x6D\x61\x67\x65","\x61\x63\x74\x69\x6F\x6E\x73","\x6D\x65\x6E\x75\x73","\x63\x72\x65\x61\x74\x65\x44\x69\x76\x73","\x72\x65\x66\x72\x65\x73\x68","\x63\x72\x65\x61\x74\x65\x55\x69","\x65\x76\x65\x6E\x74","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x41\x6C\x6C\x6F\x77\x65\x64","\x69\x73\x45\x64\x69\x74\x69\x6E\x67","\x62\x69\x6E\x64","\x62\x6F\x64\x79","\x6F\x6E\x73\x65\x6C\x65\x63\x74\x73\x74\x61\x72\x74","\x6D\x65\x6E\x75\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x6F\x6E\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E","\x74\x6F\x6F\x6C\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x64\x69\x61\x67\x72\x61\x6D\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x73\x69\x64\x65\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x66\x6F\x6F\x74\x65\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x49\x53\x5F\x49\x45","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x4D\x6F\x64\x65","\x75\x6E\x64\x65\x66\x69\x6E\x65\x64","\x63\x6F\x6E\x74\x65\x78\x74\x6D\x65\x6E\x75","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x6F\x6E\x63\x6F\x6E\x74\x65\x78\x74\x6D\x65\x6E\x75","\x69\x6E\x69\x74","\x74\x61\x62\x69\x6E\x64\x65\x78","\x30","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x75\x72\x73\x6F\x72","\x64\x65\x66\x61\x75\x6C\x74","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x75\x72\x6C\x28","\x67\x72\x69\x64\x49\x6D\x61\x67\x65","\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x2D\x31\x70\x78\x20\x2D\x31\x70\x78","\x66\x6F\x63\x75\x73","\x66\x69\x72\x65\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74","\x4D\x4F\x55\x53\x45\x5F\x44\x4F\x57\x4E","\x61\x70\x70\x6C\x79","\x6D\x75\x6C\x74\x69\x70\x6C\x69\x63\x69\x74\x69\x65\x73","\x73\x74\x61\x72\x74","\x65\x6E\x64","\x69\x6E\x70\x75\x74","\x71\x75\x65\x73\x74\x69\x6F\x6E","\x65\x6D\x61\x69\x6C","\x6E","\x63\x72\x65\x61\x74\x65\x4D\x75\x6C\x74\x69\x70\x6C\x69\x63\x69\x74\x69\x65\x73","\x64\x62\x6C\x43\x6C\x69\x63\x6B","\x63\x65\x6C\x6C","\x66\x69\x72\x65\x45\x76\x65\x6E\x74","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x43\x6F\x6E\x73\x75\x6D\x65\x64","\x69\x73\x45\x64\x67\x65","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x74\x61\x67\x4E\x61\x6D\x65","\x76\x61\x6C\x75\x65","\x73\x6F\x75\x72\x63\x65","\x69\x6E\x64\x65\x78\x4F\x66","\x73\x74\x61\x72\x74\x45\x64\x69\x74\x69\x6E\x67\x41\x74\x43\x65\x6C\x6C","\x69\x73\x56\x65\x72\x74\x65\x78","\x6C\x6F\x67","\x73\x68\x6F\x77\x44\x69\x61\x6C\x6F\x67","\x63\x6F\x6E\x73\x75\x6D\x65","\x61\x75\x74\x6F\x45\x78\x70\x61\x6E\x64","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x66\x61\x63\x74\x6F\x72\x79\x4D\x65\x74\x68\x6F\x64","\x63\x72\x65\x61\x74\x65\x50\x6F\x70\x75\x70\x4D\x65\x6E\x75","\x6F\x75\x74\x6C\x69\x6E\x65\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x6F\x75\x74\x6C\x69\x6E\x65","\x68\x69\x64\x65\x4D\x65\x6E\x75","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x49\x53\x5F\x54\x4F\x55\x43\x48","\x67\x65\x73\x74\x75\x72\x65\x63\x68\x61\x6E\x67\x65","\x74\x72\x61\x6E\x73\x66\x6F\x72\x6D","\x73\x63\x61\x6C\x65\x28","\x73\x63\x61\x6C\x65","\x67\x65\x74\x44\x72\x61\x77\x50\x61\x6E\x65","\x76\x69\x65\x77","\x76\x69\x73\x69\x62\x69\x6C\x69\x74\x79","\x67\x65\x74\x4F\x76\x65\x72\x6C\x61\x79\x50\x61\x6E\x65","\x67\x65\x73\x74\x75\x72\x65\x65\x6E\x64","\x72\x65\x6D\x6F\x76\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x7A\x6F\x6F\x6D\x54\x6F\x43\x65\x6E\x74\x65\x72","\x7A\x6F\x6F\x6D","\x76\x69\x73\x69\x62\x6C\x65","\x63\x72\x65\x61\x74\x65\x4B\x65\x79\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x4B\x65\x79\x48\x61\x6E\x64\x6C\x65\x72","\x72\x65\x73\x69\x7A\x65","\x73\x69\x7A\x65\x44\x69\x64\x43\x68\x61\x6E\x67\x65","\x75\x70\x64\x61\x74\x65","\x6F\x70\x65\x6E","\x73\x70\x6C\x69\x74\x53\x69\x7A\x65","\x6D\x65\x6E\x75\x62\x61\x72\x48\x65\x69\x67\x68\x74","\x74\x6F\x6F\x6C\x62\x61\x72\x48\x65\x69\x67\x68\x74","\x66\x6F\x6F\x74\x65\x72\x48\x65\x69\x67\x68\x74","\x68\x73\x70\x6C\x69\x74\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x76\x73\x70\x6C\x69\x74\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x61\x64\x64\x55\x6E\x64\x6F\x4C\x69\x73\x74\x65\x6E\x65\x72","\x61\x64\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x4C\x69\x73\x74\x65\x6E\x65\x72","\x70\x61\x73\x74\x65","\x67\x65\x74","\x69\x73\x45\x6D\x70\x74\x79","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x63\x75\x74","\x63\x6F\x70\x79","\x6F\x70\x65\x6E\x65\x72","\x6F\x70\x65\x6E\x46\x69\x6C\x65","\x70\x61\x72\x73\x65\x58\x6D\x6C","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x73\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x6D\x6F\x64\x69\x66\x69\x65\x64","\x63\x6C\x65\x61\x72","\x75\x6E\x64\x6F\x4D\x61\x6E\x61\x67\x65\x72","\x66\x69\x6C\x65\x6E\x61\x6D\x65","\x69\x6E\x76\x61\x6C\x69\x64\x4F\x72\x4D\x69\x73\x73\x69\x6E\x67\x46\x69\x6C\x65","\x3A\x20","\x6D\x65\x73\x73\x61\x67\x65","\x61\x6C\x65\x72\x74","\x73\x65\x74\x43\x6F\x6E\x73\x75\x6D\x65\x72","\x6F\x70\x65\x6E\x53\x74\x72\x69\x6E\x67","\x64\x61\x74\x61","\x6E\x65\x77","\x67\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x67\x65\x74\x50\x72\x65\x74\x74\x79\x58\x6D\x6C","\x67\x65\x74\x49\x74\x65\x6D","\x72\x65\x70\x6C\x61\x63\x65","\x63\x6F\x6E\x66\x69\x72\x6D","\x73\x65\x74\x49\x74\x65\x6D","\x73\x61\x76\x65\x64","\x20","\x73\x65\x74\x53\x74\x61\x74\x75\x73","\x6C\x65\x6E\x67\x74\x68","\x50\x4F\x53\x54","\x43\x6F\x6E\x74\x65\x6E\x74\x2D\x54\x79\x70\x65","\x61\x70\x70\x6C\x69\x63\x61\x74\x69\x6F\x6E\x2F\x78\x2D\x77\x77\x77\x2D\x66\x6F\x72\x6D\x2D\x75\x72\x6C\x65\x6E\x63\x6F\x64\x65\x64","\x73\x65\x74\x52\x65\x71\x75\x65\x73\x74\x48\x65\x61\x64\x65\x72","\x41\x75\x74\x68\x6F\x72\x69\x7A\x61\x74\x69\x6F\x6E","\x42\x65\x61\x72\x65\x72\x20","\x74\x6F\x6B\x65\x6E","\x6F\x6E\x6C\x6F\x61\x64","\x72\x65\x61\x64\x79\x53\x74\x61\x74\x65","\x73\x74\x61\x74\x75\x73","\x50\x72\x6F\x6A\x65\x63\x74\x20","\x6E\x61\x6D\x65","\x72\x65\x73\x70\x6F\x6E\x73\x65","\x70\x61\x72\x73\x65","\x20\x69\x73\x20\x6F\x70\x65\x6E\x69\x6E\x67\x20\x69\x6E\x20\x6E\x65\x77\x20\x77\x69\x6E\x64\x6F\x77\x21","\x6A\x73\x63\x72\x69\x70\x74\x79\x2F\x70\x72\x6F\x6A\x65\x63\x74\x73\x2F\x76\x69\x65\x77\x2F","\x69\x64","\x5F\x62\x6C\x61\x6E\x6B","\x45\x72\x72\x6F\x72\x20\x63\x72\x65\x61\x74\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74\x3A\x20","\x65\x72\x72\x6F\x72\x73","\x6F\x6E\x65\x72\x72\x6F\x72","\x73\x74\x61\x74\x75\x73\x54\x65\x78\x74","\x64\x65\x73\x63\x72\x69\x70\x74\x69\x6F\x6E\x3D\x70\x72\x6F\x6A\x65\x63\x74\x5F\x6E\x65\x77\x26\x6E\x61\x6D\x65\x3D","\x73\x65\x6E\x64","\x64\x72\x61\x77\x69\x6E\x67\x54\x6F\x6F\x4C\x61\x72\x67\x65","\x70\x6F\x70\x75\x70","\x45\x72\x72\x6F\x72\x20\x63\x72\x65\x61\x74\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74","\x73\x61\x76\x65","\x50\x55\x54","\x55\x70\x64\x61\x74\x65\x64\x20\x61\x74\x20","\x75\x70\x64\x61\x74\x65\x64\x41\x74","\x20\x28","\x45\x72\x72\x6F\x72\x20\x73\x61\x76\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74\x3A\x20","\x64\x72\x61\x66\x74\x3D","\x45\x72\x72\x6F\x72\x20\x73\x61\x76\x69\x6E\x67\x20\x66\x69\x6C\x65","\x73\x61\x76\x65\x41\x73","\x6A\x73\x63\x72\x69\x70\x74\x79\x2F\x70\x72\x6F\x6A\x65\x63\x74\x2F","\x45\x72\x72\x6F\x72\x20\x63\x6C\x6F\x6E\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74\x3A\x20","\x64\x65\x73\x63\x72\x69\x70\x74\x69\x6F\x6E\x3D\x70\x72\x6F\x6A\x65\x63\x74\x5F\x63\x6C\x6F\x6E\x65\x64\x26\x6E\x61\x6D\x65\x3D","\x26\x64\x72\x61\x66\x74\x3D","\x26\x70\x72\x6F\x64\x75\x63\x74\x69\x6F\x6E\x3D","\x76\x61\x6C\x69\x64\x61\x74\x65","\x67\x65\x74\x52\x6F\x6F\x74","\x6D\x6F\x64\x65\x6C","\x67\x65\x74\x43\x68\x69\x6C\x64\x43\x6F\x75\x6E\x74","\x67\x65\x74\x43\x68\x69\x6C\x64\x41\x74","\x69\x73\x56\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x3C\x62\x72\x3E","\x73\x65\x74\x43\x65\x6C\x6C\x57\x61\x72\x6E\x69\x6E\x67","","\x67\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x51\x75\x65\x73\x74\x69\x6F\x6E\x20\x63\x61\x6E\x20\x6E\x6F\x74\x20\x62\x65\x20\x65\x6D\x70\x74\x79","\x0A","\x6C\x61\x62\x65\x6C","\x4C\x61\x62\x65\x6C\x20\x63\x61\x6E\x20\x6E\x6F\x74\x20\x62\x65\x20\x65\x6D\x70\x74\x79","\x76\x61\x6C\x69\x64\x61\x74\x65\x42\x6C\x6F\x63\x6B","\x4F\x6E\x6C\x79\x20\x6F\x6E\x65\x20\x53\x74\x61\x72\x74\x20\x62\x6C\x6F\x63\x6B\x20\x69\x73\x20\x61\x6C\x6C\x6F\x77\x65\x64","\x6E\x6F\x64\x65\x4E\x61\x6D\x65","\x67\x65\x74\x49\x64","\x67\x65\x74\x43\x65\x6C\x6C","\x67\x65\x74\x56\x61\x6C\x75\x65","\x6E\x75\x6C\x6C","\x56\x61\x6C\x75\x65\x20\x63\x61\x6E\x20\x6E\x6F\x74\x20\x62\x65\x20\x65\x6D\x70\x74\x79","\x2C","\x73\x70\x6C\x69\x74","\x74\x72\x69\x6D","\x56\x61\x6C\x75\x65","\x20\x63\x61\x6E\x20\x6E\x6F\x74\x20\x62\x65\x20\x65\x6D\x70\x74\x79","\x66\x6F\x72\x45\x61\x63\x68","\x69\x73\x43\x65\x6C\x6C\x43\x6F\x6C\x6C\x61\x70\x73\x65\x64","\x63\x6F\x6E\x74\x61\x69\x6E\x73\x56\x61\x6C\x69\x64\x61\x74\x69\x6F\x6E\x45\x72\x72\x6F\x72\x73\x52\x65\x73\x6F\x75\x72\x63\x65","\x67\x65\x74\x54\x65\x72\x6D\x69\x6E\x61\x6C","\x67\x65\x74\x45\x64\x67\x65\x56\x61\x6C\x69\x64\x61\x74\x69\x6F\x6E\x45\x72\x72\x6F\x72","\x67\x65\x74\x43\x65\x6C\x6C\x56\x61\x6C\x69\x64\x61\x74\x69\x6F\x6E\x45\x72\x72\x6F\x72","\x76\x61\x6C\x69\x64\x61\x74\x65\x43\x65\x6C\x6C","\x67\x65\x74\x50\x61\x72\x65\x6E\x74","\x67\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x50\x61\x72\x65\x6E\x74","\x63\x68\x69\x6C\x64\x72\x65\x6E","\x70\x75\x62\x6C\x69\x73\x68","\x50\x75\x62\x6C\x69\x73\x68\x65\x64\x20\x61\x74\x20","\x45\x72\x72\x6F\x72\x20\x70\x75\x62\x6C\x69\x73\x68\x69\x6E\x67\x20\x66\x69\x6C\x65","\x45\x72\x72\x6F\x72\x20\x70\x75\x62\x6C\x69\x73\x68\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74\x3A\x20","\x76\x61\x72\x69\x61\x62\x6C\x65","\x56\x61\x72\x69\x61\x62\x6C\x65\x20\x63\x72\x65\x61\x74\x65\x64\x20\x61\x74\x20","\x63\x72\x65\x61\x74\x65\x64\x41\x74","\x6E\x61\x6D\x65\x3D","\x45\x72\x72\x6F\x72\x20\x63\x72\x65\x61\x74\x69\x6E\x67\x20\x76\x61\x72\x69\x61\x62\x6C\x65","\x67\x65\x74\x55\x72\x6C","\x70\x61\x74\x68\x6E\x61\x6D\x65","\x6C\x6F\x63\x61\x74\x69\x6F\x6E","\x3F","\x26","\x3D","\x75\x6E\x64\x6F","\x72\x65\x64\x6F","\x63\x61\x6E\x55\x6E\x64\x6F","\x63\x61\x6E\x52\x65\x64\x6F","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6D\x70\x74\x79","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x64\x65\x6C\x65\x74\x65","\x64\x75\x70\x6C\x69\x63\x61\x74\x65","\x62\x6F\x6C\x64","\x69\x74\x61\x6C\x69\x63","\x75\x6E\x64\x65\x72\x6C\x69\x6E\x65","\x74\x6F\x46\x72\x6F\x6E\x74","\x74\x6F\x42\x61\x63\x6B","\x64\x61\x73\x68\x65\x64","\x72\x6F\x75\x6E\x64\x65\x64","\x73\x68\x61\x64\x6F\x77","\x74\x69\x6C\x74","\x61\x75\x74\x6F\x73\x69\x7A\x65","\x63\x75\x72\x76\x65\x64","\x72\x6F\x74\x61\x74\x69\x6F\x6E","\x77\x6F\x72\x64\x57\x72\x61\x70","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x6F\x75\x6E\x74","\x67\x72\x6F\x75\x70","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x75\x6E\x67\x72\x6F\x75\x70","\x72\x65\x6D\x6F\x76\x65\x46\x72\x6F\x6D\x47\x72\x6F\x75\x70","\x66\x6F\x6E\x74\x46\x61\x6D\x69\x6C\x79","\x66\x6F\x6E\x74\x53\x69\x7A\x65","\x61\x6C\x69\x67\x6E\x6D\x65\x6E\x74","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x74\x65\x78\x74","\x66\x6F\x72\x6D\x61\x74","\x61\x72\x72\x61\x6E\x67\x65","\x6C\x69\x6E\x65\x77\x69\x64\x74\x68","\x73\x70\x61\x63\x69\x6E\x67","\x6C\x69\x6E\x65","\x6C\x69\x6E\x65\x65\x6E\x64","\x6C\x69\x6E\x65\x73\x74\x61\x72\x74","\x73\x65\x74\x41\x73\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x61\x6C\x69\x67\x6E","\x67\x65\x74\x53\x74\x61\x74\x65","\x69\x73\x4C\x6F\x6F\x70","\x64\x69\x72\x65\x63\x74\x69\x6F\x6E","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x63\x75\x72\x72\x65\x6E\x74\x52\x6F\x6F\x74","\x6E\x61\x76\x69\x67\x61\x74\x69\x6F\x6E","\x68\x6F\x6D\x65","\x65\x78\x69\x74\x47\x72\x6F\x75\x70","\x65\x6E\x74\x65\x72\x47\x72\x6F\x75\x70","\x65\x78\x70\x61\x6E\x64","\x63\x6F\x6C\x6C\x61\x70\x73\x65","\x65\x64\x69\x74\x4C\x69\x6E\x6B","\x67\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x6F\x70\x65\x6E\x4C\x69\x6E\x6B","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x4D\x6F\x64\x65\x6C","\x63\x6C\x69\x65\x6E\x74\x57\x69\x64\x74\x68","\x63\x6C\x69\x65\x6E\x74\x48\x65\x69\x67\x68\x74","\x6D\x69\x6E","\x6D\x61\x78","\x68\x65\x69\x67\x68\x74","\x70\x78","\x74\x6F\x70","\x49\x53\x5F\x51\x55\x49\x52\x4B\x53","\x77\x69\x64\x74\x68","\x62\x6F\x74\x74\x6F\x6D","\x6C\x65\x66\x74","\x64\x69\x73\x70\x6C\x61\x79","\x6E\x6F\x6E\x65","\x68\x73\x70\x6C\x69\x74","\x76\x73\x70\x6C\x69\x74","\x67\x65\x4D\x65\x6E\x75\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x63\x72\x65\x61\x74\x65\x44\x69\x76","\x67\x65\x54\x6F\x6F\x6C\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x53\x69\x64\x65\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x4F\x75\x74\x6C\x69\x6E\x65\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x44\x69\x61\x67\x72\x61\x6D\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x46\x6F\x6F\x74\x65\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x48\x73\x70\x6C\x69\x74","\x67\x65\x56\x73\x70\x6C\x69\x74","\x30\x70\x78","\x72\x69\x67\x68\x74","\x6D\x65\x6E\x75\x62\x61\x72","\x67\x65\x4D\x65\x6E\x75\x62\x61\x72","\x63\x72\x65\x61\x74\x65\x4D\x65\x6E\x75\x62\x61\x72","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x74\x6F\x6F\x6C\x62\x61\x72","\x67\x65\x54\x6F\x6F\x6C\x62\x61\x72","\x63\x72\x65\x61\x74\x65\x54\x6F\x6F\x6C\x62\x61\x72","\x73\x69\x64\x65\x62\x61\x72","\x63\x72\x65\x61\x74\x65\x53\x69\x64\x65\x62\x61\x72","\x63\x72\x65\x61\x74\x65\x46\x6F\x6F\x74\x65\x72","\x73\x74\x61\x74\x75\x73\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x63\x72\x65\x61\x74\x65\x53\x74\x61\x74\x75\x73\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x73\x74\x61\x74\x75\x73\x43\x68\x61\x6E\x67\x65\x64","\x67\x65\x74\x53\x74\x61\x74\x75\x73","\x73\x65\x74\x53\x74\x61\x74\x75\x73\x54\x65\x78\x74","\x61\x64\x64\x53\x70\x6C\x69\x74\x48\x61\x6E\x64\x6C\x65\x72","\x61","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x49\x74\x65\x6D\x20\x67\x65\x53\x74\x61\x74\x75\x73","\x69\x6E\x6E\x65\x72\x48\x54\x4D\x4C","\x67\x65\x46\x6F\x6F\x74\x65\x72","\x64\x69\x76","\x63\x72\x65\x61\x74\x65\x48\x65\x61\x64\x65\x72","\x63\x72\x65\x61\x74\x65\x46\x6F\x72\x6D","\x66\x6F\x72\x6D","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x58","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x59","\x78","\x79","\x6D\x6F\x75\x73\x65\x6D\x6F\x76\x65","\x74\x6F\x75\x63\x68\x6D\x6F\x76\x65","\x6D\x6F\x75\x73\x65\x75\x70","\x74\x6F\x75\x63\x68\x65\x6E\x64","\x68\x69\x64\x65\x44\x69\x61\x6C\x6F\x67","\x64\x69\x61\x6C\x6F\x67","\x49\x53\x5F\x56\x4D\x4C","\x63\x6C\x6F\x73\x65","\x73\x61\x76\x65\x46\x69\x6C\x65","\x67\x65\x74\x4F\x72\x43\x72\x65\x61\x74\x65\x46\x69\x6C\x65\x6E\x61\x6D\x65","\x70\x75\x62\x6C\x69\x73\x68\x46\x69\x6C\x65","\x65\x78\x65\x63\x75\x74\x65\x4C\x61\x79\x6F\x75\x74","\x61\x6E\x69\x6D\x61\x74\x65","\x62\x65\x67\x69\x6E\x55\x70\x64\x61\x74\x65","\x65\x78\x65\x63\x75\x74\x65","\x43\x61\x6D\x69\x6E\x6F","\x75\x73\x65\x72\x41\x67\x65\x6E\x74","\x65\x6E\x64\x55\x70\x64\x61\x74\x65","\x73\x74\x61\x72\x74\x41\x6E\x69\x6D\x61\x74\x69\x6F\x6E","\x69\x73\x43\x6F\x6E\x74\x72\x6F\x6C\x44\x6F\x77\x6E","\x49\x53\x5F\x4D\x41\x43","\x6D\x65\x74\x61\x4B\x65\x79","\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73","\x73\x63\x72\x6F\x6C\x6C\x43\x65\x6C\x6C\x54\x6F\x56\x69\x73\x69\x62\x6C\x65","\x65\x6E\x61\x62\x6C\x65\x64","\x66\x75\x6E\x63\x74","\x62\x69\x6E\x64\x43\x6F\x6E\x74\x72\x6F\x6C\x53\x68\x69\x66\x74\x4B\x65\x79","\x62\x69\x6E\x64\x43\x6F\x6E\x74\x72\x6F\x6C\x4B\x65\x79","\x62\x69\x6E\x64\x53\x68\x69\x66\x74\x4B\x65\x79","\x62\x69\x6E\x64\x4B\x65\x79","\x65\x73\x63\x61\x70\x65","\x65\x6E\x74\x65\x72","\x66\x6F\x6C\x64\x43\x65\x6C\x6C\x73","\x7A\x6F\x6F\x6D\x49\x6E","\x7A\x6F\x6F\x6D\x4F\x75\x74","\x73\x65\x6C\x65\x63\x74\x41\x6C\x6C","\x73\x65\x6C\x65\x63\x74\x56\x65\x72\x74\x69\x63\x65\x73","\x73\x65\x6C\x65\x63\x74\x45\x64\x67\x65\x73","\x65\x78\x70\x6F\x72\x74","\x63\x6F\x6E\x6E\x65\x63\x74","\x67\x72\x69\x64","\x61\x62\x6F\x75\x74","\x20\x6D\x75\x73\x74\x20\x68\x61\x76\x65\x20","\x20\x6F\x75\x74\x67\x6F\x69\x6E\x67\x20\x65\x64\x67\x65\x2E","\x70\x75\x73\x68","\x20\x69\x6E\x63\x6F\x6D\x69\x6E\x67\x20\x65\x64\x67\x65\x2E"];EditorUi=function(_0x6a8dx1,_0x6a8dx2){this[_0x93fe[0]]=_0x6a8dx1|| new Editor();this[_0x93fe[1]]=_0x6a8dx2||document[_0x93fe[3]](_0x93fe[2]);var _0x6a8dx3=_0x6a8dx1[_0x93fe[4]];var _0x6a8dx4=this;this[_0x93fe[1]][_0x93fe[6]][_0x93fe[5]]=_0x93fe[7]; new Image()[_0x93fe[8]]=mxPopupMenu[_0x93fe[10]][_0x93fe[9]];if(mxConnectionHandler[_0x93fe[10]][_0x93fe[11]]!=null){ new Image()[_0x93fe[8]]=mxConnectionHandler[_0x93fe[10]][_0x93fe[11]][_0x93fe[8]]};this[_0x93fe[12]]= new Actions(this);this[_0x93fe[13]]= new Menus(this);this[_0x93fe[14]]();this[_0x93fe[15]]();this[_0x93fe[16]]();var _0x6a8dx5=mxUtils[_0x93fe[20]](this,function(_0x6a8dx6){if(_0x6a8dx6==null){_0x6a8dx6=window[_0x93fe[17]]};if(this[_0x93fe[18]](_0x6a8dx6)){return true};return _0x6a8dx3[_0x93fe[19]]()});if(this[_0x93fe[1]]==document[_0x93fe[21]]){this[_0x93fe[23]][_0x93fe[22]]=_0x6a8dx5;this[_0x93fe[23]][_0x93fe[24]]=_0x6a8dx5;this[_0x93fe[25]][_0x93fe[22]]=_0x6a8dx5;this[_0x93fe[25]][_0x93fe[24]]=_0x6a8dx5;this[_0x93fe[26]][_0x93fe[22]]=_0x6a8dx5;this[_0x93fe[26]][_0x93fe[24]]=_0x6a8dx5;this[_0x93fe[27]][_0x93fe[22]]=_0x6a8dx5;this[_0x93fe[27]][_0x93fe[24]]=_0x6a8dx5;this[_0x93fe[28]][_0x93fe[22]]=_0x6a8dx5;this[_0x93fe[28]][_0x93fe[24]]=_0x6a8dx5};if(mxClient[_0x93fe[29]]&&( typeof (document[_0x93fe[30]])===_0x93fe[31]||document[_0x93fe[30]]<9)){mxEvent[_0x93fe[33]](this[_0x93fe[26]],_0x93fe[32],_0x6a8dx5);mxEvent[_0x93fe[33]](this[_0x93fe[27]],_0x93fe[32],_0x6a8dx5)}else {this[_0x93fe[26]][_0x93fe[34]]=_0x6a8dx5;this[_0x93fe[27]][_0x93fe[34]]=_0x6a8dx5};_0x6a8dx3[_0x93fe[35]](this[_0x93fe[26]]);_0x6a8dx3[_0x93fe[15]]();_0x6a8dx3[_0x93fe[1]][_0x93fe[38]](_0x93fe[36],_0x93fe[37]);_0x6a8dx3[_0x93fe[1]][_0x93fe[6]][_0x93fe[39]]=_0x93fe[40];_0x6a8dx3[_0x93fe[1]][_0x93fe[6]][_0x93fe[41]]=_0x93fe[42]+_0x6a8dx1[_0x93fe[43]]+_0x93fe[44];_0x6a8dx3[_0x93fe[1]][_0x93fe[6]][_0x93fe[45]]=_0x93fe[46];_0x6a8dx3[_0x93fe[1]][_0x93fe[47]]();var _0x6a8dx7=_0x6a8dx3[_0x93fe[48]];_0x6a8dx3[_0x93fe[48]]=function(_0x6a8dx8,_0x6a8dx9,_0x6a8dxa){if(_0x6a8dx8==mxEvent[_0x93fe[49]]){this[_0x93fe[1]][_0x93fe[47]]()};_0x6a8dx7[_0x93fe[50]](this,arguments)};this[_0x93fe[58]](_0x6a8dx3[_0x93fe[51]],[_0x93fe[52],_0x93fe[53],_0x93fe[54],_0x93fe[55],_0x93fe[56]],[[1,1],[0,0],[1,1],[1,_0x93fe[57]],[1,_0x93fe[57]]],[[0,0],[1,_0x93fe[57]],[1,_0x93fe[57]],[1,_0x93fe[57]],[1,_0x93fe[57]]]);_0x6a8dx3[_0x93fe[59]]=function(_0x6a8dx6,_0x6a8dxb){var _0x6a8dxc=[_0x93fe[55]];var _0x6a8dxd= new mxEventObject(mxEvent.DOUBLE_CLICK,_0x93fe[17],_0x6a8dx6,_0x93fe[60],_0x6a8dxb);this[_0x93fe[61]](_0x6a8dxd);if(this[_0x93fe[62]]()&&!mxEvent[_0x93fe[63]](_0x6a8dx6)&&!_0x6a8dxd[_0x93fe[63]]()){if(this[_0x93fe[65]]()[_0x93fe[64]](_0x6a8dxb)){if(_0x6a8dxc[_0x93fe[69]](_0x6a8dxb[_0x93fe[68]][_0x93fe[67]][_0x93fe[66]])>=0){this[_0x93fe[70]](_0x6a8dxb)}}else {if(this[_0x93fe[65]]()[_0x93fe[71]](_0x6a8dxb)){console[_0x93fe[72]](_0x6a8dx4);console[_0x93fe[72]](_0x6a8dxb);_0x6a8dx4[_0x93fe[73]]( new GeneralDialog(_0x6a8dx4,_0x6a8dxb)[_0x93fe[1]],320,280,true,true)}};_0x6a8dxd[_0x93fe[74]]()}};_0x6a8dx3[_0x93fe[76]][_0x93fe[75]]=true;_0x6a8dx3[_0x93fe[76]][_0x93fe[77]]=mxUtils[_0x93fe[20]](this,function(_0x6a8dxe,_0x6a8dxb,_0x6a8dx6){this[_0x93fe[13]][_0x93fe[78]](_0x6a8dxe,_0x6a8dxb,_0x6a8dx6)});_0x6a8dx1[_0x93fe[80]][_0x93fe[35]](this[_0x93fe[79]]);mxEvent[_0x93fe[82]](document,mxUtils[_0x93fe[20]](this,function(_0x6a8dx6){_0x6a8dx3[_0x93fe[76]][_0x93fe[81]]()}));if(mxClient[_0x93fe[83]]){mxEvent[_0x93fe[33]](_0x6a8dx3[_0x93fe[1]],_0x93fe[84],mxUtils[_0x93fe[20]](this,function(_0x6a8dx6){_0x6a8dx3[_0x93fe[89]][_0x93fe[88]]()[_0x93fe[38]](_0x93fe[85],_0x93fe[86]+_0x6a8dx6[_0x93fe[87]]+_0x93fe[44]);_0x6a8dx3[_0x93fe[89]][_0x93fe[91]]()[_0x93fe[6]][_0x93fe[90]]=_0x93fe[7]}));mxEvent[_0x93fe[33]](_0x6a8dx3[_0x93fe[1]],_0x93fe[92],mxUtils[_0x93fe[20]](this,function(_0x6a8dx6){_0x6a8dx3[_0x93fe[89]][_0x93fe[88]]()[_0x93fe[93]](_0x93fe[85]);_0x6a8dx3[_0x93fe[94]]=true;_0x6a8dx3[_0x93fe[95]](_0x6a8dx6[_0x93fe[87]]);_0x6a8dx3[_0x93fe[89]][_0x93fe[91]]()[_0x93fe[6]][_0x93fe[90]]=_0x93fe[96]}))};var _0x6a8dxf=this[_0x93fe[97]](_0x6a8dx1);this[_0x93fe[98]]=function(){return _0x6a8dxf};mxEvent[_0x93fe[33]](window,_0x93fe[99],mxUtils[_0x93fe[20]](this,function(){this[_0x93fe[15]]();_0x6a8dx3[_0x93fe[100]]();this[_0x93fe[0]][_0x93fe[80]][_0x93fe[101]](false);this[_0x93fe[0]][_0x93fe[80]][_0x93fe[80]][_0x93fe[100]]()}));this[_0x93fe[35]]();this[_0x93fe[102]]()};EditorUi[_0x93fe[10]][_0x93fe[103]]=(mxClient[_0x93fe[83]])?16:8;EditorUi[_0x93fe[10]][_0x93fe[104]]=33;EditorUi[_0x93fe[10]][_0x93fe[105]]=36;EditorUi[_0x93fe[10]][_0x93fe[106]]=28;EditorUi[_0x93fe[10]][_0x93fe[107]]=204;EditorUi[_0x93fe[10]][_0x93fe[108]]=190;EditorUi[_0x93fe[10]][_0x93fe[35]]=function(){this[_0x93fe[109]]();this[_0x93fe[110]]();var _0x6a8dx10=this[_0x93fe[12]][_0x93fe[112]](_0x93fe[111]);var _0x6a8dx11=function(){_0x6a8dx10[_0x93fe[114]](!mxClipboard[_0x93fe[113]]())};var _0x6a8dx12=mxClipboard[_0x93fe[115]];mxClipboard[_0x93fe[115]]=function(){_0x6a8dx12[_0x93fe[50]](this,arguments);_0x6a8dx11()};var _0x6a8dx13=mxClipboard[_0x93fe[116]];mxClipboard[_0x93fe[116]]=function(){_0x6a8dx13[_0x93fe[50]](this,arguments);_0x6a8dx11()}};EditorUi[_0x93fe[10]][_0x93fe[18]]=function(_0x6a8dx6){return false};EditorUi[_0x93fe[10]][_0x93fe[102]]=function(){try{if(window[_0x93fe[117]]!=null&&window[_0x93fe[117]][_0x93fe[118]]!=null){window[_0x93fe[117]][_0x93fe[118]][_0x93fe[130]](mxUtils[_0x93fe[20]](this,function(_0x6a8dx14,_0x6a8dx15){try{var _0x6a8dx16=mxUtils[_0x93fe[119]](_0x6a8dx14);this[_0x93fe[0]][_0x93fe[121]](_0x6a8dx16[_0x93fe[120]]);this[_0x93fe[0]][_0x93fe[122]]=false;this[_0x93fe[0]][_0x93fe[124]][_0x93fe[123]]();if(_0x6a8dx15!=null){this[_0x93fe[0]][_0x93fe[125]]=_0x6a8dx15}}catch(e){mxUtils[_0x93fe[129]](mxResources[_0x93fe[112]](_0x93fe[126])+_0x93fe[127]+e[_0x93fe[128]])}}))}}catch(e){}};EditorUi[_0x93fe[10]][_0x93fe[131]]=function(_0x6a8dx14,_0x6a8dx15,_0x6a8dx17){try{var _0x6a8dx16=mxUtils[_0x93fe[119]](_0x6a8dx14);this[_0x93fe[0]][_0x93fe[121]](_0x6a8dx16[_0x93fe[120]]);this[_0x93fe[0]][_0x93fe[122]]=false;this[_0x93fe[0]][_0x93fe[124]][_0x93fe[123]]();if(_0x6a8dx15!=null){this[_0x93fe[0]][_0x93fe[125]]=_0x6a8dx15};if(_0x6a8dx17!=null){this[_0x93fe[0]][_0x93fe[132]]=_0x6a8dx17}}catch(e){mxUtils[_0x93fe[129]](mxResources[_0x93fe[112]](_0x93fe[126])+_0x93fe[127]+e[_0x93fe[128]])}};EditorUi[_0x93fe[10]][_0x93fe[133]]=function(_0x6a8dx18){var _0x6a8dx1=this[_0x93fe[0]];if(_0x6a8dx18!=null){var _0x6a8dx14=mxUtils[_0x93fe[135]](this[_0x93fe[0]][_0x93fe[134]]());try{if(useLocalStorage){if(localStorage[_0x93fe[136]](_0x6a8dx18)!=null&&!mxUtils[_0x93fe[138]](mxResources[_0x93fe[112]](_0x93fe[137],[_0x6a8dx18]))){return};localStorage[_0x93fe[139]](_0x6a8dx18,_0x6a8dx14);this[_0x93fe[0]][_0x93fe[142]](mxResources[_0x93fe[112]](_0x93fe[140])+_0x93fe[141]+ new Date())}else {console[_0x93fe[72]](_0x6a8dx14[_0x93fe[143]]);console[_0x93fe[72]](MAX_REQUEST_SIZE);if(_0x6a8dx14[_0x93fe[143]]1?false:true};EditorUi[_0x93fe[10]][_0x93fe[222]]=function(_0x6a8dx18){var _0x6a8dx1=this[_0x93fe[0]];if(_0x6a8dx18!=null){var _0x6a8dx2a=this[_0x93fe[185]]();if(_0x6a8dx2a===null){var _0x6a8dx14=mxUtils[_0x93fe[135]](this[_0x93fe[0]][_0x93fe[134]]());try{if(useLocalStorage){if(localStorage[_0x93fe[136]](_0x6a8dx18)!=null&&!mxUtils[_0x93fe[138]](mxResources[_0x93fe[112]](_0x93fe[137],[_0x6a8dx18]))){return};localStorage[_0x93fe[139]](_0x6a8dx18,_0x6a8dx14);this[_0x93fe[0]][_0x93fe[142]](mxResources[_0x93fe[112]](_0x93fe[140])+_0x93fe[141]+ new Date())}else {console[_0x93fe[72]](_0x6a8dx14[_0x93fe[143]]);console[_0x93fe[72]](MAX_REQUEST_SIZE);if(_0x6a8dx14[_0x93fe[143]]0)?1:0;for(var _0x6a8dx25 in urlParams){if(_0x6a8dx2d==0){_0x6a8dx2c+=_0x93fe[234]}else {_0x6a8dx2c+=_0x93fe[235]};_0x6a8dx2c+=_0x6a8dx25+_0x93fe[236]+urlParams[_0x6a8dx25];_0x6a8dx2d++};return _0x6a8dx2c};EditorUi[_0x93fe[10]][_0x93fe[109]]=function(){var _0x6a8dx2e=this[_0x93fe[12]][_0x93fe[112]](_0x93fe[237]);var _0x6a8dx2f=this[_0x93fe[12]][_0x93fe[112]](_0x93fe[238]);var _0x6a8dx30=this[_0x93fe[0]][_0x93fe[124]];var _0x6a8dx31=function(){_0x6a8dx2e[_0x93fe[114]](_0x6a8dx30[_0x93fe[239]]());_0x6a8dx2f[_0x93fe[114]](_0x6a8dx30[_0x93fe[240]]())};_0x6a8dx30[_0x93fe[33]](mxEvent.ADD,_0x6a8dx31);_0x6a8dx30[_0x93fe[33]](mxEvent.UNDO,_0x6a8dx31);_0x6a8dx30[_0x93fe[33]](mxEvent.REDO,_0x6a8dx31);_0x6a8dx30[_0x93fe[33]](mxEvent.CLEAR,_0x6a8dx31);_0x6a8dx31()};EditorUi[_0x93fe[10]][_0x93fe[110]]=function(){var _0x6a8dx32=mxUtils[_0x93fe[20]](this,function(){var _0x6a8dx3=this[_0x93fe[0]][_0x93fe[4]];var _0x6a8dx33=!_0x6a8dx3[_0x93fe[241]]();var _0x6a8dx34=false;var _0x6a8dx35=false;var _0x6a8dx36=_0x6a8dx3[_0x93fe[242]]();if(_0x6a8dx36!=null){for(var _0x6a8dx37=0;_0x6a8dx37<_0x6a8dx36[_0x93fe[143]];_0x6a8dx37++){var _0x6a8dxb=_0x6a8dx36[_0x6a8dx37];if(_0x6a8dx3[_0x93fe[65]]()[_0x93fe[64]](_0x6a8dxb)){_0x6a8dx35=true};if(_0x6a8dx3[_0x93fe[65]]()[_0x93fe[71]](_0x6a8dxb)){_0x6a8dx34=true};if(_0x6a8dx35&&_0x6a8dx34){break}}};var _0x6a8dx38=[_0x93fe[115],_0x93fe[116],_0x93fe[243],_0x93fe[244],_0x93fe[245],_0x93fe[246],_0x93fe[6],_0x93fe[247],_0x93fe[248],_0x93fe[249],_0x93fe[250],_0x93fe[251],_0x93fe[252],_0x93fe[253],_0x93fe[254]];for(var _0x6a8dx37=0;_0x6a8dx37<_0x6a8dx38[_0x93fe[143]];_0x6a8dx37++){this[_0x93fe[12]][_0x93fe[112]](_0x6a8dx38[_0x6a8dx37])[_0x93fe[114]](_0x6a8dx33)};this[_0x93fe[12]][_0x93fe[112]](_0x93fe[255])[_0x93fe[114]](_0x6a8dx35);this[_0x93fe[12]][_0x93fe[112]](_0x93fe[256])[_0x93fe[114]](_0x6a8dx34);this[_0x93fe[12]][_0x93fe[112]](_0x93fe[257])[_0x93fe[114]](_0x6a8dx34);this[_0x93fe[12]][_0x93fe[112]](_0x93fe[259])[_0x93fe[114]](_0x6a8dx3[_0x93fe[258]]()>1);this[_0x93fe[12]][_0x93fe[112]](_0x93fe[261])[_0x93fe[114]](_0x6a8dx3[_0x93fe[258]]()==1&&_0x6a8dx3[_0x93fe[65]]()[_0x93fe[188]](_0x6a8dx3[_0x93fe[260]]())>0);var _0x6a8dx39=_0x6a8dx34&&_0x6a8dx3[_0x93fe[258]]()==1;this[_0x93fe[12]][_0x93fe[112]](_0x93fe[262])[_0x93fe[114]](_0x6a8dx39&&_0x6a8dx3[_0x93fe[65]]()[_0x93fe[71]](_0x6a8dx3[_0x93fe[65]]()[_0x93fe[219]](_0x6a8dx3[_0x93fe[260]]())));var _0x6a8dx3a=[_0x93fe[263],_0x93fe[264],_0x93fe[265],_0x93fe[266],_0x93fe[267],_0x93fe[268],_0x93fe[269],_0x93fe[270],_0x93fe[271]];for(var _0x6a8dx37=0;_0x6a8dx37<_0x6a8dx3a[_0x93fe[143]];_0x6a8dx37++){this[_0x93fe[13]][_0x93fe[112]](_0x6a8dx3a[_0x6a8dx37])[_0x93fe[114]](_0x6a8dx33)};_0x6a8dx3a=[_0x93fe[272],_0x93fe[273],_0x93fe[274]];for(var _0x6a8dx37=0;_0x6a8dx37<_0x6a8dx3a[_0x93fe[143]];_0x6a8dx37++){this[_0x93fe[13]][_0x93fe[112]](_0x6a8dx3a[_0x6a8dx37])[_0x93fe[114]](_0x6a8dx35)};this[_0x93fe[12]][_0x93fe[112]](_0x93fe[275])[_0x93fe[114]](_0x6a8dx35);this[_0x93fe[13]][_0x93fe[112]](_0x93fe[276])[_0x93fe[114]](_0x6a8dx3[_0x93fe[258]]()>1);this[_0x93fe[13]][_0x93fe[112]](_0x93fe[279])[_0x93fe[114]](_0x6a8dx34||(_0x6a8dx35&&_0x6a8dx3[_0x93fe[278]](_0x6a8dx3[_0x93fe[89]][_0x93fe[277]](_0x6a8dx3[_0x93fe[260]]()))));this[_0x93fe[13]][_0x93fe[112]](_0x93fe[282])[_0x93fe[114]](_0x6a8dx3[_0x93fe[280]]&&((_0x6a8dx3[_0x93fe[89]][_0x93fe[281]]!=null)||(_0x6a8dx3[_0x93fe[258]]()==1&&_0x6a8dx3[_0x93fe[190]](_0x6a8dx3[_0x93fe[260]]()))));this[_0x93fe[12]][_0x93fe[112]](_0x93fe[283])[_0x93fe[114]](_0x6a8dx3[_0x93fe[89]][_0x93fe[281]]!=null);this[_0x93fe[12]][_0x93fe[112]](_0x93fe[284])[_0x93fe[114]](_0x6a8dx3[_0x93fe[89]][_0x93fe[281]]!=null);var _0x6a8dx3b=_0x6a8dx3[_0x93fe[258]]()==1&&_0x6a8dx3[_0x93fe[190]](_0x6a8dx3[_0x93fe[260]]());this[_0x93fe[12]][_0x93fe[112]](_0x93fe[285])[_0x93fe[114]](_0x6a8dx3b);this[_0x93fe[12]][_0x93fe[112]](_0x93fe[286])[_0x93fe[114]](_0x6a8dx3b);this[_0x93fe[12]][_0x93fe[112]](_0x93fe[287])[_0x93fe[114]](_0x6a8dx3b);this[_0x93fe[12]][_0x93fe[112]](_0x93fe[288])[_0x93fe[114]](_0x6a8dx3[_0x93fe[258]]()==1);this[_0x93fe[12]][_0x93fe[112]](_0x93fe[290])[_0x93fe[114]](_0x6a8dx3[_0x93fe[258]]()==1&&_0x6a8dx3[_0x93fe[289]](_0x6a8dx3[_0x93fe[260]]())!=null)});this[_0x93fe[0]][_0x93fe[4]][_0x93fe[291]]()[_0x93fe[33]](mxEvent.CHANGE,_0x6a8dx32);_0x6a8dx32()};EditorUi[_0x93fe[10]][_0x93fe[15]]=function(){var _0x6a8dx3c=mxClient[_0x93fe[29]]&&(document[_0x93fe[30]]==null||document[_0x93fe[30]]==5);var _0x6a8dx3d=this[_0x93fe[1]][_0x93fe[292]];var _0x6a8dx3e=this[_0x93fe[1]][_0x93fe[293]];if(this[_0x93fe[1]]==document[_0x93fe[21]]){_0x6a8dx3d=document[_0x93fe[21]][_0x93fe[292]]||document[_0x93fe[120]][_0x93fe[292]];_0x6a8dx3e=(_0x6a8dx3c)?document[_0x93fe[21]][_0x93fe[293]]||document[_0x93fe[120]][_0x93fe[293]]:document[_0x93fe[120]][_0x93fe[293]]};var _0x6a8dx3f=Math[_0x93fe[295]](0,Math[_0x93fe[294]](this[_0x93fe[107]],_0x6a8dx3d-this[_0x93fe[103]]-20));var _0x6a8dx40=Math[_0x93fe[295]](0,Math[_0x93fe[294]](this[_0x93fe[108]],_0x6a8dx3e-this[_0x93fe[104]]-this[_0x93fe[105]]-this[_0x93fe[106]]-this[_0x93fe[103]]-1));this[_0x93fe[23]][_0x93fe[6]][_0x93fe[296]]=this[_0x93fe[104]]+_0x93fe[297];this[_0x93fe[25]][_0x93fe[6]][_0x93fe[298]]=this[_0x93fe[104]]+_0x93fe[297];this[_0x93fe[25]][_0x93fe[6]][_0x93fe[296]]=this[_0x93fe[105]]+_0x93fe[297];var _0x6a8dx41=this[_0x93fe[104]]+this[_0x93fe[105]];if(!mxClient[_0x93fe[299]]){_0x6a8dx41+=1};this[_0x93fe[27]][_0x93fe[6]][_0x93fe[298]]=_0x6a8dx41+_0x93fe[297];this[_0x93fe[27]][_0x93fe[6]][_0x93fe[300]]=_0x6a8dx3f+_0x93fe[297];this[_0x93fe[79]][_0x93fe[6]][_0x93fe[300]]=_0x6a8dx3f+_0x93fe[297];this[_0x93fe[79]][_0x93fe[6]][_0x93fe[296]]=_0x6a8dx40+_0x93fe[297];this[_0x93fe[79]][_0x93fe[6]][_0x93fe[301]]=this[_0x93fe[106]]+_0x93fe[297];this[_0x93fe[26]][_0x93fe[6]][_0x93fe[302]]=(_0x6a8dx3f+this[_0x93fe[103]])+_0x93fe[297];this[_0x93fe[26]][_0x93fe[6]][_0x93fe[298]]=this[_0x93fe[27]][_0x93fe[6]][_0x93fe[298]];this[_0x93fe[28]][_0x93fe[6]][_0x93fe[296]]=this[_0x93fe[106]]+_0x93fe[297];this[_0x93fe[28]][_0x93fe[6]][_0x93fe[303]]=_0x93fe[304];this[_0x93fe[305]][_0x93fe[6]][_0x93fe[298]]=this[_0x93fe[27]][_0x93fe[6]][_0x93fe[298]];this[_0x93fe[305]][_0x93fe[6]][_0x93fe[301]]=this[_0x93fe[79]][_0x93fe[6]][_0x93fe[301]];this[_0x93fe[305]][_0x93fe[6]][_0x93fe[302]]=_0x6a8dx3f+_0x93fe[297];this[_0x93fe[306]][_0x93fe[6]][_0x93fe[300]]=this[_0x93fe[27]][_0x93fe[6]][_0x93fe[300]];this[_0x93fe[306]][_0x93fe[6]][_0x93fe[301]]=(_0x6a8dx40+this[_0x93fe[106]])+_0x93fe[297];if(_0x6a8dx3c){this[_0x93fe[23]][_0x93fe[6]][_0x93fe[300]]=_0x6a8dx3d+_0x93fe[297];this[_0x93fe[25]][_0x93fe[6]][_0x93fe[300]]=this[_0x93fe[23]][_0x93fe[6]][_0x93fe[300]];var _0x6a8dx42=(_0x6a8dx3e-_0x6a8dx40-this[_0x93fe[103]]-this[_0x93fe[106]]-this[_0x93fe[104]]-this[_0x93fe[105]]);this[_0x93fe[27]][_0x93fe[6]][_0x93fe[296]]=_0x6a8dx42+_0x93fe[297];this[_0x93fe[26]][_0x93fe[6]][_0x93fe[300]]=(_0x6a8dx3d-_0x6a8dx3f-this[_0x93fe[103]])+_0x93fe[297];var _0x6a8dx43=(_0x6a8dx3e-this[_0x93fe[106]]-this[_0x93fe[104]]-this[_0x93fe[105]]);this[_0x93fe[26]][_0x93fe[6]][_0x93fe[296]]=_0x6a8dx43+_0x93fe[297];this[_0x93fe[28]][_0x93fe[6]][_0x93fe[300]]=this[_0x93fe[23]][_0x93fe[6]][_0x93fe[300]];this[_0x93fe[305]][_0x93fe[6]][_0x93fe[296]]=_0x6a8dx43+_0x93fe[297]}else {this[_0x93fe[27]][_0x93fe[6]][_0x93fe[301]]=(_0x6a8dx40+this[_0x93fe[103]]+this[_0x93fe[106]])+_0x93fe[297];this[_0x93fe[26]][_0x93fe[6]][_0x93fe[301]]=this[_0x93fe[79]][_0x93fe[6]][_0x93fe[301]]}};EditorUi[_0x93fe[10]][_0x93fe[14]]=function(){this[_0x93fe[23]]=this[_0x93fe[308]](_0x93fe[307]);this[_0x93fe[25]]=this[_0x93fe[308]](_0x93fe[309]);this[_0x93fe[27]]=this[_0x93fe[308]](_0x93fe[310]);this[_0x93fe[79]]=this[_0x93fe[308]](_0x93fe[311]);this[_0x93fe[26]]=this[_0x93fe[308]](_0x93fe[312]);this[_0x93fe[28]]=this[_0x93fe[308]](_0x93fe[313]);this[_0x93fe[305]]=this[_0x93fe[308]](_0x93fe[314]);this[_0x93fe[306]]=this[_0x93fe[308]](_0x93fe[315]);this[_0x93fe[23]][_0x93fe[6]][_0x93fe[298]]=_0x93fe[316];this[_0x93fe[23]][_0x93fe[6]][_0x93fe[302]]=_0x93fe[316];this[_0x93fe[23]][_0x93fe[6]][_0x93fe[317]]=_0x93fe[316];this[_0x93fe[25]][_0x93fe[6]][_0x93fe[302]]=_0x93fe[316];this[_0x93fe[25]][_0x93fe[6]][_0x93fe[317]]=_0x93fe[316];this[_0x93fe[27]][_0x93fe[6]][_0x93fe[302]]=_0x93fe[316];this[_0x93fe[79]][_0x93fe[6]][_0x93fe[302]]=_0x93fe[316];this[_0x93fe[26]][_0x93fe[6]][_0x93fe[317]]=_0x93fe[316];this[_0x93fe[28]][_0x93fe[6]][_0x93fe[302]]=_0x93fe[316];this[_0x93fe[28]][_0x93fe[6]][_0x93fe[317]]=_0x93fe[316];this[_0x93fe[28]][_0x93fe[6]][_0x93fe[301]]=_0x93fe[316];this[_0x93fe[306]][_0x93fe[6]][_0x93fe[302]]=_0x93fe[316];this[_0x93fe[306]][_0x93fe[6]][_0x93fe[296]]=this[_0x93fe[103]]+_0x93fe[297];this[_0x93fe[305]][_0x93fe[6]][_0x93fe[300]]=this[_0x93fe[103]]+_0x93fe[297]};EditorUi[_0x93fe[10]][_0x93fe[16]]=function(){this[_0x93fe[318]]=this[_0x93fe[13]][_0x93fe[320]](this[_0x93fe[308]](_0x93fe[319]));this[_0x93fe[23]][_0x93fe[321]](this[_0x93fe[318]][_0x93fe[1]]);this[_0x93fe[322]]=this[_0x93fe[324]](this[_0x93fe[308]](_0x93fe[323]));this[_0x93fe[25]][_0x93fe[321]](this[_0x93fe[322]][_0x93fe[1]]);this[_0x93fe[325]]=this[_0x93fe[326]](this[_0x93fe[27]]);this[_0x93fe[28]][_0x93fe[321]](this[_0x93fe[327]]());this[_0x93fe[328]]=this[_0x93fe[329]]();this[_0x93fe[0]][_0x93fe[33]](_0x93fe[330],mxUtils[_0x93fe[20]](this,function(){this[_0x93fe[332]](this[_0x93fe[0]][_0x93fe[331]]())}));this[_0x93fe[332]](this[_0x93fe[0]][_0x93fe[331]]());this[_0x93fe[318]][_0x93fe[1]][_0x93fe[321]](this[_0x93fe[328]]);this[_0x93fe[1]][_0x93fe[321]](this[_0x93fe[23]]);this[_0x93fe[1]][_0x93fe[321]](this[_0x93fe[25]]);this[_0x93fe[1]][_0x93fe[321]](this[_0x93fe[27]]);this[_0x93fe[1]][_0x93fe[321]](this[_0x93fe[79]]);this[_0x93fe[1]][_0x93fe[321]](this[_0x93fe[26]]);this[_0x93fe[1]][_0x93fe[321]](this[_0x93fe[28]]);this[_0x93fe[1]][_0x93fe[321]](this[_0x93fe[305]]);this[_0x93fe[1]][_0x93fe[321]](this[_0x93fe[306]]);this[_0x93fe[333]](this[_0x93fe[305]],true,0,mxUtils[_0x93fe[20]](this,function(_0x6a8dx44){this[_0x93fe[107]]=_0x6a8dx44;this[_0x93fe[15]]();this[_0x93fe[0]][_0x93fe[4]][_0x93fe[100]]();this[_0x93fe[0]][_0x93fe[80]][_0x93fe[101]](false);this[_0x93fe[0]][_0x93fe[80]][_0x93fe[80]][_0x93fe[100]]()}));this[_0x93fe[333]](this[_0x93fe[306]],false,this[_0x93fe[106]],mxUtils[_0x93fe[20]](this,function(_0x6a8dx44){this[_0x93fe[108]]=_0x6a8dx44;this[_0x93fe[15]]();this[_0x93fe[0]][_0x93fe[80]][_0x93fe[101]](false);this[_0x93fe[0]][_0x93fe[80]][_0x93fe[80]][_0x93fe[100]]()}))};EditorUi[_0x93fe[10]][_0x93fe[329]]=function(){var _0x6a8dx2=document[_0x93fe[335]](_0x93fe[334]);_0x6a8dx2[_0x93fe[336]]=_0x93fe[337];return _0x6a8dx2};EditorUi[_0x93fe[10]][_0x93fe[329]]=function(){var _0x6a8dx2=document[_0x93fe[335]](_0x93fe[334]);_0x6a8dx2[_0x93fe[336]]=_0x93fe[337];return _0x6a8dx2};EditorUi[_0x93fe[10]][_0x93fe[332]]=function(_0x6a8dx44){this[_0x93fe[328]][_0x93fe[338]]=_0x6a8dx44};EditorUi[_0x93fe[10]][_0x93fe[324]]=function(_0x6a8dx2){return new Toolbar(this,_0x6a8dx2)};EditorUi[_0x93fe[10]][_0x93fe[326]]=function(_0x6a8dx2){return new Sidebar(this,_0x6a8dx2)};EditorUi[_0x93fe[10]][_0x93fe[327]]=function(){return this[_0x93fe[308]](_0x93fe[339])};EditorUi[_0x93fe[10]][_0x93fe[308]]=function(_0x6a8dx45){var _0x6a8dx46=document[_0x93fe[335]](_0x93fe[340]);_0x6a8dx46[_0x93fe[336]]=_0x6a8dx45;return _0x6a8dx46};EditorUi[_0x93fe[10]][_0x93fe[341]]=function(_0x6a8dx45){var _0x6a8dx46=document[_0x93fe[335]](_0x6a8dx45);return _0x6a8dx46};EditorUi[_0x93fe[10]][_0x93fe[342]]=function(_0x6a8dx45){var _0x6a8dx46=document[_0x93fe[335]](_0x93fe[343]);_0x6a8dx46[_0x93fe[336]]=_0x6a8dx45;return _0x6a8dx46};EditorUi[_0x93fe[10]][_0x93fe[333]]=function(_0x6a8dx46,_0x6a8dx47,_0x6a8dx48,_0x6a8dx49){var _0x6a8dx4a=null;var _0x6a8dx4b=null;function _0x6a8dx4c(){return parseInt(((_0x6a8dx47)?_0x6a8dx46[_0x93fe[6]][_0x93fe[302]]:_0x6a8dx46[_0x93fe[6]][_0x93fe[301]]))}function _0x6a8dx4d(_0x6a8dx6){if(_0x6a8dx4a!=null){var _0x6a8dx4e= new mxPoint(mxEvent[_0x93fe[344]](_0x6a8dx6),mxEvent[_0x93fe[345]](_0x6a8dx6));_0x6a8dx49(Math[_0x93fe[295]](0,_0x6a8dx4b+((_0x6a8dx47)?(_0x6a8dx4e[_0x93fe[346]]-_0x6a8dx4a[_0x93fe[346]]):(_0x6a8dx4a[_0x93fe[347]]-_0x6a8dx4e[_0x93fe[347]]))-_0x6a8dx48));mxEvent[_0x93fe[74]](_0x6a8dx6)}}function _0x6a8dx4f(_0x6a8dx6){_0x6a8dx4d(_0x6a8dx6);_0x6a8dx4a=null;_0x6a8dx4b=null}mxEvent[_0x93fe[82]](_0x6a8dx46,function(_0x6a8dx6){_0x6a8dx4a= new mxPoint(mxEvent[_0x93fe[344]](_0x6a8dx6),mxEvent[_0x93fe[345]](_0x6a8dx6));_0x6a8dx4b=_0x6a8dx4c();mxEvent[_0x93fe[74]](_0x6a8dx6)});mxEvent[_0x93fe[33]](document,_0x93fe[348],_0x6a8dx4d);mxEvent[_0x93fe[33]](document,_0x93fe[349],_0x6a8dx4d);mxEvent[_0x93fe[33]](document,_0x93fe[350],_0x6a8dx4f);mxEvent[_0x93fe[33]](document,_0x93fe[351],_0x6a8dx4f)};EditorUi[_0x93fe[10]][_0x93fe[73]]=function(_0x6a8dx46,_0x6a8dx3d,_0x6a8dx3e,_0x6a8dx50,_0x6a8dx51,_0x6a8dx52){this[_0x93fe[352]]();this[_0x93fe[353]]= new Dialog(this,_0x6a8dx46,_0x6a8dx3d,(mxClient[_0x93fe[354]])?_0x6a8dx3e-12:_0x6a8dx3e,_0x6a8dx50,_0x6a8dx51,_0x6a8dx52)};EditorUi[_0x93fe[10]][_0x93fe[352]]=function(){if(this[_0x93fe[353]]!=null){this[_0x93fe[353]][_0x93fe[355]]();this[_0x93fe[353]]=null;this[_0x93fe[0]][_0x93fe[4]][_0x93fe[1]][_0x93fe[47]]()}};EditorUi[_0x93fe[10]][_0x93fe[356]]=function(_0x6a8dx53){if(!_0x6a8dx53&&this[_0x93fe[0]][_0x93fe[125]]!=null){this[_0x93fe[171]](this[_0x93fe[0]][_0x93fe[357]]())}else {this[_0x93fe[73]]( new SaveDialog(this)[_0x93fe[1]],300,100,true,true)}};EditorUi[_0x93fe[10]][_0x93fe[358]]=function(_0x6a8dx53){if(!_0x6a8dx53&&this[_0x93fe[0]][_0x93fe[125]]!=null){this[_0x93fe[222]](this[_0x93fe[0]][_0x93fe[357]]())}else {}};EditorUi[_0x93fe[10]][_0x93fe[359]]=function(_0x6a8dx54,_0x6a8dx55,_0x6a8dx56){var _0x6a8dx3=this[_0x93fe[0]][_0x93fe[4]];var _0x6a8dxb=_0x6a8dx3[_0x93fe[260]]();_0x6a8dx55=this[_0x93fe[360]]!=null?this[_0x93fe[360]]:_0x6a8dx55;_0x6a8dx3[_0x93fe[65]]()[_0x93fe[361]]();try{_0x6a8dx54[_0x93fe[362]](_0x6a8dx3[_0x93fe[220]](),_0x6a8dxb)}catch(e){throw e}finally{if(_0x6a8dx55&&navigator[_0x93fe[364]][_0x93fe[69]](_0x93fe[363])<0){var _0x6a8dx57= new mxMorphing(_0x6a8dx3);_0x6a8dx57[_0x93fe[33]](mxEvent.DONE,mxUtils[_0x93fe[20]](this,function(){_0x6a8dx3[_0x93fe[65]]()[_0x93fe[365]]()}));_0x6a8dx57[_0x93fe[366]]()}else {_0x6a8dx3[_0x93fe[65]]()[_0x93fe[365]]()}}};EditorUi[_0x93fe[10]][_0x93fe[97]]=function(_0x6a8dx1){var _0x6a8dx3=this[_0x93fe[0]][_0x93fe[4]];var _0x6a8dxf= new mxKeyHandler(_0x6a8dx3);_0x6a8dxf[_0x93fe[367]]=function(_0x6a8dx6){return mxEvent[_0x93fe[367]](_0x6a8dx6)||(mxClient[_0x93fe[368]]&&_0x6a8dx6[_0x93fe[369]])};function _0x6a8dx58(_0x6a8dx59){if(!_0x6a8dx3[_0x93fe[241]]()){var _0x6a8dx48=0;var _0x6a8dx5a=0;if(_0x6a8dx59==37){_0x6a8dx48= -1}else {if(_0x6a8dx59==38){_0x6a8dx5a= -1}else {if(_0x6a8dx59==39){_0x6a8dx48=1}else {if(_0x6a8dx59==40){_0x6a8dx5a=1}}}};_0x6a8dx3[_0x93fe[370]](_0x6a8dx3[_0x93fe[242]](),_0x6a8dx48,_0x6a8dx5a);_0x6a8dx3[_0x93fe[371]](_0x6a8dx3[_0x93fe[260]]())}}var _0x6a8dx5b=mxUtils[_0x93fe[20]](this,function(_0x6a8dx5c,_0x6a8dx5d,_0x6a8dx25,_0x6a8dx5e){var _0x6a8dx5f=this[_0x93fe[12]][_0x93fe[112]](_0x6a8dx25);if(_0x6a8dx5f!=null){var _0x6a8dx1f=function(){if(_0x6a8dx5f[_0x93fe[372]]){_0x6a8dx5f[_0x93fe[373]]()}};if(_0x6a8dx5d){if(_0x6a8dx5e){_0x6a8dxf[_0x93fe[374]](_0x6a8dx5c,_0x6a8dx1f)}else {_0x6a8dxf[_0x93fe[375]](_0x6a8dx5c,_0x6a8dx1f)}}else {if(_0x6a8dx5e){_0x6a8dxf[_0x93fe[376]](_0x6a8dx5c,_0x6a8dx1f)}else {_0x6a8dxf[_0x93fe[377]](_0x6a8dx5c,_0x6a8dx1f)}}}});var _0x6a8dx60=this;var _0x6a8dx61=_0x6a8dxf[_0x93fe[378]];_0x6a8dxf[_0x93fe[378]]=function(_0x6a8dx6){_0x6a8dx60[_0x93fe[352]]();_0x6a8dx61[_0x93fe[50]](this,arguments)};_0x6a8dxf[_0x93fe[379]]=function(){};_0x6a8dxf[_0x93fe[377]](8,function(){_0x6a8dx3[_0x93fe[380]](true)});_0x6a8dxf[_0x93fe[377]](13,function(){_0x6a8dx3[_0x93fe[380]](false)});_0x6a8dxf[_0x93fe[377]](33,function(){_0x6a8dx3[_0x93fe[284]]()});_0x6a8dxf[_0x93fe[377]](34,function(){_0x6a8dx3[_0x93fe[285]]()});_0x6a8dxf[_0x93fe[377]](36,function(){_0x6a8dx3[_0x93fe[283]]()});_0x6a8dxf[_0x93fe[377]](35,function(){_0x6a8dx3[_0x93fe[15]]()});_0x6a8dxf[_0x93fe[377]](37,function(){_0x6a8dx58(37)});_0x6a8dxf[_0x93fe[377]](38,function(){_0x6a8dx58(38)});_0x6a8dxf[_0x93fe[377]](39,function(){_0x6a8dx58(39)});_0x6a8dxf[_0x93fe[377]](40,function(){_0x6a8dx58(40)});_0x6a8dxf[_0x93fe[377]](113,function(){_0x6a8dx3[_0x93fe[70]]()});_0x6a8dx5b(46,false,_0x93fe[243]);_0x6a8dx5b(82,true,_0x93fe[253]);_0x6a8dx5b(83,true,_0x93fe[171]);_0x6a8dx5b(83,true,_0x93fe[179],true);_0x6a8dx5b(107,false,_0x93fe[381]);_0x6a8dx5b(109,false,_0x93fe[382]);_0x6a8dx5b(65,true,_0x93fe[383]);_0x6a8dx5b(86,true,_0x93fe[384],true);_0x6a8dx5b(69,true,_0x93fe[385],true);_0x6a8dx5b(69,true,_0x93fe[386]);_0x6a8dx5b(66,true,_0x93fe[249]);_0x6a8dx5b(70,true,_0x93fe[248]);_0x6a8dx5b(68,true,_0x93fe[244]);_0x6a8dx5b(90,true,_0x93fe[237]);_0x6a8dx5b(89,true,_0x93fe[238]);_0x6a8dx5b(88,true,_0x93fe[115]);_0x6a8dx5b(67,true,_0x93fe[116]);_0x6a8dx5b(81,true,_0x93fe[387]);_0x6a8dx5b(86,true,_0x93fe[111]);_0x6a8dx5b(71,true,_0x93fe[259]);_0x6a8dx5b(71,true,_0x93fe[388],true);_0x6a8dx5b(85,true,_0x93fe[261]);_0x6a8dx5b(112,false,_0x93fe[389]);_0x6a8dx5b(80,true,_0x93fe[222],true);return _0x6a8dxf};EditorUi[_0x93fe[10]][_0x93fe[58]]=function(_0x6a8dx3,_0x6a8dx36,_0x6a8dx62,_0x6a8dx63){for(var _0x6a8dx37=0;_0x6a8dx37<_0x6a8dx36[_0x93fe[143]];_0x6a8dx37++){_0x6a8dx3[_0x93fe[392]]( new mxMultiplicity(true,_0x6a8dx36[_0x6a8dx37],null,null,_0x6a8dx62[_0x6a8dx37][0],_0x6a8dx62[_0x6a8dx37][1],null,mxResources[_0x93fe[112]](_0x6a8dx36[_0x6a8dx37])+_0x93fe[390]+_0x6a8dx62[_0x6a8dx37][0]+_0x93fe[391],null));_0x6a8dx3[_0x93fe[392]]( new mxMultiplicity(false,_0x6a8dx36[_0x6a8dx37],null,null,_0x6a8dx63[_0x6a8dx37][0],_0x6a8dx63[_0x6a8dx37][1],null,mxResources[_0x93fe[112]](_0x6a8dx36[_0x6a8dx37])+_0x93fe[390]+_0x6a8dx63[_0x6a8dx37][0]+_0x93fe[393],null))}} \ No newline at end of file diff --git a/public/assets/plugins/jscripty/js/Graph.js b/public/assets/plugins/jscripty/js/Graph.js index 4dc5f6e..a991c5e 100644 --- a/public/assets/plugins/jscripty/js/Graph.js +++ b/public/assets/plugins/jscripty/js/Graph.js @@ -1 +1 @@ -var _0x6c42=["\x63\x61\x6C\x6C","\x73\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x73\x65\x74\x44\x72\x6F\x70\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x50\x61\x6E\x6E\x69\x6E\x67","\x73\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x73\x65\x74\x41\x6C\x6C\x6F\x77\x4C\x6F\x6F\x70\x73","\x73\x65\x74\x4D\x75\x6C\x74\x69\x67\x72\x61\x70\x68","\x61\x6C\x6C\x6F\x77\x41\x75\x74\x6F\x50\x61\x6E\x6E\x69\x6E\x67","\x72\x65\x73\x65\x74\x45\x64\x67\x65\x73\x4F\x6E\x43\x6F\x6E\x6E\x65\x63\x74","\x63\x6F\x6E\x73\x74\x72\x61\x69\x6E\x43\x68\x69\x6C\x64\x72\x65\x6E","\x74\x61\x72\x67\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x49\x6D\x61\x67\x65","\x63\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x74\x41\x6C\x6C\x6F\x77\x44\x61\x6E\x67\x6C\x69\x6E\x67\x45\x64\x67\x65\x73","\x73\x65\x74\x43\x72\x65\x61\x74\x65\x54\x61\x72\x67\x65\x74","\x69\x73\x56\x61\x6C\x69\x64\x53\x6F\x75\x72\x63\x65","\x61\x70\x70\x6C\x79","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x63\x6F\x6E\x6E\x65\x63\x74","\x32","\x61\x6C\x74\x65\x72\x6E\x61\x74\x65\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x76\x65\x72\x74\x69\x63\x61\x6C","\x6C\x6F\x61\x64\x53\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x67\x65\x74\x52\x75\x62\x62\x65\x72\x62\x61\x6E\x64","\x63\x75\x72\x73\x6F\x72","\x73\x74\x79\x6C\x65","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x70\x6F\x69\x6E\x74\x65\x72","\x62\x69\x6E\x64","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x64\x65\x66\x61\x75\x6C\x74","\x69\x73\x48\x74\x6D\x6C\x4C\x61\x62\x65\x6C","\x67\x65\x74\x53\x74\x61\x74\x65","\x76\x69\x65\x77","\x67\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65","\x68\x74\x6D\x6C","\x31","\x77\x68\x69\x74\x65\x53\x70\x61\x63\x65","\x77\x72\x61\x70","\x67\x65\x74\x4C\x61\x62\x65\x6C\x56\x61\x6C\x75\x65","\x63\x65\x6C\x6C\x52\x65\x6E\x64\x65\x72\x65\x72","\x68\x74\x6D\x6C\x45\x6E\x74\x69\x74\x69\x65\x73","\x69\x73\x43\x65\x6C\x6C\x4C\x6F\x63\x6B\x65\x64","\x74\x61\x70\x41\x6E\x64\x48\x6F\x6C\x64\x54\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x74\x61\x70\x41\x6E\x64\x48\x6F\x6C\x64","\x61\x63\x74\x69\x76\x65","\x67\x72\x61\x70\x68","\x67\x65\x74\x47\x72\x61\x70\x68\x58","\x67\x65\x74\x47\x72\x61\x70\x68\x59","\x73\x74\x61\x72\x74","\x70\x61\x6E\x6E\x69\x6E\x67\x54\x72\x69\x67\x67\x65\x72","\x63\x65\x6C\x6C","\x69\x73\x43\x65\x6C\x6C\x53\x65\x6C\x65\x63\x74\x65\x64","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x6F\x75\x6E\x74","\x72\x65\x6D\x6F\x76\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x69\x6E\x69\x74\x54\x6F\x75\x63\x68","\x65\x78\x74\x65\x6E\x64","\x6D\x69\x6E\x46\x69\x74\x53\x63\x61\x6C\x65","\x6D\x61\x78\x46\x69\x74\x53\x63\x61\x6C\x65","\x67\x65\x74\x44\x6F\x63\x75\x6D\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x2F\x64\x65\x66\x61\x75\x6C\x74\x2E\x78\x6D\x6C","\x6C\x6F\x61\x64","\x6F\x77\x6E\x65\x72\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x67\x65\x74\x53\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x64\x65\x63\x6F\x64\x65","\x66\x6C\x69\x70\x45\x64\x67\x65","\x67\x65\x74\x56\x61\x6C\x75\x65","\x45\x4C\x42\x4F\x57\x5F\x48\x4F\x52\x49\x5A\x4F\x4E\x54\x41\x4C","\x45\x4C\x42\x4F\x57\x5F\x56\x45\x52\x54\x49\x43\x41\x4C","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x73\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x69\x73\x45\x64\x67\x65","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x63\x6C\x6F\x6E\x65\x43\x65\x6C\x6C\x73","\x67\x65\x6F\x6D\x65\x74\x72\x79","\x70\x6F\x69\x6E\x74\x73","\x67\x65\x74\x53\x74\x79\x6C\x65","\x73\x65\x74\x53\x74\x79\x6C\x65","\x63\x72\x65\x61\x74\x65\x45\x64\x67\x65\x53\x74\x61\x74\x65","\x63\x72\x65\x61\x74\x65\x53\x74\x61\x74\x65","\x66\x61\x63\x74\x6F\x72\x79\x4D\x65\x74\x68\x6F\x64","\x69\x73\x43\x65\x6C\x6C\x46\x6F\x6C\x64\x61\x62\x6C\x65","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x53\x77\x69\x6D\x6C\x61\x6E\x65","\x69\x73\x56\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x63\x72\x65\x61\x74\x65\x47\x72\x6F\x75\x70\x43\x65\x6C\x6C","\x67\x72\x6F\x75\x70","\x67\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x46\x6F\x72\x43\x65\x6C\x6C","","\x69\x73\x56\x65\x72\x74\x65\x78","\x64\x65\x73\x63\x72\x69\x70\x74\x69\x6F\x6E\x5F","\x6E\x6F\x64\x65\x4E\x61\x6D\x65","\x76\x61\x6C\x75\x65","\x67\x65\x74","\x63\x6F\x6E\x76\x65\x72\x74\x56\x61\x6C\x75\x65\x54\x6F\x53\x74\x72\x69\x6E\x67","\x6F\x62\x6A\x65\x63\x74","\x6C\x61\x62\x65\x6C","\x67\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x65\x6C\x6C\x4C\x61\x62\x65\x6C\x43\x68\x61\x6E\x67\x65\x64","\x63\x6C\x6F\x6E\x65\x4E\x6F\x64\x65","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x73\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x63\x72\x65\x61\x74\x65\x58\x6D\x6C\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x55\x73\x65\x72\x4F\x62\x6A\x65\x63\x74","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x6C\x65\x6E\x67\x74\x68","\x6C\x69\x6E\x6B","\x72\x65\x6D\x6F\x76\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x73\x65\x74\x56\x61\x6C\x75\x65","\x6D\x6F\x64\x65\x6C","\x67\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x6D\x61\x72\x6B\x65\x72","\x66\x69\x72\x73\x74","\x68\x69\x64\x65\x4D\x65\x6E\x75","\x75\x70\x64\x61\x74\x65\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74","\x67\x72\x61\x70\x68\x58","\x67\x72\x61\x70\x68\x59","\x67\x65\x74\x43\x65\x6C\x6C\x41\x74","\x73\x74\x61\x74\x65","\x73\x68\x61\x70\x65","\x6E\x6F\x64\x65","\x66\x69\x72\x65\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74","\x4D\x4F\x55\x53\x45\x5F\x44\x4F\x57\x4E","\x69\x73\x4D\x65\x6E\x75\x53\x68\x6F\x77\x69\x6E\x67","\x67\x65\x74\x43\x65\x6C\x6C","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6D\x70\x74\x79","\x4D\x4F\x55\x53\x45\x5F\x55\x50","\x69\x73\x45\x64\x69\x74\x69\x6E\x67","\x67\x65\x74\x45\x76\x65\x6E\x74","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x58","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x59","\x70\x6F\x70\x75\x70","\x63\x6F\x6E\x73\x75\x6D\x65","\x4D\x4F\x55\x53\x45\x5F\x4D\x4F\x56\x45","\x69\x73\x43\x6F\x6E\x73\x75\x6D\x65\x64","\x72\x6F\x74\x61\x74\x69\x6F\x6E\x45\x6E\x61\x62\x6C\x65\x64","\x62\x61\x73\x65\x53\x70\x61\x63\x69\x6E\x67\x54\x6F\x70","\x62\x61\x73\x65\x53\x70\x61\x63\x69\x6E\x67\x42\x6F\x74\x74\x6F\x6D","\x48\x41\x4E\x44\x4C\x45\x5F\x53\x49\x5A\x45","\x4C\x41\x42\x45\x4C\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x53\x49\x5A\x45","\x49\x53\x5F\x54\x4F\x55\x43\x48","\x74\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x67\x72\x69\x64\x53\x69\x7A\x65","\x73\x65\x6C\x65\x63\x74\x4F\x6E\x50\x6F\x70\x75\x70","\x75\x73\x65\x4C\x65\x66\x74\x42\x75\x74\x74\x6F\x6E\x46\x6F\x72\x50\x61\x6E\x6E\x69\x6E\x67","\x69\x73\x50\x61\x6E\x6E\x69\x6E\x67\x54\x72\x69\x67\x67\x65\x72","\x69\x67\x6E\x6F\x72\x65\x43\x65\x6C\x6C","\x69\x73\x43\x6F\x6E\x74\x72\x6F\x6C\x44\x6F\x77\x6E","\x69\x73\x53\x68\x69\x66\x74\x44\x6F\x77\x6E","\x75\x73\x65\x50\x6F\x70\x75\x70\x54\x72\x69\x67\x67\x65\x72","\x69\x73\x50\x6F\x70\x75\x70\x54\x72\x69\x67\x67\x65\x72","\x6D\x6F\x75\x73\x65\x44\x6F\x77\x6E","\x64\x65\x6C\x61\x79\x65\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x63\x72\x65\x61\x74\x65\x48\x61\x6E\x64\x6C\x65\x72\x73","\x74\x6F\x6F\x6C\x74\x69\x70\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73\x48\x61\x6E\x64\x6C\x65\x72","\x70\x61\x6E\x6E\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x61\x70\x68\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x6C\x65\x63\x74\x43\x65\x6C\x6C\x73","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x64\x62\x6C\x43\x6C\x69\x63\x6B","\x63\x6F\x6E\x76\x65\x72\x74\x50\x6F\x69\x6E\x74","\x78","\x79","\x2F\x74\x6F\x75\x63\x68\x2D\x68\x61\x6E\x64\x6C\x65\x2E\x70\x6E\x67","\x68\x61\x6E\x64\x6C\x65\x49\x6D\x61\x67\x65","\x73\x69\x7A\x65\x72\x49\x6D\x61\x67\x65","\x73\x72\x63","\x2F\x74\x6F\x75\x63\x68\x2D\x63\x6F\x6E\x6E\x65\x63\x74\x6F\x72\x2E\x70\x6E\x67","\x69\x6E\x69\x74","\x69\x73\x43\x65\x6C\x6C\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x63\x6F\x6E\x6E\x65\x63\x74\x6F\x72\x49\x6D\x67","\x63\x72\x65\x61\x74\x65\x49\x6D\x61\x67\x65","\x77\x69\x64\x74\x68","\x32\x39\x70\x78","\x68\x65\x69\x67\x68\x74","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x61\x62\x73\x6F\x6C\x75\x74\x65","\x74\x69\x74\x6C\x65","\x72\x65\x64\x69\x72\x65\x63\x74\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74\x73","\x70\x61\x64\x64\x69\x6E\x67","\x32\x70\x78","\x69\x73\x4D\x6F\x75\x73\x65\x44\x6F\x77\x6E","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x72\x65\x64\x72\x61\x77\x54\x6F\x6F\x6C\x73","\x72\x65\x64\x72\x61\x77","\x73\x69\x6E\x67\x6C\x65\x53\x69\x7A\x65\x72","\x6C\x65\x66\x74","\x6F\x66\x66\x73\x65\x74\x57\x69\x64\x74\x68","\x70\x78","\x74\x6F\x70","\x6F\x66\x66\x73\x65\x74\x48\x65\x69\x67\x68\x74","\x64\x65\x73\x74\x72\x6F\x79","\x72\x65\x6D\x6F\x76\x65\x43\x68\x69\x6C\x64","\x70\x61\x72\x65\x6E\x74\x4E\x6F\x64\x65","\x2F\x63\x6F\x6E\x6E\x65\x63\x74\x6F\x72\x2E\x70\x6E\x67","\x63\x6F\x6E\x6E\x65\x63\x74\x49\x6D\x61\x67\x65","\x49\x53\x5F\x49\x45","\x49\x53\x5F\x53\x56\x47","\x64\x69\x76","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x75\x72\x6C\x28","\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x63\x65\x6E\x74\x65\x72","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x52\x65\x70\x65\x61\x74","\x6E\x6F\x2D\x72\x65\x70\x65\x61\x74","\x64\x69\x73\x70\x6C\x61\x79","\x49\x53\x5F\x51\x55\x49\x52\x4B\x53","\x69\x6E\x6C\x69\x6E\x65","\x69\x6E\x6C\x69\x6E\x65\x2D\x62\x6C\x6F\x63\x6B","\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E"];Graph=function(_0x13d0x1,_0x13d0x2,_0x13d0x3,_0x13d0x4){mxGraph[_0x6c42[0]](this,_0x13d0x1,_0x13d0x2,_0x13d0x3,_0x13d0x4);this[_0x6c42[1]](true);this[_0x6c42[2]](false);this[_0x6c42[3]](true);this[_0x6c42[4]](!mxClient.IS_TOUCH);this[_0x6c42[5]](false);this[_0x6c42[6]](false);this[_0x6c42[7]]=true;this[_0x6c42[8]]=false;this[_0x6c42[9]]=false;this[_0x6c42[11]][_0x6c42[10]]=true;this[_0x6c42[12]](false);this[_0x6c42[11]][_0x6c42[13]](false);this[_0x6c42[11]][_0x6c42[14]]=function(){return mxConnectionHandler[_0x6c42[16]][_0x6c42[14]][_0x6c42[15]](this,arguments)&&urlParams[_0x6c42[17]]!=_0x6c42[18]};this[_0x6c42[19]]=_0x6c42[20];if(_0x13d0x4==null){this[_0x6c42[21]]()};var _0x13d0x5= new mxRubberband(this);this[_0x6c42[22]]=function(){return _0x13d0x5};this[_0x6c42[29]][_0x6c42[28]](mxEvent.PAN_START,mxUtils[_0x6c42[27]](this,function(){this[_0x6c42[25]][_0x6c42[24]][_0x6c42[23]]=_0x6c42[26]}));this[_0x6c42[29]][_0x6c42[28]](mxEvent.PAN_END,mxUtils[_0x6c42[27]](this,function(){this[_0x6c42[25]][_0x6c42[24]][_0x6c42[23]]=_0x6c42[30]}));this[_0x6c42[31]]=function(_0x13d0x6){var _0x13d0x7=this[_0x6c42[33]][_0x6c42[32]](_0x13d0x6);var _0x13d0x8=(_0x13d0x7!=null)?_0x13d0x7[_0x6c42[24]]:this[_0x6c42[34]](_0x13d0x6);return _0x13d0x8[_0x6c42[35]]==_0x6c42[36]||_0x13d0x8[_0x6c42[37]]==_0x6c42[38]};this[_0x6c42[40]][_0x6c42[39]]=function(_0x13d0x7){var _0x13d0x9=mxCellRenderer[_0x6c42[16]][_0x6c42[39]][_0x6c42[15]](this,arguments);if(_0x13d0x7[_0x6c42[24]][_0x6c42[37]]==_0x6c42[38]&&_0x13d0x7[_0x6c42[24]][_0x6c42[35]]!=1){_0x13d0x9=mxUtils[_0x6c42[41]](_0x13d0x9,false)};return _0x13d0x9};this[_0x6c42[42]]=function(_0x13d0x6){return false};this[_0x6c42[11]][_0x6c42[43]]=16;var _0x13d0xa=this[_0x6c42[11]][_0x6c42[44]];this[_0x6c42[11]][_0x6c42[44]]=function(_0x13d0xb,_0x13d0x7){if(_0x13d0x7==null){if(!this[_0x6c42[46]][_0x6c42[29]][_0x6c42[45]]){_0x13d0x5[_0x6c42[49]](_0x13d0xb[_0x6c42[47]](),_0x13d0xb[_0x6c42[48]]());this[_0x6c42[46]][_0x6c42[29]][_0x6c42[50]]=false}}else {if(tapAndHoldStartsConnection){_0x13d0xa[_0x6c42[15]](this,arguments)}else {if(this[_0x6c42[46]][_0x6c42[52]](_0x13d0x7[_0x6c42[51]])&&this[_0x6c42[46]][_0x6c42[53]]()>1){this[_0x6c42[46]][_0x6c42[54]](_0x13d0x7[_0x6c42[51]])}}}};if(touchStyle){this[_0x6c42[55]]()}};mxUtils[_0x6c42[56]](Graph,mxGraph);Graph[_0x6c42[16]][_0x6c42[57]]=null;Graph[_0x6c42[16]][_0x6c42[58]]=null;Graph[_0x6c42[16]][_0x6c42[21]]=function(){var _0x13d0xc=mxUtils[_0x6c42[61]](STYLE_PATH+_0x6c42[60])[_0x6c42[59]]();var _0x13d0xd= new mxCodec(_0x13d0xc[_0x6c42[62]]);_0x13d0xd[_0x6c42[64]](_0x13d0xc,this[_0x6c42[63]]())};Graph[_0x6c42[16]][_0x6c42[65]]=function(_0x13d0xe){if(_0x13d0xe!=null){var _0x13d0x7=this[_0x6c42[33]][_0x6c42[32]](_0x13d0xe);var _0x13d0x8=(_0x13d0x7!=null)?_0x13d0x7[_0x6c42[24]]:this[_0x6c42[34]](_0x13d0xe);if(_0x13d0x8!=null){var _0x13d0xf=mxUtils[_0x6c42[66]](_0x13d0x8,mxConstants.STYLE_ELBOW,mxConstants.ELBOW_HORIZONTAL);var _0x13d0x10=(_0x13d0xf==mxConstants[_0x6c42[67]])?mxConstants[_0x6c42[68]]:mxConstants[_0x6c42[67]];this[_0x6c42[69]](mxConstants.STYLE_ELBOW,_0x13d0x10,[_0x13d0xe])}}};Graph[_0x6c42[16]][_0x6c42[70]]=function(_0x13d0x6){if(_0x13d0x6!=null&&this[_0x6c42[72]]()[_0x6c42[71]](_0x13d0x6)){var _0x13d0x11=this[_0x6c42[72]]()[_0x6c42[73]]([_0x13d0x6])[0];if(_0x13d0x11[_0x6c42[74]]!=null){_0x13d0x11[_0x6c42[74]][_0x6c42[75]]=null};var _0x13d0x8=_0x13d0x11[_0x6c42[76]]();_0x13d0x8=mxUtils[_0x6c42[77]](_0x13d0x8,mxConstants.STYLE_ENTRY_X,null);_0x13d0x8=mxUtils[_0x6c42[77]](_0x13d0x8,mxConstants.STYLE_ENTRY_Y,null);_0x13d0x8=mxUtils[_0x6c42[77]](_0x13d0x8,mxConstants.STYLE_EXIT_X,null);_0x13d0x8=mxUtils[_0x6c42[77]](_0x13d0x8,mxConstants.STYLE_EXIT_Y,null);_0x13d0x11[_0x6c42[77]](_0x13d0x8);this[_0x6c42[11]][_0x6c42[78]]=function(_0x13d0xb){return this[_0x6c42[46]][_0x6c42[33]][_0x6c42[79]](_0x13d0x11)};this[_0x6c42[11]][_0x6c42[80]]=function(){return this[_0x6c42[46]][_0x6c42[73]]([_0x13d0x11])[0]}}};Graph[_0x6c42[16]][_0x6c42[81]]=function(_0x13d0x6){return this[_0x6c42[82]]&&this[_0x6c42[83]](_0x13d0x6)};Graph[_0x6c42[16]][_0x6c42[84]]=function(_0x13d0x6){return this[_0x6c42[83]](_0x13d0x6)};Graph[_0x6c42[16]][_0x6c42[85]]=function(){var _0x13d0x12=mxGraph[_0x6c42[16]][_0x6c42[85]][_0x6c42[15]](this,arguments);_0x13d0x12[_0x6c42[77]](_0x6c42[86]);return _0x13d0x12};Graph[_0x6c42[16]][_0x6c42[87]]=function(_0x13d0x6){var _0x13d0x13=_0x6c42[88];if(this[_0x6c42[72]]()[_0x6c42[89]](_0x13d0x6)){_0x13d0x13+=mxResources[_0x6c42[93]](_0x6c42[90]+_0x13d0x6[_0x6c42[92]][_0x6c42[91]])||_0x6c42[88]}else {if(this[_0x6c42[72]]()[_0x6c42[71]](_0x13d0x6)){_0x13d0x13=mxGraph[_0x6c42[16]][_0x6c42[87]][_0x6c42[15]](this,arguments)}};return _0x13d0x13};Graph[_0x6c42[16]][_0x6c42[94]]=function(_0x13d0x6){if(_0x13d0x6[_0x6c42[92]]!=null&& typeof (_0x13d0x6[_0x6c42[92]])==_0x6c42[95]){return _0x13d0x6[_0x6c42[92]][_0x6c42[97]](_0x6c42[96])};return mxGraph[_0x6c42[16]][_0x6c42[94]][_0x6c42[15]](this,arguments)};Graph[_0x6c42[16]][_0x6c42[98]]=function(_0x13d0x6,_0x13d0x10,_0x13d0x14){if(_0x13d0x6[_0x6c42[92]]!=null&& typeof (_0x13d0x6[_0x6c42[92]])==_0x6c42[95]){var _0x13d0x15=_0x13d0x6[_0x6c42[92]][_0x6c42[99]](true);_0x13d0x15[_0x6c42[100]](_0x6c42[96],_0x13d0x10);_0x13d0x10=_0x13d0x15};mxGraph[_0x6c42[16]][_0x6c42[98]][_0x6c42[15]](this,arguments)};Graph[_0x6c42[16]][_0x6c42[101]]=function(_0x13d0x6,_0x13d0x16){var _0x13d0x10=null;if(_0x13d0x6[_0x6c42[92]]!=null&& typeof (_0x13d0x6[_0x6c42[92]])==_0x6c42[95]){_0x13d0x10=_0x13d0x6[_0x6c42[92]][_0x6c42[99]](true)}else {var _0x13d0x17=mxUtils[_0x6c42[102]]();_0x13d0x10=_0x13d0x17[_0x6c42[104]](_0x6c42[103]);_0x13d0x10[_0x6c42[100]](_0x6c42[96],_0x13d0x6[_0x6c42[92]])};if(_0x13d0x16!=null&&_0x13d0x16[_0x6c42[105]]>0){_0x13d0x10[_0x6c42[100]](_0x6c42[106],_0x13d0x16)}else {_0x13d0x10[_0x6c42[107]](_0x6c42[106])};this[_0x6c42[109]][_0x6c42[108]](_0x13d0x6,_0x13d0x10)};Graph[_0x6c42[16]][_0x6c42[110]]=function(_0x13d0x6){if(_0x13d0x6[_0x6c42[92]]!=null&& typeof (_0x13d0x6[_0x6c42[92]])==_0x6c42[95]){return _0x13d0x6[_0x6c42[92]][_0x6c42[97]](_0x6c42[106])};return null};Graph[_0x6c42[16]][_0x6c42[55]]=function(){this[_0x6c42[11]][_0x6c42[112]][_0x6c42[111]]=function(){return this[_0x6c42[46]][_0x6c42[11]][_0x6c42[113]]!=null};this[_0x6c42[28]](mxEvent.START_EDITING,function(_0x13d0x18,_0x13d0x19){this[_0x6c42[29]][_0x6c42[114]]()});var _0x13d0x1a=false;var _0x13d0x1b=null;this[_0x6c42[115]]=function(_0x13d0xb){mxGraph[_0x6c42[16]][_0x6c42[115]][_0x6c42[15]](this,arguments);if(_0x13d0xb[_0x6c42[32]]()==null){var _0x13d0x6=this[_0x6c42[118]](_0x13d0xb[_0x6c42[116]],_0x13d0xb[_0x6c42[117]]);if(this[_0x6c42[72]]()[_0x6c42[71]](_0x13d0x6)){_0x13d0xb[_0x6c42[119]]=this[_0x6c42[33]][_0x6c42[32]](_0x13d0x6);if(_0x13d0xb[_0x6c42[119]]!=null&&_0x13d0xb[_0x6c42[119]][_0x6c42[120]]!=null){this[_0x6c42[25]][_0x6c42[24]][_0x6c42[23]]=_0x13d0xb[_0x6c42[119]][_0x6c42[120]][_0x6c42[121]][_0x6c42[24]][_0x6c42[23]]}}};if(_0x13d0xb[_0x6c42[32]]()==null){this[_0x6c42[25]][_0x6c42[24]][_0x6c42[23]]=_0x6c42[30]}};this[_0x6c42[122]]=function(_0x13d0x1c,_0x13d0xb,_0x13d0x18){if(_0x13d0x1c==mxEvent[_0x6c42[123]]){if(!this[_0x6c42[29]][_0x6c42[124]]()){_0x13d0x1b=_0x13d0xb[_0x6c42[125]]();_0x13d0x1a=(_0x13d0x1b!=null)?this[_0x6c42[52]](_0x13d0x1b):this[_0x6c42[126]]()}else {_0x13d0x1a=false;_0x13d0x1b=null}}else {if(_0x13d0x1c==mxEvent[_0x6c42[127]]){if(_0x13d0x1a&&!this[_0x6c42[128]]()){if(!this[_0x6c42[29]][_0x6c42[124]]()){var _0x13d0x1d=mxEvent[_0x6c42[130]](_0x13d0xb[_0x6c42[129]]());var _0x13d0x1e=mxEvent[_0x6c42[131]](_0x13d0xb[_0x6c42[129]]());this[_0x6c42[29]][_0x6c42[132]](_0x13d0x1d+16,_0x13d0x1e,_0x13d0x1b,_0x13d0xb[_0x6c42[129]]())};_0x13d0x1a=false;_0x13d0x1b=null;_0x13d0xb[_0x6c42[133]]();return};_0x13d0x1a=false;_0x13d0x1b=null}};mxGraph[_0x6c42[16]][_0x6c42[122]][_0x6c42[15]](this,arguments);if(_0x13d0x1c==mxEvent[_0x6c42[134]]&&_0x13d0xb[_0x6c42[135]]()){_0x13d0x1a=false;_0x13d0x1b=null}}};(function(){mxVertexHandler[_0x6c42[16]][_0x6c42[136]]=true;mxText[_0x6c42[16]][_0x6c42[137]]=5;mxText[_0x6c42[16]][_0x6c42[138]]=1;if(touchStyle){mxConstants[_0x6c42[139]]=16;mxConstants[_0x6c42[140]]=7;if(mxClient[_0x6c42[141]]){mxVertexHandler[_0x6c42[16]][_0x6c42[142]]=4;mxEdgeHandler[_0x6c42[16]][_0x6c42[142]]=6;Graph[_0x6c42[16]][_0x6c42[142]]=14;Graph[_0x6c42[16]][_0x6c42[143]]=20;mxPanningHandler[_0x6c42[16]][_0x6c42[144]]=false;mxPanningHandler[_0x6c42[16]][_0x6c42[145]]=true;mxPanningHandler[_0x6c42[16]][_0x6c42[146]]=function(_0x13d0xb){var _0x13d0x19=_0x13d0xb[_0x6c42[129]]();return (this[_0x6c42[145]]&&(this[_0x6c42[147]]||_0x13d0xb[_0x6c42[32]]()==null))||(mxEvent[_0x6c42[148]](_0x13d0x19)&&mxEvent[_0x6c42[149]](_0x13d0x19))||(this[_0x6c42[150]]&&mxEvent[_0x6c42[151]](_0x13d0x19))}};var _0x13d0x1f=mxGraphHandler[_0x6c42[16]][_0x6c42[152]];mxGraphHandler[_0x6c42[16]][_0x6c42[152]]=function(_0x13d0x18,_0x13d0xb){_0x13d0x1f[_0x6c42[15]](this,arguments);if(this[_0x6c42[46]][_0x6c42[52]](_0x13d0xb[_0x6c42[125]]())&&this[_0x6c42[46]][_0x6c42[53]]()>1){this[_0x6c42[153]]=false}};Graph[_0x6c42[16]][_0x6c42[154]]=function(_0x13d0x1){this[_0x6c42[155]]= new mxTooltipHandler(this);this[_0x6c42[155]][_0x6c42[156]](false);this[_0x6c42[157]]= new mxSelectionCellsHandler(this);this[_0x6c42[29]]= new mxPanningHandler(this);this[_0x6c42[29]][_0x6c42[158]]=false;this[_0x6c42[11]]= new mxConnectionHandler(this);this[_0x6c42[11]][_0x6c42[156]](false);this[_0x6c42[159]]= new mxGraphHandler(this)};mxConnectionHandler[_0x6c42[16]][_0x6c42[160]]=function(_0x13d0xe,_0x13d0x20){if(touchStyle&&_0x13d0x20!=null){this[_0x6c42[46]][_0x6c42[161]](_0x13d0x20)}else {this[_0x6c42[46]][_0x6c42[161]](_0x13d0xe)}};var _0x13d0x21=mxGraph[_0x6c42[16]][_0x6c42[162]];Graph[_0x6c42[16]][_0x6c42[162]]=function(_0x13d0x19,_0x13d0x6){if(_0x13d0x6==null){var _0x13d0x22=mxUtils[_0x6c42[163]](this[_0x6c42[25]],mxEvent[_0x6c42[130]](_0x13d0x19),mxEvent[_0x6c42[131]](_0x13d0x19));_0x13d0x6=this[_0x6c42[118]](_0x13d0x22[_0x6c42[164]],_0x13d0x22[_0x6c42[165]])};_0x13d0x21[_0x6c42[0]](this,_0x13d0x19,_0x13d0x6)};var _0x13d0x23= new mxImage(IMAGE_PATH+_0x6c42[166],16,16);mxVertexHandler[_0x6c42[16]][_0x6c42[167]]=_0x13d0x23;mxEdgeHandler[_0x6c42[16]][_0x6c42[167]]=_0x13d0x23;mxOutline[_0x6c42[16]][_0x6c42[168]]=_0x13d0x23; new Image()[_0x6c42[169]]=_0x13d0x23[_0x6c42[169]];var _0x13d0x24=IMAGE_PATH+_0x6c42[170];var _0x13d0x25=mxVertexHandler[_0x6c42[16]][_0x6c42[171]];mxVertexHandler[_0x6c42[16]][_0x6c42[171]]=function(){_0x13d0x25[_0x6c42[15]](this,arguments);if(showConnectorImg&&this[_0x6c42[46]][_0x6c42[11]][_0x6c42[111]]()&&this[_0x6c42[46]][_0x6c42[172]](this[_0x6c42[119]][_0x6c42[51]])&&!this[_0x6c42[46]][_0x6c42[84]](this[_0x6c42[119]][_0x6c42[51]])&&this[_0x6c42[46]][_0x6c42[53]]()==1){this[_0x6c42[173]]=mxUtils[_0x6c42[174]](_0x13d0x24);this[_0x6c42[173]][_0x6c42[24]][_0x6c42[23]]=_0x6c42[26];this[_0x6c42[173]][_0x6c42[24]][_0x6c42[175]]=_0x6c42[176];this[_0x6c42[173]][_0x6c42[24]][_0x6c42[177]]=_0x6c42[176];this[_0x6c42[173]][_0x6c42[24]][_0x6c42[178]]=_0x6c42[179];if(!mxClient[_0x6c42[141]]){this[_0x6c42[173]][_0x6c42[100]](_0x6c42[180],mxResources[_0x6c42[93]](_0x6c42[17]));mxEvent[_0x6c42[181]](this[_0x6c42[173]],this[_0x6c42[46]],this[_0x6c42[119]])};this[_0x6c42[173]][_0x6c42[24]][_0x6c42[182]]=_0x6c42[183];mxEvent[_0x6c42[185]](this[_0x6c42[173]],mxUtils[_0x6c42[27]](this,function(_0x13d0x19){this[_0x6c42[46]][_0x6c42[29]][_0x6c42[114]]();var _0x13d0x22=mxUtils[_0x6c42[163]](this[_0x6c42[46]][_0x6c42[25]],mxEvent[_0x6c42[130]](_0x13d0x19),mxEvent[_0x6c42[131]](_0x13d0x19));this[_0x6c42[46]][_0x6c42[11]][_0x6c42[49]](this[_0x6c42[119]],_0x13d0x22[_0x6c42[164]],_0x13d0x22[_0x6c42[165]]);this[_0x6c42[46]][_0x6c42[184]]=true;mxEvent[_0x6c42[133]](_0x13d0x19)}));this[_0x6c42[46]][_0x6c42[25]][_0x6c42[186]](this[_0x6c42[173]])};this[_0x6c42[187]]()};var _0x13d0x26=mxVertexHandler[_0x6c42[16]][_0x6c42[188]];mxVertexHandler[_0x6c42[16]][_0x6c42[188]]=function(){_0x13d0x26[_0x6c42[15]](this);this[_0x6c42[187]]()};mxVertexHandler[_0x6c42[16]][_0x6c42[187]]=function(){if(this[_0x6c42[119]]!=null&&this[_0x6c42[173]]!=null){if(mxVertexHandler[_0x6c42[16]][_0x6c42[189]]){this[_0x6c42[173]][_0x6c42[24]][_0x6c42[190]]=(this[_0x6c42[119]][_0x6c42[164]]+this[_0x6c42[119]][_0x6c42[175]]-this[_0x6c42[173]][_0x6c42[191]]/2)+_0x6c42[192];this[_0x6c42[173]][_0x6c42[24]][_0x6c42[193]]=(this[_0x6c42[119]][_0x6c42[165]]-this[_0x6c42[173]][_0x6c42[194]]/2)+_0x6c42[192]}else {this[_0x6c42[173]][_0x6c42[24]][_0x6c42[190]]=(this[_0x6c42[119]][_0x6c42[164]]+this[_0x6c42[119]][_0x6c42[175]]+mxConstants[_0x6c42[139]]/2+4)+_0x6c42[192];this[_0x6c42[173]][_0x6c42[24]][_0x6c42[193]]=(this[_0x6c42[119]][_0x6c42[165]]+(this[_0x6c42[119]][_0x6c42[177]]-this[_0x6c42[173]][_0x6c42[194]])/2)+_0x6c42[192]}}};var _0x13d0x27=mxVertexHandler[_0x6c42[16]][_0x6c42[195]];mxVertexHandler[_0x6c42[16]][_0x6c42[195]]=function(_0x13d0x18,_0x13d0xb){_0x13d0x27[_0x6c42[15]](this,arguments);if(this[_0x6c42[173]]!=null){this[_0x6c42[173]][_0x6c42[197]][_0x6c42[196]](this[_0x6c42[173]]);this[_0x6c42[173]]=null}}; new Image()[_0x6c42[169]]=_0x13d0x24}else {var _0x13d0x28= new mxImage(IMAGE_PATH+_0x6c42[198],15,15);mxConnectionHandler[_0x6c42[16]][_0x6c42[199]]=_0x13d0x28; new Image()[_0x6c42[169]]=_0x13d0x28[_0x6c42[169]];if(urlParams[_0x6c42[17]]==_0x6c42[18]){var _0x13d0x28= new mxImage(IMAGE_PATH+_0x6c42[198],15,15);var _0x13d0x25=mxVertexHandler[_0x6c42[16]][_0x6c42[171]];mxVertexHandler[_0x6c42[16]][_0x6c42[171]]=function(){_0x13d0x25[_0x6c42[15]](this,arguments);if(showConnectorImg&&this[_0x6c42[46]][_0x6c42[11]][_0x6c42[111]]()&&this[_0x6c42[46]][_0x6c42[172]](this[_0x6c42[119]][_0x6c42[51]])&&!this[_0x6c42[46]][_0x6c42[84]](this[_0x6c42[119]][_0x6c42[51]])&&this[_0x6c42[46]][_0x6c42[53]]()==1){if(mxClient[_0x6c42[200]]&&!mxClient[_0x6c42[201]]){this[_0x6c42[173]]=document[_0x6c42[104]](_0x6c42[202]);this[_0x6c42[173]][_0x6c42[24]][_0x6c42[203]]=_0x6c42[204]+_0x13d0x28[_0x6c42[169]]+_0x6c42[205];this[_0x6c42[173]][_0x6c42[24]][_0x6c42[206]]=_0x6c42[207];this[_0x6c42[173]][_0x6c42[24]][_0x6c42[208]]=_0x6c42[209];this[_0x6c42[173]][_0x6c42[24]][_0x6c42[175]]=(_0x13d0x28[_0x6c42[175]]+4)+_0x6c42[192];this[_0x6c42[173]][_0x6c42[24]][_0x6c42[177]]=(_0x13d0x28[_0x6c42[177]]+4)+_0x6c42[192];this[_0x6c42[173]][_0x6c42[24]][_0x6c42[210]]=(mxClient[_0x6c42[211]])?_0x6c42[212]:_0x6c42[213]}else {this[_0x6c42[173]]=mxUtils[_0x6c42[174]](_0x13d0x28[_0x6c42[169]]);this[_0x6c42[173]][_0x6c42[24]][_0x6c42[175]]=_0x13d0x28[_0x6c42[175]]+_0x6c42[192];this[_0x6c42[173]][_0x6c42[24]][_0x6c42[177]]=_0x13d0x28[_0x6c42[177]]+_0x6c42[192]};this[_0x6c42[173]][_0x6c42[24]][_0x6c42[23]]=_0x6c42[26];this[_0x6c42[173]][_0x6c42[24]][_0x6c42[178]]=_0x6c42[179];this[_0x6c42[173]][_0x6c42[100]](_0x6c42[180],mxResources[_0x6c42[93]](_0x6c42[17]));mxEvent[_0x6c42[181]](this[_0x6c42[173]],this[_0x6c42[46]],this[_0x6c42[119]]);this[_0x6c42[173]][_0x6c42[24]][_0x6c42[182]]=_0x6c42[183];mxEvent[_0x6c42[28]](this[_0x6c42[173]],_0x6c42[214],mxUtils[_0x6c42[27]](this,function(_0x13d0x19){this[_0x6c42[46]][_0x6c42[29]][_0x6c42[114]]();var _0x13d0x22=mxUtils[_0x6c42[163]](this[_0x6c42[46]][_0x6c42[25]],mxEvent[_0x6c42[130]](_0x13d0x19),mxEvent[_0x6c42[131]](_0x13d0x19));this[_0x6c42[46]][_0x6c42[11]][_0x6c42[49]](this[_0x6c42[119]],_0x13d0x22[_0x6c42[164]],_0x13d0x22[_0x6c42[165]]);this[_0x6c42[46]][_0x6c42[184]]=true;mxEvent[_0x6c42[133]](_0x13d0x19)}));this[_0x6c42[46]][_0x6c42[25]][_0x6c42[186]](this[_0x6c42[173]])};this[_0x6c42[187]]()};var _0x13d0x26=mxVertexHandler[_0x6c42[16]][_0x6c42[188]];mxVertexHandler[_0x6c42[16]][_0x6c42[188]]=function(){_0x13d0x26[_0x6c42[15]](this);this[_0x6c42[187]]()};mxVertexHandler[_0x6c42[16]][_0x6c42[187]]=function(){if(this[_0x6c42[119]]!=null&&this[_0x6c42[173]]!=null){if(mxVertexHandler[_0x6c42[16]][_0x6c42[189]]){this[_0x6c42[173]][_0x6c42[24]][_0x6c42[190]]=(this[_0x6c42[119]][_0x6c42[164]]+this[_0x6c42[119]][_0x6c42[175]]-this[_0x6c42[173]][_0x6c42[191]]/2)+_0x6c42[192];this[_0x6c42[173]][_0x6c42[24]][_0x6c42[193]]=(this[_0x6c42[119]][_0x6c42[165]]-this[_0x6c42[173]][_0x6c42[194]]/2)+_0x6c42[192]}else {this[_0x6c42[173]][_0x6c42[24]][_0x6c42[190]]=(this[_0x6c42[119]][_0x6c42[164]]+this[_0x6c42[119]][_0x6c42[175]]+mxConstants[_0x6c42[139]]/2+2)+_0x6c42[192];this[_0x6c42[173]][_0x6c42[24]][_0x6c42[193]]=(this[_0x6c42[119]][_0x6c42[165]]+(this[_0x6c42[119]][_0x6c42[177]]-this[_0x6c42[173]][_0x6c42[194]])/2)+_0x6c42[192]}}};var _0x13d0x27=mxVertexHandler[_0x6c42[16]][_0x6c42[195]];mxVertexHandler[_0x6c42[16]][_0x6c42[195]]=function(_0x13d0x18,_0x13d0xb){_0x13d0x27[_0x6c42[15]](this,arguments);if(this[_0x6c42[173]]!=null){this[_0x6c42[173]][_0x6c42[197]][_0x6c42[196]](this[_0x6c42[173]]);this[_0x6c42[173]]=null}}}}})() \ No newline at end of file +var _0x4c1e=["\x63\x61\x6C\x6C","\x73\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x73\x65\x74\x44\x72\x6F\x70\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x50\x61\x6E\x6E\x69\x6E\x67","\x73\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x73\x65\x74\x41\x6C\x6C\x6F\x77\x4C\x6F\x6F\x70\x73","\x73\x65\x74\x4D\x75\x6C\x74\x69\x67\x72\x61\x70\x68","\x61\x6C\x6C\x6F\x77\x41\x75\x74\x6F\x50\x61\x6E\x6E\x69\x6E\x67","\x72\x65\x73\x65\x74\x45\x64\x67\x65\x73\x4F\x6E\x43\x6F\x6E\x6E\x65\x63\x74","\x63\x6F\x6E\x73\x74\x72\x61\x69\x6E\x43\x68\x69\x6C\x64\x72\x65\x6E","\x74\x61\x72\x67\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x49\x6D\x61\x67\x65","\x63\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x74\x41\x6C\x6C\x6F\x77\x44\x61\x6E\x67\x6C\x69\x6E\x67\x45\x64\x67\x65\x73","\x73\x65\x74\x43\x72\x65\x61\x74\x65\x54\x61\x72\x67\x65\x74","\x69\x73\x56\x61\x6C\x69\x64\x53\x6F\x75\x72\x63\x65","\x61\x70\x70\x6C\x79","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x63\x6F\x6E\x6E\x65\x63\x74","\x32","\x61\x6C\x74\x65\x72\x6E\x61\x74\x65\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x76\x65\x72\x74\x69\x63\x61\x6C","\x6C\x6F\x61\x64\x53\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x67\x65\x74\x52\x75\x62\x62\x65\x72\x62\x61\x6E\x64","\x63\x75\x72\x73\x6F\x72","\x73\x74\x79\x6C\x65","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x70\x6F\x69\x6E\x74\x65\x72","\x62\x69\x6E\x64","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x64\x65\x66\x61\x75\x6C\x74","\x69\x73\x48\x74\x6D\x6C\x4C\x61\x62\x65\x6C","\x67\x65\x74\x53\x74\x61\x74\x65","\x76\x69\x65\x77","\x67\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65","\x68\x74\x6D\x6C","\x31","\x77\x68\x69\x74\x65\x53\x70\x61\x63\x65","\x77\x72\x61\x70","\x67\x65\x74\x4C\x61\x62\x65\x6C\x56\x61\x6C\x75\x65","\x63\x65\x6C\x6C\x52\x65\x6E\x64\x65\x72\x65\x72","\x68\x74\x6D\x6C\x45\x6E\x74\x69\x74\x69\x65\x73","\x69\x73\x43\x65\x6C\x6C\x4C\x6F\x63\x6B\x65\x64","\x74\x61\x70\x41\x6E\x64\x48\x6F\x6C\x64\x54\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x74\x61\x70\x41\x6E\x64\x48\x6F\x6C\x64","\x61\x63\x74\x69\x76\x65","\x67\x72\x61\x70\x68","\x67\x65\x74\x47\x72\x61\x70\x68\x58","\x67\x65\x74\x47\x72\x61\x70\x68\x59","\x73\x74\x61\x72\x74","\x70\x61\x6E\x6E\x69\x6E\x67\x54\x72\x69\x67\x67\x65\x72","\x63\x65\x6C\x6C","\x69\x73\x43\x65\x6C\x6C\x53\x65\x6C\x65\x63\x74\x65\x64","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x6F\x75\x6E\x74","\x72\x65\x6D\x6F\x76\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x69\x6E\x69\x74\x54\x6F\x75\x63\x68","\x65\x78\x74\x65\x6E\x64","\x6D\x69\x6E\x46\x69\x74\x53\x63\x61\x6C\x65","\x6D\x61\x78\x46\x69\x74\x53\x63\x61\x6C\x65","\x67\x65\x74\x44\x6F\x63\x75\x6D\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x2F\x64\x65\x66\x61\x75\x6C\x74\x2E\x78\x6D\x6C","\x6C\x6F\x61\x64","\x6F\x77\x6E\x65\x72\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x67\x65\x74\x53\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x64\x65\x63\x6F\x64\x65","\x66\x6C\x69\x70\x45\x64\x67\x65","\x67\x65\x74\x56\x61\x6C\x75\x65","\x45\x4C\x42\x4F\x57\x5F\x48\x4F\x52\x49\x5A\x4F\x4E\x54\x41\x4C","\x45\x4C\x42\x4F\x57\x5F\x56\x45\x52\x54\x49\x43\x41\x4C","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x73\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x69\x73\x45\x64\x67\x65","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x63\x6C\x6F\x6E\x65\x43\x65\x6C\x6C\x73","\x67\x65\x6F\x6D\x65\x74\x72\x79","\x70\x6F\x69\x6E\x74\x73","\x67\x65\x74\x53\x74\x79\x6C\x65","\x73\x65\x74\x53\x74\x79\x6C\x65","\x63\x72\x65\x61\x74\x65\x45\x64\x67\x65\x53\x74\x61\x74\x65","\x63\x72\x65\x61\x74\x65\x53\x74\x61\x74\x65","\x66\x61\x63\x74\x6F\x72\x79\x4D\x65\x74\x68\x6F\x64","\x69\x73\x43\x65\x6C\x6C\x46\x6F\x6C\x64\x61\x62\x6C\x65","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x53\x77\x69\x6D\x6C\x61\x6E\x65","\x69\x73\x56\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x63\x72\x65\x61\x74\x65\x47\x72\x6F\x75\x70\x43\x65\x6C\x6C","\x67\x72\x6F\x75\x70","\x67\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x46\x6F\x72\x43\x65\x6C\x6C","","\x69\x73\x56\x65\x72\x74\x65\x78","\x64\x65\x73\x63\x72\x69\x70\x74\x69\x6F\x6E\x5F","\x6E\x6F\x64\x65\x4E\x61\x6D\x65","\x76\x61\x6C\x75\x65","\x67\x65\x74","\x63\x6F\x6E\x76\x65\x72\x74\x56\x61\x6C\x75\x65\x54\x6F\x53\x74\x72\x69\x6E\x67","\x6F\x62\x6A\x65\x63\x74","\x6C\x61\x62\x65\x6C","\x67\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x65\x6C\x6C\x4C\x61\x62\x65\x6C\x43\x68\x61\x6E\x67\x65\x64","\x63\x6C\x6F\x6E\x65\x4E\x6F\x64\x65","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x73\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x63\x72\x65\x61\x74\x65\x58\x6D\x6C\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x55\x73\x65\x72\x4F\x62\x6A\x65\x63\x74","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x6C\x65\x6E\x67\x74\x68","\x6C\x69\x6E\x6B","\x72\x65\x6D\x6F\x76\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x73\x65\x74\x56\x61\x6C\x75\x65","\x6D\x6F\x64\x65\x6C","\x67\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x6D\x61\x72\x6B\x65\x72","\x66\x69\x72\x73\x74","\x68\x69\x64\x65\x4D\x65\x6E\x75","\x75\x70\x64\x61\x74\x65\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74","\x67\x72\x61\x70\x68\x58","\x67\x72\x61\x70\x68\x59","\x67\x65\x74\x43\x65\x6C\x6C\x41\x74","\x73\x74\x61\x74\x65","\x73\x68\x61\x70\x65","\x6E\x6F\x64\x65","\x66\x69\x72\x65\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74","\x4D\x4F\x55\x53\x45\x5F\x44\x4F\x57\x4E","\x69\x73\x4D\x65\x6E\x75\x53\x68\x6F\x77\x69\x6E\x67","\x67\x65\x74\x43\x65\x6C\x6C","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6D\x70\x74\x79","\x4D\x4F\x55\x53\x45\x5F\x55\x50","\x69\x73\x45\x64\x69\x74\x69\x6E\x67","\x67\x65\x74\x45\x76\x65\x6E\x74","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x58","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x59","\x70\x6F\x70\x75\x70","\x63\x6F\x6E\x73\x75\x6D\x65","\x4D\x4F\x55\x53\x45\x5F\x4D\x4F\x56\x45","\x69\x73\x43\x6F\x6E\x73\x75\x6D\x65\x64","\x72\x6F\x74\x61\x74\x69\x6F\x6E\x45\x6E\x61\x62\x6C\x65\x64","\x62\x61\x73\x65\x53\x70\x61\x63\x69\x6E\x67\x54\x6F\x70","\x62\x61\x73\x65\x53\x70\x61\x63\x69\x6E\x67\x42\x6F\x74\x74\x6F\x6D","\x48\x41\x4E\x44\x4C\x45\x5F\x53\x49\x5A\x45","\x4C\x41\x42\x45\x4C\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x53\x49\x5A\x45","\x49\x53\x5F\x54\x4F\x55\x43\x48","\x74\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x67\x72\x69\x64\x53\x69\x7A\x65","\x73\x65\x6C\x65\x63\x74\x4F\x6E\x50\x6F\x70\x75\x70","\x75\x73\x65\x4C\x65\x66\x74\x42\x75\x74\x74\x6F\x6E\x46\x6F\x72\x50\x61\x6E\x6E\x69\x6E\x67","\x69\x73\x50\x61\x6E\x6E\x69\x6E\x67\x54\x72\x69\x67\x67\x65\x72","\x69\x67\x6E\x6F\x72\x65\x43\x65\x6C\x6C","\x69\x73\x43\x6F\x6E\x74\x72\x6F\x6C\x44\x6F\x77\x6E","\x69\x73\x53\x68\x69\x66\x74\x44\x6F\x77\x6E","\x75\x73\x65\x50\x6F\x70\x75\x70\x54\x72\x69\x67\x67\x65\x72","\x69\x73\x50\x6F\x70\x75\x70\x54\x72\x69\x67\x67\x65\x72","\x6D\x6F\x75\x73\x65\x44\x6F\x77\x6E","\x64\x65\x6C\x61\x79\x65\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x63\x72\x65\x61\x74\x65\x48\x61\x6E\x64\x6C\x65\x72\x73","\x74\x6F\x6F\x6C\x74\x69\x70\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73\x48\x61\x6E\x64\x6C\x65\x72","\x70\x61\x6E\x6E\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x61\x70\x68\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x6C\x65\x63\x74\x43\x65\x6C\x6C\x73","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x64\x62\x6C\x43\x6C\x69\x63\x6B","\x63\x6F\x6E\x76\x65\x72\x74\x50\x6F\x69\x6E\x74","\x78","\x79","\x2F\x74\x6F\x75\x63\x68\x2D\x68\x61\x6E\x64\x6C\x65\x2E\x70\x6E\x67","\x68\x61\x6E\x64\x6C\x65\x49\x6D\x61\x67\x65","\x73\x69\x7A\x65\x72\x49\x6D\x61\x67\x65","\x73\x72\x63","\x2F\x74\x6F\x75\x63\x68\x2D\x63\x6F\x6E\x6E\x65\x63\x74\x6F\x72\x2E\x70\x6E\x67","\x69\x6E\x69\x74","\x69\x73\x43\x65\x6C\x6C\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x63\x6F\x6E\x6E\x65\x63\x74\x6F\x72\x49\x6D\x67","\x63\x72\x65\x61\x74\x65\x49\x6D\x61\x67\x65","\x77\x69\x64\x74\x68","\x32\x39\x70\x78","\x68\x65\x69\x67\x68\x74","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x61\x62\x73\x6F\x6C\x75\x74\x65","\x74\x69\x74\x6C\x65","\x72\x65\x64\x69\x72\x65\x63\x74\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74\x73","\x70\x61\x64\x64\x69\x6E\x67","\x32\x70\x78","\x69\x73\x4D\x6F\x75\x73\x65\x44\x6F\x77\x6E","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x72\x65\x64\x72\x61\x77\x54\x6F\x6F\x6C\x73","\x72\x65\x64\x72\x61\x77","\x73\x69\x6E\x67\x6C\x65\x53\x69\x7A\x65\x72","\x6C\x65\x66\x74","\x6F\x66\x66\x73\x65\x74\x57\x69\x64\x74\x68","\x70\x78","\x74\x6F\x70","\x6F\x66\x66\x73\x65\x74\x48\x65\x69\x67\x68\x74","\x64\x65\x73\x74\x72\x6F\x79","\x72\x65\x6D\x6F\x76\x65\x43\x68\x69\x6C\x64","\x70\x61\x72\x65\x6E\x74\x4E\x6F\x64\x65","\x2F\x63\x6F\x6E\x6E\x65\x63\x74\x6F\x72\x2E\x70\x6E\x67","\x63\x6F\x6E\x6E\x65\x63\x74\x49\x6D\x61\x67\x65","\x49\x53\x5F\x49\x45","\x49\x53\x5F\x53\x56\x47","\x64\x69\x76","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x75\x72\x6C\x28","\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x63\x65\x6E\x74\x65\x72","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x52\x65\x70\x65\x61\x74","\x6E\x6F\x2D\x72\x65\x70\x65\x61\x74","\x64\x69\x73\x70\x6C\x61\x79","\x49\x53\x5F\x51\x55\x49\x52\x4B\x53","\x69\x6E\x6C\x69\x6E\x65","\x69\x6E\x6C\x69\x6E\x65\x2D\x62\x6C\x6F\x63\x6B","\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E"];Graph=function(_0xcf9dx1,_0xcf9dx2,_0xcf9dx3,_0xcf9dx4){mxGraph[_0x4c1e[0]](this,_0xcf9dx1,_0xcf9dx2,_0xcf9dx3,_0xcf9dx4);this[_0x4c1e[1]](true);this[_0x4c1e[2]](false);this[_0x4c1e[3]](true);this[_0x4c1e[4]](!mxClient.IS_TOUCH);this[_0x4c1e[5]](false);this[_0x4c1e[6]](false);this[_0x4c1e[7]]=true;this[_0x4c1e[8]]=false;this[_0x4c1e[9]]=false;this[_0x4c1e[11]][_0x4c1e[10]]=true;this[_0x4c1e[12]](false);this[_0x4c1e[11]][_0x4c1e[13]](false);this[_0x4c1e[11]][_0x4c1e[14]]=function(){return mxConnectionHandler[_0x4c1e[16]][_0x4c1e[14]][_0x4c1e[15]](this,arguments)&&urlParams[_0x4c1e[17]]!=_0x4c1e[18]};this[_0x4c1e[19]]=_0x4c1e[20];if(_0xcf9dx4==null){this[_0x4c1e[21]]()};var _0xcf9dx5= new mxRubberband(this);this[_0x4c1e[22]]=function(){return _0xcf9dx5};this[_0x4c1e[29]][_0x4c1e[28]](mxEvent.PAN_START,mxUtils[_0x4c1e[27]](this,function(){this[_0x4c1e[25]][_0x4c1e[24]][_0x4c1e[23]]=_0x4c1e[26]}));this[_0x4c1e[29]][_0x4c1e[28]](mxEvent.PAN_END,mxUtils[_0x4c1e[27]](this,function(){this[_0x4c1e[25]][_0x4c1e[24]][_0x4c1e[23]]=_0x4c1e[30]}));this[_0x4c1e[31]]=function(_0xcf9dx6){var _0xcf9dx7=this[_0x4c1e[33]][_0x4c1e[32]](_0xcf9dx6);var _0xcf9dx8=(_0xcf9dx7!=null)?_0xcf9dx7[_0x4c1e[24]]:this[_0x4c1e[34]](_0xcf9dx6);return _0xcf9dx8[_0x4c1e[35]]==_0x4c1e[36]||_0xcf9dx8[_0x4c1e[37]]==_0x4c1e[38]};this[_0x4c1e[40]][_0x4c1e[39]]=function(_0xcf9dx7){var _0xcf9dx9=mxCellRenderer[_0x4c1e[16]][_0x4c1e[39]][_0x4c1e[15]](this,arguments);if(_0xcf9dx7[_0x4c1e[24]][_0x4c1e[37]]==_0x4c1e[38]&&_0xcf9dx7[_0x4c1e[24]][_0x4c1e[35]]!=1){_0xcf9dx9=mxUtils[_0x4c1e[41]](_0xcf9dx9,false)};return _0xcf9dx9};this[_0x4c1e[42]]=function(_0xcf9dx6){return false};this[_0x4c1e[11]][_0x4c1e[43]]=16;var _0xcf9dxa=this[_0x4c1e[11]][_0x4c1e[44]];this[_0x4c1e[11]][_0x4c1e[44]]=function(_0xcf9dxb,_0xcf9dx7){if(_0xcf9dx7==null){if(!this[_0x4c1e[46]][_0x4c1e[29]][_0x4c1e[45]]){_0xcf9dx5[_0x4c1e[49]](_0xcf9dxb[_0x4c1e[47]](),_0xcf9dxb[_0x4c1e[48]]());this[_0x4c1e[46]][_0x4c1e[29]][_0x4c1e[50]]=false}}else {if(tapAndHoldStartsConnection){_0xcf9dxa[_0x4c1e[15]](this,arguments)}else {if(this[_0x4c1e[46]][_0x4c1e[52]](_0xcf9dx7[_0x4c1e[51]])&&this[_0x4c1e[46]][_0x4c1e[53]]()>1){this[_0x4c1e[46]][_0x4c1e[54]](_0xcf9dx7[_0x4c1e[51]])}}}};if(touchStyle){this[_0x4c1e[55]]()}};mxUtils[_0x4c1e[56]](Graph,mxGraph);Graph[_0x4c1e[16]][_0x4c1e[57]]=null;Graph[_0x4c1e[16]][_0x4c1e[58]]=null;Graph[_0x4c1e[16]][_0x4c1e[21]]=function(){var _0xcf9dxc=mxUtils[_0x4c1e[61]](STYLE_PATH+_0x4c1e[60])[_0x4c1e[59]]();var _0xcf9dxd= new mxCodec(_0xcf9dxc[_0x4c1e[62]]);_0xcf9dxd[_0x4c1e[64]](_0xcf9dxc,this[_0x4c1e[63]]())};Graph[_0x4c1e[16]][_0x4c1e[65]]=function(_0xcf9dxe){if(_0xcf9dxe!=null){var _0xcf9dx7=this[_0x4c1e[33]][_0x4c1e[32]](_0xcf9dxe);var _0xcf9dx8=(_0xcf9dx7!=null)?_0xcf9dx7[_0x4c1e[24]]:this[_0x4c1e[34]](_0xcf9dxe);if(_0xcf9dx8!=null){var _0xcf9dxf=mxUtils[_0x4c1e[66]](_0xcf9dx8,mxConstants.STYLE_ELBOW,mxConstants.ELBOW_HORIZONTAL);var _0xcf9dx10=(_0xcf9dxf==mxConstants[_0x4c1e[67]])?mxConstants[_0x4c1e[68]]:mxConstants[_0x4c1e[67]];this[_0x4c1e[69]](mxConstants.STYLE_ELBOW,_0xcf9dx10,[_0xcf9dxe])}}};Graph[_0x4c1e[16]][_0x4c1e[70]]=function(_0xcf9dx6){if(_0xcf9dx6!=null&&this[_0x4c1e[72]]()[_0x4c1e[71]](_0xcf9dx6)){var _0xcf9dx11=this[_0x4c1e[72]]()[_0x4c1e[73]]([_0xcf9dx6])[0];if(_0xcf9dx11[_0x4c1e[74]]!=null){_0xcf9dx11[_0x4c1e[74]][_0x4c1e[75]]=null};var _0xcf9dx8=_0xcf9dx11[_0x4c1e[76]]();_0xcf9dx8=mxUtils[_0x4c1e[77]](_0xcf9dx8,mxConstants.STYLE_ENTRY_X,null);_0xcf9dx8=mxUtils[_0x4c1e[77]](_0xcf9dx8,mxConstants.STYLE_ENTRY_Y,null);_0xcf9dx8=mxUtils[_0x4c1e[77]](_0xcf9dx8,mxConstants.STYLE_EXIT_X,null);_0xcf9dx8=mxUtils[_0x4c1e[77]](_0xcf9dx8,mxConstants.STYLE_EXIT_Y,null);_0xcf9dx11[_0x4c1e[77]](_0xcf9dx8);this[_0x4c1e[11]][_0x4c1e[78]]=function(_0xcf9dxb){return this[_0x4c1e[46]][_0x4c1e[33]][_0x4c1e[79]](_0xcf9dx11)};this[_0x4c1e[11]][_0x4c1e[80]]=function(){return this[_0x4c1e[46]][_0x4c1e[73]]([_0xcf9dx11])[0]}}};Graph[_0x4c1e[16]][_0x4c1e[81]]=function(_0xcf9dx6){return this[_0x4c1e[82]]&&this[_0x4c1e[83]](_0xcf9dx6)};Graph[_0x4c1e[16]][_0x4c1e[84]]=function(_0xcf9dx6){return this[_0x4c1e[83]](_0xcf9dx6)};Graph[_0x4c1e[16]][_0x4c1e[85]]=function(){var _0xcf9dx12=mxGraph[_0x4c1e[16]][_0x4c1e[85]][_0x4c1e[15]](this,arguments);_0xcf9dx12[_0x4c1e[77]](_0x4c1e[86]);return _0xcf9dx12};Graph[_0x4c1e[16]][_0x4c1e[87]]=function(_0xcf9dx6){var _0xcf9dx13=_0x4c1e[88];if(this[_0x4c1e[72]]()[_0x4c1e[89]](_0xcf9dx6)){_0xcf9dx13+=mxResources[_0x4c1e[93]](_0x4c1e[90]+_0xcf9dx6[_0x4c1e[92]][_0x4c1e[91]])||_0x4c1e[88]}else {if(this[_0x4c1e[72]]()[_0x4c1e[71]](_0xcf9dx6)){_0xcf9dx13=mxGraph[_0x4c1e[16]][_0x4c1e[87]][_0x4c1e[15]](this,arguments)}};return _0xcf9dx13};Graph[_0x4c1e[16]][_0x4c1e[94]]=function(_0xcf9dx6){if(_0xcf9dx6[_0x4c1e[92]]!=null&& typeof (_0xcf9dx6[_0x4c1e[92]])==_0x4c1e[95]){return _0xcf9dx6[_0x4c1e[92]][_0x4c1e[97]](_0x4c1e[96])};return mxGraph[_0x4c1e[16]][_0x4c1e[94]][_0x4c1e[15]](this,arguments)};Graph[_0x4c1e[16]][_0x4c1e[98]]=function(_0xcf9dx6,_0xcf9dx10,_0xcf9dx14){if(_0xcf9dx6[_0x4c1e[92]]!=null&& typeof (_0xcf9dx6[_0x4c1e[92]])==_0x4c1e[95]){var _0xcf9dx15=_0xcf9dx6[_0x4c1e[92]][_0x4c1e[99]](true);_0xcf9dx15[_0x4c1e[100]](_0x4c1e[96],_0xcf9dx10);_0xcf9dx10=_0xcf9dx15};mxGraph[_0x4c1e[16]][_0x4c1e[98]][_0x4c1e[15]](this,arguments)};Graph[_0x4c1e[16]][_0x4c1e[101]]=function(_0xcf9dx6,_0xcf9dx16){var _0xcf9dx10=null;if(_0xcf9dx6[_0x4c1e[92]]!=null&& typeof (_0xcf9dx6[_0x4c1e[92]])==_0x4c1e[95]){_0xcf9dx10=_0xcf9dx6[_0x4c1e[92]][_0x4c1e[99]](true)}else {var _0xcf9dx17=mxUtils[_0x4c1e[102]]();_0xcf9dx10=_0xcf9dx17[_0x4c1e[104]](_0x4c1e[103]);_0xcf9dx10[_0x4c1e[100]](_0x4c1e[96],_0xcf9dx6[_0x4c1e[92]])};if(_0xcf9dx16!=null&&_0xcf9dx16[_0x4c1e[105]]>0){_0xcf9dx10[_0x4c1e[100]](_0x4c1e[106],_0xcf9dx16)}else {_0xcf9dx10[_0x4c1e[107]](_0x4c1e[106])};this[_0x4c1e[109]][_0x4c1e[108]](_0xcf9dx6,_0xcf9dx10)};Graph[_0x4c1e[16]][_0x4c1e[110]]=function(_0xcf9dx6){if(_0xcf9dx6[_0x4c1e[92]]!=null&& typeof (_0xcf9dx6[_0x4c1e[92]])==_0x4c1e[95]){return _0xcf9dx6[_0x4c1e[92]][_0x4c1e[97]](_0x4c1e[106])};return null};Graph[_0x4c1e[16]][_0x4c1e[55]]=function(){this[_0x4c1e[11]][_0x4c1e[112]][_0x4c1e[111]]=function(){return this[_0x4c1e[46]][_0x4c1e[11]][_0x4c1e[113]]!=null};this[_0x4c1e[28]](mxEvent.START_EDITING,function(_0xcf9dx18,_0xcf9dx19){this[_0x4c1e[29]][_0x4c1e[114]]()});var _0xcf9dx1a=false;var _0xcf9dx1b=null;this[_0x4c1e[115]]=function(_0xcf9dxb){mxGraph[_0x4c1e[16]][_0x4c1e[115]][_0x4c1e[15]](this,arguments);if(_0xcf9dxb[_0x4c1e[32]]()==null){var _0xcf9dx6=this[_0x4c1e[118]](_0xcf9dxb[_0x4c1e[116]],_0xcf9dxb[_0x4c1e[117]]);if(this[_0x4c1e[72]]()[_0x4c1e[71]](_0xcf9dx6)){_0xcf9dxb[_0x4c1e[119]]=this[_0x4c1e[33]][_0x4c1e[32]](_0xcf9dx6);if(_0xcf9dxb[_0x4c1e[119]]!=null&&_0xcf9dxb[_0x4c1e[119]][_0x4c1e[120]]!=null){this[_0x4c1e[25]][_0x4c1e[24]][_0x4c1e[23]]=_0xcf9dxb[_0x4c1e[119]][_0x4c1e[120]][_0x4c1e[121]][_0x4c1e[24]][_0x4c1e[23]]}}};if(_0xcf9dxb[_0x4c1e[32]]()==null){this[_0x4c1e[25]][_0x4c1e[24]][_0x4c1e[23]]=_0x4c1e[30]}};this[_0x4c1e[122]]=function(_0xcf9dx1c,_0xcf9dxb,_0xcf9dx18){if(_0xcf9dx1c==mxEvent[_0x4c1e[123]]){if(!this[_0x4c1e[29]][_0x4c1e[124]]()){_0xcf9dx1b=_0xcf9dxb[_0x4c1e[125]]();_0xcf9dx1a=(_0xcf9dx1b!=null)?this[_0x4c1e[52]](_0xcf9dx1b):this[_0x4c1e[126]]()}else {_0xcf9dx1a=false;_0xcf9dx1b=null}}else {if(_0xcf9dx1c==mxEvent[_0x4c1e[127]]){if(_0xcf9dx1a&&!this[_0x4c1e[128]]()){if(!this[_0x4c1e[29]][_0x4c1e[124]]()){var _0xcf9dx1d=mxEvent[_0x4c1e[130]](_0xcf9dxb[_0x4c1e[129]]());var _0xcf9dx1e=mxEvent[_0x4c1e[131]](_0xcf9dxb[_0x4c1e[129]]());this[_0x4c1e[29]][_0x4c1e[132]](_0xcf9dx1d+16,_0xcf9dx1e,_0xcf9dx1b,_0xcf9dxb[_0x4c1e[129]]())};_0xcf9dx1a=false;_0xcf9dx1b=null;_0xcf9dxb[_0x4c1e[133]]();return};_0xcf9dx1a=false;_0xcf9dx1b=null}};mxGraph[_0x4c1e[16]][_0x4c1e[122]][_0x4c1e[15]](this,arguments);if(_0xcf9dx1c==mxEvent[_0x4c1e[134]]&&_0xcf9dxb[_0x4c1e[135]]()){_0xcf9dx1a=false;_0xcf9dx1b=null}}};(function(){mxVertexHandler[_0x4c1e[16]][_0x4c1e[136]]=true;mxText[_0x4c1e[16]][_0x4c1e[137]]=5;mxText[_0x4c1e[16]][_0x4c1e[138]]=1;if(touchStyle){mxConstants[_0x4c1e[139]]=16;mxConstants[_0x4c1e[140]]=7;if(mxClient[_0x4c1e[141]]){mxVertexHandler[_0x4c1e[16]][_0x4c1e[142]]=4;mxEdgeHandler[_0x4c1e[16]][_0x4c1e[142]]=6;Graph[_0x4c1e[16]][_0x4c1e[142]]=14;Graph[_0x4c1e[16]][_0x4c1e[143]]=20;mxPanningHandler[_0x4c1e[16]][_0x4c1e[144]]=false;mxPanningHandler[_0x4c1e[16]][_0x4c1e[145]]=true;mxPanningHandler[_0x4c1e[16]][_0x4c1e[146]]=function(_0xcf9dxb){var _0xcf9dx19=_0xcf9dxb[_0x4c1e[129]]();return (this[_0x4c1e[145]]&&(this[_0x4c1e[147]]||_0xcf9dxb[_0x4c1e[32]]()==null))||(mxEvent[_0x4c1e[148]](_0xcf9dx19)&&mxEvent[_0x4c1e[149]](_0xcf9dx19))||(this[_0x4c1e[150]]&&mxEvent[_0x4c1e[151]](_0xcf9dx19))}};var _0xcf9dx1f=mxGraphHandler[_0x4c1e[16]][_0x4c1e[152]];mxGraphHandler[_0x4c1e[16]][_0x4c1e[152]]=function(_0xcf9dx18,_0xcf9dxb){_0xcf9dx1f[_0x4c1e[15]](this,arguments);if(this[_0x4c1e[46]][_0x4c1e[52]](_0xcf9dxb[_0x4c1e[125]]())&&this[_0x4c1e[46]][_0x4c1e[53]]()>1){this[_0x4c1e[153]]=false}};Graph[_0x4c1e[16]][_0x4c1e[154]]=function(_0xcf9dx1){this[_0x4c1e[155]]= new mxTooltipHandler(this);this[_0x4c1e[155]][_0x4c1e[156]](false);this[_0x4c1e[157]]= new mxSelectionCellsHandler(this);this[_0x4c1e[29]]= new mxPanningHandler(this);this[_0x4c1e[29]][_0x4c1e[158]]=false;this[_0x4c1e[11]]= new mxConnectionHandler(this);this[_0x4c1e[11]][_0x4c1e[156]](false);this[_0x4c1e[159]]= new mxGraphHandler(this)};mxConnectionHandler[_0x4c1e[16]][_0x4c1e[160]]=function(_0xcf9dxe,_0xcf9dx20){if(touchStyle&&_0xcf9dx20!=null){this[_0x4c1e[46]][_0x4c1e[161]](_0xcf9dx20)}else {this[_0x4c1e[46]][_0x4c1e[161]](_0xcf9dxe)}};var _0xcf9dx21=mxGraph[_0x4c1e[16]][_0x4c1e[162]];Graph[_0x4c1e[16]][_0x4c1e[162]]=function(_0xcf9dx19,_0xcf9dx6){if(_0xcf9dx6==null){var _0xcf9dx22=mxUtils[_0x4c1e[163]](this[_0x4c1e[25]],mxEvent[_0x4c1e[130]](_0xcf9dx19),mxEvent[_0x4c1e[131]](_0xcf9dx19));_0xcf9dx6=this[_0x4c1e[118]](_0xcf9dx22[_0x4c1e[164]],_0xcf9dx22[_0x4c1e[165]])};_0xcf9dx21[_0x4c1e[0]](this,_0xcf9dx19,_0xcf9dx6)};var _0xcf9dx23= new mxImage(IMAGE_PATH+_0x4c1e[166],16,16);mxVertexHandler[_0x4c1e[16]][_0x4c1e[167]]=_0xcf9dx23;mxEdgeHandler[_0x4c1e[16]][_0x4c1e[167]]=_0xcf9dx23;mxOutline[_0x4c1e[16]][_0x4c1e[168]]=_0xcf9dx23; new Image()[_0x4c1e[169]]=_0xcf9dx23[_0x4c1e[169]];var _0xcf9dx24=IMAGE_PATH+_0x4c1e[170];var _0xcf9dx25=mxVertexHandler[_0x4c1e[16]][_0x4c1e[171]];mxVertexHandler[_0x4c1e[16]][_0x4c1e[171]]=function(){_0xcf9dx25[_0x4c1e[15]](this,arguments);if(showConnectorImg&&this[_0x4c1e[46]][_0x4c1e[11]][_0x4c1e[111]]()&&this[_0x4c1e[46]][_0x4c1e[172]](this[_0x4c1e[119]][_0x4c1e[51]])&&!this[_0x4c1e[46]][_0x4c1e[84]](this[_0x4c1e[119]][_0x4c1e[51]])&&this[_0x4c1e[46]][_0x4c1e[53]]()==1){this[_0x4c1e[173]]=mxUtils[_0x4c1e[174]](_0xcf9dx24);this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[23]]=_0x4c1e[26];this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[175]]=_0x4c1e[176];this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[177]]=_0x4c1e[176];this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[178]]=_0x4c1e[179];if(!mxClient[_0x4c1e[141]]){this[_0x4c1e[173]][_0x4c1e[100]](_0x4c1e[180],mxResources[_0x4c1e[93]](_0x4c1e[17]));mxEvent[_0x4c1e[181]](this[_0x4c1e[173]],this[_0x4c1e[46]],this[_0x4c1e[119]])};this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[182]]=_0x4c1e[183];mxEvent[_0x4c1e[185]](this[_0x4c1e[173]],mxUtils[_0x4c1e[27]](this,function(_0xcf9dx19){this[_0x4c1e[46]][_0x4c1e[29]][_0x4c1e[114]]();var _0xcf9dx22=mxUtils[_0x4c1e[163]](this[_0x4c1e[46]][_0x4c1e[25]],mxEvent[_0x4c1e[130]](_0xcf9dx19),mxEvent[_0x4c1e[131]](_0xcf9dx19));this[_0x4c1e[46]][_0x4c1e[11]][_0x4c1e[49]](this[_0x4c1e[119]],_0xcf9dx22[_0x4c1e[164]],_0xcf9dx22[_0x4c1e[165]]);this[_0x4c1e[46]][_0x4c1e[184]]=true;mxEvent[_0x4c1e[133]](_0xcf9dx19)}));this[_0x4c1e[46]][_0x4c1e[25]][_0x4c1e[186]](this[_0x4c1e[173]])};this[_0x4c1e[187]]()};var _0xcf9dx26=mxVertexHandler[_0x4c1e[16]][_0x4c1e[188]];mxVertexHandler[_0x4c1e[16]][_0x4c1e[188]]=function(){_0xcf9dx26[_0x4c1e[15]](this);this[_0x4c1e[187]]()};mxVertexHandler[_0x4c1e[16]][_0x4c1e[187]]=function(){if(this[_0x4c1e[119]]!=null&&this[_0x4c1e[173]]!=null){if(mxVertexHandler[_0x4c1e[16]][_0x4c1e[189]]){this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[190]]=(this[_0x4c1e[119]][_0x4c1e[164]]+this[_0x4c1e[119]][_0x4c1e[175]]-this[_0x4c1e[173]][_0x4c1e[191]]/2)+_0x4c1e[192];this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[193]]=(this[_0x4c1e[119]][_0x4c1e[165]]-this[_0x4c1e[173]][_0x4c1e[194]]/2)+_0x4c1e[192]}else {this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[190]]=(this[_0x4c1e[119]][_0x4c1e[164]]+this[_0x4c1e[119]][_0x4c1e[175]]+mxConstants[_0x4c1e[139]]/2+4)+_0x4c1e[192];this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[193]]=(this[_0x4c1e[119]][_0x4c1e[165]]+(this[_0x4c1e[119]][_0x4c1e[177]]-this[_0x4c1e[173]][_0x4c1e[194]])/2)+_0x4c1e[192]}}};var _0xcf9dx27=mxVertexHandler[_0x4c1e[16]][_0x4c1e[195]];mxVertexHandler[_0x4c1e[16]][_0x4c1e[195]]=function(_0xcf9dx18,_0xcf9dxb){_0xcf9dx27[_0x4c1e[15]](this,arguments);if(this[_0x4c1e[173]]!=null){this[_0x4c1e[173]][_0x4c1e[197]][_0x4c1e[196]](this[_0x4c1e[173]]);this[_0x4c1e[173]]=null}}; new Image()[_0x4c1e[169]]=_0xcf9dx24}else {var _0xcf9dx28= new mxImage(IMAGE_PATH+_0x4c1e[198],15,15);mxConnectionHandler[_0x4c1e[16]][_0x4c1e[199]]=_0xcf9dx28; new Image()[_0x4c1e[169]]=_0xcf9dx28[_0x4c1e[169]];if(urlParams[_0x4c1e[17]]==_0x4c1e[18]){var _0xcf9dx28= new mxImage(IMAGE_PATH+_0x4c1e[198],15,15);var _0xcf9dx25=mxVertexHandler[_0x4c1e[16]][_0x4c1e[171]];mxVertexHandler[_0x4c1e[16]][_0x4c1e[171]]=function(){_0xcf9dx25[_0x4c1e[15]](this,arguments);if(showConnectorImg&&this[_0x4c1e[46]][_0x4c1e[11]][_0x4c1e[111]]()&&this[_0x4c1e[46]][_0x4c1e[172]](this[_0x4c1e[119]][_0x4c1e[51]])&&!this[_0x4c1e[46]][_0x4c1e[84]](this[_0x4c1e[119]][_0x4c1e[51]])&&this[_0x4c1e[46]][_0x4c1e[53]]()==1){if(mxClient[_0x4c1e[200]]&&!mxClient[_0x4c1e[201]]){this[_0x4c1e[173]]=document[_0x4c1e[104]](_0x4c1e[202]);this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[203]]=_0x4c1e[204]+_0xcf9dx28[_0x4c1e[169]]+_0x4c1e[205];this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[206]]=_0x4c1e[207];this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[208]]=_0x4c1e[209];this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[175]]=(_0xcf9dx28[_0x4c1e[175]]+4)+_0x4c1e[192];this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[177]]=(_0xcf9dx28[_0x4c1e[177]]+4)+_0x4c1e[192];this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[210]]=(mxClient[_0x4c1e[211]])?_0x4c1e[212]:_0x4c1e[213]}else {this[_0x4c1e[173]]=mxUtils[_0x4c1e[174]](_0xcf9dx28[_0x4c1e[169]]);this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[175]]=_0xcf9dx28[_0x4c1e[175]]+_0x4c1e[192];this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[177]]=_0xcf9dx28[_0x4c1e[177]]+_0x4c1e[192]};this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[23]]=_0x4c1e[26];this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[178]]=_0x4c1e[179];this[_0x4c1e[173]][_0x4c1e[100]](_0x4c1e[180],mxResources[_0x4c1e[93]](_0x4c1e[17]));mxEvent[_0x4c1e[181]](this[_0x4c1e[173]],this[_0x4c1e[46]],this[_0x4c1e[119]]);this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[182]]=_0x4c1e[183];mxEvent[_0x4c1e[28]](this[_0x4c1e[173]],_0x4c1e[214],mxUtils[_0x4c1e[27]](this,function(_0xcf9dx19){this[_0x4c1e[46]][_0x4c1e[29]][_0x4c1e[114]]();var _0xcf9dx22=mxUtils[_0x4c1e[163]](this[_0x4c1e[46]][_0x4c1e[25]],mxEvent[_0x4c1e[130]](_0xcf9dx19),mxEvent[_0x4c1e[131]](_0xcf9dx19));this[_0x4c1e[46]][_0x4c1e[11]][_0x4c1e[49]](this[_0x4c1e[119]],_0xcf9dx22[_0x4c1e[164]],_0xcf9dx22[_0x4c1e[165]]);this[_0x4c1e[46]][_0x4c1e[184]]=true;mxEvent[_0x4c1e[133]](_0xcf9dx19)}));this[_0x4c1e[46]][_0x4c1e[25]][_0x4c1e[186]](this[_0x4c1e[173]])};this[_0x4c1e[187]]()};var _0xcf9dx26=mxVertexHandler[_0x4c1e[16]][_0x4c1e[188]];mxVertexHandler[_0x4c1e[16]][_0x4c1e[188]]=function(){_0xcf9dx26[_0x4c1e[15]](this);this[_0x4c1e[187]]()};mxVertexHandler[_0x4c1e[16]][_0x4c1e[187]]=function(){if(this[_0x4c1e[119]]!=null&&this[_0x4c1e[173]]!=null){if(mxVertexHandler[_0x4c1e[16]][_0x4c1e[189]]){this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[190]]=(this[_0x4c1e[119]][_0x4c1e[164]]+this[_0x4c1e[119]][_0x4c1e[175]]-this[_0x4c1e[173]][_0x4c1e[191]]/2)+_0x4c1e[192];this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[193]]=(this[_0x4c1e[119]][_0x4c1e[165]]-this[_0x4c1e[173]][_0x4c1e[194]]/2)+_0x4c1e[192]}else {this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[190]]=(this[_0x4c1e[119]][_0x4c1e[164]]+this[_0x4c1e[119]][_0x4c1e[175]]+mxConstants[_0x4c1e[139]]/2+2)+_0x4c1e[192];this[_0x4c1e[173]][_0x4c1e[24]][_0x4c1e[193]]=(this[_0x4c1e[119]][_0x4c1e[165]]+(this[_0x4c1e[119]][_0x4c1e[177]]-this[_0x4c1e[173]][_0x4c1e[194]])/2)+_0x4c1e[192]}}};var _0xcf9dx27=mxVertexHandler[_0x4c1e[16]][_0x4c1e[195]];mxVertexHandler[_0x4c1e[16]][_0x4c1e[195]]=function(_0xcf9dx18,_0xcf9dxb){_0xcf9dx27[_0x4c1e[15]](this,arguments);if(this[_0x4c1e[173]]!=null){this[_0x4c1e[173]][_0x4c1e[197]][_0x4c1e[196]](this[_0x4c1e[173]]);this[_0x4c1e[173]]=null}}}}})() \ No newline at end of file diff --git a/public/assets/plugins/jscripty/js/Menus.js b/public/assets/plugins/jscripty/js/Menus.js index 1be06a2..69ff096 100644 --- a/public/assets/plugins/jscripty/js/Menus.js +++ b/public/assets/plugins/jscripty/js/Menus.js @@ -1 +1 @@ -var _0xe8d4=["\x65\x64\x69\x74\x6F\x72\x55\x69","\x6D\x65\x6E\x75\x73","\x69\x6E\x69\x74","\x73\x72\x63","\x2F\x63\x68\x65\x63\x6B\x6D\x61\x72\x6B\x2E\x67\x69\x66","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x67\x72\x61\x70\x68","\x65\x64\x69\x74\x6F\x72","\x66\x6F\x6E\x74\x46\x61\x6D\x69\x6C\x79","\x48\x65\x6C\x76\x65\x74\x69\x63\x61","\x56\x65\x72\x64\x61\x6E\x61","\x54\x69\x6D\x65\x73\x20\x4E\x65\x77\x20\x52\x6F\x6D\x61\x6E","\x47\x61\x72\x61\x6D\x6F\x6E\x64","\x43\x6F\x6D\x69\x63\x20\x53\x61\x6E\x73\x20\x4D\x53","\x43\x6F\x75\x72\x69\x65\x72\x20\x4E\x65\x77","\x47\x65\x6F\x72\x67\x69\x61","\x4C\x75\x63\x69\x64\x61\x20\x43\x6F\x6E\x73\x6F\x6C\x65","\x54\x61\x68\x6F\x6D\x61","\x6C\x65\x6E\x67\x74\x68","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x46\x41\x4D\x49\x4C\x59","\x73\x74\x79\x6C\x65\x43\x68\x61\x6E\x67\x65","\x73\x74\x79\x6C\x65","\x6E\x65\x78\x74\x53\x69\x62\x6C\x69\x6E\x67","\x66\x69\x72\x73\x74\x43\x68\x69\x6C\x64","\x61\x64\x64\x53\x65\x70\x61\x72\x61\x74\x6F\x72","\x63\x75\x73\x74\x6F\x6D","\x67\x65\x74","","\x70\x72\x6F\x6D\x70\x74\x43\x68\x61\x6E\x67\x65","\x62\x69\x6E\x64","\x70\x75\x74","\x66\x6F\x6E\x74\x53\x69\x7A\x65","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x53\x49\x5A\x45","\x28\x70\x74\x29","\x31\x32","\x6C\x69\x6E\x65\x77\x69\x64\x74\x68","\x70\x78","\x53\x54\x59\x4C\x45\x5F\x53\x54\x52\x4F\x4B\x45\x57\x49\x44\x54\x48","\x28\x70\x78\x29","\x31","\x6C\x69\x6E\x65","\x73\x74\x72\x61\x69\x67\x68\x74","\x53\x54\x59\x4C\x45\x5F\x45\x44\x47\x45","\x65\x6E\x74\x69\x74\x79\x52\x65\x6C\x61\x74\x69\x6F\x6E","\x65\x6E\x74\x69\x74\x79\x52\x65\x6C\x61\x74\x69\x6F\x6E\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C","\x53\x54\x59\x4C\x45\x5F\x45\x4C\x42\x4F\x57","\x65\x6C\x62\x6F\x77\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x76\x65\x72\x74\x69\x63\x61\x6C","\x6D\x61\x6E\x75\x61\x6C","\x73\x65\x67\x6D\x65\x6E\x74\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x61\x75\x74\x6F\x6D\x61\x74\x69\x63","\x6F\x72\x74\x68\x6F\x67\x6F\x6E\x61\x6C\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x6C\x69\x6E\x65\x65\x6E\x64","\x63\x6C\x61\x73\x73\x69\x63","\x53\x54\x59\x4C\x45\x5F\x45\x4E\x44\x41\x52\x52\x4F\x57","\x41\x52\x52\x4F\x57\x5F\x43\x4C\x41\x53\x53\x49\x43","\x6F\x70\x65\x6E\x41\x72\x72\x6F\x77","\x41\x52\x52\x4F\x57\x5F\x4F\x50\x45\x4E","\x62\x6C\x6F\x63\x6B","\x41\x52\x52\x4F\x57\x5F\x42\x4C\x4F\x43\x4B","\x6F\x76\x61\x6C","\x41\x52\x52\x4F\x57\x5F\x4F\x56\x41\x4C","\x64\x69\x61\x6D\x6F\x6E\x64","\x41\x52\x52\x4F\x57\x5F\x44\x49\x41\x4D\x4F\x4E\x44","\x64\x69\x61\x6D\x6F\x6E\x64\x54\x68\x69\x6E","\x41\x52\x52\x4F\x57\x5F\x44\x49\x41\x4D\x4F\x4E\x44\x5F\x54\x48\x49\x4E","\x6E\x6F\x6E\x65","\x4E\x4F\x4E\x45","\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74","\x65\x6E\x64\x46\x69\x6C\x6C","\x74\x6F\x67\x67\x6C\x65\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x61\x64\x64\x49\x74\x65\x6D","\x73\x69\x7A\x65","\x6C\x69\x6E\x65\x73\x74\x61\x72\x74","\x53\x54\x59\x4C\x45\x5F\x53\x54\x41\x52\x54\x41\x52\x52\x4F\x57","\x73\x74\x61\x72\x74\x46\x69\x6C\x6C","\x73\x70\x61\x63\x69\x6E\x67","\x65\x6E\x61\x62\x6C\x65\x64","\x73\x68\x61\x64\x6F\x77","\x61\x63\x74\x69\x6F\x6E\x73","\x73\x68\x6F\x77\x44\x69\x73\x61\x62\x6C\x65\x64","\x74\x6F\x70","\x30","\x72\x69\x67\x68\x74","\x62\x6F\x74\x74\x6F\x6D","\x6C\x65\x66\x74","\x67\x6C\x6F\x62\x61\x6C","\x70\x65\x72\x69\x6D\x65\x74\x65\x72","\x73\x6F\x75\x72\x63\x65\x53\x70\x61\x63\x69\x6E\x67","\x74\x61\x72\x67\x65\x74\x53\x70\x61\x63\x69\x6E\x67","\x66\x6F\x72\x6D\x61\x74","\x61\x64\x64\x4D\x65\x6E\x75\x49\x74\x65\x6D","\x74\x65\x78\x74","\x61\x64\x64\x53\x75\x62\x6D\x65\x6E\x75","\x2D","\x62\x6F\x6C\x64","\x69\x74\x61\x6C\x69\x63","\x75\x6E\x64\x65\x72\x6C\x69\x6E\x65","\x61\x64\x64\x4D\x65\x6E\x75\x49\x74\x65\x6D\x73","\x61\x6C\x69\x67\x6E\x6D\x65\x6E\x74","\x77\x6F\x72\x64\x57\x72\x61\x70","\x74\x65\x78\x74\x4F\x70\x61\x63\x69\x74\x79","\x28\x25\x29","\x31\x30\x30","\x68\x69\x64\x65","\x6C\x65\x66\x74\x41\x6C\x69\x67\x6E","\x53\x54\x59\x4C\x45\x5F\x41\x4C\x49\x47\x4E","\x41\x4C\x49\x47\x4E\x5F\x4C\x45\x46\x54","\x63\x65\x6E\x74\x65\x72","\x41\x4C\x49\x47\x4E\x5F\x43\x45\x4E\x54\x45\x52","\x72\x69\x67\x68\x74\x41\x6C\x69\x67\x6E","\x41\x4C\x49\x47\x4E\x5F\x52\x49\x47\x48\x54","\x74\x6F\x70\x41\x6C\x69\x67\x6E","\x53\x54\x59\x4C\x45\x5F\x56\x45\x52\x54\x49\x43\x41\x4C\x5F\x41\x4C\x49\x47\x4E","\x41\x4C\x49\x47\x4E\x5F\x54\x4F\x50","\x6D\x69\x64\x64\x6C\x65","\x41\x4C\x49\x47\x4E\x5F\x4D\x49\x44\x44\x4C\x45","\x62\x6F\x74\x74\x6F\x6D\x41\x6C\x69\x67\x6E","\x41\x4C\x49\x47\x4E\x5F\x42\x4F\x54\x54\x4F\x4D","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x53\x54\x59\x4C\x45\x5F\x4C\x41\x42\x45\x4C\x5F\x50\x4F\x53\x49\x54\x49\x4F\x4E","\x53\x54\x59\x4C\x45\x5F\x56\x45\x52\x54\x49\x43\x41\x4C\x5F\x4C\x41\x42\x45\x4C\x5F\x50\x4F\x53\x49\x54\x49\x4F\x4E","\x64\x69\x72\x65\x63\x74\x69\x6F\x6E","\x66\x6C\x69\x70\x48","\x66\x6C\x69\x70\x56","\x74\x69\x6C\x74","\x72\x6F\x74\x61\x74\x69\x6F\x6E","\x61\x6C\x69\x67\x6E","\x61\x6C\x69\x67\x6E\x43\x65\x6C\x6C\x73","\x6C\x61\x79\x6F\x75\x74","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C\x46\x6C\x6F\x77","\x65\x78\x65\x63\x75\x74\x65\x4C\x61\x79\x6F\x75\x74","\x76\x65\x72\x74\x69\x63\x61\x6C\x46\x6C\x6F\x77","\x6E\x61\x76\x69\x67\x61\x74\x69\x6F\x6E","\x68\x6F\x6D\x65","\x65\x78\x69\x74\x47\x72\x6F\x75\x70","\x65\x6E\x74\x65\x72\x47\x72\x6F\x75\x70","\x65\x78\x70\x61\x6E\x64","\x63\x6F\x6C\x6C\x61\x70\x73\x65","\x61\x72\x72\x61\x6E\x67\x65","\x74\x6F\x46\x72\x6F\x6E\x74","\x74\x6F\x42\x61\x63\x6B","\x67\x72\x6F\x75\x70","\x75\x6E\x67\x72\x6F\x75\x70","\x72\x65\x6D\x6F\x76\x65\x46\x72\x6F\x6D\x47\x72\x6F\x75\x70","\x76\x69\x65\x77","\x61\x63\x74\x75\x61\x6C\x53\x69\x7A\x65","\x25","\x7A\x6F\x6F\x6D\x54\x6F","\x7A\x6F\x6F\x6D\x49\x6E","\x7A\x6F\x6F\x6D\x4F\x75\x74","\x66\x69\x74\x57\x69\x6E\x64\x6F\x77","\x63\x75\x73\x74\x6F\x6D\x5A\x6F\x6F\x6D","\x66\x69\x74\x50\x61\x67\x65","\x66\x69\x74\x50\x61\x67\x65\x57\x69\x64\x74\x68","\x66\x69\x6C\x65","\x6E\x65\x77","\x6F\x70\x65\x6E","\x73\x61\x76\x65","\x73\x61\x76\x65\x41\x73","\x70\x75\x62\x6C\x69\x73\x68","\x76\x61\x72\x69\x61\x62\x6C\x65","\x69\x6D\x70\x6F\x72\x74","\x65\x78\x70\x6F\x72\x74","\x65\x64\x69\x74\x46\x69\x6C\x65","\x72\x65\x6E\x61\x6D\x65","\x70\x72\x69\x6E\x74","\x65\x64\x69\x74","\x75\x6E\x64\x6F","\x72\x65\x64\x6F","\x63\x75\x74","\x63\x6F\x70\x79","\x70\x61\x73\x74\x65","\x64\x65\x6C\x65\x74\x65","\x64\x75\x70\x6C\x69\x63\x61\x74\x65","\x73\x65\x6C\x65\x63\x74\x56\x65\x72\x74\x69\x63\x65\x73","\x73\x65\x6C\x65\x63\x74\x45\x64\x67\x65\x73","\x73\x65\x6C\x65\x63\x74\x41\x6C\x6C","\x68\x65\x6C\x70","\x61\x62\x6F\x75\x74","\x61\x64\x64\x4D\x65\x6E\x75","\x65\x78\x65\x63\x75\x74\x65","\x62\x65\x67\x69\x6E\x55\x70\x64\x61\x74\x65","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x65\x6E\x64\x55\x70\x64\x61\x74\x65","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x67\x65\x74\x53\x74\x61\x74\x65","\x67\x65\x74\x56\x69\x65\x77","\x65\x6E\x74\x65\x72\x56\x61\x6C\x75\x65","\x20","\x70\x72\x6F\x6D\x70\x74","\x6C\x61\x62\x65\x6C","\x66\x75\x6E\x63\x74","\x74\x6F\x67\x67\x6C\x65\x41\x63\x74\x69\x6F\x6E","\x69\x73\x53\x65\x6C\x65\x63\x74\x65\x64","\x61\x64\x64\x43\x68\x65\x63\x6B\x6D\x61\x72\x6B","\x61\x64\x64\x53\x68\x6F\x72\x74\x63\x75\x74","\x73\x68\x6F\x72\x74\x63\x75\x74","\x73\x70\x61\x6E","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x63\x6F\x6C\x6F\x72","\x67\x72\x61\x79","\x77\x72\x69\x74\x65","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x75\x72\x6C\x28","\x2F\x63\x68\x65\x63\x6B\x6D\x61\x72\x6B\x2E\x67\x69\x66\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x52\x65\x70\x65\x61\x74","\x6E\x6F\x2D\x72\x65\x70\x65\x61\x74","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x32\x70\x78\x20\x35\x30\x25","\x63\x72\x65\x61\x74\x65\x50\x6F\x70\x75\x70\x4D\x65\x6E\x75","\x73\x6D\x61\x72\x74\x53\x65\x70\x61\x72\x61\x74\x6F\x72\x73","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6D\x70\x74\x79","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x6F\x75\x6E\x74","\x69\x73\x45\x64\x67\x65","\x73\x65\x74\x41\x73\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x67\x65\x74\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73\x48\x61\x6E\x64\x6C\x65\x72","\x62\x65\x6E\x64\x73","\x67\x65\x74\x48\x61\x6E\x64\x6C\x65\x46\x6F\x72\x45\x76\x65\x6E\x74","\x72\x65\x6D\x6F\x76\x65\x57\x61\x79\x70\x6F\x69\x6E\x74","\x68\x61\x6E\x64\x6C\x65\x72","\x69\x6E\x64\x65\x78","\x61\x64\x64\x57\x61\x79\x70\x6F\x69\x6E\x74","\x67\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x6F\x70\x65\x6E\x4C\x69\x6E\x6B","\x63\x72\x65\x61\x74\x65\x4D\x65\x6E\x75\x62\x61\x72","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x68\x69\x64\x65\x4D\x65\x6E\x75","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x63\x75\x72\x72\x65\x6E\x74\x4D\x65\x6E\x75","\x61","\x68\x72\x65\x66","\x6A\x61\x76\x61\x73\x63\x72\x69\x70\x74\x3A\x76\x6F\x69\x64\x28\x30\x29\x3B","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x49\x74\x65\x6D","\x61\x64\x64\x4D\x65\x6E\x75\x48\x61\x6E\x64\x6C\x65\x72","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x64\x69\x76","\x20\x67\x65\x4D\x65\x6E\x75\x62\x61\x72\x4D\x65\x6E\x75","\x61\x75\x74\x6F\x45\x78\x70\x61\x6E\x64","\x61\x70\x70\x6C\x79","\x64\x65\x73\x74\x72\x6F\x79","\x63\x75\x72\x72\x65\x6E\x74\x45\x6C\x74","\x6F\x66\x66\x73\x65\x74\x4C\x65\x66\x74","\x6F\x66\x66\x73\x65\x74\x57\x69\x64\x74\x68","\x70\x61\x67\x65\x2D\x73\x69\x64\x65\x62\x61\x72","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74\x42\x79\x49\x64","\x6F\x66\x66\x73\x65\x74\x54\x6F\x70","\x6F\x66\x66\x73\x65\x74\x48\x65\x69\x67\x68\x74","\x70\x6F\x70\x75\x70","\x63\x6F\x6E\x73\x75\x6D\x65","\x6D\x6F\x75\x73\x65\x6D\x6F\x76\x65","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E","\x63\x6C\x69\x63\x6B","\x63\x61\x6C\x6C","\x65\x78\x74\x65\x6E\x64","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x73\x74\x61\x74\x65\x43\x68\x61\x6E\x67\x65\x64","\x66\x69\x72\x65\x45\x76\x65\x6E\x74"];Menus=function(_0xa890x1){this[_0xe8d4[0]]=_0xa890x1;this[_0xe8d4[1]]= new Object();this[_0xe8d4[2]](); new Image()[_0xe8d4[3]]=IMAGE_PATH+_0xe8d4[4]};Menus[_0xe8d4[5]][_0xe8d4[2]]=function(){var _0xa890x2=this[_0xe8d4[0]][_0xe8d4[7]][_0xe8d4[6]];this[_0xe8d4[30]](_0xe8d4[8], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){var _0xa890x5=[_0xe8d4[9],_0xe8d4[10],_0xe8d4[11],_0xe8d4[12],_0xe8d4[13],_0xe8d4[14],_0xe8d4[15],_0xe8d4[16],_0xe8d4[17]];for(var _0xa890x6=0;_0xa890x6<_0xa890x5[_0xe8d4[18]];_0xa890x6++){var _0xa890x7=this[_0xe8d4[20]](_0xa890x3,_0xa890x5[_0xa890x6],[mxConstants[_0xe8d4[19]]],[_0xa890x5[_0xa890x6]],null,_0xa890x4);_0xa890x7[_0xe8d4[23]][_0xe8d4[22]][_0xe8d4[21]][_0xe8d4[8]]=_0xa890x5[_0xa890x6]};_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[28]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[25]),_0xe8d4[27],mxConstants.DEFAULT_FONTFAMILY,mxConstants.STYLE_FONTFAMILY,_0xa890x4)})));this[_0xe8d4[30]](_0xe8d4[31], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){var _0xa890x8=[6,8,9,10,11,12,14,18,24,36,48,72];for(var _0xa890x6=0;_0xa890x6<_0xa890x8[_0xe8d4[18]];_0xa890x6++){this[_0xe8d4[20]](_0xa890x3,_0xa890x8[_0xa890x6],[mxConstants[_0xe8d4[32]]],[_0xa890x8[_0xa890x6]],null,_0xa890x4)};_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[28]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[25]),_0xe8d4[33],_0xe8d4[34],mxConstants.STYLE_FONTSIZE,_0xa890x4)})));this[_0xe8d4[30]](_0xe8d4[35], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){var _0xa890x8=[1,2,3,4,8,12,16,24];for(var _0xa890x6=0;_0xa890x6<_0xa890x8[_0xe8d4[18]];_0xa890x6++){this[_0xe8d4[20]](_0xa890x3,_0xa890x8[_0xa890x6]+_0xe8d4[36],[mxConstants[_0xe8d4[37]]],[_0xa890x8[_0xa890x6]],null,_0xa890x4)};_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[28]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[25]),_0xe8d4[38],_0xe8d4[39],mxConstants.STYLE_STROKEWIDTH,_0xa890x4)})));this[_0xe8d4[30]](_0xe8d4[40], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[41]),[mxConstants[_0xe8d4[42]]],[null],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[43]),[mxConstants[_0xe8d4[42]]],[_0xe8d4[44]],null,_0xa890x4);_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[45]),[mxConstants[_0xe8d4[42]],mxConstants[_0xe8d4[46]]],[_0xe8d4[47],_0xe8d4[45]],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[48]),[mxConstants[_0xe8d4[42]],mxConstants[_0xe8d4[46]]],[_0xe8d4[47],_0xe8d4[48]],null,_0xa890x4);_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[49]),[mxConstants[_0xe8d4[42]]],[_0xe8d4[50]],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[51]),[mxConstants[_0xe8d4[42]]],[_0xe8d4[52]],null,_0xa890x4)})));this[_0xe8d4[30]](_0xe8d4[53], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[54]),[mxConstants[_0xe8d4[55]]],[mxConstants[_0xe8d4[56]]],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[57]),[mxConstants[_0xe8d4[55]]],[mxConstants[_0xe8d4[58]]],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[59]),[mxConstants[_0xe8d4[55]]],[mxConstants[_0xe8d4[60]]],null,_0xa890x4);_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[61]),[mxConstants[_0xe8d4[55]]],[mxConstants[_0xe8d4[62]]],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[63]),[mxConstants[_0xe8d4[55]]],[mxConstants[_0xe8d4[64]]],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[65]),[mxConstants[_0xe8d4[55]]],[mxConstants[_0xe8d4[66]]],null,_0xa890x4);_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[67]),[mxConstants[_0xe8d4[55]]],[mxConstants[_0xe8d4[68]]],null,_0xa890x4);_0xa890x3[_0xe8d4[24]](_0xa890x4);_0xa890x3[_0xe8d4[72]](mxResources[_0xe8d4[26]](_0xe8d4[69]),null,function(){_0xa890x2[_0xe8d4[71]](_0xe8d4[70],true)},_0xa890x4,null,true);_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[28]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[73]),_0xe8d4[38],mxConstants.DEFAULT_MARKERSIZE,mxConstants.STYLE_ENDSIZE,_0xa890x4)})));this[_0xe8d4[30]](_0xe8d4[74], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[54]),[mxConstants[_0xe8d4[75]]],[mxConstants[_0xe8d4[56]]],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[57]),[mxConstants[_0xe8d4[75]]],[mxConstants[_0xe8d4[58]]],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[59]),[mxConstants[_0xe8d4[75]]],[mxConstants[_0xe8d4[60]]],null,_0xa890x4);_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[61]),[mxConstants[_0xe8d4[75]]],[mxConstants[_0xe8d4[62]]],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[63]),[mxConstants[_0xe8d4[75]]],[mxConstants[_0xe8d4[64]]],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[65]),[mxConstants[_0xe8d4[75]]],[mxConstants[_0xe8d4[66]]],null,_0xa890x4);_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[67]),[mxConstants[_0xe8d4[75]]],[mxConstants[_0xe8d4[68]]],null,_0xa890x4);_0xa890x3[_0xe8d4[24]](_0xa890x4);_0xa890x3[_0xe8d4[72]](mxResources[_0xe8d4[26]](_0xe8d4[69]),null,function(){_0xa890x2[_0xe8d4[71]](_0xe8d4[76],true)},_0xa890x4,null,true);_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[28]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[73]),_0xe8d4[38],mxConstants.DEFAULT_MARKERSIZE,mxConstants.STYLE_STARTSIZE,_0xa890x4)})));this[_0xe8d4[30]](_0xe8d4[77], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){var _0xa890x9=this[_0xe8d4[0]][_0xe8d4[80]][_0xe8d4[26]](_0xe8d4[79])[_0xe8d4[78]];var _0xa890xa=this[_0xe8d4[26]](_0xe8d4[40])[_0xe8d4[78]];if(_0xa890x9||_0xa890x3[_0xe8d4[81]]){this[_0xe8d4[28]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[82]),_0xe8d4[38],_0xe8d4[83],mxConstants.STYLE_SPACING_TOP,_0xa890x4,_0xa890x9);this[_0xe8d4[28]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[84]),_0xe8d4[38],_0xe8d4[83],mxConstants.STYLE_SPACING_RIGHT,_0xa890x4,_0xa890x9);this[_0xe8d4[28]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[85]),_0xe8d4[38],_0xe8d4[83],mxConstants.STYLE_SPACING_BOTTOM,_0xa890x4,_0xa890x9);this[_0xe8d4[28]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[86]),_0xe8d4[38],_0xe8d4[83],mxConstants.STYLE_SPACING_LEFT,_0xa890x4,_0xa890x9);_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[28]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[87]),_0xe8d4[38],_0xe8d4[83],mxConstants.STYLE_SPACING,_0xa890x4,_0xa890x9);this[_0xe8d4[28]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[88]),_0xe8d4[38],_0xe8d4[83],mxConstants.STYLE_PERIMETER_SPACING,_0xa890x4,_0xa890x9)};if(_0xa890xa||_0xa890x3[_0xe8d4[81]]){_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[28]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[89]),_0xe8d4[38],_0xe8d4[83],mxConstants.STYLE_SOURCE_PERIMETER_SPACING,_0xa890x4,_0xa890xa);this[_0xe8d4[28]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[90]),_0xe8d4[38],_0xe8d4[83],mxConstants.STYLE_TARGET_PERIMETER_SPACING,_0xa890x4,_0xa890xa)}})));this[_0xe8d4[30]](_0xe8d4[91], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){this[_0xe8d4[92]](_0xa890x3,_0xe8d4[21],_0xa890x4)})));this[_0xe8d4[30]](_0xe8d4[93], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){var _0xa890xb=this[_0xe8d4[26]](_0xe8d4[93])[_0xe8d4[78]];_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[94]](_0xe8d4[8],_0xa890x3,_0xa890x4);this[_0xe8d4[94]](_0xe8d4[31],_0xa890x3,_0xa890x4);this[_0xe8d4[99]](_0xa890x3,[_0xe8d4[95],_0xe8d4[96],_0xe8d4[97],_0xe8d4[98],_0xe8d4[95]],_0xa890x4);this[_0xe8d4[94]](_0xe8d4[100],_0xa890x3,_0xa890x4);this[_0xe8d4[92]](_0xa890x3,_0xe8d4[101],_0xa890x4);_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[28]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[102]),_0xe8d4[103],_0xe8d4[104],mxConstants.STYLE_TEXT_OPACITY,_0xa890x4,_0xa890xb);_0xa890x3[_0xe8d4[72]](mxResources[_0xe8d4[26]](_0xe8d4[105]),null,function(){_0xa890x2[_0xe8d4[71]](mxConstants.STYLE_NOLABEL,false)},_0xa890x4,null,_0xa890xb)})));this[_0xe8d4[30]](_0xe8d4[100], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[106]),[mxConstants[_0xe8d4[107]]],[mxConstants[_0xe8d4[108]]],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[109]),[mxConstants[_0xe8d4[107]]],[mxConstants[_0xe8d4[110]]],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[111]),[mxConstants[_0xe8d4[107]]],[mxConstants[_0xe8d4[112]]],null,_0xa890x4);_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[113]),[mxConstants[_0xe8d4[114]]],[mxConstants[_0xe8d4[115]]],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[116]),[mxConstants[_0xe8d4[114]]],[mxConstants[_0xe8d4[117]]],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[118]),[mxConstants[_0xe8d4[114]]],[mxConstants[_0xe8d4[119]]],null,_0xa890x4);_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[94]](_0xe8d4[120],_0xa890x3,_0xa890x4);this[_0xe8d4[94]](_0xe8d4[77],_0xa890x3,_0xa890x4);_0xa890x3[_0xe8d4[24]](_0xa890x4);var _0xa890xb=this[_0xe8d4[26]](_0xe8d4[93])[_0xe8d4[78]];_0xa890x3[_0xe8d4[72]](mxResources[_0xe8d4[26]](_0xe8d4[48]),null,function(){_0xa890x2[_0xe8d4[71]](mxConstants.STYLE_HORIZONTAL,true)},_0xa890x4,null,_0xa890xb)})));this[_0xe8d4[30]](_0xe8d4[120], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[86]),[mxConstants[_0xe8d4[121]],mxConstants[_0xe8d4[107]]],[mxConstants[_0xe8d4[108]],mxConstants[_0xe8d4[112]]],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[109]),[mxConstants[_0xe8d4[121]],mxConstants[_0xe8d4[107]]],[mxConstants[_0xe8d4[110]],mxConstants[_0xe8d4[110]]],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[84]),[mxConstants[_0xe8d4[121]],mxConstants[_0xe8d4[107]]],[mxConstants[_0xe8d4[112]],mxConstants[_0xe8d4[108]]],null,_0xa890x4);_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[82]),[mxConstants[_0xe8d4[122]],mxConstants[_0xe8d4[114]]],[mxConstants[_0xe8d4[115]],mxConstants[_0xe8d4[119]]],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[116]),[mxConstants[_0xe8d4[122]],mxConstants[_0xe8d4[114]]],[mxConstants[_0xe8d4[117]],mxConstants[_0xe8d4[117]]],null,_0xa890x4);this[_0xe8d4[20]](_0xa890x3,mxResources[_0xe8d4[26]](_0xe8d4[85]),[mxConstants[_0xe8d4[122]],mxConstants[_0xe8d4[114]]],[mxConstants[_0xe8d4[119]],mxConstants[_0xe8d4[115]]],null,_0xa890x4)})));this[_0xe8d4[30]](_0xe8d4[123], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){_0xa890x3[_0xe8d4[72]](mxResources[_0xe8d4[26]](_0xe8d4[124]),null,function(){_0xa890x2[_0xe8d4[71]](mxConstants.STYLE_FLIPH,false)},_0xa890x4);_0xa890x3[_0xe8d4[72]](mxResources[_0xe8d4[26]](_0xe8d4[125]),null,function(){_0xa890x2[_0xe8d4[71]](mxConstants.STYLE_FLIPV,false)},_0xa890x4);this[_0xe8d4[99]](_0xa890x3,[_0xe8d4[95],_0xe8d4[126],_0xe8d4[127]],_0xa890x4)})));this[_0xe8d4[30]](_0xe8d4[128], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){_0xa890x3[_0xe8d4[72]](mxResources[_0xe8d4[26]](_0xe8d4[106]),null,function(){_0xa890x2[_0xe8d4[129]](mxConstants.ALIGN_LEFT)},_0xa890x4);_0xa890x3[_0xe8d4[72]](mxResources[_0xe8d4[26]](_0xe8d4[109]),null,function(){_0xa890x2[_0xe8d4[129]](mxConstants.ALIGN_CENTER)},_0xa890x4);_0xa890x3[_0xe8d4[72]](mxResources[_0xe8d4[26]](_0xe8d4[111]),null,function(){_0xa890x2[_0xe8d4[129]](mxConstants.ALIGN_RIGHT)},_0xa890x4);_0xa890x3[_0xe8d4[24]](_0xa890x4);_0xa890x3[_0xe8d4[72]](mxResources[_0xe8d4[26]](_0xe8d4[113]),null,function(){_0xa890x2[_0xe8d4[129]](mxConstants.ALIGN_TOP)},_0xa890x4);_0xa890x3[_0xe8d4[72]](mxResources[_0xe8d4[26]](_0xe8d4[116]),null,function(){_0xa890x2[_0xe8d4[129]](mxConstants.ALIGN_MIDDLE)},_0xa890x4);_0xa890x3[_0xe8d4[72]](mxResources[_0xe8d4[26]](_0xe8d4[118]),null,function(){_0xa890x2[_0xe8d4[129]](mxConstants.ALIGN_BOTTOM)},_0xa890x4)})));this[_0xe8d4[30]](_0xe8d4[130], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){_0xa890x3[_0xe8d4[72]](mxResources[_0xe8d4[26]](_0xe8d4[131]),null,mxUtils[_0xe8d4[29]](this,function(){var _0xa890xc= new mxHierarchicalLayout(_0xa890x2,mxConstants.DIRECTION_WEST);this[_0xe8d4[0]][_0xe8d4[132]](_0xa890xc,true,true)}),_0xa890x4);_0xa890x3[_0xe8d4[72]](mxResources[_0xe8d4[26]](_0xe8d4[133]),null,mxUtils[_0xe8d4[29]](this,function(){var _0xa890xc= new mxHierarchicalLayout(_0xa890x2,mxConstants.DIRECTION_NORTH);this[_0xe8d4[0]][_0xe8d4[132]](_0xa890xc,true,true)}),_0xa890x4)})));this[_0xe8d4[30]](_0xe8d4[134], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){this[_0xe8d4[99]](_0xa890x3,[_0xe8d4[135],_0xe8d4[95],_0xe8d4[136],_0xe8d4[137],_0xe8d4[95],_0xe8d4[138],_0xe8d4[139]],_0xa890x4)})));this[_0xe8d4[30]](_0xe8d4[140], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){this[_0xe8d4[99]](_0xa890x3,[_0xe8d4[141],_0xe8d4[142],_0xe8d4[95]],_0xa890x4);this[_0xe8d4[94]](_0xe8d4[130],_0xa890x3,_0xa890x4);_0xa890x3[_0xe8d4[24]](_0xa890x4);this[_0xe8d4[99]](_0xa890x3,[_0xe8d4[95],_0xe8d4[143],_0xe8d4[144],_0xe8d4[145]],_0xa890x4)})));this[_0xe8d4[30]](_0xe8d4[146], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){this[_0xe8d4[99]](_0xa890x3,[_0xe8d4[147]],_0xa890x4);_0xa890x3[_0xe8d4[24]]();var _0xa890xd=[0.25,0.5,0.75,1,2,4];for(var _0xa890x6=0;_0xa890x6<_0xa890xd[_0xe8d4[18]];_0xa890x6++){(function(_0xa890xe){_0xa890x3[_0xe8d4[72]]((_0xa890xe*100)+_0xe8d4[148],null,function(){_0xa890x2[_0xe8d4[149]](_0xa890xe)},_0xa890x4)})(_0xa890xd[_0xa890x6])};this[_0xe8d4[99]](_0xa890x3,[_0xe8d4[95],_0xe8d4[150],_0xe8d4[151],_0xe8d4[95],_0xe8d4[152],_0xe8d4[153],_0xe8d4[95],_0xe8d4[154],_0xe8d4[155]],_0xa890x4)})));this[_0xe8d4[30]](_0xe8d4[156], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){this[_0xe8d4[99]](_0xa890x3,[_0xe8d4[157],_0xe8d4[158],_0xe8d4[95],_0xe8d4[159],_0xe8d4[160],_0xe8d4[161],_0xe8d4[95],_0xe8d4[162],_0xe8d4[95],_0xe8d4[163],_0xe8d4[164],_0xe8d4[95],_0xe8d4[165],_0xe8d4[166],_0xe8d4[95],_0xe8d4[167]],_0xa890x4)})));this[_0xe8d4[30]](_0xe8d4[168], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){this[_0xe8d4[99]](_0xa890x3,[_0xe8d4[169],_0xe8d4[170],_0xe8d4[95],_0xe8d4[171],_0xe8d4[172],_0xe8d4[173],_0xe8d4[174],_0xe8d4[95],_0xe8d4[175],_0xe8d4[95],_0xe8d4[176],_0xe8d4[177],_0xe8d4[178]])})));this[_0xe8d4[30]](_0xe8d4[179], new Menu(mxUtils[_0xe8d4[29]](this,function(_0xa890x3,_0xa890x4){this[_0xe8d4[99]](_0xa890x3,[_0xe8d4[179],_0xe8d4[95],_0xe8d4[180]])})))};Menus[_0xe8d4[5]][_0xe8d4[30]]=function(_0xa890xf,_0xa890x3){this[_0xe8d4[1]][_0xa890xf]=_0xa890x3};Menus[_0xe8d4[5]][_0xe8d4[26]]=function(_0xa890xf){return this[_0xe8d4[1]][_0xa890xf]};Menus[_0xe8d4[5]][_0xe8d4[94]]=function(_0xa890xf,_0xa890x3,_0xa890x4){var _0xa890xb=this[_0xe8d4[26]](_0xa890xf)[_0xe8d4[78]];if(_0xa890x3[_0xe8d4[81]]||_0xa890xb){var _0xa890x10=_0xa890x3[_0xe8d4[72]](mxResources[_0xe8d4[26]](_0xa890xf),null,null,_0xa890x4,null,_0xa890xb);this[_0xe8d4[181]](_0xa890xf,_0xa890x3,_0xa890x10)}};Menus[_0xe8d4[5]][_0xe8d4[181]]=function(_0xa890xf,_0xa890x11,_0xa890x4){var _0xa890x3=this[_0xe8d4[26]](_0xa890xf);if(_0xa890x3!=null&&(_0xa890x11[_0xe8d4[81]]||_0xa890x3[_0xe8d4[78]])){this[_0xe8d4[26]](_0xa890xf)[_0xe8d4[182]](_0xa890x11,_0xa890x4)}};Menus[_0xe8d4[5]][_0xe8d4[20]]=function(_0xa890x3,_0xa890x12,_0xa890x13,_0xa890x14,_0xa890x15,_0xa890x4){return _0xa890x3[_0xe8d4[72]](_0xa890x12,null,mxUtils[_0xe8d4[29]](this,function(){var _0xa890x2=this[_0xe8d4[0]][_0xe8d4[7]][_0xe8d4[6]];_0xa890x2[_0xe8d4[184]]()[_0xe8d4[183]]();try{for(var _0xa890x6=0;_0xa890x6<_0xa890x13[_0xe8d4[18]];_0xa890x6++){_0xa890x2[_0xe8d4[185]](_0xa890x13[_0xa890x6],_0xa890x14[_0xa890x6])}}finally{_0xa890x2[_0xe8d4[184]]()[_0xe8d4[186]]()}}),_0xa890x4,_0xa890x15)};Menus[_0xe8d4[5]][_0xe8d4[28]]=function(_0xa890x3,_0xa890x12,_0xa890x16,_0xa890x17,_0xa890x18,_0xa890x4,_0xa890xb){return _0xa890x3[_0xe8d4[72]](_0xa890x12,null,mxUtils[_0xe8d4[29]](this,function(){var _0xa890x2=this[_0xe8d4[0]][_0xe8d4[7]][_0xe8d4[6]];var _0xa890x19=_0xa890x17;var _0xa890x1a=_0xa890x2[_0xe8d4[189]]()[_0xe8d4[188]](_0xa890x2[_0xe8d4[187]]());if(_0xa890x1a!=null){_0xa890x19=_0xa890x1a[_0xe8d4[21]][_0xa890x18]||_0xa890x19};_0xa890x19=mxUtils[_0xe8d4[192]](mxResources[_0xe8d4[26]](_0xe8d4[190])+((_0xa890x16[_0xe8d4[18]]>0)?(_0xe8d4[191]+_0xa890x16):_0xe8d4[27]),_0xa890x19);if(_0xa890x19!=null&&_0xa890x19[_0xe8d4[18]]>0){_0xa890x2[_0xe8d4[185]](_0xa890x18,_0xa890x19)}}),_0xa890x4,null,_0xa890xb)};Menus[_0xe8d4[5]][_0xe8d4[92]]=function(_0xa890x3,_0xa890x18,_0xa890x4){var _0xa890x1b=this[_0xe8d4[0]][_0xe8d4[80]][_0xe8d4[26]](_0xa890x18);if(_0xa890x1b!=null&&(_0xa890x3[_0xe8d4[81]]||_0xa890x1b[_0xe8d4[78]])){var _0xa890x1c=_0xa890x3[_0xe8d4[72]](_0xa890x1b[_0xe8d4[193]],null,_0xa890x1b[_0xe8d4[194]],_0xa890x4,null,_0xa890x1b[_0xe8d4[78]]);if(_0xa890x1b[_0xe8d4[195]]&&_0xa890x1b[_0xe8d4[196]]()){this[_0xe8d4[197]](_0xa890x1c)};this[_0xe8d4[198]](_0xa890x1c,_0xa890x1b);return _0xa890x1c};return null};Menus[_0xe8d4[5]][_0xe8d4[198]]=function(_0xa890x1c,_0xa890x1b){if(_0xa890x1b[_0xe8d4[199]]!=null){var _0xa890x1d=_0xa890x1c[_0xe8d4[23]][_0xe8d4[22]][_0xe8d4[22]];var _0xa890x1e=document[_0xe8d4[201]](_0xe8d4[200]);_0xa890x1e[_0xe8d4[21]][_0xe8d4[202]]=_0xe8d4[203];mxUtils[_0xe8d4[204]](_0xa890x1e,_0xa890x1b[_0xe8d4[199]]);_0xa890x1d[_0xe8d4[205]](_0xa890x1e)}};Menus[_0xe8d4[5]][_0xe8d4[197]]=function(_0xa890x1c){var _0xa890x1d=_0xa890x1c[_0xe8d4[23]][_0xe8d4[22]];_0xa890x1d[_0xe8d4[21]][_0xe8d4[206]]=_0xe8d4[207]+IMAGE_PATH+_0xe8d4[208];_0xa890x1d[_0xe8d4[21]][_0xe8d4[209]]=_0xe8d4[210];_0xa890x1d[_0xe8d4[21]][_0xe8d4[211]]=_0xe8d4[212]};Menus[_0xe8d4[5]][_0xe8d4[99]]=function(_0xa890x3,_0xa890x13,_0xa890x4){for(var _0xa890x6=0;_0xa890x6<_0xa890x13[_0xe8d4[18]];_0xa890x6++){if(_0xa890x13[_0xa890x6]==_0xe8d4[95]){_0xa890x3[_0xe8d4[24]](_0xa890x4)}else {this[_0xe8d4[92]](_0xa890x3,_0xa890x13[_0xa890x6],_0xa890x4)}}};Menus[_0xe8d4[5]][_0xe8d4[213]]=function(_0xa890x3,_0xa890x1f,_0xa890x20){var _0xa890x2=this[_0xe8d4[0]][_0xe8d4[7]][_0xe8d4[6]];_0xa890x3[_0xe8d4[214]]=true;if(_0xa890x2[_0xe8d4[215]]()){this[_0xe8d4[99]](_0xa890x3,[_0xe8d4[169],_0xe8d4[170],_0xe8d4[95],_0xe8d4[173],_0xe8d4[95]])}else {this[_0xe8d4[99]](_0xa890x3,[_0xe8d4[174],_0xe8d4[95],_0xe8d4[171],_0xe8d4[172],_0xe8d4[95],_0xe8d4[175]]);if(_0xa890x2[_0xe8d4[216]]()==1&&_0xa890x2[_0xe8d4[184]]()[_0xe8d4[217]](_0xa890x2[_0xe8d4[187]]())){this[_0xe8d4[99]](_0xa890x3,[_0xe8d4[218]])};_0xa890x3[_0xe8d4[24]]()};if(_0xa890x2[_0xe8d4[216]]()>0){this[_0xe8d4[99]](_0xa890x3,[_0xe8d4[141],_0xe8d4[142],_0xe8d4[95]]);if(_0xa890x2[_0xe8d4[184]]()[_0xe8d4[217]](_0xa890x2[_0xe8d4[187]]())){var _0xa890x21=false;var _0xa890x1f=_0xa890x2[_0xe8d4[187]]();if(_0xa890x1f!=null&&_0xa890x2[_0xe8d4[184]]()[_0xe8d4[217]](_0xa890x1f)){var _0xa890x22=_0xa890x2[_0xe8d4[220]][_0xe8d4[219]](_0xa890x1f);if(_0xa890x22 instanceof mxEdgeHandler&&_0xa890x22[_0xe8d4[221]]!=null&&_0xa890x22[_0xe8d4[221]][_0xe8d4[18]]>2){var _0xa890x23=_0xa890x22[_0xe8d4[222]]( new mxMouseEvent(_0xa890x20));var _0xa890x24=this[_0xe8d4[0]][_0xe8d4[80]][_0xe8d4[26]](_0xe8d4[223]);_0xa890x24[_0xe8d4[224]]=_0xa890x22;_0xa890x24[_0xe8d4[225]]=_0xa890x23;_0xa890x21=_0xa890x23>0&&_0xa890x23<_0xa890x22[_0xe8d4[221]][_0xe8d4[18]]}};this[_0xe8d4[99]](_0xa890x3,[_0xe8d4[95],(_0xa890x21)?_0xe8d4[223]:_0xe8d4[226]])}else {if(_0xa890x2[_0xe8d4[216]]()>1){_0xa890x3[_0xe8d4[24]]();this[_0xe8d4[99]](_0xa890x3,[_0xe8d4[143]])}};_0xa890x3[_0xe8d4[24]]();if(_0xa890x2[_0xe8d4[216]]()==1){var _0xa890x25=_0xa890x2[_0xe8d4[227]](_0xa890x2[_0xe8d4[187]]());if(_0xa890x25!=null){this[_0xe8d4[99]](_0xa890x3,[_0xe8d4[228]])}}}else {this[_0xe8d4[99]](_0xa890x3,[_0xe8d4[95],_0xe8d4[176],_0xe8d4[177],_0xe8d4[95],_0xe8d4[178]])}};Menus[_0xe8d4[5]][_0xe8d4[229]]=function(_0xa890x26){var _0xa890x27= new Menubar(this[_0xe8d4[0]],_0xa890x26);var _0xa890x28=[_0xe8d4[156],_0xe8d4[168],_0xe8d4[146],_0xe8d4[91],_0xe8d4[93],_0xe8d4[140]];for(var _0xa890x6=0;_0xa890x6<_0xa890x28[_0xe8d4[18]];_0xa890x6++){_0xa890x27[_0xe8d4[181]](mxResources[_0xe8d4[26]](_0xa890x28[_0xa890x6]),this[_0xe8d4[26]](_0xa890x28[_0xa890x6])[_0xe8d4[194]])};return _0xa890x27};function Menubar(_0xa890x1,_0xa890x26){this[_0xe8d4[0]]=_0xa890x1;this[_0xe8d4[230]]=_0xa890x26;mxEvent[_0xe8d4[232]](document,mxUtils[_0xe8d4[29]](this,function(_0xa890x20){this[_0xe8d4[231]]()}))}Menubar[_0xe8d4[5]][_0xe8d4[231]]=function(){if(this[_0xe8d4[233]]!=null){this[_0xe8d4[233]][_0xe8d4[231]]()}};Menubar[_0xe8d4[5]][_0xe8d4[181]]=function(_0xa890x12,_0xa890x2a){var _0xa890x2b=document[_0xe8d4[201]](_0xe8d4[234]);_0xa890x2b[_0xe8d4[237]](_0xe8d4[235],_0xe8d4[236]);_0xa890x2b[_0xe8d4[238]]=_0xe8d4[239];mxUtils[_0xe8d4[204]](_0xa890x2b,_0xa890x12);this[_0xe8d4[240]](_0xa890x2b,_0xa890x2a);this[_0xe8d4[230]][_0xe8d4[205]](_0xa890x2b);return _0xa890x2b};Menubar[_0xe8d4[5]][_0xe8d4[240]]=function(_0xa890x2b,_0xa890x2a){if(_0xa890x2a!=null){var _0xa890x2c=true;var _0xa890x2d=mxUtils[_0xe8d4[29]](this,function(_0xa890x20){if(_0xa890x2c&&_0xa890x2b[_0xe8d4[78]]==null||_0xa890x2b[_0xe8d4[78]]){this[_0xe8d4[0]][_0xe8d4[7]][_0xe8d4[6]][_0xe8d4[241]][_0xe8d4[231]]();var _0xa890x3= new mxPopupMenu(_0xa890x2a);_0xa890x3[_0xe8d4[242]][_0xe8d4[238]]+=_0xe8d4[243];_0xa890x3[_0xe8d4[214]]=true;_0xa890x3[_0xe8d4[81]]=true;_0xa890x3[_0xe8d4[244]]=true;_0xa890x3[_0xe8d4[231]]=mxUtils[_0xe8d4[29]](this,function(){mxPopupMenu[_0xe8d4[5]][_0xe8d4[231]][_0xe8d4[245]](_0xa890x3,arguments);_0xa890x3[_0xe8d4[246]]();this[_0xe8d4[233]]=null;this[_0xe8d4[247]]=null});var _0xa890x2e=_0xa890x2b[_0xe8d4[248]]+document[_0xe8d4[251]](_0xe8d4[250])[_0xe8d4[249]]+22;var _0xa890x2f=_0xa890x2b[_0xe8d4[252]]+_0xa890x2b[_0xe8d4[253]]+140;_0xa890x3[_0xe8d4[254]](_0xa890x2e,_0xa890x2f,null,_0xa890x20);this[_0xe8d4[233]]=_0xa890x3;this[_0xe8d4[247]]=_0xa890x2b};_0xa890x2c=true;mxEvent[_0xe8d4[255]](_0xa890x20)});mxEvent[_0xe8d4[257]](_0xa890x2b,_0xe8d4[256],mxUtils[_0xe8d4[29]](this,function(_0xa890x20){if(this[_0xe8d4[233]]!=null&&this[_0xe8d4[247]]!=_0xa890x2b){this[_0xe8d4[231]]();_0xa890x2d(_0xa890x20)}}));mxEvent[_0xe8d4[257]](_0xa890x2b,_0xe8d4[258],mxUtils[_0xe8d4[29]](this,function(){_0xa890x2c=this[_0xe8d4[247]]!=_0xa890x2b}));mxEvent[_0xe8d4[257]](_0xa890x2b,_0xe8d4[259],_0xa890x2d)}};function Menu(_0xa890x2a,_0xa890xb){mxEventSource[_0xe8d4[260]](this);this[_0xe8d4[194]]=_0xa890x2a;this[_0xe8d4[78]]=(_0xa890xb!=null)?_0xa890xb:true}mxUtils[_0xe8d4[261]](Menu,mxEventSource);Menu[_0xe8d4[5]][_0xe8d4[262]]=function(_0xa890x19){if(this[_0xe8d4[78]]!=_0xa890x19){this[_0xe8d4[78]]=_0xa890x19;this[_0xe8d4[264]]( new mxEventObject(_0xe8d4[263]))}};Menu[_0xe8d4[5]][_0xe8d4[182]]=function(_0xa890x3,_0xa890x4){this[_0xe8d4[194]](_0xa890x3,_0xa890x4)} \ No newline at end of file +var _0x75c4=["\x65\x64\x69\x74\x6F\x72\x55\x69","\x6D\x65\x6E\x75\x73","\x69\x6E\x69\x74","\x73\x72\x63","\x2F\x63\x68\x65\x63\x6B\x6D\x61\x72\x6B\x2E\x67\x69\x66","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x67\x72\x61\x70\x68","\x65\x64\x69\x74\x6F\x72","\x66\x6F\x6E\x74\x46\x61\x6D\x69\x6C\x79","\x48\x65\x6C\x76\x65\x74\x69\x63\x61","\x56\x65\x72\x64\x61\x6E\x61","\x54\x69\x6D\x65\x73\x20\x4E\x65\x77\x20\x52\x6F\x6D\x61\x6E","\x47\x61\x72\x61\x6D\x6F\x6E\x64","\x43\x6F\x6D\x69\x63\x20\x53\x61\x6E\x73\x20\x4D\x53","\x43\x6F\x75\x72\x69\x65\x72\x20\x4E\x65\x77","\x47\x65\x6F\x72\x67\x69\x61","\x4C\x75\x63\x69\x64\x61\x20\x43\x6F\x6E\x73\x6F\x6C\x65","\x54\x61\x68\x6F\x6D\x61","\x6C\x65\x6E\x67\x74\x68","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x46\x41\x4D\x49\x4C\x59","\x73\x74\x79\x6C\x65\x43\x68\x61\x6E\x67\x65","\x73\x74\x79\x6C\x65","\x6E\x65\x78\x74\x53\x69\x62\x6C\x69\x6E\x67","\x66\x69\x72\x73\x74\x43\x68\x69\x6C\x64","\x61\x64\x64\x53\x65\x70\x61\x72\x61\x74\x6F\x72","\x63\x75\x73\x74\x6F\x6D","\x67\x65\x74","","\x70\x72\x6F\x6D\x70\x74\x43\x68\x61\x6E\x67\x65","\x62\x69\x6E\x64","\x70\x75\x74","\x66\x6F\x6E\x74\x53\x69\x7A\x65","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x53\x49\x5A\x45","\x28\x70\x74\x29","\x31\x32","\x6C\x69\x6E\x65\x77\x69\x64\x74\x68","\x70\x78","\x53\x54\x59\x4C\x45\x5F\x53\x54\x52\x4F\x4B\x45\x57\x49\x44\x54\x48","\x28\x70\x78\x29","\x31","\x6C\x69\x6E\x65","\x73\x74\x72\x61\x69\x67\x68\x74","\x53\x54\x59\x4C\x45\x5F\x45\x44\x47\x45","\x65\x6E\x74\x69\x74\x79\x52\x65\x6C\x61\x74\x69\x6F\x6E","\x65\x6E\x74\x69\x74\x79\x52\x65\x6C\x61\x74\x69\x6F\x6E\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C","\x53\x54\x59\x4C\x45\x5F\x45\x4C\x42\x4F\x57","\x65\x6C\x62\x6F\x77\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x76\x65\x72\x74\x69\x63\x61\x6C","\x6D\x61\x6E\x75\x61\x6C","\x73\x65\x67\x6D\x65\x6E\x74\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x61\x75\x74\x6F\x6D\x61\x74\x69\x63","\x6F\x72\x74\x68\x6F\x67\x6F\x6E\x61\x6C\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x6C\x69\x6E\x65\x65\x6E\x64","\x63\x6C\x61\x73\x73\x69\x63","\x53\x54\x59\x4C\x45\x5F\x45\x4E\x44\x41\x52\x52\x4F\x57","\x41\x52\x52\x4F\x57\x5F\x43\x4C\x41\x53\x53\x49\x43","\x6F\x70\x65\x6E\x41\x72\x72\x6F\x77","\x41\x52\x52\x4F\x57\x5F\x4F\x50\x45\x4E","\x62\x6C\x6F\x63\x6B","\x41\x52\x52\x4F\x57\x5F\x42\x4C\x4F\x43\x4B","\x6F\x76\x61\x6C","\x41\x52\x52\x4F\x57\x5F\x4F\x56\x41\x4C","\x64\x69\x61\x6D\x6F\x6E\x64","\x41\x52\x52\x4F\x57\x5F\x44\x49\x41\x4D\x4F\x4E\x44","\x64\x69\x61\x6D\x6F\x6E\x64\x54\x68\x69\x6E","\x41\x52\x52\x4F\x57\x5F\x44\x49\x41\x4D\x4F\x4E\x44\x5F\x54\x48\x49\x4E","\x6E\x6F\x6E\x65","\x4E\x4F\x4E\x45","\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74","\x65\x6E\x64\x46\x69\x6C\x6C","\x74\x6F\x67\x67\x6C\x65\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x61\x64\x64\x49\x74\x65\x6D","\x73\x69\x7A\x65","\x6C\x69\x6E\x65\x73\x74\x61\x72\x74","\x53\x54\x59\x4C\x45\x5F\x53\x54\x41\x52\x54\x41\x52\x52\x4F\x57","\x73\x74\x61\x72\x74\x46\x69\x6C\x6C","\x73\x70\x61\x63\x69\x6E\x67","\x65\x6E\x61\x62\x6C\x65\x64","\x73\x68\x61\x64\x6F\x77","\x61\x63\x74\x69\x6F\x6E\x73","\x73\x68\x6F\x77\x44\x69\x73\x61\x62\x6C\x65\x64","\x74\x6F\x70","\x30","\x72\x69\x67\x68\x74","\x62\x6F\x74\x74\x6F\x6D","\x6C\x65\x66\x74","\x67\x6C\x6F\x62\x61\x6C","\x70\x65\x72\x69\x6D\x65\x74\x65\x72","\x73\x6F\x75\x72\x63\x65\x53\x70\x61\x63\x69\x6E\x67","\x74\x61\x72\x67\x65\x74\x53\x70\x61\x63\x69\x6E\x67","\x66\x6F\x72\x6D\x61\x74","\x61\x64\x64\x4D\x65\x6E\x75\x49\x74\x65\x6D","\x74\x65\x78\x74","\x61\x64\x64\x53\x75\x62\x6D\x65\x6E\x75","\x2D","\x62\x6F\x6C\x64","\x69\x74\x61\x6C\x69\x63","\x75\x6E\x64\x65\x72\x6C\x69\x6E\x65","\x61\x64\x64\x4D\x65\x6E\x75\x49\x74\x65\x6D\x73","\x61\x6C\x69\x67\x6E\x6D\x65\x6E\x74","\x77\x6F\x72\x64\x57\x72\x61\x70","\x74\x65\x78\x74\x4F\x70\x61\x63\x69\x74\x79","\x28\x25\x29","\x31\x30\x30","\x68\x69\x64\x65","\x6C\x65\x66\x74\x41\x6C\x69\x67\x6E","\x53\x54\x59\x4C\x45\x5F\x41\x4C\x49\x47\x4E","\x41\x4C\x49\x47\x4E\x5F\x4C\x45\x46\x54","\x63\x65\x6E\x74\x65\x72","\x41\x4C\x49\x47\x4E\x5F\x43\x45\x4E\x54\x45\x52","\x72\x69\x67\x68\x74\x41\x6C\x69\x67\x6E","\x41\x4C\x49\x47\x4E\x5F\x52\x49\x47\x48\x54","\x74\x6F\x70\x41\x6C\x69\x67\x6E","\x53\x54\x59\x4C\x45\x5F\x56\x45\x52\x54\x49\x43\x41\x4C\x5F\x41\x4C\x49\x47\x4E","\x41\x4C\x49\x47\x4E\x5F\x54\x4F\x50","\x6D\x69\x64\x64\x6C\x65","\x41\x4C\x49\x47\x4E\x5F\x4D\x49\x44\x44\x4C\x45","\x62\x6F\x74\x74\x6F\x6D\x41\x6C\x69\x67\x6E","\x41\x4C\x49\x47\x4E\x5F\x42\x4F\x54\x54\x4F\x4D","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x53\x54\x59\x4C\x45\x5F\x4C\x41\x42\x45\x4C\x5F\x50\x4F\x53\x49\x54\x49\x4F\x4E","\x53\x54\x59\x4C\x45\x5F\x56\x45\x52\x54\x49\x43\x41\x4C\x5F\x4C\x41\x42\x45\x4C\x5F\x50\x4F\x53\x49\x54\x49\x4F\x4E","\x64\x69\x72\x65\x63\x74\x69\x6F\x6E","\x66\x6C\x69\x70\x48","\x66\x6C\x69\x70\x56","\x74\x69\x6C\x74","\x72\x6F\x74\x61\x74\x69\x6F\x6E","\x61\x6C\x69\x67\x6E","\x61\x6C\x69\x67\x6E\x43\x65\x6C\x6C\x73","\x6C\x61\x79\x6F\x75\x74","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C\x46\x6C\x6F\x77","\x65\x78\x65\x63\x75\x74\x65\x4C\x61\x79\x6F\x75\x74","\x76\x65\x72\x74\x69\x63\x61\x6C\x46\x6C\x6F\x77","\x6E\x61\x76\x69\x67\x61\x74\x69\x6F\x6E","\x68\x6F\x6D\x65","\x65\x78\x69\x74\x47\x72\x6F\x75\x70","\x65\x6E\x74\x65\x72\x47\x72\x6F\x75\x70","\x65\x78\x70\x61\x6E\x64","\x63\x6F\x6C\x6C\x61\x70\x73\x65","\x61\x72\x72\x61\x6E\x67\x65","\x74\x6F\x46\x72\x6F\x6E\x74","\x74\x6F\x42\x61\x63\x6B","\x67\x72\x6F\x75\x70","\x75\x6E\x67\x72\x6F\x75\x70","\x72\x65\x6D\x6F\x76\x65\x46\x72\x6F\x6D\x47\x72\x6F\x75\x70","\x76\x69\x65\x77","\x61\x63\x74\x75\x61\x6C\x53\x69\x7A\x65","\x25","\x7A\x6F\x6F\x6D\x54\x6F","\x7A\x6F\x6F\x6D\x49\x6E","\x7A\x6F\x6F\x6D\x4F\x75\x74","\x66\x69\x74\x57\x69\x6E\x64\x6F\x77","\x63\x75\x73\x74\x6F\x6D\x5A\x6F\x6F\x6D","\x66\x69\x74\x50\x61\x67\x65","\x66\x69\x74\x50\x61\x67\x65\x57\x69\x64\x74\x68","\x66\x69\x6C\x65","\x6E\x65\x77","\x6F\x70\x65\x6E","\x73\x61\x76\x65","\x73\x61\x76\x65\x41\x73","\x70\x75\x62\x6C\x69\x73\x68","\x76\x61\x72\x69\x61\x62\x6C\x65","\x69\x6D\x70\x6F\x72\x74","\x65\x78\x70\x6F\x72\x74","\x65\x64\x69\x74\x46\x69\x6C\x65","\x72\x65\x6E\x61\x6D\x65","\x70\x72\x69\x6E\x74","\x65\x64\x69\x74","\x75\x6E\x64\x6F","\x72\x65\x64\x6F","\x63\x75\x74","\x63\x6F\x70\x79","\x70\x61\x73\x74\x65","\x64\x65\x6C\x65\x74\x65","\x64\x75\x70\x6C\x69\x63\x61\x74\x65","\x73\x65\x6C\x65\x63\x74\x56\x65\x72\x74\x69\x63\x65\x73","\x73\x65\x6C\x65\x63\x74\x45\x64\x67\x65\x73","\x73\x65\x6C\x65\x63\x74\x41\x6C\x6C","\x68\x65\x6C\x70","\x61\x62\x6F\x75\x74","\x61\x64\x64\x4D\x65\x6E\x75","\x65\x78\x65\x63\x75\x74\x65","\x62\x65\x67\x69\x6E\x55\x70\x64\x61\x74\x65","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x65\x6E\x64\x55\x70\x64\x61\x74\x65","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x67\x65\x74\x53\x74\x61\x74\x65","\x67\x65\x74\x56\x69\x65\x77","\x65\x6E\x74\x65\x72\x56\x61\x6C\x75\x65","\x20","\x70\x72\x6F\x6D\x70\x74","\x6C\x61\x62\x65\x6C","\x66\x75\x6E\x63\x74","\x74\x6F\x67\x67\x6C\x65\x41\x63\x74\x69\x6F\x6E","\x69\x73\x53\x65\x6C\x65\x63\x74\x65\x64","\x61\x64\x64\x43\x68\x65\x63\x6B\x6D\x61\x72\x6B","\x61\x64\x64\x53\x68\x6F\x72\x74\x63\x75\x74","\x73\x68\x6F\x72\x74\x63\x75\x74","\x73\x70\x61\x6E","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x63\x6F\x6C\x6F\x72","\x67\x72\x61\x79","\x77\x72\x69\x74\x65","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x75\x72\x6C\x28","\x2F\x63\x68\x65\x63\x6B\x6D\x61\x72\x6B\x2E\x67\x69\x66\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x52\x65\x70\x65\x61\x74","\x6E\x6F\x2D\x72\x65\x70\x65\x61\x74","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x32\x70\x78\x20\x35\x30\x25","\x63\x72\x65\x61\x74\x65\x50\x6F\x70\x75\x70\x4D\x65\x6E\x75","\x73\x6D\x61\x72\x74\x53\x65\x70\x61\x72\x61\x74\x6F\x72\x73","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6D\x70\x74\x79","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x6F\x75\x6E\x74","\x69\x73\x45\x64\x67\x65","\x73\x65\x74\x41\x73\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x67\x65\x74\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73\x48\x61\x6E\x64\x6C\x65\x72","\x62\x65\x6E\x64\x73","\x67\x65\x74\x48\x61\x6E\x64\x6C\x65\x46\x6F\x72\x45\x76\x65\x6E\x74","\x72\x65\x6D\x6F\x76\x65\x57\x61\x79\x70\x6F\x69\x6E\x74","\x68\x61\x6E\x64\x6C\x65\x72","\x69\x6E\x64\x65\x78","\x61\x64\x64\x57\x61\x79\x70\x6F\x69\x6E\x74","\x67\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x6F\x70\x65\x6E\x4C\x69\x6E\x6B","\x63\x72\x65\x61\x74\x65\x4D\x65\x6E\x75\x62\x61\x72","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x68\x69\x64\x65\x4D\x65\x6E\x75","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x63\x75\x72\x72\x65\x6E\x74\x4D\x65\x6E\x75","\x61","\x68\x72\x65\x66","\x6A\x61\x76\x61\x73\x63\x72\x69\x70\x74\x3A\x76\x6F\x69\x64\x28\x30\x29\x3B","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x49\x74\x65\x6D","\x61\x64\x64\x4D\x65\x6E\x75\x48\x61\x6E\x64\x6C\x65\x72","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x64\x69\x76","\x20\x67\x65\x4D\x65\x6E\x75\x62\x61\x72\x4D\x65\x6E\x75","\x61\x75\x74\x6F\x45\x78\x70\x61\x6E\x64","\x61\x70\x70\x6C\x79","\x64\x65\x73\x74\x72\x6F\x79","\x63\x75\x72\x72\x65\x6E\x74\x45\x6C\x74","\x6F\x66\x66\x73\x65\x74\x4C\x65\x66\x74","\x6F\x66\x66\x73\x65\x74\x57\x69\x64\x74\x68","\x70\x61\x67\x65\x2D\x73\x69\x64\x65\x62\x61\x72","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74\x42\x79\x49\x64","\x6F\x66\x66\x73\x65\x74\x54\x6F\x70","\x6F\x66\x66\x73\x65\x74\x48\x65\x69\x67\x68\x74","\x70\x6F\x70\x75\x70","\x63\x6F\x6E\x73\x75\x6D\x65","\x6D\x6F\x75\x73\x65\x6D\x6F\x76\x65","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E","\x63\x6C\x69\x63\x6B","\x63\x61\x6C\x6C","\x65\x78\x74\x65\x6E\x64","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x73\x74\x61\x74\x65\x43\x68\x61\x6E\x67\x65\x64","\x66\x69\x72\x65\x45\x76\x65\x6E\x74"];Menus=function(_0xd7efx1){this[_0x75c4[0]]=_0xd7efx1;this[_0x75c4[1]]= new Object();this[_0x75c4[2]](); new Image()[_0x75c4[3]]=IMAGE_PATH+_0x75c4[4]};Menus[_0x75c4[5]][_0x75c4[2]]=function(){var _0xd7efx2=this[_0x75c4[0]][_0x75c4[7]][_0x75c4[6]];this[_0x75c4[30]](_0x75c4[8], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){var _0xd7efx5=[_0x75c4[9],_0x75c4[10],_0x75c4[11],_0x75c4[12],_0x75c4[13],_0x75c4[14],_0x75c4[15],_0x75c4[16],_0x75c4[17]];for(var _0xd7efx6=0;_0xd7efx6<_0xd7efx5[_0x75c4[18]];_0xd7efx6++){var _0xd7efx7=this[_0x75c4[20]](_0xd7efx3,_0xd7efx5[_0xd7efx6],[mxConstants[_0x75c4[19]]],[_0xd7efx5[_0xd7efx6]],null,_0xd7efx4);_0xd7efx7[_0x75c4[23]][_0x75c4[22]][_0x75c4[21]][_0x75c4[8]]=_0xd7efx5[_0xd7efx6]};_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[28]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[25]),_0x75c4[27],mxConstants.DEFAULT_FONTFAMILY,mxConstants.STYLE_FONTFAMILY,_0xd7efx4)})));this[_0x75c4[30]](_0x75c4[31], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){var _0xd7efx8=[6,8,9,10,11,12,14,18,24,36,48,72];for(var _0xd7efx6=0;_0xd7efx6<_0xd7efx8[_0x75c4[18]];_0xd7efx6++){this[_0x75c4[20]](_0xd7efx3,_0xd7efx8[_0xd7efx6],[mxConstants[_0x75c4[32]]],[_0xd7efx8[_0xd7efx6]],null,_0xd7efx4)};_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[28]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[25]),_0x75c4[33],_0x75c4[34],mxConstants.STYLE_FONTSIZE,_0xd7efx4)})));this[_0x75c4[30]](_0x75c4[35], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){var _0xd7efx8=[1,2,3,4,8,12,16,24];for(var _0xd7efx6=0;_0xd7efx6<_0xd7efx8[_0x75c4[18]];_0xd7efx6++){this[_0x75c4[20]](_0xd7efx3,_0xd7efx8[_0xd7efx6]+_0x75c4[36],[mxConstants[_0x75c4[37]]],[_0xd7efx8[_0xd7efx6]],null,_0xd7efx4)};_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[28]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[25]),_0x75c4[38],_0x75c4[39],mxConstants.STYLE_STROKEWIDTH,_0xd7efx4)})));this[_0x75c4[30]](_0x75c4[40], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[41]),[mxConstants[_0x75c4[42]]],[null],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[43]),[mxConstants[_0x75c4[42]]],[_0x75c4[44]],null,_0xd7efx4);_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[45]),[mxConstants[_0x75c4[42]],mxConstants[_0x75c4[46]]],[_0x75c4[47],_0x75c4[45]],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[48]),[mxConstants[_0x75c4[42]],mxConstants[_0x75c4[46]]],[_0x75c4[47],_0x75c4[48]],null,_0xd7efx4);_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[49]),[mxConstants[_0x75c4[42]]],[_0x75c4[50]],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[51]),[mxConstants[_0x75c4[42]]],[_0x75c4[52]],null,_0xd7efx4)})));this[_0x75c4[30]](_0x75c4[53], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[54]),[mxConstants[_0x75c4[55]]],[mxConstants[_0x75c4[56]]],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[57]),[mxConstants[_0x75c4[55]]],[mxConstants[_0x75c4[58]]],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[59]),[mxConstants[_0x75c4[55]]],[mxConstants[_0x75c4[60]]],null,_0xd7efx4);_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[61]),[mxConstants[_0x75c4[55]]],[mxConstants[_0x75c4[62]]],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[63]),[mxConstants[_0x75c4[55]]],[mxConstants[_0x75c4[64]]],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[65]),[mxConstants[_0x75c4[55]]],[mxConstants[_0x75c4[66]]],null,_0xd7efx4);_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[67]),[mxConstants[_0x75c4[55]]],[mxConstants[_0x75c4[68]]],null,_0xd7efx4);_0xd7efx3[_0x75c4[24]](_0xd7efx4);_0xd7efx3[_0x75c4[72]](mxResources[_0x75c4[26]](_0x75c4[69]),null,function(){_0xd7efx2[_0x75c4[71]](_0x75c4[70],true)},_0xd7efx4,null,true);_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[28]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[73]),_0x75c4[38],mxConstants.DEFAULT_MARKERSIZE,mxConstants.STYLE_ENDSIZE,_0xd7efx4)})));this[_0x75c4[30]](_0x75c4[74], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[54]),[mxConstants[_0x75c4[75]]],[mxConstants[_0x75c4[56]]],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[57]),[mxConstants[_0x75c4[75]]],[mxConstants[_0x75c4[58]]],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[59]),[mxConstants[_0x75c4[75]]],[mxConstants[_0x75c4[60]]],null,_0xd7efx4);_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[61]),[mxConstants[_0x75c4[75]]],[mxConstants[_0x75c4[62]]],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[63]),[mxConstants[_0x75c4[75]]],[mxConstants[_0x75c4[64]]],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[65]),[mxConstants[_0x75c4[75]]],[mxConstants[_0x75c4[66]]],null,_0xd7efx4);_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[67]),[mxConstants[_0x75c4[75]]],[mxConstants[_0x75c4[68]]],null,_0xd7efx4);_0xd7efx3[_0x75c4[24]](_0xd7efx4);_0xd7efx3[_0x75c4[72]](mxResources[_0x75c4[26]](_0x75c4[69]),null,function(){_0xd7efx2[_0x75c4[71]](_0x75c4[76],true)},_0xd7efx4,null,true);_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[28]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[73]),_0x75c4[38],mxConstants.DEFAULT_MARKERSIZE,mxConstants.STYLE_STARTSIZE,_0xd7efx4)})));this[_0x75c4[30]](_0x75c4[77], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){var _0xd7efx9=this[_0x75c4[0]][_0x75c4[80]][_0x75c4[26]](_0x75c4[79])[_0x75c4[78]];var _0xd7efxa=this[_0x75c4[26]](_0x75c4[40])[_0x75c4[78]];if(_0xd7efx9||_0xd7efx3[_0x75c4[81]]){this[_0x75c4[28]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[82]),_0x75c4[38],_0x75c4[83],mxConstants.STYLE_SPACING_TOP,_0xd7efx4,_0xd7efx9);this[_0x75c4[28]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[84]),_0x75c4[38],_0x75c4[83],mxConstants.STYLE_SPACING_RIGHT,_0xd7efx4,_0xd7efx9);this[_0x75c4[28]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[85]),_0x75c4[38],_0x75c4[83],mxConstants.STYLE_SPACING_BOTTOM,_0xd7efx4,_0xd7efx9);this[_0x75c4[28]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[86]),_0x75c4[38],_0x75c4[83],mxConstants.STYLE_SPACING_LEFT,_0xd7efx4,_0xd7efx9);_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[28]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[87]),_0x75c4[38],_0x75c4[83],mxConstants.STYLE_SPACING,_0xd7efx4,_0xd7efx9);this[_0x75c4[28]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[88]),_0x75c4[38],_0x75c4[83],mxConstants.STYLE_PERIMETER_SPACING,_0xd7efx4,_0xd7efx9)};if(_0xd7efxa||_0xd7efx3[_0x75c4[81]]){_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[28]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[89]),_0x75c4[38],_0x75c4[83],mxConstants.STYLE_SOURCE_PERIMETER_SPACING,_0xd7efx4,_0xd7efxa);this[_0x75c4[28]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[90]),_0x75c4[38],_0x75c4[83],mxConstants.STYLE_TARGET_PERIMETER_SPACING,_0xd7efx4,_0xd7efxa)}})));this[_0x75c4[30]](_0x75c4[91], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){this[_0x75c4[92]](_0xd7efx3,_0x75c4[21],_0xd7efx4)})));this[_0x75c4[30]](_0x75c4[93], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){var _0xd7efxb=this[_0x75c4[26]](_0x75c4[93])[_0x75c4[78]];_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[94]](_0x75c4[8],_0xd7efx3,_0xd7efx4);this[_0x75c4[94]](_0x75c4[31],_0xd7efx3,_0xd7efx4);this[_0x75c4[99]](_0xd7efx3,[_0x75c4[95],_0x75c4[96],_0x75c4[97],_0x75c4[98],_0x75c4[95]],_0xd7efx4);this[_0x75c4[94]](_0x75c4[100],_0xd7efx3,_0xd7efx4);this[_0x75c4[92]](_0xd7efx3,_0x75c4[101],_0xd7efx4);_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[28]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[102]),_0x75c4[103],_0x75c4[104],mxConstants.STYLE_TEXT_OPACITY,_0xd7efx4,_0xd7efxb);_0xd7efx3[_0x75c4[72]](mxResources[_0x75c4[26]](_0x75c4[105]),null,function(){_0xd7efx2[_0x75c4[71]](mxConstants.STYLE_NOLABEL,false)},_0xd7efx4,null,_0xd7efxb)})));this[_0x75c4[30]](_0x75c4[100], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[106]),[mxConstants[_0x75c4[107]]],[mxConstants[_0x75c4[108]]],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[109]),[mxConstants[_0x75c4[107]]],[mxConstants[_0x75c4[110]]],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[111]),[mxConstants[_0x75c4[107]]],[mxConstants[_0x75c4[112]]],null,_0xd7efx4);_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[113]),[mxConstants[_0x75c4[114]]],[mxConstants[_0x75c4[115]]],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[116]),[mxConstants[_0x75c4[114]]],[mxConstants[_0x75c4[117]]],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[118]),[mxConstants[_0x75c4[114]]],[mxConstants[_0x75c4[119]]],null,_0xd7efx4);_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[94]](_0x75c4[120],_0xd7efx3,_0xd7efx4);this[_0x75c4[94]](_0x75c4[77],_0xd7efx3,_0xd7efx4);_0xd7efx3[_0x75c4[24]](_0xd7efx4);var _0xd7efxb=this[_0x75c4[26]](_0x75c4[93])[_0x75c4[78]];_0xd7efx3[_0x75c4[72]](mxResources[_0x75c4[26]](_0x75c4[48]),null,function(){_0xd7efx2[_0x75c4[71]](mxConstants.STYLE_HORIZONTAL,true)},_0xd7efx4,null,_0xd7efxb)})));this[_0x75c4[30]](_0x75c4[120], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[86]),[mxConstants[_0x75c4[121]],mxConstants[_0x75c4[107]]],[mxConstants[_0x75c4[108]],mxConstants[_0x75c4[112]]],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[109]),[mxConstants[_0x75c4[121]],mxConstants[_0x75c4[107]]],[mxConstants[_0x75c4[110]],mxConstants[_0x75c4[110]]],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[84]),[mxConstants[_0x75c4[121]],mxConstants[_0x75c4[107]]],[mxConstants[_0x75c4[112]],mxConstants[_0x75c4[108]]],null,_0xd7efx4);_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[82]),[mxConstants[_0x75c4[122]],mxConstants[_0x75c4[114]]],[mxConstants[_0x75c4[115]],mxConstants[_0x75c4[119]]],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[116]),[mxConstants[_0x75c4[122]],mxConstants[_0x75c4[114]]],[mxConstants[_0x75c4[117]],mxConstants[_0x75c4[117]]],null,_0xd7efx4);this[_0x75c4[20]](_0xd7efx3,mxResources[_0x75c4[26]](_0x75c4[85]),[mxConstants[_0x75c4[122]],mxConstants[_0x75c4[114]]],[mxConstants[_0x75c4[119]],mxConstants[_0x75c4[115]]],null,_0xd7efx4)})));this[_0x75c4[30]](_0x75c4[123], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){_0xd7efx3[_0x75c4[72]](mxResources[_0x75c4[26]](_0x75c4[124]),null,function(){_0xd7efx2[_0x75c4[71]](mxConstants.STYLE_FLIPH,false)},_0xd7efx4);_0xd7efx3[_0x75c4[72]](mxResources[_0x75c4[26]](_0x75c4[125]),null,function(){_0xd7efx2[_0x75c4[71]](mxConstants.STYLE_FLIPV,false)},_0xd7efx4);this[_0x75c4[99]](_0xd7efx3,[_0x75c4[95],_0x75c4[126],_0x75c4[127]],_0xd7efx4)})));this[_0x75c4[30]](_0x75c4[128], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){_0xd7efx3[_0x75c4[72]](mxResources[_0x75c4[26]](_0x75c4[106]),null,function(){_0xd7efx2[_0x75c4[129]](mxConstants.ALIGN_LEFT)},_0xd7efx4);_0xd7efx3[_0x75c4[72]](mxResources[_0x75c4[26]](_0x75c4[109]),null,function(){_0xd7efx2[_0x75c4[129]](mxConstants.ALIGN_CENTER)},_0xd7efx4);_0xd7efx3[_0x75c4[72]](mxResources[_0x75c4[26]](_0x75c4[111]),null,function(){_0xd7efx2[_0x75c4[129]](mxConstants.ALIGN_RIGHT)},_0xd7efx4);_0xd7efx3[_0x75c4[24]](_0xd7efx4);_0xd7efx3[_0x75c4[72]](mxResources[_0x75c4[26]](_0x75c4[113]),null,function(){_0xd7efx2[_0x75c4[129]](mxConstants.ALIGN_TOP)},_0xd7efx4);_0xd7efx3[_0x75c4[72]](mxResources[_0x75c4[26]](_0x75c4[116]),null,function(){_0xd7efx2[_0x75c4[129]](mxConstants.ALIGN_MIDDLE)},_0xd7efx4);_0xd7efx3[_0x75c4[72]](mxResources[_0x75c4[26]](_0x75c4[118]),null,function(){_0xd7efx2[_0x75c4[129]](mxConstants.ALIGN_BOTTOM)},_0xd7efx4)})));this[_0x75c4[30]](_0x75c4[130], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){_0xd7efx3[_0x75c4[72]](mxResources[_0x75c4[26]](_0x75c4[131]),null,mxUtils[_0x75c4[29]](this,function(){var _0xd7efxc= new mxHierarchicalLayout(_0xd7efx2,mxConstants.DIRECTION_WEST);this[_0x75c4[0]][_0x75c4[132]](_0xd7efxc,true,true)}),_0xd7efx4);_0xd7efx3[_0x75c4[72]](mxResources[_0x75c4[26]](_0x75c4[133]),null,mxUtils[_0x75c4[29]](this,function(){var _0xd7efxc= new mxHierarchicalLayout(_0xd7efx2,mxConstants.DIRECTION_NORTH);this[_0x75c4[0]][_0x75c4[132]](_0xd7efxc,true,true)}),_0xd7efx4)})));this[_0x75c4[30]](_0x75c4[134], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){this[_0x75c4[99]](_0xd7efx3,[_0x75c4[135],_0x75c4[95],_0x75c4[136],_0x75c4[137],_0x75c4[95],_0x75c4[138],_0x75c4[139]],_0xd7efx4)})));this[_0x75c4[30]](_0x75c4[140], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){this[_0x75c4[99]](_0xd7efx3,[_0x75c4[141],_0x75c4[142],_0x75c4[95]],_0xd7efx4);this[_0x75c4[94]](_0x75c4[130],_0xd7efx3,_0xd7efx4);_0xd7efx3[_0x75c4[24]](_0xd7efx4);this[_0x75c4[99]](_0xd7efx3,[_0x75c4[95],_0x75c4[143],_0x75c4[144],_0x75c4[145]],_0xd7efx4)})));this[_0x75c4[30]](_0x75c4[146], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){this[_0x75c4[99]](_0xd7efx3,[_0x75c4[147]],_0xd7efx4);_0xd7efx3[_0x75c4[24]]();var _0xd7efxd=[0.25,0.5,0.75,1,2,4];for(var _0xd7efx6=0;_0xd7efx6<_0xd7efxd[_0x75c4[18]];_0xd7efx6++){(function(_0xd7efxe){_0xd7efx3[_0x75c4[72]]((_0xd7efxe*100)+_0x75c4[148],null,function(){_0xd7efx2[_0x75c4[149]](_0xd7efxe)},_0xd7efx4)})(_0xd7efxd[_0xd7efx6])};this[_0x75c4[99]](_0xd7efx3,[_0x75c4[95],_0x75c4[150],_0x75c4[151],_0x75c4[95],_0x75c4[152],_0x75c4[153],_0x75c4[95],_0x75c4[154],_0x75c4[155]],_0xd7efx4)})));this[_0x75c4[30]](_0x75c4[156], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){this[_0x75c4[99]](_0xd7efx3,[_0x75c4[157],_0x75c4[158],_0x75c4[95],_0x75c4[159],_0x75c4[160],_0x75c4[161],_0x75c4[95],_0x75c4[162],_0x75c4[95],_0x75c4[163],_0x75c4[164],_0x75c4[95],_0x75c4[165],_0x75c4[166],_0x75c4[95],_0x75c4[167]],_0xd7efx4)})));this[_0x75c4[30]](_0x75c4[168], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){this[_0x75c4[99]](_0xd7efx3,[_0x75c4[169],_0x75c4[170],_0x75c4[95],_0x75c4[171],_0x75c4[172],_0x75c4[173],_0x75c4[174],_0x75c4[95],_0x75c4[175],_0x75c4[95],_0x75c4[176],_0x75c4[177],_0x75c4[178]])})));this[_0x75c4[30]](_0x75c4[179], new Menu(mxUtils[_0x75c4[29]](this,function(_0xd7efx3,_0xd7efx4){this[_0x75c4[99]](_0xd7efx3,[_0x75c4[179],_0x75c4[95],_0x75c4[180]])})))};Menus[_0x75c4[5]][_0x75c4[30]]=function(_0xd7efxf,_0xd7efx3){this[_0x75c4[1]][_0xd7efxf]=_0xd7efx3};Menus[_0x75c4[5]][_0x75c4[26]]=function(_0xd7efxf){return this[_0x75c4[1]][_0xd7efxf]};Menus[_0x75c4[5]][_0x75c4[94]]=function(_0xd7efxf,_0xd7efx3,_0xd7efx4){var _0xd7efxb=this[_0x75c4[26]](_0xd7efxf)[_0x75c4[78]];if(_0xd7efx3[_0x75c4[81]]||_0xd7efxb){var _0xd7efx10=_0xd7efx3[_0x75c4[72]](mxResources[_0x75c4[26]](_0xd7efxf),null,null,_0xd7efx4,null,_0xd7efxb);this[_0x75c4[181]](_0xd7efxf,_0xd7efx3,_0xd7efx10)}};Menus[_0x75c4[5]][_0x75c4[181]]=function(_0xd7efxf,_0xd7efx11,_0xd7efx4){var _0xd7efx3=this[_0x75c4[26]](_0xd7efxf);if(_0xd7efx3!=null&&(_0xd7efx11[_0x75c4[81]]||_0xd7efx3[_0x75c4[78]])){this[_0x75c4[26]](_0xd7efxf)[_0x75c4[182]](_0xd7efx11,_0xd7efx4)}};Menus[_0x75c4[5]][_0x75c4[20]]=function(_0xd7efx3,_0xd7efx12,_0xd7efx13,_0xd7efx14,_0xd7efx15,_0xd7efx4){return _0xd7efx3[_0x75c4[72]](_0xd7efx12,null,mxUtils[_0x75c4[29]](this,function(){var _0xd7efx2=this[_0x75c4[0]][_0x75c4[7]][_0x75c4[6]];_0xd7efx2[_0x75c4[184]]()[_0x75c4[183]]();try{for(var _0xd7efx6=0;_0xd7efx6<_0xd7efx13[_0x75c4[18]];_0xd7efx6++){_0xd7efx2[_0x75c4[185]](_0xd7efx13[_0xd7efx6],_0xd7efx14[_0xd7efx6])}}finally{_0xd7efx2[_0x75c4[184]]()[_0x75c4[186]]()}}),_0xd7efx4,_0xd7efx15)};Menus[_0x75c4[5]][_0x75c4[28]]=function(_0xd7efx3,_0xd7efx12,_0xd7efx16,_0xd7efx17,_0xd7efx18,_0xd7efx4,_0xd7efxb){return _0xd7efx3[_0x75c4[72]](_0xd7efx12,null,mxUtils[_0x75c4[29]](this,function(){var _0xd7efx2=this[_0x75c4[0]][_0x75c4[7]][_0x75c4[6]];var _0xd7efx19=_0xd7efx17;var _0xd7efx1a=_0xd7efx2[_0x75c4[189]]()[_0x75c4[188]](_0xd7efx2[_0x75c4[187]]());if(_0xd7efx1a!=null){_0xd7efx19=_0xd7efx1a[_0x75c4[21]][_0xd7efx18]||_0xd7efx19};_0xd7efx19=mxUtils[_0x75c4[192]](mxResources[_0x75c4[26]](_0x75c4[190])+((_0xd7efx16[_0x75c4[18]]>0)?(_0x75c4[191]+_0xd7efx16):_0x75c4[27]),_0xd7efx19);if(_0xd7efx19!=null&&_0xd7efx19[_0x75c4[18]]>0){_0xd7efx2[_0x75c4[185]](_0xd7efx18,_0xd7efx19)}}),_0xd7efx4,null,_0xd7efxb)};Menus[_0x75c4[5]][_0x75c4[92]]=function(_0xd7efx3,_0xd7efx18,_0xd7efx4){var _0xd7efx1b=this[_0x75c4[0]][_0x75c4[80]][_0x75c4[26]](_0xd7efx18);if(_0xd7efx1b!=null&&(_0xd7efx3[_0x75c4[81]]||_0xd7efx1b[_0x75c4[78]])){var _0xd7efx1c=_0xd7efx3[_0x75c4[72]](_0xd7efx1b[_0x75c4[193]],null,_0xd7efx1b[_0x75c4[194]],_0xd7efx4,null,_0xd7efx1b[_0x75c4[78]]);if(_0xd7efx1b[_0x75c4[195]]&&_0xd7efx1b[_0x75c4[196]]()){this[_0x75c4[197]](_0xd7efx1c)};this[_0x75c4[198]](_0xd7efx1c,_0xd7efx1b);return _0xd7efx1c};return null};Menus[_0x75c4[5]][_0x75c4[198]]=function(_0xd7efx1c,_0xd7efx1b){if(_0xd7efx1b[_0x75c4[199]]!=null){var _0xd7efx1d=_0xd7efx1c[_0x75c4[23]][_0x75c4[22]][_0x75c4[22]];var _0xd7efx1e=document[_0x75c4[201]](_0x75c4[200]);_0xd7efx1e[_0x75c4[21]][_0x75c4[202]]=_0x75c4[203];mxUtils[_0x75c4[204]](_0xd7efx1e,_0xd7efx1b[_0x75c4[199]]);_0xd7efx1d[_0x75c4[205]](_0xd7efx1e)}};Menus[_0x75c4[5]][_0x75c4[197]]=function(_0xd7efx1c){var _0xd7efx1d=_0xd7efx1c[_0x75c4[23]][_0x75c4[22]];_0xd7efx1d[_0x75c4[21]][_0x75c4[206]]=_0x75c4[207]+IMAGE_PATH+_0x75c4[208];_0xd7efx1d[_0x75c4[21]][_0x75c4[209]]=_0x75c4[210];_0xd7efx1d[_0x75c4[21]][_0x75c4[211]]=_0x75c4[212]};Menus[_0x75c4[5]][_0x75c4[99]]=function(_0xd7efx3,_0xd7efx13,_0xd7efx4){for(var _0xd7efx6=0;_0xd7efx6<_0xd7efx13[_0x75c4[18]];_0xd7efx6++){if(_0xd7efx13[_0xd7efx6]==_0x75c4[95]){_0xd7efx3[_0x75c4[24]](_0xd7efx4)}else {this[_0x75c4[92]](_0xd7efx3,_0xd7efx13[_0xd7efx6],_0xd7efx4)}}};Menus[_0x75c4[5]][_0x75c4[213]]=function(_0xd7efx3,_0xd7efx1f,_0xd7efx20){var _0xd7efx2=this[_0x75c4[0]][_0x75c4[7]][_0x75c4[6]];_0xd7efx3[_0x75c4[214]]=true;if(_0xd7efx2[_0x75c4[215]]()){this[_0x75c4[99]](_0xd7efx3,[_0x75c4[169],_0x75c4[170],_0x75c4[95],_0x75c4[173],_0x75c4[95]])}else {this[_0x75c4[99]](_0xd7efx3,[_0x75c4[174],_0x75c4[95],_0x75c4[171],_0x75c4[172],_0x75c4[95],_0x75c4[175]]);if(_0xd7efx2[_0x75c4[216]]()==1&&_0xd7efx2[_0x75c4[184]]()[_0x75c4[217]](_0xd7efx2[_0x75c4[187]]())){this[_0x75c4[99]](_0xd7efx3,[_0x75c4[218]])};_0xd7efx3[_0x75c4[24]]()};if(_0xd7efx2[_0x75c4[216]]()>0){this[_0x75c4[99]](_0xd7efx3,[_0x75c4[141],_0x75c4[142],_0x75c4[95]]);if(_0xd7efx2[_0x75c4[184]]()[_0x75c4[217]](_0xd7efx2[_0x75c4[187]]())){var _0xd7efx21=false;var _0xd7efx1f=_0xd7efx2[_0x75c4[187]]();if(_0xd7efx1f!=null&&_0xd7efx2[_0x75c4[184]]()[_0x75c4[217]](_0xd7efx1f)){var _0xd7efx22=_0xd7efx2[_0x75c4[220]][_0x75c4[219]](_0xd7efx1f);if(_0xd7efx22 instanceof mxEdgeHandler&&_0xd7efx22[_0x75c4[221]]!=null&&_0xd7efx22[_0x75c4[221]][_0x75c4[18]]>2){var _0xd7efx23=_0xd7efx22[_0x75c4[222]]( new mxMouseEvent(_0xd7efx20));var _0xd7efx24=this[_0x75c4[0]][_0x75c4[80]][_0x75c4[26]](_0x75c4[223]);_0xd7efx24[_0x75c4[224]]=_0xd7efx22;_0xd7efx24[_0x75c4[225]]=_0xd7efx23;_0xd7efx21=_0xd7efx23>0&&_0xd7efx23<_0xd7efx22[_0x75c4[221]][_0x75c4[18]]}};this[_0x75c4[99]](_0xd7efx3,[_0x75c4[95],(_0xd7efx21)?_0x75c4[223]:_0x75c4[226]])}else {if(_0xd7efx2[_0x75c4[216]]()>1){_0xd7efx3[_0x75c4[24]]();this[_0x75c4[99]](_0xd7efx3,[_0x75c4[143]])}};_0xd7efx3[_0x75c4[24]]();if(_0xd7efx2[_0x75c4[216]]()==1){var _0xd7efx25=_0xd7efx2[_0x75c4[227]](_0xd7efx2[_0x75c4[187]]());if(_0xd7efx25!=null){this[_0x75c4[99]](_0xd7efx3,[_0x75c4[228]])}}}else {this[_0x75c4[99]](_0xd7efx3,[_0x75c4[95],_0x75c4[176],_0x75c4[177],_0x75c4[95],_0x75c4[178]])}};Menus[_0x75c4[5]][_0x75c4[229]]=function(_0xd7efx26){var _0xd7efx27= new Menubar(this[_0x75c4[0]],_0xd7efx26);var _0xd7efx28=[_0x75c4[156],_0x75c4[168],_0x75c4[146],_0x75c4[91],_0x75c4[93],_0x75c4[140]];for(var _0xd7efx6=0;_0xd7efx6<_0xd7efx28[_0x75c4[18]];_0xd7efx6++){_0xd7efx27[_0x75c4[181]](mxResources[_0x75c4[26]](_0xd7efx28[_0xd7efx6]),this[_0x75c4[26]](_0xd7efx28[_0xd7efx6])[_0x75c4[194]])};return _0xd7efx27};function Menubar(_0xd7efx1,_0xd7efx26){this[_0x75c4[0]]=_0xd7efx1;this[_0x75c4[230]]=_0xd7efx26;mxEvent[_0x75c4[232]](document,mxUtils[_0x75c4[29]](this,function(_0xd7efx20){this[_0x75c4[231]]()}))}Menubar[_0x75c4[5]][_0x75c4[231]]=function(){if(this[_0x75c4[233]]!=null){this[_0x75c4[233]][_0x75c4[231]]()}};Menubar[_0x75c4[5]][_0x75c4[181]]=function(_0xd7efx12,_0xd7efx2a){var _0xd7efx2b=document[_0x75c4[201]](_0x75c4[234]);_0xd7efx2b[_0x75c4[237]](_0x75c4[235],_0x75c4[236]);_0xd7efx2b[_0x75c4[238]]=_0x75c4[239];mxUtils[_0x75c4[204]](_0xd7efx2b,_0xd7efx12);this[_0x75c4[240]](_0xd7efx2b,_0xd7efx2a);this[_0x75c4[230]][_0x75c4[205]](_0xd7efx2b);return _0xd7efx2b};Menubar[_0x75c4[5]][_0x75c4[240]]=function(_0xd7efx2b,_0xd7efx2a){if(_0xd7efx2a!=null){var _0xd7efx2c=true;var _0xd7efx2d=mxUtils[_0x75c4[29]](this,function(_0xd7efx20){if(_0xd7efx2c&&_0xd7efx2b[_0x75c4[78]]==null||_0xd7efx2b[_0x75c4[78]]){this[_0x75c4[0]][_0x75c4[7]][_0x75c4[6]][_0x75c4[241]][_0x75c4[231]]();var _0xd7efx3= new mxPopupMenu(_0xd7efx2a);_0xd7efx3[_0x75c4[242]][_0x75c4[238]]+=_0x75c4[243];_0xd7efx3[_0x75c4[214]]=true;_0xd7efx3[_0x75c4[81]]=true;_0xd7efx3[_0x75c4[244]]=true;_0xd7efx3[_0x75c4[231]]=mxUtils[_0x75c4[29]](this,function(){mxPopupMenu[_0x75c4[5]][_0x75c4[231]][_0x75c4[245]](_0xd7efx3,arguments);_0xd7efx3[_0x75c4[246]]();this[_0x75c4[233]]=null;this[_0x75c4[247]]=null});var _0xd7efx2e=_0xd7efx2b[_0x75c4[248]]+document[_0x75c4[251]](_0x75c4[250])[_0x75c4[249]]+22;var _0xd7efx2f=_0xd7efx2b[_0x75c4[252]]+_0xd7efx2b[_0x75c4[253]]+140;_0xd7efx3[_0x75c4[254]](_0xd7efx2e,_0xd7efx2f,null,_0xd7efx20);this[_0x75c4[233]]=_0xd7efx3;this[_0x75c4[247]]=_0xd7efx2b};_0xd7efx2c=true;mxEvent[_0x75c4[255]](_0xd7efx20)});mxEvent[_0x75c4[257]](_0xd7efx2b,_0x75c4[256],mxUtils[_0x75c4[29]](this,function(_0xd7efx20){if(this[_0x75c4[233]]!=null&&this[_0x75c4[247]]!=_0xd7efx2b){this[_0x75c4[231]]();_0xd7efx2d(_0xd7efx20)}}));mxEvent[_0x75c4[257]](_0xd7efx2b,_0x75c4[258],mxUtils[_0x75c4[29]](this,function(){_0xd7efx2c=this[_0x75c4[247]]!=_0xd7efx2b}));mxEvent[_0x75c4[257]](_0xd7efx2b,_0x75c4[259],_0xd7efx2d)}};function Menu(_0xd7efx2a,_0xd7efxb){mxEventSource[_0x75c4[260]](this);this[_0x75c4[194]]=_0xd7efx2a;this[_0x75c4[78]]=(_0xd7efxb!=null)?_0xd7efxb:true}mxUtils[_0x75c4[261]](Menu,mxEventSource);Menu[_0x75c4[5]][_0x75c4[262]]=function(_0xd7efx19){if(this[_0x75c4[78]]!=_0xd7efx19){this[_0x75c4[78]]=_0xd7efx19;this[_0x75c4[264]]( new mxEventObject(_0x75c4[263]))}};Menu[_0x75c4[5]][_0x75c4[182]]=function(_0xd7efx3,_0xd7efx4){this[_0x75c4[194]](_0xd7efx3,_0xd7efx4)} \ No newline at end of file diff --git a/public/assets/plugins/jscripty/js/Shapes.js b/public/assets/plugins/jscripty/js/Shapes.js index a3912ee..c9de847 100644 --- a/public/assets/plugins/jscripty/js/Shapes.js +++ b/public/assets/plugins/jscripty/js/Shapes.js @@ -1 +1 @@ -var _0x63d3=["\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x63\x6F\x6E\x73\x74\x72\x75\x63\x74\x6F\x72","\x73\x69\x7A\x65","\x72\x65\x64\x72\x61\x77\x50\x61\x74\x68","\x73\x74\x79\x6C\x65","\x67\x65\x74\x56\x61\x6C\x75\x65","\x6D\x69\x6E","\x6D\x6F\x76\x65\x54\x6F","\x6C\x69\x6E\x65\x54\x6F","\x65\x6E\x64","\x63\x6C\x6F\x73\x65","\x63\x75\x62\x65","\x64\x65\x66\x61\x75\x6C\x74\x53\x68\x61\x70\x65\x73","\x6E\x6F\x74\x65","\x74\x61\x62\x57\x69\x64\x74\x68","\x74\x61\x62\x48\x65\x69\x67\x68\x74","\x74\x61\x62\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x72\x69\x67\x68\x74","\x6C\x65\x66\x74","\x66\x6F\x6C\x64\x65\x72","\x63\x61\x72\x64","\x71\x75\x61\x64\x54\x6F","\x74\x61\x70\x65","\x73\x74\x65\x70","\x63\x61\x6C\x6C","\x65\x78\x74\x65\x6E\x64","\x69\x73\x48\x74\x6D\x6C\x41\x6C\x6C\x6F\x77\x65\x64","\x70\x61\x69\x6E\x74\x46\x6F\x72\x65\x67\x72\x6F\x75\x6E\x64","\x62\x65\x67\x69\x6E","\x73\x74\x72\x6F\x6B\x65","\x61\x70\x70\x6C\x79","\x70\x6C\x75\x73","\x64\x6F\x75\x62\x6C\x65","\x73\x74\x72\x6F\x6B\x65\x77\x69\x64\x74\x68","\x6D\x61\x78","\x70\x61\x69\x6E\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x73\x65\x74\x44\x61\x73\x68\x65\x64","\x73\x79\x6D\x62\x6F\x6C","\x41\x6C\x69\x67\x6E","\x56\x65\x72\x74\x69\x63\x61\x6C\x41\x6C\x69\x67\x6E","\x57\x69\x64\x74\x68","\x48\x65\x69\x67\x68\x74","\x53\x70\x61\x63\x69\x6E\x67","\x41\x72\x63\x53\x70\x61\x63\x69\x6E\x67","\x67\x65\x74\x41\x72\x63\x53\x69\x7A\x65","\x41\x4C\x49\x47\x4E\x5F\x43\x45\x4E\x54\x45\x52","\x41\x4C\x49\x47\x4E\x5F\x52\x49\x47\x48\x54","\x41\x4C\x49\x47\x4E\x5F\x4D\x49\x44\x44\x4C\x45","\x41\x4C\x49\x47\x4E\x5F\x42\x4F\x54\x54\x4F\x4D","\x73\x61\x76\x65","\x70\x61\x69\x6E\x74\x56\x65\x72\x74\x65\x78\x53\x68\x61\x70\x65","\x72\x65\x73\x74\x6F\x72\x65","\x65\x78\x74","\x6D\x65\x73\x73\x61\x67\x65","\x61\x64\x64\x50\x69\x70\x65","\x63\x75\x72\x76\x65\x54\x6F","\x75\x6D\x6C\x41\x63\x74\x6F\x72","\x6C\x6F\x6C\x6C\x69\x70\x6F\x70","\x6A\x65\x74\x74\x79\x57\x69\x64\x74\x68","\x6A\x65\x74\x74\x79\x48\x65\x69\x67\x68\x74","\x63\x6F\x6D\x70\x6F\x6E\x65\x6E\x74","\x6F\x75\x74\x65\x72\x53\x74\x72\x6F\x6B\x65","\x65\x6C\x6C\x69\x70\x73\x65","\x66\x69\x6C\x6C\x41\x6E\x64\x53\x74\x72\x6F\x6B\x65","\x73\x65\x74\x53\x68\x61\x64\x6F\x77","\x65\x6E\x64\x53\x74\x61\x74\x65","\x73\x74\x61\x72\x74\x53\x74\x61\x74\x65","\x69\x6E\x69\x74\x53\x68\x61\x70\x65\x73","\x78","\x79","\x77\x69\x64\x74\x68","\x68\x65\x69\x67\x68\x74","\x73\x68\x61\x70\x65\x73","\x70\x61\x69\x6E\x74\x45\x64\x67\x65\x53\x68\x61\x70\x65","\x6C\x65\x6E\x67\x74\x68","\x73\x71\x72\x74","\x6C\x69\x6E\x6B","\x64\x61\x73\x68","\x61\x64\x64\x4D\x61\x72\x6B\x65\x72","\x75\x6E\x64\x65\x66\x69\x6E\x65\x64","\x75\x73\x65\x47\x72\x69\x64\x46\x6F\x72\x53\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65","\x69\x6E\x69\x74","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C","\x73\x74\x61\x74\x65","\x67\x72\x61\x70\x68","\x76\x69\x65\x77","\x68\x61\x6E\x64\x6C\x65\x49\x6D\x61\x67\x65","\x73\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65","\x73\x72\x63","\x64\x69\x61\x6C\x65\x63\x74","\x44\x49\x41\x4C\x45\x43\x54\x5F\x53\x56\x47","\x44\x49\x41\x4C\x45\x43\x54\x5F\x56\x4D\x4C","\x67\x65\x74\x4F\x76\x65\x72\x6C\x61\x79\x50\x61\x6E\x65","\x67\x65\x74\x56\x69\x65\x77","\x63\x75\x72\x73\x6F\x72","\x6E\x6F\x64\x65","\x67\x65\x74\x53\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65\x43\x75\x72\x73\x6F\x72","\x72\x65\x64\x69\x72\x65\x63\x74\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74\x73","\x64\x65\x66\x61\x75\x6C\x74","\x72\x65\x64\x72\x61\x77","\x62\x6F\x75\x6E\x64\x73","\x67\x65\x74\x53\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65\x42\x6F\x75\x6E\x64\x73","\x64\x65\x73\x74\x72\x6F\x79","\x67\x65\x74\x48\x61\x6E\x64\x6C\x65\x46\x6F\x72\x45\x76\x65\x6E\x74","\x69\x73\x53\x6F\x75\x72\x63\x65","\x6D\x6F\x75\x73\x65\x4D\x6F\x76\x65","\x69\x73\x43\x6F\x6E\x73\x75\x6D\x65\x64","\x69\x6E\x64\x65\x78","\x67\x65\x74\x47\x72\x61\x70\x68\x58","\x67\x65\x74\x47\x72\x61\x70\x68\x59","\x63\x6F\x6E\x73\x74\x72\x61\x69\x6E\x50\x6F\x69\x6E\x74","\x67\x65\x74\x45\x76\x65\x6E\x74","\x69\x73\x47\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64\x45\x76\x65\x6E\x74","\x73\x63\x61\x6C\x65","\x73\x6E\x61\x70","\x75\x70\x64\x61\x74\x65\x53\x74\x79\x6C\x65","\x6D\x6F\x76\x65\x53\x69\x7A\x65\x72\x54\x6F","\x63\x65\x6C\x6C\x52\x65\x6E\x64\x65\x72\x65\x72","\x63\x6F\x6E\x73\x75\x6D\x65","\x6D\x6F\x75\x73\x65\x55\x70","\x61\x70\x70\x6C\x79\x53\x74\x79\x6C\x65","\x72\x65\x73\x65\x74","\x67\x65\x74\x53\x68\x61\x70\x65\x52\x6F\x74\x61\x74\x69\x6F\x6E","\x73\x68\x61\x70\x65","\x74\x6F\x52\x61\x64\x69\x61\x6E\x73","\x63\x6F\x73","\x73\x69\x6E","\x69\x73\x50\x61\x69\x6E\x74\x42\x6F\x75\x6E\x64\x73\x49\x6E\x76\x65\x72\x74\x65\x64","\x67\x65\x74\x53\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65\x50\x6F\x69\x6E\x74","\x66\x6C\x69\x70\x48","\x66\x6C\x69\x70\x56","\x67\x65\x74\x43\x65\x6E\x74\x65\x72\x58","\x67\x65\x74\x43\x65\x6E\x74\x65\x72\x59","\x67\x65\x74\x52\x6F\x74\x61\x74\x65\x64\x50\x6F\x69\x6E\x74","\x75\x70\x64\x61\x74\x65\x53\x74\x79\x6C\x65\x55\x6E\x72\x6F\x74\x61\x74\x65\x64","\x72\x6F\x75\x6E\x64","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x62\x65\x67\x69\x6E\x55\x70\x64\x61\x74\x65","\x63\x65\x6C\x6C","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x65\x6E\x64\x55\x70\x64\x61\x74\x65","\x73\x74\x61\x72\x74\x53\x69\x7A\x65","\x64\x65\x66\x61\x75\x6C\x74\x56\x61\x6C\x75\x65","\x73\x63\x61\x6C\x65\x46\x61\x63\x74\x6F\x72","\x63\x72\x65\x61\x74\x65\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x41\x6C\x6C\x43\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74\x73","\x73\x74\x65\x6E\x63\x69\x6C","\x63\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74\x73"];(function(){function _0xdb53x1(){}_0xdb53x1[_0x63d3[0]]= new mxCylinder();_0xdb53x1[_0x63d3[0]][_0x63d3[1]]=_0xdb53x1;_0xdb53x1[_0x63d3[0]][_0x63d3[2]]=20;_0xdb53x1[_0x63d3[0]][_0x63d3[3]]=function(_0xdb53x2,_0xdb53x3,_0xdb53x4,_0xdb53x5,_0xdb53x6,_0xdb53x7){var _0xdb53x8=Math[_0x63d3[6]](_0xdb53x5,Math[_0x63d3[6]](_0xdb53x6,mxUtils[_0x63d3[5]](this[_0x63d3[4]],_0x63d3[2],this[_0x63d3[2]])));if(_0xdb53x7){_0xdb53x2[_0x63d3[7]](_0xdb53x8,_0xdb53x6);_0xdb53x2[_0x63d3[8]](_0xdb53x8,_0xdb53x8);_0xdb53x2[_0x63d3[8]](0,0);_0xdb53x2[_0x63d3[7]](_0xdb53x8,_0xdb53x8);_0xdb53x2[_0x63d3[8]](_0xdb53x5,_0xdb53x8);_0xdb53x2[_0x63d3[9]]()}else {_0xdb53x2[_0x63d3[7]](0,0);_0xdb53x2[_0x63d3[8]](_0xdb53x5-_0xdb53x8,0);_0xdb53x2[_0x63d3[8]](_0xdb53x5,_0xdb53x8);_0xdb53x2[_0x63d3[8]](_0xdb53x5,_0xdb53x6);_0xdb53x2[_0x63d3[8]](_0xdb53x8,_0xdb53x6);_0xdb53x2[_0x63d3[8]](0,_0xdb53x6-_0xdb53x8);_0xdb53x2[_0x63d3[8]](0,0);_0xdb53x2[_0x63d3[10]]();_0xdb53x2[_0x63d3[9]]()}};mxCellRenderer[_0x63d3[0]][_0x63d3[12]][_0x63d3[11]]=_0xdb53x1;function _0xdb53x9(){}_0xdb53x9[_0x63d3[0]]= new mxCylinder();_0xdb53x9[_0x63d3[0]][_0x63d3[1]]=_0xdb53x9;_0xdb53x9[_0x63d3[0]][_0x63d3[2]]=30;_0xdb53x9[_0x63d3[0]][_0x63d3[3]]=function(_0xdb53x2,_0xdb53x3,_0xdb53x4,_0xdb53x5,_0xdb53x6,_0xdb53x7){var _0xdb53x8=Math[_0x63d3[6]](_0xdb53x5,Math[_0x63d3[6]](_0xdb53x6,mxUtils[_0x63d3[5]](this[_0x63d3[4]],_0x63d3[2],this[_0x63d3[2]])));if(_0xdb53x7){_0xdb53x2[_0x63d3[7]](_0xdb53x5-_0xdb53x8,0);_0xdb53x2[_0x63d3[8]](_0xdb53x5-_0xdb53x8,_0xdb53x8);_0xdb53x2[_0x63d3[8]](_0xdb53x5,_0xdb53x8);_0xdb53x2[_0x63d3[9]]()}else {_0xdb53x2[_0x63d3[7]](0,0);_0xdb53x2[_0x63d3[8]](_0xdb53x5-_0xdb53x8,0);_0xdb53x2[_0x63d3[8]](_0xdb53x5,_0xdb53x8);_0xdb53x2[_0x63d3[8]](_0xdb53x5,_0xdb53x6);_0xdb53x2[_0x63d3[8]](0,_0xdb53x6);_0xdb53x2[_0x63d3[8]](0,0);_0xdb53x2[_0x63d3[10]]();_0xdb53x2[_0x63d3[9]]()}};mxCellRenderer[_0x63d3[0]][_0x63d3[12]][_0x63d3[13]]=_0xdb53x9;function _0xdb53xa(){}_0xdb53xa[_0x63d3[0]]= new mxCylinder();_0xdb53xa[_0x63d3[0]][_0x63d3[1]]=_0xdb53xa;_0xdb53xa[_0x63d3[0]][_0x63d3[14]]=60;_0xdb53xa[_0x63d3[0]][_0x63d3[15]]=20;_0xdb53xa[_0x63d3[0]][_0x63d3[16]]=_0x63d3[17];_0xdb53xa[_0x63d3[0]][_0x63d3[3]]=function(_0xdb53x2,_0xdb53x3,_0xdb53x4,_0xdb53x5,_0xdb53x6,_0xdb53x7){var _0xdb53xb=mxUtils[_0x63d3[5]](this[_0x63d3[4]],_0x63d3[14],this[_0x63d3[14]]);var _0xdb53xc=mxUtils[_0x63d3[5]](this[_0x63d3[4]],_0x63d3[15],this[_0x63d3[15]]);var _0xdb53xd=mxUtils[_0x63d3[5]](this[_0x63d3[4]],_0x63d3[16],this[_0x63d3[16]]);var _0xdb53xe=Math[_0x63d3[6]](_0xdb53x5,_0xdb53xb);var _0xdb53xf=Math[_0x63d3[6]](_0xdb53x6,_0xdb53xc);if(_0xdb53x7){if(_0xdb53xd==_0x63d3[18]){_0xdb53x2[_0x63d3[7]](0,_0xdb53xf);_0xdb53x2[_0x63d3[8]](_0xdb53xe,_0xdb53xf)}else {_0xdb53x2[_0x63d3[7]](_0xdb53x5-_0xdb53xe,_0xdb53xf);_0xdb53x2[_0x63d3[8]](_0xdb53x5,_0xdb53xf)};_0xdb53x2[_0x63d3[9]]()}else {if(_0xdb53xd==_0x63d3[18]){_0xdb53x2[_0x63d3[7]](0,0);_0xdb53x2[_0x63d3[8]](_0xdb53xe,0);_0xdb53x2[_0x63d3[8]](_0xdb53xe,_0xdb53xf);_0xdb53x2[_0x63d3[8]](_0xdb53x5,_0xdb53xf)}else {_0xdb53x2[_0x63d3[7]](0,_0xdb53xf);_0xdb53x2[_0x63d3[8]](_0xdb53x5-_0xdb53xe,_0xdb53xf);_0xdb53x2[_0x63d3[8]](_0xdb53x5-_0xdb53xe,0);_0xdb53x2[_0x63d3[8]](_0xdb53x5,0)};_0xdb53x2[_0x63d3[8]](_0xdb53x5,_0xdb53x6);_0xdb53x2[_0x63d3[8]](0,_0xdb53x6);_0xdb53x2[_0x63d3[8]](0,_0xdb53xf);_0xdb53x2[_0x63d3[10]]();_0xdb53x2[_0x63d3[9]]()}};mxCellRenderer[_0x63d3[0]][_0x63d3[12]][_0x63d3[19]]=_0xdb53xa;function _0xdb53x10(){}_0xdb53x10[_0x63d3[0]]= new mxCylinder();_0xdb53x10[_0x63d3[0]][_0x63d3[1]]=_0xdb53x10;_0xdb53x10[_0x63d3[0]][_0x63d3[2]]=30;_0xdb53x10[_0x63d3[0]][_0x63d3[3]]=function(_0xdb53x2,_0xdb53x3,_0xdb53x4,_0xdb53x5,_0xdb53x6,_0xdb53x7){var _0xdb53x8=Math[_0x63d3[6]](_0xdb53x5,Math[_0x63d3[6]](_0xdb53x6,mxUtils[_0x63d3[5]](this[_0x63d3[4]],_0x63d3[2],this[_0x63d3[2]])));if(!_0xdb53x7){_0xdb53x2[_0x63d3[7]](_0xdb53x8,0);_0xdb53x2[_0x63d3[8]](_0xdb53x5,0);_0xdb53x2[_0x63d3[8]](_0xdb53x5,_0xdb53x6);_0xdb53x2[_0x63d3[8]](0,_0xdb53x6);_0xdb53x2[_0x63d3[8]](0,_0xdb53x8);_0xdb53x2[_0x63d3[8]](_0xdb53x8,0);_0xdb53x2[_0x63d3[10]]();_0xdb53x2[_0x63d3[9]]()}};mxCellRenderer[_0x63d3[0]][_0x63d3[12]][_0x63d3[20]]=_0xdb53x10;function _0xdb53x11(){}_0xdb53x11[_0x63d3[0]]= new mxCylinder();_0xdb53x11[_0x63d3[0]][_0x63d3[1]]=_0xdb53x11;_0xdb53x11[_0x63d3[0]][_0x63d3[2]]=0.4;_0xdb53x11[_0x63d3[0]][_0x63d3[3]]=function(_0xdb53x2,_0xdb53x3,_0xdb53x4,_0xdb53x5,_0xdb53x6,_0xdb53x7){var _0xdb53x8=mxUtils[_0x63d3[5]](this[_0x63d3[4]],_0x63d3[2],this[_0x63d3[2]]);var _0xdb53xf=_0xdb53x6*_0xdb53x8;var _0xdb53x12=1.4;if(!_0xdb53x7){_0xdb53x2[_0x63d3[7]](0,_0xdb53xf/2);_0xdb53x2[_0x63d3[21]](_0xdb53x5/4,_0xdb53xf*_0xdb53x12,_0xdb53x5/2,_0xdb53xf/2);_0xdb53x2[_0x63d3[21]](_0xdb53x5*3/4,_0xdb53xf*(1-_0xdb53x12),_0xdb53x5,_0xdb53xf/2);_0xdb53x2[_0x63d3[8]](_0xdb53x5,_0xdb53x6-_0xdb53xf/2);_0xdb53x2[_0x63d3[21]](_0xdb53x5*3/4,_0xdb53x6-_0xdb53xf*_0xdb53x12,_0xdb53x5/2,_0xdb53x6-_0xdb53xf/2);_0xdb53x2[_0x63d3[21]](_0xdb53x5/4,_0xdb53x6-_0xdb53xf*(1-_0xdb53x12),0,_0xdb53x6-_0xdb53xf/2);_0xdb53x2[_0x63d3[8]](0,_0xdb53xf/2);_0xdb53x2[_0x63d3[10]]();_0xdb53x2[_0x63d3[9]]()}};mxCellRenderer[_0x63d3[0]][_0x63d3[12]][_0x63d3[22]]=_0xdb53x11;function _0xdb53x13(){}_0xdb53x13[_0x63d3[0]]= new mxCylinder();_0xdb53x13[_0x63d3[0]][_0x63d3[1]]=_0xdb53x13;_0xdb53x13[_0x63d3[0]][_0x63d3[2]]=0.2;_0xdb53x13[_0x63d3[0]][_0x63d3[3]]=function(_0xdb53x2,_0xdb53x3,_0xdb53x4,_0xdb53x5,_0xdb53x6,_0xdb53x7){var _0xdb53x8=_0xdb53x5*mxUtils[_0x63d3[5]](this[_0x63d3[4]],_0x63d3[2],this[_0x63d3[2]]);if(!_0xdb53x7){_0xdb53x2[_0x63d3[7]](0,0);_0xdb53x2[_0x63d3[8]](_0xdb53x5-_0xdb53x8,0);_0xdb53x2[_0x63d3[8]](_0xdb53x5,_0xdb53x6/2);_0xdb53x2[_0x63d3[8]](_0xdb53x5-_0xdb53x8,_0xdb53x6);_0xdb53x2[_0x63d3[8]](0,_0xdb53x6);_0xdb53x2[_0x63d3[8]](_0xdb53x8,_0xdb53x6/2);_0xdb53x2[_0x63d3[10]]();_0xdb53x2[_0x63d3[9]]()}};mxCellRenderer[_0x63d3[0]][_0x63d3[12]][_0x63d3[23]]=_0xdb53x13;function _0xdb53x14(){mxRectangleShape[_0x63d3[24]](this)}mxUtils[_0x63d3[25]](_0xdb53x14,mxRectangleShape);_0xdb53x14[_0x63d3[0]][_0x63d3[26]]=function(){return false};_0xdb53x14[_0x63d3[0]][_0x63d3[27]]=function(_0xdb53x15,_0xdb53x3,_0xdb53x4,_0xdb53x5,_0xdb53x6){var _0xdb53x16=Math[_0x63d3[6]](_0xdb53x5/5,_0xdb53x6/5)+1;_0xdb53x15[_0x63d3[28]]();_0xdb53x15[_0x63d3[7]](_0xdb53x3+_0xdb53x5/2,_0xdb53x4+_0xdb53x16);_0xdb53x15[_0x63d3[8]](_0xdb53x3+_0xdb53x5/2,_0xdb53x4+_0xdb53x6-_0xdb53x16);_0xdb53x15[_0x63d3[7]](_0xdb53x3+_0xdb53x16,_0xdb53x4+_0xdb53x6/2);_0xdb53x15[_0x63d3[8]](_0xdb53x3+_0xdb53x5-_0xdb53x16,_0xdb53x4+_0xdb53x6/2);_0xdb53x15[_0x63d3[9]]();_0xdb53x15[_0x63d3[29]]();mxRectangleShape[_0x63d3[0]][_0x63d3[27]][_0x63d3[30]](this,arguments)};mxCellRenderer[_0x63d3[0]][_0x63d3[12]][_0x63d3[31]]=_0xdb53x14;function _0xdb53x17(){mxRectangleShape[_0x63d3[24]](this)}mxUtils[_0x63d3[25]](_0xdb53x17,mxRectangleShape);_0xdb53x17[_0x63d3[0]][_0x63d3[26]]=function(){return false};_0xdb53x17[_0x63d3[0]][_0x63d3[27]]=function(_0xdb53x15,_0xdb53x3,_0xdb53x4,_0xdb53x5,_0xdb53x6){if(this[_0x63d3[4]]!=null){if(this[_0x63d3[4]][_0x63d3[32]]==1){var _0xdb53x18=Math[_0x63d3[34]](2,this[_0x63d3[33]]+1);mxRectangleShape[_0x63d3[0]][_0x63d3[35]][_0x63d3[24]](this,_0xdb53x15,_0xdb53x3+_0xdb53x18,_0xdb53x4+_0xdb53x18,_0xdb53x5-2*_0xdb53x18,_0xdb53x6-2*_0xdb53x18);mxRectangleShape[_0x63d3[0]][_0x63d3[27]][_0x63d3[30]](this,arguments);_0xdb53x3+=_0xdb53x18;_0xdb53x4+=_0xdb53x18;_0xdb53x5-=2*_0xdb53x18;_0xdb53x6-=2*_0xdb53x18};_0xdb53x15[_0x63d3[36]](false);var _0xdb53x19=0;var _0xdb53x1a=null;do{_0xdb53x1a=mxCellRenderer[_0x63d3[0]][_0x63d3[12]][this[_0x63d3[4]][_0x63d3[37]+_0xdb53x19]];if(_0xdb53x1a!=null){var _0xdb53x1b=this[_0x63d3[4]][_0x63d3[37]+_0xdb53x19+_0x63d3[38]];var _0xdb53x1c=this[_0x63d3[4]][_0x63d3[37]+_0xdb53x19+_0x63d3[39]];var _0xdb53x1d=this[_0x63d3[4]][_0x63d3[37]+_0xdb53x19+_0x63d3[40]];var _0xdb53x1e=this[_0x63d3[4]][_0x63d3[37]+_0xdb53x19+_0x63d3[41]];var _0xdb53x1f=this[_0x63d3[4]][_0x63d3[37]+_0xdb53x19+_0x63d3[42]]||0;var _0xdb53x20=this[_0x63d3[4]][_0x63d3[37]+_0xdb53x19+_0x63d3[43]];if(_0xdb53x20!=null){_0xdb53x1f+=this[_0x63d3[44]](_0xdb53x5+this[_0x63d3[33]],_0xdb53x6+this[_0x63d3[33]])*_0xdb53x20};var _0xdb53x21=_0xdb53x3;var _0xdb53x22=_0xdb53x4;if(_0xdb53x1b==mxConstants[_0x63d3[45]]){_0xdb53x21+=(_0xdb53x5-_0xdb53x1d)/2}else {if(_0xdb53x1b==mxConstants[_0x63d3[46]]){_0xdb53x21+=_0xdb53x5-_0xdb53x1d-_0xdb53x1f}else {_0xdb53x21+=_0xdb53x1f}};if(_0xdb53x1c==mxConstants[_0x63d3[47]]){_0xdb53x22+=(_0xdb53x6-_0xdb53x1e)/2}else {if(_0xdb53x1c==mxConstants[_0x63d3[48]]){_0xdb53x22+=_0xdb53x6-_0xdb53x1e-_0xdb53x1f}else {_0xdb53x22+=_0xdb53x1f}};_0xdb53x15[_0x63d3[49]]();var _0xdb53x23= new _0xdb53x1a();_0xdb53x23[_0x63d3[4]]=this[_0x63d3[4]];_0xdb53x1a[_0x63d3[0]][_0x63d3[50]][_0x63d3[24]](_0xdb53x23,_0xdb53x15,_0xdb53x21,_0xdb53x22,_0xdb53x1d,_0xdb53x1e);_0xdb53x15[_0x63d3[51]]()};_0xdb53x19++}while(_0xdb53x1a!=null);}};mxCellRenderer[_0x63d3[0]][_0x63d3[12]][_0x63d3[52]]=_0xdb53x17;function _0xdb53x24(){}_0xdb53x24[_0x63d3[0]]= new mxCylinder();_0xdb53x24[_0x63d3[0]][_0x63d3[1]]=_0xdb53x24;_0xdb53x24[_0x63d3[0]][_0x63d3[3]]=function(_0xdb53x2,_0xdb53x3,_0xdb53x4,_0xdb53x5,_0xdb53x6,_0xdb53x7){if(_0xdb53x7){_0xdb53x2[_0x63d3[7]](0,0);_0xdb53x2[_0x63d3[8]](_0xdb53x5/2,_0xdb53x6/2);_0xdb53x2[_0x63d3[8]](_0xdb53x5,0);_0xdb53x2[_0x63d3[9]]()}else {_0xdb53x2[_0x63d3[7]](0,0);_0xdb53x2[_0x63d3[8]](_0xdb53x5,0);_0xdb53x2[_0x63d3[8]](_0xdb53x5,_0xdb53x6);_0xdb53x2[_0x63d3[8]](0,_0xdb53x6);_0xdb53x2[_0x63d3[10]]()}};mxCellRenderer[_0x63d3[0]][_0x63d3[12]][_0x63d3[53]]=_0xdb53x24;function _0xdb53x25(){}_0xdb53x25[_0x63d3[0]]= new mxCylinder();_0xdb53x25[_0x63d3[0]][_0x63d3[1]]=_0xdb53x25;_0xdb53x25[_0x63d3[0]][_0x63d3[54]]=true;_0xdb53x25[_0x63d3[0]][_0x63d3[3]]=function(_0xdb53x2,_0xdb53x3,_0xdb53x4,_0xdb53x5,_0xdb53x6,_0xdb53x7){var _0xdb53x1d=_0xdb53x5/3;var _0xdb53x1e=_0xdb53x6/4;if(!_0xdb53x7){_0xdb53x2[_0x63d3[7]](_0xdb53x5/2,_0xdb53x1e);_0xdb53x2[_0x63d3[55]](_0xdb53x5/2-_0xdb53x1d,_0xdb53x1e,_0xdb53x5/2-_0xdb53x1d,0,_0xdb53x5/2,0);_0xdb53x2[_0x63d3[55]](_0xdb53x5/2+_0xdb53x1d,0,_0xdb53x5/2+_0xdb53x1d,_0xdb53x1e,_0xdb53x5/2,_0xdb53x1e);_0xdb53x2[_0x63d3[10]]();_0xdb53x2[_0x63d3[7]](_0xdb53x5/2,_0xdb53x1e);_0xdb53x2[_0x63d3[8]](_0xdb53x5/2,2*_0xdb53x6/3);_0xdb53x2[_0x63d3[7]](_0xdb53x5/2,_0xdb53x6/3);_0xdb53x2[_0x63d3[8]](0,_0xdb53x6/3);_0xdb53x2[_0x63d3[7]](_0xdb53x5/2,_0xdb53x6/3);_0xdb53x2[_0x63d3[8]](_0xdb53x5,_0xdb53x6/3);_0xdb53x2[_0x63d3[7]](_0xdb53x5/2,2*_0xdb53x6/3);_0xdb53x2[_0x63d3[8]](0,_0xdb53x6);_0xdb53x2[_0x63d3[7]](_0xdb53x5/2,2*_0xdb53x6/3);_0xdb53x2[_0x63d3[8]](_0xdb53x5,_0xdb53x6);_0xdb53x2[_0x63d3[9]]()}};mxCellRenderer[_0x63d3[0]][_0x63d3[12]][_0x63d3[56]]=_0xdb53x25;function _0xdb53x26(){}_0xdb53x26[_0x63d3[0]]= new mxCylinder();_0xdb53x26[_0x63d3[0]][_0x63d3[1]]=_0xdb53x26;_0xdb53x26[_0x63d3[0]][_0x63d3[2]]=10;_0xdb53x26[_0x63d3[0]][_0x63d3[54]]=true;_0xdb53x26[_0x63d3[0]][_0x63d3[3]]=function(_0xdb53x2,_0xdb53x3,_0xdb53x4,_0xdb53x5,_0xdb53x6,_0xdb53x7){var _0xdb53x27=mxUtils[_0x63d3[5]](this[_0x63d3[4]],_0x63d3[2],this[_0x63d3[2]]);var _0xdb53x1d=_0xdb53x27*2/3;var _0xdb53x1e=_0xdb53x27;if(!_0xdb53x7){_0xdb53x2[_0x63d3[7]](_0xdb53x5/2,_0xdb53x1e);_0xdb53x2[_0x63d3[55]](_0xdb53x5/2-_0xdb53x1d,_0xdb53x1e,_0xdb53x5/2-_0xdb53x1d,0,_0xdb53x5/2,0);_0xdb53x2[_0x63d3[55]](_0xdb53x5/2+_0xdb53x1d,0,_0xdb53x5/2+_0xdb53x1d,_0xdb53x1e,_0xdb53x5/2,_0xdb53x1e);_0xdb53x2[_0x63d3[10]]();_0xdb53x2[_0x63d3[7]](_0xdb53x5/2,_0xdb53x1e);_0xdb53x2[_0x63d3[8]](_0xdb53x5/2,_0xdb53x6);_0xdb53x2[_0x63d3[9]]()}};mxCellRenderer[_0x63d3[0]][_0x63d3[12]][_0x63d3[57]]=_0xdb53x26;function _0xdb53x28(){}_0xdb53x28[_0x63d3[0]]= new mxCylinder();_0xdb53x28[_0x63d3[0]][_0x63d3[1]]=_0xdb53x28;_0xdb53x28[_0x63d3[0]][_0x63d3[58]]=32;_0xdb53x28[_0x63d3[0]][_0x63d3[59]]=12;_0xdb53x28[_0x63d3[0]][_0x63d3[3]]=function(_0xdb53x2,_0xdb53x3,_0xdb53x4,_0xdb53x5,_0xdb53x6,_0xdb53x7){var _0xdb53xe=mxUtils[_0x63d3[5]](this[_0x63d3[4]],_0x63d3[58],this[_0x63d3[58]]);var _0xdb53xf=mxUtils[_0x63d3[5]](this[_0x63d3[4]],_0x63d3[59],this[_0x63d3[59]]);var _0xdb53x29=_0xdb53xe/2;var _0xdb53x2a=_0xdb53x29+_0xdb53xe/2;var _0xdb53x2b=0.3*_0xdb53x6-_0xdb53xf/2;var _0xdb53x2c=0.7*_0xdb53x6-_0xdb53xf/2;if(_0xdb53x7){_0xdb53x2[_0x63d3[7]](_0xdb53x29,_0xdb53x2b);_0xdb53x2[_0x63d3[8]](_0xdb53x2a,_0xdb53x2b);_0xdb53x2[_0x63d3[8]](_0xdb53x2a,_0xdb53x2b+_0xdb53xf);_0xdb53x2[_0x63d3[8]](_0xdb53x29,_0xdb53x2b+_0xdb53xf);_0xdb53x2[_0x63d3[7]](_0xdb53x29,_0xdb53x2c);_0xdb53x2[_0x63d3[8]](_0xdb53x2a,_0xdb53x2c);_0xdb53x2[_0x63d3[8]](_0xdb53x2a,_0xdb53x2c+_0xdb53xf);_0xdb53x2[_0x63d3[8]](_0xdb53x29,_0xdb53x2c+_0xdb53xf);_0xdb53x2[_0x63d3[9]]()}else {_0xdb53x2[_0x63d3[7]](_0xdb53x29,0);_0xdb53x2[_0x63d3[8]](_0xdb53x5,0);_0xdb53x2[_0x63d3[8]](_0xdb53x5,_0xdb53x6);_0xdb53x2[_0x63d3[8]](_0xdb53x29,_0xdb53x6);_0xdb53x2[_0x63d3[8]](_0xdb53x29,_0xdb53x2c+_0xdb53xf);_0xdb53x2[_0x63d3[8]](0,_0xdb53x2c+_0xdb53xf);_0xdb53x2[_0x63d3[8]](0,_0xdb53x2c);_0xdb53x2[_0x63d3[8]](_0xdb53x29,_0xdb53x2c);_0xdb53x2[_0x63d3[8]](_0xdb53x29,_0xdb53x2b+_0xdb53xf);_0xdb53x2[_0x63d3[8]](0,_0xdb53x2b+_0xdb53xf);_0xdb53x2[_0x63d3[8]](0,_0xdb53x2b);_0xdb53x2[_0x63d3[8]](_0xdb53x29,_0xdb53x2b);_0xdb53x2[_0x63d3[10]]();_0xdb53x2[_0x63d3[9]]()}};mxCellRenderer[_0x63d3[0]][_0x63d3[12]][_0x63d3[60]]=_0xdb53x28;function _0xdb53x2d(){}_0xdb53x2d[_0x63d3[0]]= new mxDoubleEllipse();_0xdb53x2d[_0x63d3[0]][_0x63d3[1]]=_0xdb53x2d;_0xdb53x2d[_0x63d3[0]][_0x63d3[61]]=true;_0xdb53x2d[_0x63d3[0]][_0x63d3[50]]=function(_0xdb53x15,_0xdb53x3,_0xdb53x4,_0xdb53x5,_0xdb53x6){var _0xdb53x18=Math[_0x63d3[6]](4,Math[_0x63d3[6]](_0xdb53x5/5,_0xdb53x6/5));if(_0xdb53x5>0&&_0xdb53x6>0){_0xdb53x15[_0x63d3[62]](_0xdb53x3+_0xdb53x18,_0xdb53x4+_0xdb53x18,_0xdb53x5-2*_0xdb53x18,_0xdb53x6-2*_0xdb53x18);_0xdb53x15[_0x63d3[63]]()};_0xdb53x15[_0x63d3[64]](false);if(this[_0x63d3[61]]){_0xdb53x15[_0x63d3[62]](_0xdb53x3,_0xdb53x4,_0xdb53x5,_0xdb53x6);_0xdb53x15[_0x63d3[29]]()}};mxCellRenderer[_0x63d3[0]][_0x63d3[12]][_0x63d3[65]]=_0xdb53x2d;function _0xdb53x2e(){}_0xdb53x2e[_0x63d3[0]]= new _0xdb53x2d();_0xdb53x2e[_0x63d3[0]][_0x63d3[1]]=_0xdb53x2e;_0xdb53x2e[_0x63d3[0]][_0x63d3[61]]=false;mxCellRenderer[_0x63d3[0]][_0x63d3[12]][_0x63d3[66]]=_0xdb53x2e;var _0xdb53x2f=mxImageExport[_0x63d3[0]][_0x63d3[67]];mxImageExport[_0x63d3[0]][_0x63d3[67]]=function(){_0xdb53x2f[_0x63d3[30]](this,arguments);function _0xdb53x30(_0xdb53x31){return {drawShape:function(_0xdb53x32,_0xdb53x33,_0xdb53x34,_0xdb53x35){var _0xdb53x3=_0xdb53x34[_0x63d3[68]];var _0xdb53x4=_0xdb53x34[_0x63d3[69]];var _0xdb53x5=_0xdb53x34[_0x63d3[70]];var _0xdb53x6=_0xdb53x34[_0x63d3[71]];if(_0xdb53x35){var _0xdb53x18=Math[_0x63d3[6]](4,Math[_0x63d3[6]](_0xdb53x5/5,_0xdb53x6/5));_0xdb53x3+=_0xdb53x18;_0xdb53x4+=_0xdb53x18;_0xdb53x5-=2*_0xdb53x18;_0xdb53x6-=2*_0xdb53x18;if(_0xdb53x5>0&&_0xdb53x6>0){_0xdb53x32[_0x63d3[62]](_0xdb53x3,_0xdb53x4,_0xdb53x5,_0xdb53x6)};return true}else {_0xdb53x32[_0x63d3[63]]();if(_0xdb53x31){_0xdb53x32[_0x63d3[62]](_0xdb53x3,_0xdb53x4,_0xdb53x5,_0xdb53x6);_0xdb53x32[_0x63d3[29]]()}}}}}this[_0x63d3[72]][_0x63d3[65]]=_0xdb53x30(true);this[_0x63d3[72]][_0x63d3[66]]=_0xdb53x30(false)};function _0xdb53x36(){mxArrow[_0x63d3[24]](this)}mxUtils[_0x63d3[25]](_0xdb53x36,mxArrow);_0xdb53x36[_0x63d3[0]][_0x63d3[73]]=function(_0xdb53x15,_0xdb53x37){var _0xdb53x1d=10;var _0xdb53x38=_0xdb53x37[0];var _0xdb53x39=_0xdb53x37[_0xdb53x37[_0x63d3[74]]-1];var _0xdb53xe=_0xdb53x39[_0x63d3[68]]-_0xdb53x38[_0x63d3[68]];var _0xdb53xf=_0xdb53x39[_0x63d3[69]]-_0xdb53x38[_0x63d3[69]];var _0xdb53x3a=Math[_0x63d3[75]](_0xdb53xe*_0xdb53xe+_0xdb53xf*_0xdb53xf);var _0xdb53x3b=_0xdb53x3a;var _0xdb53x3c=_0xdb53xe/_0xdb53x3a;var _0xdb53x3d=_0xdb53xf/_0xdb53x3a;var _0xdb53x3e=_0xdb53x3b*_0xdb53x3c;var _0xdb53x3f=_0xdb53x3b*_0xdb53x3d;var _0xdb53x40=_0xdb53x1d*_0xdb53x3d/3;var _0xdb53x41=-_0xdb53x1d*_0xdb53x3c/3;var _0xdb53x42=_0xdb53x38[_0x63d3[68]]-_0xdb53x40/2;var _0xdb53x43=_0xdb53x38[_0x63d3[69]]-_0xdb53x41/2;var _0xdb53x44=_0xdb53x42+_0xdb53x40;var _0xdb53x45=_0xdb53x43+_0xdb53x41;var _0xdb53x46=_0xdb53x44+_0xdb53x3e;var _0xdb53x47=_0xdb53x45+_0xdb53x3f;var _0xdb53x48=_0xdb53x46+_0xdb53x40;var _0xdb53x49=_0xdb53x47+_0xdb53x41;var _0xdb53x4a=_0xdb53x48-3*_0xdb53x40;var _0xdb53x4b=_0xdb53x49-3*_0xdb53x41;_0xdb53x15[_0x63d3[28]]();_0xdb53x15[_0x63d3[7]](_0xdb53x44,_0xdb53x45);_0xdb53x15[_0x63d3[8]](_0xdb53x46,_0xdb53x47);_0xdb53x15[_0x63d3[7]](_0xdb53x4a+_0xdb53x40,_0xdb53x4b+_0xdb53x41);_0xdb53x15[_0x63d3[8]](_0xdb53x42,_0xdb53x43);_0xdb53x15[_0x63d3[29]]()};mxCellRenderer[_0x63d3[0]][_0x63d3[12]][_0x63d3[76]]=_0xdb53x36;mxMarker[_0x63d3[78]](_0x63d3[77],function(_0xdb53x32,_0xdb53x1a,_0xdb53x4c,_0xdb53x39,_0xdb53x4d,_0xdb53x4e,_0xdb53x4f,_0xdb53x50,_0xdb53x51,_0xdb53x52){var _0xdb53x3c=_0xdb53x4d*(_0xdb53x4f+_0xdb53x51+1);var _0xdb53x3d=_0xdb53x4e*(_0xdb53x4f+_0xdb53x51+1);return function(){_0xdb53x32[_0x63d3[28]]();_0xdb53x32[_0x63d3[7]](_0xdb53x39[_0x63d3[68]]-_0xdb53x3c/2-_0xdb53x3d/2,_0xdb53x39[_0x63d3[69]]-_0xdb53x3d/2+_0xdb53x3c/2);_0xdb53x32[_0x63d3[8]](_0xdb53x39[_0x63d3[68]]+_0xdb53x3d/2-3*_0xdb53x3c/2,_0xdb53x39[_0x63d3[69]]-3*_0xdb53x3d/2-_0xdb53x3c/2);_0xdb53x32[_0x63d3[29]]()}});var _0xdb53x53=-99;if( typeof (mxVertexHandler)!=_0x63d3[79]){function _0xdb53x54(_0xdb53x33){mxVertexHandler[_0x63d3[24]](this,_0xdb53x33)}mxUtils[_0x63d3[25]](_0xdb53x54,mxVertexHandler);_0xdb53x54[_0x63d3[0]][_0x63d3[80]]=false;_0xdb53x54[_0x63d3[0]][_0x63d3[81]]=function(){this[_0x63d3[82]]=mxUtils[_0x63d3[5]](this[_0x63d3[83]][_0x63d3[4]],mxConstants.STYLE_HORIZONTAL,true);var _0xdb53x55=this[_0x63d3[83]][_0x63d3[85]][_0x63d3[84]];if(this[_0x63d3[86]]!=null){var _0xdb53x34= new mxRectangle(0,0,this[_0x63d3[86]][_0x63d3[70]],this[_0x63d3[86]][_0x63d3[71]]);this[_0x63d3[87]]= new mxImageShape(_0xdb53x34,this[_0x63d3[86]][_0x63d3[88]])}else {var _0xdb53x4f=10;var _0xdb53x34= new mxRectangle(0,0,_0xdb53x4f,_0xdb53x4f);this[_0x63d3[87]]= new mxRhombus(_0xdb53x34,mxConstants.HANDLE_FILLCOLOR,mxConstants.HANDLE_STROKECOLOR)};this[_0x63d3[87]][_0x63d3[89]]=(_0xdb53x55[_0x63d3[89]]!=mxConstants[_0x63d3[90]])?mxConstants[_0x63d3[91]]:mxConstants[_0x63d3[90]];this[_0x63d3[87]][_0x63d3[81]](_0xdb53x55[_0x63d3[93]]()[_0x63d3[92]]());this[_0x63d3[87]][_0x63d3[95]][_0x63d3[4]][_0x63d3[94]]=this[_0x63d3[96]]();mxEvent[_0x63d3[97]](this[_0x63d3[87]][_0x63d3[95]],_0xdb53x55,this[_0x63d3[83]]);mxVertexHandler[_0x63d3[0]][_0x63d3[81]][_0x63d3[30]](this,arguments)};_0xdb53x54[_0x63d3[0]][_0x63d3[96]]=function(){return _0x63d3[98]};_0xdb53x54[_0x63d3[0]][_0x63d3[99]]=function(){mxVertexHandler[_0x63d3[0]][_0x63d3[99]][_0x63d3[30]](this,arguments);var _0xdb53x4f=this[_0x63d3[87]][_0x63d3[100]][_0x63d3[70]];this[_0x63d3[87]][_0x63d3[100]]=this[_0x63d3[101]](_0xdb53x4f);this[_0x63d3[87]][_0x63d3[99]]()};_0xdb53x54[_0x63d3[0]][_0x63d3[102]]=function(){mxVertexHandler[_0x63d3[0]][_0x63d3[102]][_0x63d3[30]](this,arguments);if(this[_0x63d3[87]]!=null){this[_0x63d3[87]][_0x63d3[102]]();this[_0x63d3[87]]=null}};_0xdb53x54[_0x63d3[0]][_0x63d3[103]]=function(_0xdb53x56){if(_0xdb53x56[_0x63d3[104]](this[_0x63d3[87]])){return _0xdb53x53};return mxVertexHandler[_0x63d3[0]][_0x63d3[103]][_0x63d3[30]](this,arguments)};_0xdb53x54[_0x63d3[0]][_0x63d3[105]]=function(_0xdb53x57,_0xdb53x56){if(!_0xdb53x56[_0x63d3[106]]()&&this[_0x63d3[107]]==_0xdb53x53){var _0xdb53x58= new mxPoint(_0xdb53x56[_0x63d3[108]](),_0xdb53x56[_0x63d3[109]]());this[_0x63d3[110]](_0xdb53x58);var _0xdb53x59=this[_0x63d3[84]][_0x63d3[112]](_0xdb53x56[_0x63d3[111]]());var _0xdb53x5a=this[_0x63d3[84]][_0x63d3[93]]()[_0x63d3[113]];if(_0xdb53x59&&this[_0x63d3[80]]){_0xdb53x58[_0x63d3[68]]=this[_0x63d3[84]][_0x63d3[114]](_0xdb53x58[_0x63d3[68]]/_0xdb53x5a)*_0xdb53x5a;_0xdb53x58[_0x63d3[69]]=this[_0x63d3[84]][_0x63d3[114]](_0xdb53x58[_0x63d3[69]]/_0xdb53x5a)*_0xdb53x5a};this[_0x63d3[115]](_0xdb53x58);this[_0x63d3[116]](this[_0x63d3[87]],_0xdb53x58[_0x63d3[68]],_0xdb53x58[_0x63d3[69]]);this[_0x63d3[83]][_0x63d3[85]][_0x63d3[84]][_0x63d3[117]][_0x63d3[99]](this[_0x63d3[83]],true);_0xdb53x56[_0x63d3[118]]()}else {mxVertexHandler[_0x63d3[0]][_0x63d3[105]][_0x63d3[30]](this,arguments)}};_0xdb53x54[_0x63d3[0]][_0x63d3[119]]=function(_0xdb53x57,_0xdb53x56){if(!_0xdb53x56[_0x63d3[106]]()&&this[_0x63d3[107]]==_0xdb53x53){this[_0x63d3[120]]();this[_0x63d3[121]]();_0xdb53x56[_0x63d3[118]]()}else {mxVertexHandler[_0x63d3[0]][_0x63d3[119]][_0x63d3[30]](this,arguments)}};_0xdb53x54[_0x63d3[0]][_0x63d3[101]]=function(_0xdb53x4f){var _0xdb53x5b=this[_0x63d3[83]][_0x63d3[123]][_0x63d3[122]]();var _0xdb53x5c=mxUtils[_0x63d3[124]](_0xdb53x5b);var _0xdb53x5d=Math[_0x63d3[125]](_0xdb53x5c);var _0xdb53x5e=Math[_0x63d3[126]](_0xdb53x5c);var _0xdb53x34= new mxRectangle(this[_0x63d3[83]][_0x63d3[68]],this[_0x63d3[83]][_0x63d3[69]],this[_0x63d3[83]][_0x63d3[70]],this[_0x63d3[83]][_0x63d3[71]]);if(this[_0x63d3[83]][_0x63d3[123]][_0x63d3[127]]()){var _0xdb53x5f=(_0xdb53x34[_0x63d3[70]]-_0xdb53x34[_0x63d3[71]])/2;_0xdb53x34[_0x63d3[68]]+=_0xdb53x5f;_0xdb53x34[_0x63d3[69]]-=_0xdb53x5f;var _0xdb53x23=_0xdb53x34[_0x63d3[70]];_0xdb53x34[_0x63d3[70]]=_0xdb53x34[_0x63d3[71]];_0xdb53x34[_0x63d3[71]]=_0xdb53x23};var _0xdb53x60=this[_0x63d3[128]](_0xdb53x34);if(this[_0x63d3[83]][_0x63d3[123]][_0x63d3[129]]){_0xdb53x60[_0x63d3[68]]=2*_0xdb53x34[_0x63d3[68]]+_0xdb53x34[_0x63d3[70]]-_0xdb53x60[_0x63d3[68]]};if(this[_0x63d3[83]][_0x63d3[123]][_0x63d3[130]]){_0xdb53x60[_0x63d3[69]]=2*_0xdb53x34[_0x63d3[69]]+_0xdb53x34[_0x63d3[71]]-_0xdb53x60[_0x63d3[69]]};_0xdb53x60=mxUtils[_0x63d3[133]](_0xdb53x60,_0xdb53x5d,_0xdb53x5e, new mxPoint(this[_0x63d3[83]][_0x63d3[131]](),this[_0x63d3[83]][_0x63d3[132]]()));return new mxRectangle(_0xdb53x60[_0x63d3[68]]-_0xdb53x4f/2,_0xdb53x60[_0x63d3[69]]-_0xdb53x4f/2,_0xdb53x4f,_0xdb53x4f)};_0xdb53x54[_0x63d3[0]][_0x63d3[128]]=function(_0xdb53x34){return null};_0xdb53x54[_0x63d3[0]][_0x63d3[115]]=function(_0xdb53x58){};_0xdb53x54[_0x63d3[0]][_0x63d3[110]]=function(_0xdb53x58){_0xdb53x58[_0x63d3[68]]=Math[_0x63d3[34]](this[_0x63d3[83]][_0x63d3[68]],Math[_0x63d3[6]](this[_0x63d3[83]][_0x63d3[68]]+this[_0x63d3[83]][_0x63d3[70]],_0xdb53x58[_0x63d3[68]]));_0xdb53x58[_0x63d3[69]]=Math[_0x63d3[34]](this[_0x63d3[83]][_0x63d3[69]],Math[_0x63d3[6]](this[_0x63d3[83]][_0x63d3[69]]+this[_0x63d3[83]][_0x63d3[71]],_0xdb53x58[_0x63d3[69]]))};_0xdb53x54[_0x63d3[0]][_0x63d3[120]]=function(){};function _0xdb53x61(_0xdb53x33){_0xdb53x54[_0x63d3[24]](this,_0xdb53x33)}mxUtils[_0x63d3[25]](_0xdb53x61,_0xdb53x54);_0xdb53x61[_0x63d3[0]][_0x63d3[128]]=function(_0xdb53x34){var _0xdb53x5a=this[_0x63d3[84]][_0x63d3[93]]()[_0x63d3[113]];var _0xdb53xb=Math[_0x63d3[6]](_0xdb53x34[_0x63d3[70]],mxUtils[_0x63d3[5]](this[_0x63d3[83]][_0x63d3[4]],_0x63d3[14],60)*_0xdb53x5a);var _0xdb53xc=Math[_0x63d3[6]](_0xdb53x34[_0x63d3[71]],mxUtils[_0x63d3[5]](this[_0x63d3[83]][_0x63d3[4]],_0x63d3[15],20)*_0xdb53x5a);var _0xdb53xd=mxUtils[_0x63d3[5]](this[_0x63d3[83]][_0x63d3[4]],_0x63d3[16],_0x63d3[17]);var _0xdb53x3=(_0xdb53xd==_0x63d3[18])?_0xdb53x34[_0x63d3[68]]+_0xdb53xb:_0xdb53x34[_0x63d3[68]]+_0xdb53x34[_0x63d3[70]]-_0xdb53xb;return new mxPoint(_0xdb53x3,_0xdb53x34[_0x63d3[69]]+_0xdb53xc)};_0xdb53x61[_0x63d3[0]][_0x63d3[115]]=function(_0xdb53x58){var _0xdb53x5b=this[_0x63d3[83]][_0x63d3[123]][_0x63d3[122]]();var _0xdb53x5c=mxUtils[_0x63d3[124]](_0xdb53x5b);var _0xdb53x5d=Math[_0x63d3[125]](-_0xdb53x5c);var _0xdb53x5e=Math[_0x63d3[126]](-_0xdb53x5c);var _0xdb53x34= new mxRectangle(this[_0x63d3[83]][_0x63d3[68]],this[_0x63d3[83]][_0x63d3[69]],this[_0x63d3[83]][_0x63d3[70]],this[_0x63d3[83]][_0x63d3[71]]);if(this[_0x63d3[83]][_0x63d3[123]][_0x63d3[127]]()){var _0xdb53x5f=(_0xdb53x34[_0x63d3[70]]-_0xdb53x34[_0x63d3[71]])/2;_0xdb53x34[_0x63d3[68]]+=_0xdb53x5f;_0xdb53x34[_0x63d3[69]]-=_0xdb53x5f;var _0xdb53x23=_0xdb53x34[_0x63d3[70]];_0xdb53x34[_0x63d3[70]]=_0xdb53x34[_0x63d3[71]];_0xdb53x34[_0x63d3[71]]=_0xdb53x23};var _0xdb53x60= new mxPoint(_0xdb53x58[_0x63d3[68]],_0xdb53x58[_0x63d3[69]]);_0xdb53x60=mxUtils[_0x63d3[133]](_0xdb53x60,_0xdb53x5d,_0xdb53x5e, new mxPoint(this[_0x63d3[83]][_0x63d3[131]](),this[_0x63d3[83]][_0x63d3[132]]()));if(this[_0x63d3[83]][_0x63d3[123]][_0x63d3[129]]){_0xdb53x60[_0x63d3[68]]=2*_0xdb53x34[_0x63d3[68]]+_0xdb53x34[_0x63d3[70]]-_0xdb53x60[_0x63d3[68]]};if(this[_0x63d3[83]][_0x63d3[123]][_0x63d3[130]]){_0xdb53x60[_0x63d3[69]]=2*_0xdb53x34[_0x63d3[69]]+_0xdb53x34[_0x63d3[71]]-_0xdb53x60[_0x63d3[69]]};var _0xdb53x62=this[_0x63d3[134]](_0xdb53x60,_0xdb53x34);if(_0xdb53x62!=null){if(this[_0x63d3[83]][_0x63d3[123]][_0x63d3[129]]){_0xdb53x62[_0x63d3[68]]=2*_0xdb53x34[_0x63d3[68]]+_0xdb53x34[_0x63d3[70]]-_0xdb53x62[_0x63d3[68]]};if(this[_0x63d3[83]][_0x63d3[123]][_0x63d3[130]]){_0xdb53x62[_0x63d3[69]]=2*_0xdb53x34[_0x63d3[69]]+_0xdb53x34[_0x63d3[71]]-_0xdb53x62[_0x63d3[69]]};_0xdb53x5d=Math[_0x63d3[125]](_0xdb53x5c);_0xdb53x5e=Math[_0x63d3[126]](_0xdb53x5c);_0xdb53x62=mxUtils[_0x63d3[133]](_0xdb53x62,_0xdb53x5d,_0xdb53x5e, new mxPoint(this[_0x63d3[83]][_0x63d3[131]](),this[_0x63d3[83]][_0x63d3[132]]()));_0xdb53x58[_0x63d3[68]]=_0xdb53x62[_0x63d3[68]];_0xdb53x58[_0x63d3[69]]=_0xdb53x62[_0x63d3[69]]}};_0xdb53x61[_0x63d3[0]][_0x63d3[134]]=function(_0xdb53x60,_0xdb53x34){var _0xdb53xd=mxUtils[_0x63d3[5]](this[_0x63d3[83]][_0x63d3[4]],_0x63d3[16],_0x63d3[17]);var _0xdb53xb=(_0xdb53xd==_0x63d3[18])?_0xdb53x60[_0x63d3[68]]-_0xdb53x34[_0x63d3[68]]:_0xdb53x34[_0x63d3[68]]+_0xdb53x34[_0x63d3[70]]-_0xdb53x60[_0x63d3[68]];var _0xdb53xc=_0xdb53x60[_0x63d3[69]]-_0xdb53x34[_0x63d3[69]];var _0xdb53x5a=this[_0x63d3[84]][_0x63d3[93]]()[_0x63d3[113]];this[_0x63d3[83]][_0x63d3[4]][_0x63d3[14]]=Math[_0x63d3[135]](Math[_0x63d3[34]](1,_0xdb53xb)/_0xdb53x5a);this[_0x63d3[83]][_0x63d3[4]][_0x63d3[15]]=Math[_0x63d3[135]](Math[_0x63d3[34]](1,_0xdb53xc)/_0xdb53x5a)};_0xdb53x61[_0x63d3[0]][_0x63d3[120]]=function(){var _0xdb53x63=this[_0x63d3[84]][_0x63d3[136]]();_0xdb53x63[_0x63d3[137]]();try{this[_0x63d3[83]][_0x63d3[85]][_0x63d3[84]][_0x63d3[139]](_0x63d3[14],this[_0x63d3[83]][_0x63d3[4]][_0x63d3[14]],[this[_0x63d3[83]][_0x63d3[138]]]);this[_0x63d3[83]][_0x63d3[85]][_0x63d3[84]][_0x63d3[139]](_0x63d3[15],this[_0x63d3[83]][_0x63d3[4]][_0x63d3[15]],[this[_0x63d3[83]][_0x63d3[138]]])}finally{_0xdb53x63[_0x63d3[140]]()}};function _0xdb53x64(_0xdb53x33){_0xdb53x61[_0x63d3[24]](this,_0xdb53x33)}mxUtils[_0x63d3[25]](_0xdb53x64,_0xdb53x61);_0xdb53x64[_0x63d3[0]][_0x63d3[128]]=function(_0xdb53x34){var _0xdb53x5a=this[_0x63d3[84]][_0x63d3[93]]()[_0x63d3[113]];var _0xdb53x65=mxUtils[_0x63d3[5]](this[_0x63d3[83]][_0x63d3[4]],mxConstants.STYLE_STARTSIZE,mxConstants.DEFAULT_STARTSIZE);return new mxPoint(_0xdb53x34[_0x63d3[68]]+_0xdb53x34[_0x63d3[70]]/2,_0xdb53x34[_0x63d3[69]]+Math[_0x63d3[6]](_0xdb53x34[_0x63d3[71]],_0xdb53x65*_0xdb53x5a))};_0xdb53x64[_0x63d3[0]][_0x63d3[134]]=function(_0xdb53x58,_0xdb53x34){_0xdb53x58[_0x63d3[68]]=_0xdb53x34[_0x63d3[68]]+_0xdb53x34[_0x63d3[70]]/2;startSize=_0xdb53x58[_0x63d3[69]]-_0xdb53x34[_0x63d3[69]];var _0xdb53x5a=this[_0x63d3[84]][_0x63d3[93]]()[_0x63d3[113]];this[_0x63d3[83]][_0x63d3[4]][_0x63d3[141]]=Math[_0x63d3[135]](Math[_0x63d3[34]](1,startSize)/_0xdb53x5a);return _0xdb53x58};_0xdb53x64[_0x63d3[0]][_0x63d3[120]]=function(){this[_0x63d3[83]][_0x63d3[85]][_0x63d3[84]][_0x63d3[139]](_0x63d3[141],this[_0x63d3[83]][_0x63d3[4]][_0x63d3[141]],[this[_0x63d3[83]][_0x63d3[138]]])};function _0xdb53x66(_0xdb53x33){_0xdb53x61[_0x63d3[24]](this,_0xdb53x33)}mxUtils[_0x63d3[25]](_0xdb53x66,_0xdb53x61);_0xdb53x66[_0x63d3[0]][_0x63d3[142]]=20;_0xdb53x66[_0x63d3[0]][_0x63d3[143]]=1;_0xdb53x66[_0x63d3[0]][_0x63d3[128]]=function(_0xdb53x34){var _0xdb53x5a=this[_0x63d3[84]][_0x63d3[93]]()[_0x63d3[113]];var _0xdb53x67=Math[_0x63d3[6]](_0xdb53x34[_0x63d3[70]],Math[_0x63d3[6]](_0xdb53x34[_0x63d3[71]],mxUtils[_0x63d3[5]](this[_0x63d3[83]][_0x63d3[4]],_0x63d3[2],this[_0x63d3[142]])*_0xdb53x5a/this[_0x63d3[143]]));return new mxPoint(_0xdb53x34[_0x63d3[68]]+_0xdb53x67,_0xdb53x34[_0x63d3[69]]+_0xdb53x67)};_0xdb53x66[_0x63d3[0]][_0x63d3[134]]=function(_0xdb53x60,_0xdb53x34){var _0xdb53x4f=Math[_0x63d3[34]](0,Math[_0x63d3[6]](Math[_0x63d3[6]](_0xdb53x34[_0x63d3[70]]/this[_0x63d3[143]],_0xdb53x60[_0x63d3[68]]-_0xdb53x34[_0x63d3[68]]),Math[_0x63d3[6]](_0xdb53x34[_0x63d3[71]]/this[_0x63d3[143]],_0xdb53x60[_0x63d3[69]]-_0xdb53x34[_0x63d3[69]])));var _0xdb53x5a=this[_0x63d3[84]][_0x63d3[93]]()[_0x63d3[113]];this[_0x63d3[83]][_0x63d3[4]][_0x63d3[2]]=Math[_0x63d3[135]](Math[_0x63d3[34]](1,_0xdb53x4f)/_0xdb53x5a)*this[_0x63d3[143]];return new mxPoint(_0xdb53x34[_0x63d3[68]]+_0xdb53x4f,_0xdb53x34[_0x63d3[69]]+_0xdb53x4f)};_0xdb53x66[_0x63d3[0]][_0x63d3[120]]=function(){this[_0x63d3[83]][_0x63d3[85]][_0x63d3[84]][_0x63d3[139]](_0x63d3[2],this[_0x63d3[83]][_0x63d3[4]][_0x63d3[2]],[this[_0x63d3[83]][_0x63d3[138]]])};function _0xdb53x68(_0xdb53x33){_0xdb53x66[_0x63d3[24]](this,_0xdb53x33)}mxUtils[_0x63d3[25]](_0xdb53x68,_0xdb53x66);_0xdb53x68[_0x63d3[0]][_0x63d3[142]]=30;_0xdb53x68[_0x63d3[0]][_0x63d3[143]]=2;function _0xdb53x69(_0xdb53x33){_0xdb53x66[_0x63d3[24]](this,_0xdb53x33)}mxUtils[_0x63d3[25]](_0xdb53x69,_0xdb53x66);_0xdb53x69[_0x63d3[0]][_0x63d3[142]]=30;_0xdb53x69[_0x63d3[0]][_0x63d3[143]]=1;_0xdb53x69[_0x63d3[0]][_0x63d3[128]]=function(_0xdb53x34){var _0xdb53x5a=this[_0x63d3[84]][_0x63d3[93]]()[_0x63d3[113]];var _0xdb53x67=Math[_0x63d3[6]](_0xdb53x34[_0x63d3[70]],Math[_0x63d3[6]](_0xdb53x34[_0x63d3[71]],mxUtils[_0x63d3[5]](this[_0x63d3[83]][_0x63d3[4]],_0x63d3[2],this[_0x63d3[142]])*_0xdb53x5a/this[_0x63d3[143]]));return new mxPoint(_0xdb53x34[_0x63d3[68]]+_0xdb53x34[_0x63d3[70]]-_0xdb53x67,_0xdb53x34[_0x63d3[69]]+_0xdb53x67)};_0xdb53x69[_0x63d3[0]][_0x63d3[134]]=function(_0xdb53x60,_0xdb53x34){var _0xdb53x4f=Math[_0x63d3[34]](0,Math[_0x63d3[6]](Math[_0x63d3[6]](_0xdb53x34[_0x63d3[70]]/this[_0x63d3[143]],_0xdb53x60[_0x63d3[68]]-_0xdb53x34[_0x63d3[68]]+_0xdb53x34[_0x63d3[70]]),Math[_0x63d3[6]](_0xdb53x34[_0x63d3[71]]/this[_0x63d3[143]],_0xdb53x60[_0x63d3[69]]-_0xdb53x34[_0x63d3[69]])));var _0xdb53x5a=this[_0x63d3[84]][_0x63d3[93]]()[_0x63d3[113]];this[_0x63d3[83]][_0x63d3[4]][_0x63d3[2]]=Math[_0x63d3[135]](Math[_0x63d3[34]](1,_0xdb53x4f)/_0xdb53x5a)*this[_0x63d3[143]];return new mxPoint(_0xdb53x34[_0x63d3[68]]+_0xdb53x34[_0x63d3[70]]-_0xdb53x4f,_0xdb53x34[_0x63d3[69]]+_0xdb53x4f)};function _0xdb53x6a(_0xdb53x33){_0xdb53x66[_0x63d3[24]](this,_0xdb53x33)}mxUtils[_0x63d3[25]](_0xdb53x6a,_0xdb53x66);_0xdb53x6a[_0x63d3[0]][_0x63d3[142]]=0.2;_0xdb53x6a[_0x63d3[0]][_0x63d3[143]]=1;_0xdb53x6a[_0x63d3[0]][_0x63d3[128]]=function(_0xdb53x34){var _0xdb53x67=mxUtils[_0x63d3[5]](this[_0x63d3[83]][_0x63d3[4]],_0x63d3[2],this[_0x63d3[142]]);return new mxPoint(_0xdb53x34[_0x63d3[68]]+_0xdb53x34[_0x63d3[70]]*_0xdb53x67,_0xdb53x34[_0x63d3[69]]+_0xdb53x34[_0x63d3[71]]/2)};_0xdb53x6a[_0x63d3[0]][_0x63d3[134]]=function(_0xdb53x60,_0xdb53x34){var _0xdb53x4f=Math[_0x63d3[6]](1,(_0xdb53x60[_0x63d3[68]]-_0xdb53x34[_0x63d3[68]])/_0xdb53x34[_0x63d3[70]]);this[_0x63d3[83]][_0x63d3[4]][_0x63d3[2]]=_0xdb53x4f;return new mxPoint(_0xdb53x34[_0x63d3[68]]+_0xdb53x4f*_0xdb53x34[_0x63d3[70]],_0xdb53x34[_0x63d3[69]]+_0xdb53x34[_0x63d3[71]]/2)};function _0xdb53x6b(_0xdb53x33){_0xdb53x66[_0x63d3[24]](this,_0xdb53x33)}mxUtils[_0x63d3[25]](_0xdb53x6b,_0xdb53x66);_0xdb53x6b[_0x63d3[0]][_0x63d3[142]]=0.4;_0xdb53x6b[_0x63d3[0]][_0x63d3[143]]=1;_0xdb53x6b[_0x63d3[0]][_0x63d3[128]]=function(_0xdb53x34){var _0xdb53x67=mxUtils[_0x63d3[5]](this[_0x63d3[83]][_0x63d3[4]],_0x63d3[2],this[_0x63d3[142]]);return new mxPoint(_0xdb53x34[_0x63d3[68]]+_0xdb53x34[_0x63d3[70]]/2,_0xdb53x34[_0x63d3[69]]+_0xdb53x67*_0xdb53x34[_0x63d3[71]]/2)};_0xdb53x6b[_0x63d3[0]][_0x63d3[134]]=function(_0xdb53x60,_0xdb53x34){var _0xdb53x4f=Math[_0x63d3[34]](0,Math[_0x63d3[6]](1,((_0xdb53x60[_0x63d3[69]]-_0xdb53x34[_0x63d3[69]])/_0xdb53x34[_0x63d3[71]])*2));this[_0x63d3[83]][_0x63d3[4]][_0x63d3[2]]=_0xdb53x4f;return new mxPoint(_0xdb53x34[_0x63d3[68]]+_0xdb53x34[_0x63d3[70]]/2,_0xdb53x34[_0x63d3[69]]+_0xdb53x4f*_0xdb53x34[_0x63d3[71]]/2)};var _0xdb53x6c={"\x73\x77\x69\x6D\x6C\x61\x6E\x65":_0xdb53x64,"\x66\x6F\x6C\x64\x65\x72":_0xdb53x61,"\x63\x75\x62\x65":_0xdb53x66,"\x63\x61\x72\x64":_0xdb53x68,"\x6E\x6F\x74\x65":_0xdb53x69,"\x73\x74\x65\x70":_0xdb53x6a,"\x74\x61\x70\x65":_0xdb53x6b};var _0xdb53x6d=mxGraph[_0x63d3[0]][_0x63d3[144]];mxGraph[_0x63d3[0]][_0x63d3[144]]=function(_0xdb53x33){if(_0xdb53x33!=null){var _0xdb53x6e=_0xdb53x6c[_0xdb53x33[_0x63d3[4]][_0x63d3[123]]];if(_0xdb53x6e!=null){return new _0xdb53x6e(_0xdb53x33)}};return _0xdb53x6d[_0x63d3[30]](this,arguments)}};mxGraph[_0x63d3[0]][_0x63d3[145]]=function(_0xdb53x6f,_0xdb53x50){if(_0xdb53x6f!=null&&_0xdb53x6f[_0x63d3[123]]!=null){if(_0xdb53x6f[_0x63d3[123]][_0x63d3[146]]!=null){if(_0xdb53x6f[_0x63d3[123]][_0x63d3[146]]!=null){return _0xdb53x6f[_0x63d3[123]][_0x63d3[146]][_0x63d3[147]]}}else {if(_0xdb53x6f[_0x63d3[123]][_0x63d3[147]]!=null){return _0xdb53x6f[_0x63d3[123]][_0x63d3[147]]}}};return null};mxRectangleShape[_0x63d3[0]][_0x63d3[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.75,0),true), new mxConnectionConstraint( new mxPoint(0,0.25),true), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(0,0.75),true), new mxConnectionConstraint( new mxPoint(1,0.25),true), new mxConnectionConstraint( new mxPoint(1,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.75),true), new mxConnectionConstraint( new mxPoint(0.25,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.75,1),true)];mxLabel[_0x63d3[0]][_0x63d3[147]]=mxRectangleShape[_0x63d3[0]][_0x63d3[147]];mxImageShape[_0x63d3[0]][_0x63d3[147]]=mxRectangleShape[_0x63d3[0]][_0x63d3[147]];mxSwimlane[_0x63d3[0]][_0x63d3[147]]=mxRectangleShape[_0x63d3[0]][_0x63d3[147]];_0xdb53x14[_0x63d3[0]][_0x63d3[147]]=mxRectangleShape[_0x63d3[0]][_0x63d3[147]];_0xdb53x9[_0x63d3[0]][_0x63d3[147]]=mxRectangleShape[_0x63d3[0]][_0x63d3[147]];_0xdb53x10[_0x63d3[0]][_0x63d3[147]]=mxRectangleShape[_0x63d3[0]][_0x63d3[147]];_0xdb53x1[_0x63d3[0]][_0x63d3[147]]=mxRectangleShape[_0x63d3[0]][_0x63d3[147]];_0xdb53xa[_0x63d3[0]][_0x63d3[147]]=mxRectangleShape[_0x63d3[0]][_0x63d3[147]];mxCylinder[_0x63d3[0]][_0x63d3[147]]=[ new mxConnectionConstraint( new mxPoint(0.15,0.05),false), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.85,0.05),false), new mxConnectionConstraint( new mxPoint(0,0.3),true), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(0,0.7),true), new mxConnectionConstraint( new mxPoint(1,0.3),true), new mxConnectionConstraint( new mxPoint(1,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.7),true), new mxConnectionConstraint( new mxPoint(0.15,0.95),false), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.85,0.95),false)];_0xdb53x25[_0x63d3[0]][_0x63d3[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0.1),false), new mxConnectionConstraint( new mxPoint(0.5,0),false), new mxConnectionConstraint( new mxPoint(0.75,0.1),false), new mxConnectionConstraint( new mxPoint(0,1/3),false), new mxConnectionConstraint( new mxPoint(0,1),false), new mxConnectionConstraint( new mxPoint(1,1/3),false), new mxConnectionConstraint( new mxPoint(1,1),false), new mxConnectionConstraint( new mxPoint(0.5,0.5),false)];_0xdb53x28[_0x63d3[0]][_0x63d3[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.75,0),true), new mxConnectionConstraint( new mxPoint(0,0.3),true), new mxConnectionConstraint( new mxPoint(0,0.7),true), new mxConnectionConstraint( new mxPoint(1,0.25),true), new mxConnectionConstraint( new mxPoint(1,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.75),true), new mxConnectionConstraint( new mxPoint(0.25,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.75,1),true)];mxActor[_0x63d3[0]][_0x63d3[147]]=[ new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.25,0.2),false), new mxConnectionConstraint( new mxPoint(0.1,0.5),false), new mxConnectionConstraint( new mxPoint(0,0.75),true), new mxConnectionConstraint( new mxPoint(0.75,0.25),false), new mxConnectionConstraint( new mxPoint(0.9,0.5),false), new mxConnectionConstraint( new mxPoint(1,0.75),true), new mxConnectionConstraint( new mxPoint(0.25,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.75,1),true)];_0xdb53x11[_0x63d3[0]][_0x63d3[147]]=[ new mxConnectionConstraint( new mxPoint(0,0.35),false), new mxConnectionConstraint( new mxPoint(0,0.5),false), new mxConnectionConstraint( new mxPoint(0,0.65),false), new mxConnectionConstraint( new mxPoint(1,0.35),false), new mxConnectionConstraint( new mxPoint(1,0.5),false), new mxConnectionConstraint( new mxPoint(1,0.65),false), new mxConnectionConstraint( new mxPoint(0.25,1),false), new mxConnectionConstraint( new mxPoint(0.75,0),false)];_0xdb53x13[_0x63d3[0]][_0x63d3[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.75,0),true), new mxConnectionConstraint( new mxPoint(0.25,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.75,1),true), new mxConnectionConstraint( new mxPoint(0.1,0.25),false), new mxConnectionConstraint( new mxPoint(0.2,0.5),false), new mxConnectionConstraint( new mxPoint(0.1,0.75),false), new mxConnectionConstraint( new mxPoint(0.9,0.25),false), new mxConnectionConstraint( new mxPoint(1,0.5),false), new mxConnectionConstraint( new mxPoint(0.9,0.75),false)];mxLine[_0x63d3[0]][_0x63d3[147]]=[ new mxConnectionConstraint( new mxPoint(0,0.5),false), new mxConnectionConstraint( new mxPoint(0.25,0.5),false), new mxConnectionConstraint( new mxPoint(0.75,0.5),false), new mxConnectionConstraint( new mxPoint(1,0.5),false)];_0xdb53x26[_0x63d3[0]][_0x63d3[147]]=[ new mxConnectionConstraint( new mxPoint(0.5,0),false), new mxConnectionConstraint( new mxPoint(0.5,1),false)];mxEllipse[_0x63d3[0]][_0x63d3[147]]=[ new mxConnectionConstraint( new mxPoint(0,0),true), new mxConnectionConstraint( new mxPoint(1,0),true), new mxConnectionConstraint( new mxPoint(0,1),true), new mxConnectionConstraint( new mxPoint(1,1),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.5))];mxDoubleEllipse[_0x63d3[0]][_0x63d3[147]]=mxEllipse[_0x63d3[0]][_0x63d3[147]];mxRhombus[_0x63d3[0]][_0x63d3[147]]=mxEllipse[_0x63d3[0]][_0x63d3[147]];mxTriangle[_0x63d3[0]][_0x63d3[147]]=[ new mxConnectionConstraint( new mxPoint(0,0.25),true), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(0,0.75),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(1,0.5),true)];mxHexagon[_0x63d3[0]][_0x63d3[147]]=[ new mxConnectionConstraint( new mxPoint(0.375,0),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.625,0),true), new mxConnectionConstraint( new mxPoint(0.125,0.25),false), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(0.125,0.75),false), new mxConnectionConstraint( new mxPoint(0.875,0.25),false), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.5),true), new mxConnectionConstraint( new mxPoint(0.875,0.75),false), new mxConnectionConstraint( new mxPoint(0.375,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.625,1),true)];mxCloud[_0x63d3[0]][_0x63d3[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0.25),false), new mxConnectionConstraint( new mxPoint(0.4,0.1),false), new mxConnectionConstraint( new mxPoint(0.16,0.55),false), new mxConnectionConstraint( new mxPoint(0.07,0.4),false), new mxConnectionConstraint( new mxPoint(0.31,0.8),false), new mxConnectionConstraint( new mxPoint(0.13,0.77),false), new mxConnectionConstraint( new mxPoint(0.8,0.8),false), new mxConnectionConstraint( new mxPoint(0.55,0.95),false), new mxConnectionConstraint( new mxPoint(0.875,0.5),false), new mxConnectionConstraint( new mxPoint(0.96,0.7),false), new mxConnectionConstraint( new mxPoint(0.625,0.2),false), new mxConnectionConstraint( new mxPoint(0.88,0.25),false)];mxArrow[_0x63d3[0]][_0x63d3[147]]=null})() \ No newline at end of file +var _0x29ee=["\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x63\x6F\x6E\x73\x74\x72\x75\x63\x74\x6F\x72","\x73\x69\x7A\x65","\x72\x65\x64\x72\x61\x77\x50\x61\x74\x68","\x73\x74\x79\x6C\x65","\x67\x65\x74\x56\x61\x6C\x75\x65","\x6D\x69\x6E","\x6D\x6F\x76\x65\x54\x6F","\x6C\x69\x6E\x65\x54\x6F","\x65\x6E\x64","\x63\x6C\x6F\x73\x65","\x63\x75\x62\x65","\x64\x65\x66\x61\x75\x6C\x74\x53\x68\x61\x70\x65\x73","\x6E\x6F\x74\x65","\x74\x61\x62\x57\x69\x64\x74\x68","\x74\x61\x62\x48\x65\x69\x67\x68\x74","\x74\x61\x62\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x72\x69\x67\x68\x74","\x6C\x65\x66\x74","\x66\x6F\x6C\x64\x65\x72","\x63\x61\x72\x64","\x71\x75\x61\x64\x54\x6F","\x74\x61\x70\x65","\x73\x74\x65\x70","\x63\x61\x6C\x6C","\x65\x78\x74\x65\x6E\x64","\x69\x73\x48\x74\x6D\x6C\x41\x6C\x6C\x6F\x77\x65\x64","\x70\x61\x69\x6E\x74\x46\x6F\x72\x65\x67\x72\x6F\x75\x6E\x64","\x62\x65\x67\x69\x6E","\x73\x74\x72\x6F\x6B\x65","\x61\x70\x70\x6C\x79","\x70\x6C\x75\x73","\x64\x6F\x75\x62\x6C\x65","\x73\x74\x72\x6F\x6B\x65\x77\x69\x64\x74\x68","\x6D\x61\x78","\x70\x61\x69\x6E\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x73\x65\x74\x44\x61\x73\x68\x65\x64","\x73\x79\x6D\x62\x6F\x6C","\x41\x6C\x69\x67\x6E","\x56\x65\x72\x74\x69\x63\x61\x6C\x41\x6C\x69\x67\x6E","\x57\x69\x64\x74\x68","\x48\x65\x69\x67\x68\x74","\x53\x70\x61\x63\x69\x6E\x67","\x41\x72\x63\x53\x70\x61\x63\x69\x6E\x67","\x67\x65\x74\x41\x72\x63\x53\x69\x7A\x65","\x41\x4C\x49\x47\x4E\x5F\x43\x45\x4E\x54\x45\x52","\x41\x4C\x49\x47\x4E\x5F\x52\x49\x47\x48\x54","\x41\x4C\x49\x47\x4E\x5F\x4D\x49\x44\x44\x4C\x45","\x41\x4C\x49\x47\x4E\x5F\x42\x4F\x54\x54\x4F\x4D","\x73\x61\x76\x65","\x70\x61\x69\x6E\x74\x56\x65\x72\x74\x65\x78\x53\x68\x61\x70\x65","\x72\x65\x73\x74\x6F\x72\x65","\x65\x78\x74","\x6D\x65\x73\x73\x61\x67\x65","\x61\x64\x64\x50\x69\x70\x65","\x63\x75\x72\x76\x65\x54\x6F","\x75\x6D\x6C\x41\x63\x74\x6F\x72","\x6C\x6F\x6C\x6C\x69\x70\x6F\x70","\x6A\x65\x74\x74\x79\x57\x69\x64\x74\x68","\x6A\x65\x74\x74\x79\x48\x65\x69\x67\x68\x74","\x63\x6F\x6D\x70\x6F\x6E\x65\x6E\x74","\x6F\x75\x74\x65\x72\x53\x74\x72\x6F\x6B\x65","\x65\x6C\x6C\x69\x70\x73\x65","\x66\x69\x6C\x6C\x41\x6E\x64\x53\x74\x72\x6F\x6B\x65","\x73\x65\x74\x53\x68\x61\x64\x6F\x77","\x65\x6E\x64\x53\x74\x61\x74\x65","\x73\x74\x61\x72\x74\x53\x74\x61\x74\x65","\x69\x6E\x69\x74\x53\x68\x61\x70\x65\x73","\x78","\x79","\x77\x69\x64\x74\x68","\x68\x65\x69\x67\x68\x74","\x73\x68\x61\x70\x65\x73","\x70\x61\x69\x6E\x74\x45\x64\x67\x65\x53\x68\x61\x70\x65","\x6C\x65\x6E\x67\x74\x68","\x73\x71\x72\x74","\x6C\x69\x6E\x6B","\x64\x61\x73\x68","\x61\x64\x64\x4D\x61\x72\x6B\x65\x72","\x75\x6E\x64\x65\x66\x69\x6E\x65\x64","\x75\x73\x65\x47\x72\x69\x64\x46\x6F\x72\x53\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65","\x69\x6E\x69\x74","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C","\x73\x74\x61\x74\x65","\x67\x72\x61\x70\x68","\x76\x69\x65\x77","\x68\x61\x6E\x64\x6C\x65\x49\x6D\x61\x67\x65","\x73\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65","\x73\x72\x63","\x64\x69\x61\x6C\x65\x63\x74","\x44\x49\x41\x4C\x45\x43\x54\x5F\x53\x56\x47","\x44\x49\x41\x4C\x45\x43\x54\x5F\x56\x4D\x4C","\x67\x65\x74\x4F\x76\x65\x72\x6C\x61\x79\x50\x61\x6E\x65","\x67\x65\x74\x56\x69\x65\x77","\x63\x75\x72\x73\x6F\x72","\x6E\x6F\x64\x65","\x67\x65\x74\x53\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65\x43\x75\x72\x73\x6F\x72","\x72\x65\x64\x69\x72\x65\x63\x74\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74\x73","\x64\x65\x66\x61\x75\x6C\x74","\x72\x65\x64\x72\x61\x77","\x62\x6F\x75\x6E\x64\x73","\x67\x65\x74\x53\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65\x42\x6F\x75\x6E\x64\x73","\x64\x65\x73\x74\x72\x6F\x79","\x67\x65\x74\x48\x61\x6E\x64\x6C\x65\x46\x6F\x72\x45\x76\x65\x6E\x74","\x69\x73\x53\x6F\x75\x72\x63\x65","\x6D\x6F\x75\x73\x65\x4D\x6F\x76\x65","\x69\x73\x43\x6F\x6E\x73\x75\x6D\x65\x64","\x69\x6E\x64\x65\x78","\x67\x65\x74\x47\x72\x61\x70\x68\x58","\x67\x65\x74\x47\x72\x61\x70\x68\x59","\x63\x6F\x6E\x73\x74\x72\x61\x69\x6E\x50\x6F\x69\x6E\x74","\x67\x65\x74\x45\x76\x65\x6E\x74","\x69\x73\x47\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64\x45\x76\x65\x6E\x74","\x73\x63\x61\x6C\x65","\x73\x6E\x61\x70","\x75\x70\x64\x61\x74\x65\x53\x74\x79\x6C\x65","\x6D\x6F\x76\x65\x53\x69\x7A\x65\x72\x54\x6F","\x63\x65\x6C\x6C\x52\x65\x6E\x64\x65\x72\x65\x72","\x63\x6F\x6E\x73\x75\x6D\x65","\x6D\x6F\x75\x73\x65\x55\x70","\x61\x70\x70\x6C\x79\x53\x74\x79\x6C\x65","\x72\x65\x73\x65\x74","\x67\x65\x74\x53\x68\x61\x70\x65\x52\x6F\x74\x61\x74\x69\x6F\x6E","\x73\x68\x61\x70\x65","\x74\x6F\x52\x61\x64\x69\x61\x6E\x73","\x63\x6F\x73","\x73\x69\x6E","\x69\x73\x50\x61\x69\x6E\x74\x42\x6F\x75\x6E\x64\x73\x49\x6E\x76\x65\x72\x74\x65\x64","\x67\x65\x74\x53\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65\x50\x6F\x69\x6E\x74","\x66\x6C\x69\x70\x48","\x66\x6C\x69\x70\x56","\x67\x65\x74\x43\x65\x6E\x74\x65\x72\x58","\x67\x65\x74\x43\x65\x6E\x74\x65\x72\x59","\x67\x65\x74\x52\x6F\x74\x61\x74\x65\x64\x50\x6F\x69\x6E\x74","\x75\x70\x64\x61\x74\x65\x53\x74\x79\x6C\x65\x55\x6E\x72\x6F\x74\x61\x74\x65\x64","\x72\x6F\x75\x6E\x64","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x62\x65\x67\x69\x6E\x55\x70\x64\x61\x74\x65","\x63\x65\x6C\x6C","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x65\x6E\x64\x55\x70\x64\x61\x74\x65","\x73\x74\x61\x72\x74\x53\x69\x7A\x65","\x64\x65\x66\x61\x75\x6C\x74\x56\x61\x6C\x75\x65","\x73\x63\x61\x6C\x65\x46\x61\x63\x74\x6F\x72","\x63\x72\x65\x61\x74\x65\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x41\x6C\x6C\x43\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74\x73","\x73\x74\x65\x6E\x63\x69\x6C","\x63\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74\x73"];(function(){function _0x9a2cx1(){}_0x9a2cx1[_0x29ee[0]]= new mxCylinder();_0x9a2cx1[_0x29ee[0]][_0x29ee[1]]=_0x9a2cx1;_0x9a2cx1[_0x29ee[0]][_0x29ee[2]]=20;_0x9a2cx1[_0x29ee[0]][_0x29ee[3]]=function(_0x9a2cx2,_0x9a2cx3,_0x9a2cx4,_0x9a2cx5,_0x9a2cx6,_0x9a2cx7){var _0x9a2cx8=Math[_0x29ee[6]](_0x9a2cx5,Math[_0x29ee[6]](_0x9a2cx6,mxUtils[_0x29ee[5]](this[_0x29ee[4]],_0x29ee[2],this[_0x29ee[2]])));if(_0x9a2cx7){_0x9a2cx2[_0x29ee[7]](_0x9a2cx8,_0x9a2cx6);_0x9a2cx2[_0x29ee[8]](_0x9a2cx8,_0x9a2cx8);_0x9a2cx2[_0x29ee[8]](0,0);_0x9a2cx2[_0x29ee[7]](_0x9a2cx8,_0x9a2cx8);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,_0x9a2cx8);_0x9a2cx2[_0x29ee[9]]()}else {_0x9a2cx2[_0x29ee[7]](0,0);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5-_0x9a2cx8,0);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,_0x9a2cx8);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,_0x9a2cx6);_0x9a2cx2[_0x29ee[8]](_0x9a2cx8,_0x9a2cx6);_0x9a2cx2[_0x29ee[8]](0,_0x9a2cx6-_0x9a2cx8);_0x9a2cx2[_0x29ee[8]](0,0);_0x9a2cx2[_0x29ee[10]]();_0x9a2cx2[_0x29ee[9]]()}};mxCellRenderer[_0x29ee[0]][_0x29ee[12]][_0x29ee[11]]=_0x9a2cx1;function _0x9a2cx9(){}_0x9a2cx9[_0x29ee[0]]= new mxCylinder();_0x9a2cx9[_0x29ee[0]][_0x29ee[1]]=_0x9a2cx9;_0x9a2cx9[_0x29ee[0]][_0x29ee[2]]=30;_0x9a2cx9[_0x29ee[0]][_0x29ee[3]]=function(_0x9a2cx2,_0x9a2cx3,_0x9a2cx4,_0x9a2cx5,_0x9a2cx6,_0x9a2cx7){var _0x9a2cx8=Math[_0x29ee[6]](_0x9a2cx5,Math[_0x29ee[6]](_0x9a2cx6,mxUtils[_0x29ee[5]](this[_0x29ee[4]],_0x29ee[2],this[_0x29ee[2]])));if(_0x9a2cx7){_0x9a2cx2[_0x29ee[7]](_0x9a2cx5-_0x9a2cx8,0);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5-_0x9a2cx8,_0x9a2cx8);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,_0x9a2cx8);_0x9a2cx2[_0x29ee[9]]()}else {_0x9a2cx2[_0x29ee[7]](0,0);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5-_0x9a2cx8,0);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,_0x9a2cx8);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,_0x9a2cx6);_0x9a2cx2[_0x29ee[8]](0,_0x9a2cx6);_0x9a2cx2[_0x29ee[8]](0,0);_0x9a2cx2[_0x29ee[10]]();_0x9a2cx2[_0x29ee[9]]()}};mxCellRenderer[_0x29ee[0]][_0x29ee[12]][_0x29ee[13]]=_0x9a2cx9;function _0x9a2cxa(){}_0x9a2cxa[_0x29ee[0]]= new mxCylinder();_0x9a2cxa[_0x29ee[0]][_0x29ee[1]]=_0x9a2cxa;_0x9a2cxa[_0x29ee[0]][_0x29ee[14]]=60;_0x9a2cxa[_0x29ee[0]][_0x29ee[15]]=20;_0x9a2cxa[_0x29ee[0]][_0x29ee[16]]=_0x29ee[17];_0x9a2cxa[_0x29ee[0]][_0x29ee[3]]=function(_0x9a2cx2,_0x9a2cx3,_0x9a2cx4,_0x9a2cx5,_0x9a2cx6,_0x9a2cx7){var _0x9a2cxb=mxUtils[_0x29ee[5]](this[_0x29ee[4]],_0x29ee[14],this[_0x29ee[14]]);var _0x9a2cxc=mxUtils[_0x29ee[5]](this[_0x29ee[4]],_0x29ee[15],this[_0x29ee[15]]);var _0x9a2cxd=mxUtils[_0x29ee[5]](this[_0x29ee[4]],_0x29ee[16],this[_0x29ee[16]]);var _0x9a2cxe=Math[_0x29ee[6]](_0x9a2cx5,_0x9a2cxb);var _0x9a2cxf=Math[_0x29ee[6]](_0x9a2cx6,_0x9a2cxc);if(_0x9a2cx7){if(_0x9a2cxd==_0x29ee[18]){_0x9a2cx2[_0x29ee[7]](0,_0x9a2cxf);_0x9a2cx2[_0x29ee[8]](_0x9a2cxe,_0x9a2cxf)}else {_0x9a2cx2[_0x29ee[7]](_0x9a2cx5-_0x9a2cxe,_0x9a2cxf);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,_0x9a2cxf)};_0x9a2cx2[_0x29ee[9]]()}else {if(_0x9a2cxd==_0x29ee[18]){_0x9a2cx2[_0x29ee[7]](0,0);_0x9a2cx2[_0x29ee[8]](_0x9a2cxe,0);_0x9a2cx2[_0x29ee[8]](_0x9a2cxe,_0x9a2cxf);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,_0x9a2cxf)}else {_0x9a2cx2[_0x29ee[7]](0,_0x9a2cxf);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5-_0x9a2cxe,_0x9a2cxf);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5-_0x9a2cxe,0);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,0)};_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,_0x9a2cx6);_0x9a2cx2[_0x29ee[8]](0,_0x9a2cx6);_0x9a2cx2[_0x29ee[8]](0,_0x9a2cxf);_0x9a2cx2[_0x29ee[10]]();_0x9a2cx2[_0x29ee[9]]()}};mxCellRenderer[_0x29ee[0]][_0x29ee[12]][_0x29ee[19]]=_0x9a2cxa;function _0x9a2cx10(){}_0x9a2cx10[_0x29ee[0]]= new mxCylinder();_0x9a2cx10[_0x29ee[0]][_0x29ee[1]]=_0x9a2cx10;_0x9a2cx10[_0x29ee[0]][_0x29ee[2]]=30;_0x9a2cx10[_0x29ee[0]][_0x29ee[3]]=function(_0x9a2cx2,_0x9a2cx3,_0x9a2cx4,_0x9a2cx5,_0x9a2cx6,_0x9a2cx7){var _0x9a2cx8=Math[_0x29ee[6]](_0x9a2cx5,Math[_0x29ee[6]](_0x9a2cx6,mxUtils[_0x29ee[5]](this[_0x29ee[4]],_0x29ee[2],this[_0x29ee[2]])));if(!_0x9a2cx7){_0x9a2cx2[_0x29ee[7]](_0x9a2cx8,0);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,0);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,_0x9a2cx6);_0x9a2cx2[_0x29ee[8]](0,_0x9a2cx6);_0x9a2cx2[_0x29ee[8]](0,_0x9a2cx8);_0x9a2cx2[_0x29ee[8]](_0x9a2cx8,0);_0x9a2cx2[_0x29ee[10]]();_0x9a2cx2[_0x29ee[9]]()}};mxCellRenderer[_0x29ee[0]][_0x29ee[12]][_0x29ee[20]]=_0x9a2cx10;function _0x9a2cx11(){}_0x9a2cx11[_0x29ee[0]]= new mxCylinder();_0x9a2cx11[_0x29ee[0]][_0x29ee[1]]=_0x9a2cx11;_0x9a2cx11[_0x29ee[0]][_0x29ee[2]]=0.4;_0x9a2cx11[_0x29ee[0]][_0x29ee[3]]=function(_0x9a2cx2,_0x9a2cx3,_0x9a2cx4,_0x9a2cx5,_0x9a2cx6,_0x9a2cx7){var _0x9a2cx8=mxUtils[_0x29ee[5]](this[_0x29ee[4]],_0x29ee[2],this[_0x29ee[2]]);var _0x9a2cxf=_0x9a2cx6*_0x9a2cx8;var _0x9a2cx12=1.4;if(!_0x9a2cx7){_0x9a2cx2[_0x29ee[7]](0,_0x9a2cxf/2);_0x9a2cx2[_0x29ee[21]](_0x9a2cx5/4,_0x9a2cxf*_0x9a2cx12,_0x9a2cx5/2,_0x9a2cxf/2);_0x9a2cx2[_0x29ee[21]](_0x9a2cx5*3/4,_0x9a2cxf*(1-_0x9a2cx12),_0x9a2cx5,_0x9a2cxf/2);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,_0x9a2cx6-_0x9a2cxf/2);_0x9a2cx2[_0x29ee[21]](_0x9a2cx5*3/4,_0x9a2cx6-_0x9a2cxf*_0x9a2cx12,_0x9a2cx5/2,_0x9a2cx6-_0x9a2cxf/2);_0x9a2cx2[_0x29ee[21]](_0x9a2cx5/4,_0x9a2cx6-_0x9a2cxf*(1-_0x9a2cx12),0,_0x9a2cx6-_0x9a2cxf/2);_0x9a2cx2[_0x29ee[8]](0,_0x9a2cxf/2);_0x9a2cx2[_0x29ee[10]]();_0x9a2cx2[_0x29ee[9]]()}};mxCellRenderer[_0x29ee[0]][_0x29ee[12]][_0x29ee[22]]=_0x9a2cx11;function _0x9a2cx13(){}_0x9a2cx13[_0x29ee[0]]= new mxCylinder();_0x9a2cx13[_0x29ee[0]][_0x29ee[1]]=_0x9a2cx13;_0x9a2cx13[_0x29ee[0]][_0x29ee[2]]=0.2;_0x9a2cx13[_0x29ee[0]][_0x29ee[3]]=function(_0x9a2cx2,_0x9a2cx3,_0x9a2cx4,_0x9a2cx5,_0x9a2cx6,_0x9a2cx7){var _0x9a2cx8=_0x9a2cx5*mxUtils[_0x29ee[5]](this[_0x29ee[4]],_0x29ee[2],this[_0x29ee[2]]);if(!_0x9a2cx7){_0x9a2cx2[_0x29ee[7]](0,0);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5-_0x9a2cx8,0);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,_0x9a2cx6/2);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5-_0x9a2cx8,_0x9a2cx6);_0x9a2cx2[_0x29ee[8]](0,_0x9a2cx6);_0x9a2cx2[_0x29ee[8]](_0x9a2cx8,_0x9a2cx6/2);_0x9a2cx2[_0x29ee[10]]();_0x9a2cx2[_0x29ee[9]]()}};mxCellRenderer[_0x29ee[0]][_0x29ee[12]][_0x29ee[23]]=_0x9a2cx13;function _0x9a2cx14(){mxRectangleShape[_0x29ee[24]](this)}mxUtils[_0x29ee[25]](_0x9a2cx14,mxRectangleShape);_0x9a2cx14[_0x29ee[0]][_0x29ee[26]]=function(){return false};_0x9a2cx14[_0x29ee[0]][_0x29ee[27]]=function(_0x9a2cx15,_0x9a2cx3,_0x9a2cx4,_0x9a2cx5,_0x9a2cx6){var _0x9a2cx16=Math[_0x29ee[6]](_0x9a2cx5/5,_0x9a2cx6/5)+1;_0x9a2cx15[_0x29ee[28]]();_0x9a2cx15[_0x29ee[7]](_0x9a2cx3+_0x9a2cx5/2,_0x9a2cx4+_0x9a2cx16);_0x9a2cx15[_0x29ee[8]](_0x9a2cx3+_0x9a2cx5/2,_0x9a2cx4+_0x9a2cx6-_0x9a2cx16);_0x9a2cx15[_0x29ee[7]](_0x9a2cx3+_0x9a2cx16,_0x9a2cx4+_0x9a2cx6/2);_0x9a2cx15[_0x29ee[8]](_0x9a2cx3+_0x9a2cx5-_0x9a2cx16,_0x9a2cx4+_0x9a2cx6/2);_0x9a2cx15[_0x29ee[9]]();_0x9a2cx15[_0x29ee[29]]();mxRectangleShape[_0x29ee[0]][_0x29ee[27]][_0x29ee[30]](this,arguments)};mxCellRenderer[_0x29ee[0]][_0x29ee[12]][_0x29ee[31]]=_0x9a2cx14;function _0x9a2cx17(){mxRectangleShape[_0x29ee[24]](this)}mxUtils[_0x29ee[25]](_0x9a2cx17,mxRectangleShape);_0x9a2cx17[_0x29ee[0]][_0x29ee[26]]=function(){return false};_0x9a2cx17[_0x29ee[0]][_0x29ee[27]]=function(_0x9a2cx15,_0x9a2cx3,_0x9a2cx4,_0x9a2cx5,_0x9a2cx6){if(this[_0x29ee[4]]!=null){if(this[_0x29ee[4]][_0x29ee[32]]==1){var _0x9a2cx18=Math[_0x29ee[34]](2,this[_0x29ee[33]]+1);mxRectangleShape[_0x29ee[0]][_0x29ee[35]][_0x29ee[24]](this,_0x9a2cx15,_0x9a2cx3+_0x9a2cx18,_0x9a2cx4+_0x9a2cx18,_0x9a2cx5-2*_0x9a2cx18,_0x9a2cx6-2*_0x9a2cx18);mxRectangleShape[_0x29ee[0]][_0x29ee[27]][_0x29ee[30]](this,arguments);_0x9a2cx3+=_0x9a2cx18;_0x9a2cx4+=_0x9a2cx18;_0x9a2cx5-=2*_0x9a2cx18;_0x9a2cx6-=2*_0x9a2cx18};_0x9a2cx15[_0x29ee[36]](false);var _0x9a2cx19=0;var _0x9a2cx1a=null;do{_0x9a2cx1a=mxCellRenderer[_0x29ee[0]][_0x29ee[12]][this[_0x29ee[4]][_0x29ee[37]+_0x9a2cx19]];if(_0x9a2cx1a!=null){var _0x9a2cx1b=this[_0x29ee[4]][_0x29ee[37]+_0x9a2cx19+_0x29ee[38]];var _0x9a2cx1c=this[_0x29ee[4]][_0x29ee[37]+_0x9a2cx19+_0x29ee[39]];var _0x9a2cx1d=this[_0x29ee[4]][_0x29ee[37]+_0x9a2cx19+_0x29ee[40]];var _0x9a2cx1e=this[_0x29ee[4]][_0x29ee[37]+_0x9a2cx19+_0x29ee[41]];var _0x9a2cx1f=this[_0x29ee[4]][_0x29ee[37]+_0x9a2cx19+_0x29ee[42]]||0;var _0x9a2cx20=this[_0x29ee[4]][_0x29ee[37]+_0x9a2cx19+_0x29ee[43]];if(_0x9a2cx20!=null){_0x9a2cx1f+=this[_0x29ee[44]](_0x9a2cx5+this[_0x29ee[33]],_0x9a2cx6+this[_0x29ee[33]])*_0x9a2cx20};var _0x9a2cx21=_0x9a2cx3;var _0x9a2cx22=_0x9a2cx4;if(_0x9a2cx1b==mxConstants[_0x29ee[45]]){_0x9a2cx21+=(_0x9a2cx5-_0x9a2cx1d)/2}else {if(_0x9a2cx1b==mxConstants[_0x29ee[46]]){_0x9a2cx21+=_0x9a2cx5-_0x9a2cx1d-_0x9a2cx1f}else {_0x9a2cx21+=_0x9a2cx1f}};if(_0x9a2cx1c==mxConstants[_0x29ee[47]]){_0x9a2cx22+=(_0x9a2cx6-_0x9a2cx1e)/2}else {if(_0x9a2cx1c==mxConstants[_0x29ee[48]]){_0x9a2cx22+=_0x9a2cx6-_0x9a2cx1e-_0x9a2cx1f}else {_0x9a2cx22+=_0x9a2cx1f}};_0x9a2cx15[_0x29ee[49]]();var _0x9a2cx23= new _0x9a2cx1a();_0x9a2cx23[_0x29ee[4]]=this[_0x29ee[4]];_0x9a2cx1a[_0x29ee[0]][_0x29ee[50]][_0x29ee[24]](_0x9a2cx23,_0x9a2cx15,_0x9a2cx21,_0x9a2cx22,_0x9a2cx1d,_0x9a2cx1e);_0x9a2cx15[_0x29ee[51]]()};_0x9a2cx19++}while(_0x9a2cx1a!=null);}};mxCellRenderer[_0x29ee[0]][_0x29ee[12]][_0x29ee[52]]=_0x9a2cx17;function _0x9a2cx24(){}_0x9a2cx24[_0x29ee[0]]= new mxCylinder();_0x9a2cx24[_0x29ee[0]][_0x29ee[1]]=_0x9a2cx24;_0x9a2cx24[_0x29ee[0]][_0x29ee[3]]=function(_0x9a2cx2,_0x9a2cx3,_0x9a2cx4,_0x9a2cx5,_0x9a2cx6,_0x9a2cx7){if(_0x9a2cx7){_0x9a2cx2[_0x29ee[7]](0,0);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5/2,_0x9a2cx6/2);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,0);_0x9a2cx2[_0x29ee[9]]()}else {_0x9a2cx2[_0x29ee[7]](0,0);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,0);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,_0x9a2cx6);_0x9a2cx2[_0x29ee[8]](0,_0x9a2cx6);_0x9a2cx2[_0x29ee[10]]()}};mxCellRenderer[_0x29ee[0]][_0x29ee[12]][_0x29ee[53]]=_0x9a2cx24;function _0x9a2cx25(){}_0x9a2cx25[_0x29ee[0]]= new mxCylinder();_0x9a2cx25[_0x29ee[0]][_0x29ee[1]]=_0x9a2cx25;_0x9a2cx25[_0x29ee[0]][_0x29ee[54]]=true;_0x9a2cx25[_0x29ee[0]][_0x29ee[3]]=function(_0x9a2cx2,_0x9a2cx3,_0x9a2cx4,_0x9a2cx5,_0x9a2cx6,_0x9a2cx7){var _0x9a2cx1d=_0x9a2cx5/3;var _0x9a2cx1e=_0x9a2cx6/4;if(!_0x9a2cx7){_0x9a2cx2[_0x29ee[7]](_0x9a2cx5/2,_0x9a2cx1e);_0x9a2cx2[_0x29ee[55]](_0x9a2cx5/2-_0x9a2cx1d,_0x9a2cx1e,_0x9a2cx5/2-_0x9a2cx1d,0,_0x9a2cx5/2,0);_0x9a2cx2[_0x29ee[55]](_0x9a2cx5/2+_0x9a2cx1d,0,_0x9a2cx5/2+_0x9a2cx1d,_0x9a2cx1e,_0x9a2cx5/2,_0x9a2cx1e);_0x9a2cx2[_0x29ee[10]]();_0x9a2cx2[_0x29ee[7]](_0x9a2cx5/2,_0x9a2cx1e);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5/2,2*_0x9a2cx6/3);_0x9a2cx2[_0x29ee[7]](_0x9a2cx5/2,_0x9a2cx6/3);_0x9a2cx2[_0x29ee[8]](0,_0x9a2cx6/3);_0x9a2cx2[_0x29ee[7]](_0x9a2cx5/2,_0x9a2cx6/3);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,_0x9a2cx6/3);_0x9a2cx2[_0x29ee[7]](_0x9a2cx5/2,2*_0x9a2cx6/3);_0x9a2cx2[_0x29ee[8]](0,_0x9a2cx6);_0x9a2cx2[_0x29ee[7]](_0x9a2cx5/2,2*_0x9a2cx6/3);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,_0x9a2cx6);_0x9a2cx2[_0x29ee[9]]()}};mxCellRenderer[_0x29ee[0]][_0x29ee[12]][_0x29ee[56]]=_0x9a2cx25;function _0x9a2cx26(){}_0x9a2cx26[_0x29ee[0]]= new mxCylinder();_0x9a2cx26[_0x29ee[0]][_0x29ee[1]]=_0x9a2cx26;_0x9a2cx26[_0x29ee[0]][_0x29ee[2]]=10;_0x9a2cx26[_0x29ee[0]][_0x29ee[54]]=true;_0x9a2cx26[_0x29ee[0]][_0x29ee[3]]=function(_0x9a2cx2,_0x9a2cx3,_0x9a2cx4,_0x9a2cx5,_0x9a2cx6,_0x9a2cx7){var _0x9a2cx27=mxUtils[_0x29ee[5]](this[_0x29ee[4]],_0x29ee[2],this[_0x29ee[2]]);var _0x9a2cx1d=_0x9a2cx27*2/3;var _0x9a2cx1e=_0x9a2cx27;if(!_0x9a2cx7){_0x9a2cx2[_0x29ee[7]](_0x9a2cx5/2,_0x9a2cx1e);_0x9a2cx2[_0x29ee[55]](_0x9a2cx5/2-_0x9a2cx1d,_0x9a2cx1e,_0x9a2cx5/2-_0x9a2cx1d,0,_0x9a2cx5/2,0);_0x9a2cx2[_0x29ee[55]](_0x9a2cx5/2+_0x9a2cx1d,0,_0x9a2cx5/2+_0x9a2cx1d,_0x9a2cx1e,_0x9a2cx5/2,_0x9a2cx1e);_0x9a2cx2[_0x29ee[10]]();_0x9a2cx2[_0x29ee[7]](_0x9a2cx5/2,_0x9a2cx1e);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5/2,_0x9a2cx6);_0x9a2cx2[_0x29ee[9]]()}};mxCellRenderer[_0x29ee[0]][_0x29ee[12]][_0x29ee[57]]=_0x9a2cx26;function _0x9a2cx28(){}_0x9a2cx28[_0x29ee[0]]= new mxCylinder();_0x9a2cx28[_0x29ee[0]][_0x29ee[1]]=_0x9a2cx28;_0x9a2cx28[_0x29ee[0]][_0x29ee[58]]=32;_0x9a2cx28[_0x29ee[0]][_0x29ee[59]]=12;_0x9a2cx28[_0x29ee[0]][_0x29ee[3]]=function(_0x9a2cx2,_0x9a2cx3,_0x9a2cx4,_0x9a2cx5,_0x9a2cx6,_0x9a2cx7){var _0x9a2cxe=mxUtils[_0x29ee[5]](this[_0x29ee[4]],_0x29ee[58],this[_0x29ee[58]]);var _0x9a2cxf=mxUtils[_0x29ee[5]](this[_0x29ee[4]],_0x29ee[59],this[_0x29ee[59]]);var _0x9a2cx29=_0x9a2cxe/2;var _0x9a2cx2a=_0x9a2cx29+_0x9a2cxe/2;var _0x9a2cx2b=0.3*_0x9a2cx6-_0x9a2cxf/2;var _0x9a2cx2c=0.7*_0x9a2cx6-_0x9a2cxf/2;if(_0x9a2cx7){_0x9a2cx2[_0x29ee[7]](_0x9a2cx29,_0x9a2cx2b);_0x9a2cx2[_0x29ee[8]](_0x9a2cx2a,_0x9a2cx2b);_0x9a2cx2[_0x29ee[8]](_0x9a2cx2a,_0x9a2cx2b+_0x9a2cxf);_0x9a2cx2[_0x29ee[8]](_0x9a2cx29,_0x9a2cx2b+_0x9a2cxf);_0x9a2cx2[_0x29ee[7]](_0x9a2cx29,_0x9a2cx2c);_0x9a2cx2[_0x29ee[8]](_0x9a2cx2a,_0x9a2cx2c);_0x9a2cx2[_0x29ee[8]](_0x9a2cx2a,_0x9a2cx2c+_0x9a2cxf);_0x9a2cx2[_0x29ee[8]](_0x9a2cx29,_0x9a2cx2c+_0x9a2cxf);_0x9a2cx2[_0x29ee[9]]()}else {_0x9a2cx2[_0x29ee[7]](_0x9a2cx29,0);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,0);_0x9a2cx2[_0x29ee[8]](_0x9a2cx5,_0x9a2cx6);_0x9a2cx2[_0x29ee[8]](_0x9a2cx29,_0x9a2cx6);_0x9a2cx2[_0x29ee[8]](_0x9a2cx29,_0x9a2cx2c+_0x9a2cxf);_0x9a2cx2[_0x29ee[8]](0,_0x9a2cx2c+_0x9a2cxf);_0x9a2cx2[_0x29ee[8]](0,_0x9a2cx2c);_0x9a2cx2[_0x29ee[8]](_0x9a2cx29,_0x9a2cx2c);_0x9a2cx2[_0x29ee[8]](_0x9a2cx29,_0x9a2cx2b+_0x9a2cxf);_0x9a2cx2[_0x29ee[8]](0,_0x9a2cx2b+_0x9a2cxf);_0x9a2cx2[_0x29ee[8]](0,_0x9a2cx2b);_0x9a2cx2[_0x29ee[8]](_0x9a2cx29,_0x9a2cx2b);_0x9a2cx2[_0x29ee[10]]();_0x9a2cx2[_0x29ee[9]]()}};mxCellRenderer[_0x29ee[0]][_0x29ee[12]][_0x29ee[60]]=_0x9a2cx28;function _0x9a2cx2d(){}_0x9a2cx2d[_0x29ee[0]]= new mxDoubleEllipse();_0x9a2cx2d[_0x29ee[0]][_0x29ee[1]]=_0x9a2cx2d;_0x9a2cx2d[_0x29ee[0]][_0x29ee[61]]=true;_0x9a2cx2d[_0x29ee[0]][_0x29ee[50]]=function(_0x9a2cx15,_0x9a2cx3,_0x9a2cx4,_0x9a2cx5,_0x9a2cx6){var _0x9a2cx18=Math[_0x29ee[6]](4,Math[_0x29ee[6]](_0x9a2cx5/5,_0x9a2cx6/5));if(_0x9a2cx5>0&&_0x9a2cx6>0){_0x9a2cx15[_0x29ee[62]](_0x9a2cx3+_0x9a2cx18,_0x9a2cx4+_0x9a2cx18,_0x9a2cx5-2*_0x9a2cx18,_0x9a2cx6-2*_0x9a2cx18);_0x9a2cx15[_0x29ee[63]]()};_0x9a2cx15[_0x29ee[64]](false);if(this[_0x29ee[61]]){_0x9a2cx15[_0x29ee[62]](_0x9a2cx3,_0x9a2cx4,_0x9a2cx5,_0x9a2cx6);_0x9a2cx15[_0x29ee[29]]()}};mxCellRenderer[_0x29ee[0]][_0x29ee[12]][_0x29ee[65]]=_0x9a2cx2d;function _0x9a2cx2e(){}_0x9a2cx2e[_0x29ee[0]]= new _0x9a2cx2d();_0x9a2cx2e[_0x29ee[0]][_0x29ee[1]]=_0x9a2cx2e;_0x9a2cx2e[_0x29ee[0]][_0x29ee[61]]=false;mxCellRenderer[_0x29ee[0]][_0x29ee[12]][_0x29ee[66]]=_0x9a2cx2e;var _0x9a2cx2f=mxImageExport[_0x29ee[0]][_0x29ee[67]];mxImageExport[_0x29ee[0]][_0x29ee[67]]=function(){_0x9a2cx2f[_0x29ee[30]](this,arguments);function _0x9a2cx30(_0x9a2cx31){return {drawShape:function(_0x9a2cx32,_0x9a2cx33,_0x9a2cx34,_0x9a2cx35){var _0x9a2cx3=_0x9a2cx34[_0x29ee[68]];var _0x9a2cx4=_0x9a2cx34[_0x29ee[69]];var _0x9a2cx5=_0x9a2cx34[_0x29ee[70]];var _0x9a2cx6=_0x9a2cx34[_0x29ee[71]];if(_0x9a2cx35){var _0x9a2cx18=Math[_0x29ee[6]](4,Math[_0x29ee[6]](_0x9a2cx5/5,_0x9a2cx6/5));_0x9a2cx3+=_0x9a2cx18;_0x9a2cx4+=_0x9a2cx18;_0x9a2cx5-=2*_0x9a2cx18;_0x9a2cx6-=2*_0x9a2cx18;if(_0x9a2cx5>0&&_0x9a2cx6>0){_0x9a2cx32[_0x29ee[62]](_0x9a2cx3,_0x9a2cx4,_0x9a2cx5,_0x9a2cx6)};return true}else {_0x9a2cx32[_0x29ee[63]]();if(_0x9a2cx31){_0x9a2cx32[_0x29ee[62]](_0x9a2cx3,_0x9a2cx4,_0x9a2cx5,_0x9a2cx6);_0x9a2cx32[_0x29ee[29]]()}}}}}this[_0x29ee[72]][_0x29ee[65]]=_0x9a2cx30(true);this[_0x29ee[72]][_0x29ee[66]]=_0x9a2cx30(false)};function _0x9a2cx36(){mxArrow[_0x29ee[24]](this)}mxUtils[_0x29ee[25]](_0x9a2cx36,mxArrow);_0x9a2cx36[_0x29ee[0]][_0x29ee[73]]=function(_0x9a2cx15,_0x9a2cx37){var _0x9a2cx1d=10;var _0x9a2cx38=_0x9a2cx37[0];var _0x9a2cx39=_0x9a2cx37[_0x9a2cx37[_0x29ee[74]]-1];var _0x9a2cxe=_0x9a2cx39[_0x29ee[68]]-_0x9a2cx38[_0x29ee[68]];var _0x9a2cxf=_0x9a2cx39[_0x29ee[69]]-_0x9a2cx38[_0x29ee[69]];var _0x9a2cx3a=Math[_0x29ee[75]](_0x9a2cxe*_0x9a2cxe+_0x9a2cxf*_0x9a2cxf);var _0x9a2cx3b=_0x9a2cx3a;var _0x9a2cx3c=_0x9a2cxe/_0x9a2cx3a;var _0x9a2cx3d=_0x9a2cxf/_0x9a2cx3a;var _0x9a2cx3e=_0x9a2cx3b*_0x9a2cx3c;var _0x9a2cx3f=_0x9a2cx3b*_0x9a2cx3d;var _0x9a2cx40=_0x9a2cx1d*_0x9a2cx3d/3;var _0x9a2cx41=-_0x9a2cx1d*_0x9a2cx3c/3;var _0x9a2cx42=_0x9a2cx38[_0x29ee[68]]-_0x9a2cx40/2;var _0x9a2cx43=_0x9a2cx38[_0x29ee[69]]-_0x9a2cx41/2;var _0x9a2cx44=_0x9a2cx42+_0x9a2cx40;var _0x9a2cx45=_0x9a2cx43+_0x9a2cx41;var _0x9a2cx46=_0x9a2cx44+_0x9a2cx3e;var _0x9a2cx47=_0x9a2cx45+_0x9a2cx3f;var _0x9a2cx48=_0x9a2cx46+_0x9a2cx40;var _0x9a2cx49=_0x9a2cx47+_0x9a2cx41;var _0x9a2cx4a=_0x9a2cx48-3*_0x9a2cx40;var _0x9a2cx4b=_0x9a2cx49-3*_0x9a2cx41;_0x9a2cx15[_0x29ee[28]]();_0x9a2cx15[_0x29ee[7]](_0x9a2cx44,_0x9a2cx45);_0x9a2cx15[_0x29ee[8]](_0x9a2cx46,_0x9a2cx47);_0x9a2cx15[_0x29ee[7]](_0x9a2cx4a+_0x9a2cx40,_0x9a2cx4b+_0x9a2cx41);_0x9a2cx15[_0x29ee[8]](_0x9a2cx42,_0x9a2cx43);_0x9a2cx15[_0x29ee[29]]()};mxCellRenderer[_0x29ee[0]][_0x29ee[12]][_0x29ee[76]]=_0x9a2cx36;mxMarker[_0x29ee[78]](_0x29ee[77],function(_0x9a2cx32,_0x9a2cx1a,_0x9a2cx4c,_0x9a2cx39,_0x9a2cx4d,_0x9a2cx4e,_0x9a2cx4f,_0x9a2cx50,_0x9a2cx51,_0x9a2cx52){var _0x9a2cx3c=_0x9a2cx4d*(_0x9a2cx4f+_0x9a2cx51+1);var _0x9a2cx3d=_0x9a2cx4e*(_0x9a2cx4f+_0x9a2cx51+1);return function(){_0x9a2cx32[_0x29ee[28]]();_0x9a2cx32[_0x29ee[7]](_0x9a2cx39[_0x29ee[68]]-_0x9a2cx3c/2-_0x9a2cx3d/2,_0x9a2cx39[_0x29ee[69]]-_0x9a2cx3d/2+_0x9a2cx3c/2);_0x9a2cx32[_0x29ee[8]](_0x9a2cx39[_0x29ee[68]]+_0x9a2cx3d/2-3*_0x9a2cx3c/2,_0x9a2cx39[_0x29ee[69]]-3*_0x9a2cx3d/2-_0x9a2cx3c/2);_0x9a2cx32[_0x29ee[29]]()}});var _0x9a2cx53=-99;if( typeof (mxVertexHandler)!=_0x29ee[79]){function _0x9a2cx54(_0x9a2cx33){mxVertexHandler[_0x29ee[24]](this,_0x9a2cx33)}mxUtils[_0x29ee[25]](_0x9a2cx54,mxVertexHandler);_0x9a2cx54[_0x29ee[0]][_0x29ee[80]]=false;_0x9a2cx54[_0x29ee[0]][_0x29ee[81]]=function(){this[_0x29ee[82]]=mxUtils[_0x29ee[5]](this[_0x29ee[83]][_0x29ee[4]],mxConstants.STYLE_HORIZONTAL,true);var _0x9a2cx55=this[_0x29ee[83]][_0x29ee[85]][_0x29ee[84]];if(this[_0x29ee[86]]!=null){var _0x9a2cx34= new mxRectangle(0,0,this[_0x29ee[86]][_0x29ee[70]],this[_0x29ee[86]][_0x29ee[71]]);this[_0x29ee[87]]= new mxImageShape(_0x9a2cx34,this[_0x29ee[86]][_0x29ee[88]])}else {var _0x9a2cx4f=10;var _0x9a2cx34= new mxRectangle(0,0,_0x9a2cx4f,_0x9a2cx4f);this[_0x29ee[87]]= new mxRhombus(_0x9a2cx34,mxConstants.HANDLE_FILLCOLOR,mxConstants.HANDLE_STROKECOLOR)};this[_0x29ee[87]][_0x29ee[89]]=(_0x9a2cx55[_0x29ee[89]]!=mxConstants[_0x29ee[90]])?mxConstants[_0x29ee[91]]:mxConstants[_0x29ee[90]];this[_0x29ee[87]][_0x29ee[81]](_0x9a2cx55[_0x29ee[93]]()[_0x29ee[92]]());this[_0x29ee[87]][_0x29ee[95]][_0x29ee[4]][_0x29ee[94]]=this[_0x29ee[96]]();mxEvent[_0x29ee[97]](this[_0x29ee[87]][_0x29ee[95]],_0x9a2cx55,this[_0x29ee[83]]);mxVertexHandler[_0x29ee[0]][_0x29ee[81]][_0x29ee[30]](this,arguments)};_0x9a2cx54[_0x29ee[0]][_0x29ee[96]]=function(){return _0x29ee[98]};_0x9a2cx54[_0x29ee[0]][_0x29ee[99]]=function(){mxVertexHandler[_0x29ee[0]][_0x29ee[99]][_0x29ee[30]](this,arguments);var _0x9a2cx4f=this[_0x29ee[87]][_0x29ee[100]][_0x29ee[70]];this[_0x29ee[87]][_0x29ee[100]]=this[_0x29ee[101]](_0x9a2cx4f);this[_0x29ee[87]][_0x29ee[99]]()};_0x9a2cx54[_0x29ee[0]][_0x29ee[102]]=function(){mxVertexHandler[_0x29ee[0]][_0x29ee[102]][_0x29ee[30]](this,arguments);if(this[_0x29ee[87]]!=null){this[_0x29ee[87]][_0x29ee[102]]();this[_0x29ee[87]]=null}};_0x9a2cx54[_0x29ee[0]][_0x29ee[103]]=function(_0x9a2cx56){if(_0x9a2cx56[_0x29ee[104]](this[_0x29ee[87]])){return _0x9a2cx53};return mxVertexHandler[_0x29ee[0]][_0x29ee[103]][_0x29ee[30]](this,arguments)};_0x9a2cx54[_0x29ee[0]][_0x29ee[105]]=function(_0x9a2cx57,_0x9a2cx56){if(!_0x9a2cx56[_0x29ee[106]]()&&this[_0x29ee[107]]==_0x9a2cx53){var _0x9a2cx58= new mxPoint(_0x9a2cx56[_0x29ee[108]](),_0x9a2cx56[_0x29ee[109]]());this[_0x29ee[110]](_0x9a2cx58);var _0x9a2cx59=this[_0x29ee[84]][_0x29ee[112]](_0x9a2cx56[_0x29ee[111]]());var _0x9a2cx5a=this[_0x29ee[84]][_0x29ee[93]]()[_0x29ee[113]];if(_0x9a2cx59&&this[_0x29ee[80]]){_0x9a2cx58[_0x29ee[68]]=this[_0x29ee[84]][_0x29ee[114]](_0x9a2cx58[_0x29ee[68]]/_0x9a2cx5a)*_0x9a2cx5a;_0x9a2cx58[_0x29ee[69]]=this[_0x29ee[84]][_0x29ee[114]](_0x9a2cx58[_0x29ee[69]]/_0x9a2cx5a)*_0x9a2cx5a};this[_0x29ee[115]](_0x9a2cx58);this[_0x29ee[116]](this[_0x29ee[87]],_0x9a2cx58[_0x29ee[68]],_0x9a2cx58[_0x29ee[69]]);this[_0x29ee[83]][_0x29ee[85]][_0x29ee[84]][_0x29ee[117]][_0x29ee[99]](this[_0x29ee[83]],true);_0x9a2cx56[_0x29ee[118]]()}else {mxVertexHandler[_0x29ee[0]][_0x29ee[105]][_0x29ee[30]](this,arguments)}};_0x9a2cx54[_0x29ee[0]][_0x29ee[119]]=function(_0x9a2cx57,_0x9a2cx56){if(!_0x9a2cx56[_0x29ee[106]]()&&this[_0x29ee[107]]==_0x9a2cx53){this[_0x29ee[120]]();this[_0x29ee[121]]();_0x9a2cx56[_0x29ee[118]]()}else {mxVertexHandler[_0x29ee[0]][_0x29ee[119]][_0x29ee[30]](this,arguments)}};_0x9a2cx54[_0x29ee[0]][_0x29ee[101]]=function(_0x9a2cx4f){var _0x9a2cx5b=this[_0x29ee[83]][_0x29ee[123]][_0x29ee[122]]();var _0x9a2cx5c=mxUtils[_0x29ee[124]](_0x9a2cx5b);var _0x9a2cx5d=Math[_0x29ee[125]](_0x9a2cx5c);var _0x9a2cx5e=Math[_0x29ee[126]](_0x9a2cx5c);var _0x9a2cx34= new mxRectangle(this[_0x29ee[83]][_0x29ee[68]],this[_0x29ee[83]][_0x29ee[69]],this[_0x29ee[83]][_0x29ee[70]],this[_0x29ee[83]][_0x29ee[71]]);if(this[_0x29ee[83]][_0x29ee[123]][_0x29ee[127]]()){var _0x9a2cx5f=(_0x9a2cx34[_0x29ee[70]]-_0x9a2cx34[_0x29ee[71]])/2;_0x9a2cx34[_0x29ee[68]]+=_0x9a2cx5f;_0x9a2cx34[_0x29ee[69]]-=_0x9a2cx5f;var _0x9a2cx23=_0x9a2cx34[_0x29ee[70]];_0x9a2cx34[_0x29ee[70]]=_0x9a2cx34[_0x29ee[71]];_0x9a2cx34[_0x29ee[71]]=_0x9a2cx23};var _0x9a2cx60=this[_0x29ee[128]](_0x9a2cx34);if(this[_0x29ee[83]][_0x29ee[123]][_0x29ee[129]]){_0x9a2cx60[_0x29ee[68]]=2*_0x9a2cx34[_0x29ee[68]]+_0x9a2cx34[_0x29ee[70]]-_0x9a2cx60[_0x29ee[68]]};if(this[_0x29ee[83]][_0x29ee[123]][_0x29ee[130]]){_0x9a2cx60[_0x29ee[69]]=2*_0x9a2cx34[_0x29ee[69]]+_0x9a2cx34[_0x29ee[71]]-_0x9a2cx60[_0x29ee[69]]};_0x9a2cx60=mxUtils[_0x29ee[133]](_0x9a2cx60,_0x9a2cx5d,_0x9a2cx5e, new mxPoint(this[_0x29ee[83]][_0x29ee[131]](),this[_0x29ee[83]][_0x29ee[132]]()));return new mxRectangle(_0x9a2cx60[_0x29ee[68]]-_0x9a2cx4f/2,_0x9a2cx60[_0x29ee[69]]-_0x9a2cx4f/2,_0x9a2cx4f,_0x9a2cx4f)};_0x9a2cx54[_0x29ee[0]][_0x29ee[128]]=function(_0x9a2cx34){return null};_0x9a2cx54[_0x29ee[0]][_0x29ee[115]]=function(_0x9a2cx58){};_0x9a2cx54[_0x29ee[0]][_0x29ee[110]]=function(_0x9a2cx58){_0x9a2cx58[_0x29ee[68]]=Math[_0x29ee[34]](this[_0x29ee[83]][_0x29ee[68]],Math[_0x29ee[6]](this[_0x29ee[83]][_0x29ee[68]]+this[_0x29ee[83]][_0x29ee[70]],_0x9a2cx58[_0x29ee[68]]));_0x9a2cx58[_0x29ee[69]]=Math[_0x29ee[34]](this[_0x29ee[83]][_0x29ee[69]],Math[_0x29ee[6]](this[_0x29ee[83]][_0x29ee[69]]+this[_0x29ee[83]][_0x29ee[71]],_0x9a2cx58[_0x29ee[69]]))};_0x9a2cx54[_0x29ee[0]][_0x29ee[120]]=function(){};function _0x9a2cx61(_0x9a2cx33){_0x9a2cx54[_0x29ee[24]](this,_0x9a2cx33)}mxUtils[_0x29ee[25]](_0x9a2cx61,_0x9a2cx54);_0x9a2cx61[_0x29ee[0]][_0x29ee[128]]=function(_0x9a2cx34){var _0x9a2cx5a=this[_0x29ee[84]][_0x29ee[93]]()[_0x29ee[113]];var _0x9a2cxb=Math[_0x29ee[6]](_0x9a2cx34[_0x29ee[70]],mxUtils[_0x29ee[5]](this[_0x29ee[83]][_0x29ee[4]],_0x29ee[14],60)*_0x9a2cx5a);var _0x9a2cxc=Math[_0x29ee[6]](_0x9a2cx34[_0x29ee[71]],mxUtils[_0x29ee[5]](this[_0x29ee[83]][_0x29ee[4]],_0x29ee[15],20)*_0x9a2cx5a);var _0x9a2cxd=mxUtils[_0x29ee[5]](this[_0x29ee[83]][_0x29ee[4]],_0x29ee[16],_0x29ee[17]);var _0x9a2cx3=(_0x9a2cxd==_0x29ee[18])?_0x9a2cx34[_0x29ee[68]]+_0x9a2cxb:_0x9a2cx34[_0x29ee[68]]+_0x9a2cx34[_0x29ee[70]]-_0x9a2cxb;return new mxPoint(_0x9a2cx3,_0x9a2cx34[_0x29ee[69]]+_0x9a2cxc)};_0x9a2cx61[_0x29ee[0]][_0x29ee[115]]=function(_0x9a2cx58){var _0x9a2cx5b=this[_0x29ee[83]][_0x29ee[123]][_0x29ee[122]]();var _0x9a2cx5c=mxUtils[_0x29ee[124]](_0x9a2cx5b);var _0x9a2cx5d=Math[_0x29ee[125]](-_0x9a2cx5c);var _0x9a2cx5e=Math[_0x29ee[126]](-_0x9a2cx5c);var _0x9a2cx34= new mxRectangle(this[_0x29ee[83]][_0x29ee[68]],this[_0x29ee[83]][_0x29ee[69]],this[_0x29ee[83]][_0x29ee[70]],this[_0x29ee[83]][_0x29ee[71]]);if(this[_0x29ee[83]][_0x29ee[123]][_0x29ee[127]]()){var _0x9a2cx5f=(_0x9a2cx34[_0x29ee[70]]-_0x9a2cx34[_0x29ee[71]])/2;_0x9a2cx34[_0x29ee[68]]+=_0x9a2cx5f;_0x9a2cx34[_0x29ee[69]]-=_0x9a2cx5f;var _0x9a2cx23=_0x9a2cx34[_0x29ee[70]];_0x9a2cx34[_0x29ee[70]]=_0x9a2cx34[_0x29ee[71]];_0x9a2cx34[_0x29ee[71]]=_0x9a2cx23};var _0x9a2cx60= new mxPoint(_0x9a2cx58[_0x29ee[68]],_0x9a2cx58[_0x29ee[69]]);_0x9a2cx60=mxUtils[_0x29ee[133]](_0x9a2cx60,_0x9a2cx5d,_0x9a2cx5e, new mxPoint(this[_0x29ee[83]][_0x29ee[131]](),this[_0x29ee[83]][_0x29ee[132]]()));if(this[_0x29ee[83]][_0x29ee[123]][_0x29ee[129]]){_0x9a2cx60[_0x29ee[68]]=2*_0x9a2cx34[_0x29ee[68]]+_0x9a2cx34[_0x29ee[70]]-_0x9a2cx60[_0x29ee[68]]};if(this[_0x29ee[83]][_0x29ee[123]][_0x29ee[130]]){_0x9a2cx60[_0x29ee[69]]=2*_0x9a2cx34[_0x29ee[69]]+_0x9a2cx34[_0x29ee[71]]-_0x9a2cx60[_0x29ee[69]]};var _0x9a2cx62=this[_0x29ee[134]](_0x9a2cx60,_0x9a2cx34);if(_0x9a2cx62!=null){if(this[_0x29ee[83]][_0x29ee[123]][_0x29ee[129]]){_0x9a2cx62[_0x29ee[68]]=2*_0x9a2cx34[_0x29ee[68]]+_0x9a2cx34[_0x29ee[70]]-_0x9a2cx62[_0x29ee[68]]};if(this[_0x29ee[83]][_0x29ee[123]][_0x29ee[130]]){_0x9a2cx62[_0x29ee[69]]=2*_0x9a2cx34[_0x29ee[69]]+_0x9a2cx34[_0x29ee[71]]-_0x9a2cx62[_0x29ee[69]]};_0x9a2cx5d=Math[_0x29ee[125]](_0x9a2cx5c);_0x9a2cx5e=Math[_0x29ee[126]](_0x9a2cx5c);_0x9a2cx62=mxUtils[_0x29ee[133]](_0x9a2cx62,_0x9a2cx5d,_0x9a2cx5e, new mxPoint(this[_0x29ee[83]][_0x29ee[131]](),this[_0x29ee[83]][_0x29ee[132]]()));_0x9a2cx58[_0x29ee[68]]=_0x9a2cx62[_0x29ee[68]];_0x9a2cx58[_0x29ee[69]]=_0x9a2cx62[_0x29ee[69]]}};_0x9a2cx61[_0x29ee[0]][_0x29ee[134]]=function(_0x9a2cx60,_0x9a2cx34){var _0x9a2cxd=mxUtils[_0x29ee[5]](this[_0x29ee[83]][_0x29ee[4]],_0x29ee[16],_0x29ee[17]);var _0x9a2cxb=(_0x9a2cxd==_0x29ee[18])?_0x9a2cx60[_0x29ee[68]]-_0x9a2cx34[_0x29ee[68]]:_0x9a2cx34[_0x29ee[68]]+_0x9a2cx34[_0x29ee[70]]-_0x9a2cx60[_0x29ee[68]];var _0x9a2cxc=_0x9a2cx60[_0x29ee[69]]-_0x9a2cx34[_0x29ee[69]];var _0x9a2cx5a=this[_0x29ee[84]][_0x29ee[93]]()[_0x29ee[113]];this[_0x29ee[83]][_0x29ee[4]][_0x29ee[14]]=Math[_0x29ee[135]](Math[_0x29ee[34]](1,_0x9a2cxb)/_0x9a2cx5a);this[_0x29ee[83]][_0x29ee[4]][_0x29ee[15]]=Math[_0x29ee[135]](Math[_0x29ee[34]](1,_0x9a2cxc)/_0x9a2cx5a)};_0x9a2cx61[_0x29ee[0]][_0x29ee[120]]=function(){var _0x9a2cx63=this[_0x29ee[84]][_0x29ee[136]]();_0x9a2cx63[_0x29ee[137]]();try{this[_0x29ee[83]][_0x29ee[85]][_0x29ee[84]][_0x29ee[139]](_0x29ee[14],this[_0x29ee[83]][_0x29ee[4]][_0x29ee[14]],[this[_0x29ee[83]][_0x29ee[138]]]);this[_0x29ee[83]][_0x29ee[85]][_0x29ee[84]][_0x29ee[139]](_0x29ee[15],this[_0x29ee[83]][_0x29ee[4]][_0x29ee[15]],[this[_0x29ee[83]][_0x29ee[138]]])}finally{_0x9a2cx63[_0x29ee[140]]()}};function _0x9a2cx64(_0x9a2cx33){_0x9a2cx61[_0x29ee[24]](this,_0x9a2cx33)}mxUtils[_0x29ee[25]](_0x9a2cx64,_0x9a2cx61);_0x9a2cx64[_0x29ee[0]][_0x29ee[128]]=function(_0x9a2cx34){var _0x9a2cx5a=this[_0x29ee[84]][_0x29ee[93]]()[_0x29ee[113]];var _0x9a2cx65=mxUtils[_0x29ee[5]](this[_0x29ee[83]][_0x29ee[4]],mxConstants.STYLE_STARTSIZE,mxConstants.DEFAULT_STARTSIZE);return new mxPoint(_0x9a2cx34[_0x29ee[68]]+_0x9a2cx34[_0x29ee[70]]/2,_0x9a2cx34[_0x29ee[69]]+Math[_0x29ee[6]](_0x9a2cx34[_0x29ee[71]],_0x9a2cx65*_0x9a2cx5a))};_0x9a2cx64[_0x29ee[0]][_0x29ee[134]]=function(_0x9a2cx58,_0x9a2cx34){_0x9a2cx58[_0x29ee[68]]=_0x9a2cx34[_0x29ee[68]]+_0x9a2cx34[_0x29ee[70]]/2;startSize=_0x9a2cx58[_0x29ee[69]]-_0x9a2cx34[_0x29ee[69]];var _0x9a2cx5a=this[_0x29ee[84]][_0x29ee[93]]()[_0x29ee[113]];this[_0x29ee[83]][_0x29ee[4]][_0x29ee[141]]=Math[_0x29ee[135]](Math[_0x29ee[34]](1,startSize)/_0x9a2cx5a);return _0x9a2cx58};_0x9a2cx64[_0x29ee[0]][_0x29ee[120]]=function(){this[_0x29ee[83]][_0x29ee[85]][_0x29ee[84]][_0x29ee[139]](_0x29ee[141],this[_0x29ee[83]][_0x29ee[4]][_0x29ee[141]],[this[_0x29ee[83]][_0x29ee[138]]])};function _0x9a2cx66(_0x9a2cx33){_0x9a2cx61[_0x29ee[24]](this,_0x9a2cx33)}mxUtils[_0x29ee[25]](_0x9a2cx66,_0x9a2cx61);_0x9a2cx66[_0x29ee[0]][_0x29ee[142]]=20;_0x9a2cx66[_0x29ee[0]][_0x29ee[143]]=1;_0x9a2cx66[_0x29ee[0]][_0x29ee[128]]=function(_0x9a2cx34){var _0x9a2cx5a=this[_0x29ee[84]][_0x29ee[93]]()[_0x29ee[113]];var _0x9a2cx67=Math[_0x29ee[6]](_0x9a2cx34[_0x29ee[70]],Math[_0x29ee[6]](_0x9a2cx34[_0x29ee[71]],mxUtils[_0x29ee[5]](this[_0x29ee[83]][_0x29ee[4]],_0x29ee[2],this[_0x29ee[142]])*_0x9a2cx5a/this[_0x29ee[143]]));return new mxPoint(_0x9a2cx34[_0x29ee[68]]+_0x9a2cx67,_0x9a2cx34[_0x29ee[69]]+_0x9a2cx67)};_0x9a2cx66[_0x29ee[0]][_0x29ee[134]]=function(_0x9a2cx60,_0x9a2cx34){var _0x9a2cx4f=Math[_0x29ee[34]](0,Math[_0x29ee[6]](Math[_0x29ee[6]](_0x9a2cx34[_0x29ee[70]]/this[_0x29ee[143]],_0x9a2cx60[_0x29ee[68]]-_0x9a2cx34[_0x29ee[68]]),Math[_0x29ee[6]](_0x9a2cx34[_0x29ee[71]]/this[_0x29ee[143]],_0x9a2cx60[_0x29ee[69]]-_0x9a2cx34[_0x29ee[69]])));var _0x9a2cx5a=this[_0x29ee[84]][_0x29ee[93]]()[_0x29ee[113]];this[_0x29ee[83]][_0x29ee[4]][_0x29ee[2]]=Math[_0x29ee[135]](Math[_0x29ee[34]](1,_0x9a2cx4f)/_0x9a2cx5a)*this[_0x29ee[143]];return new mxPoint(_0x9a2cx34[_0x29ee[68]]+_0x9a2cx4f,_0x9a2cx34[_0x29ee[69]]+_0x9a2cx4f)};_0x9a2cx66[_0x29ee[0]][_0x29ee[120]]=function(){this[_0x29ee[83]][_0x29ee[85]][_0x29ee[84]][_0x29ee[139]](_0x29ee[2],this[_0x29ee[83]][_0x29ee[4]][_0x29ee[2]],[this[_0x29ee[83]][_0x29ee[138]]])};function _0x9a2cx68(_0x9a2cx33){_0x9a2cx66[_0x29ee[24]](this,_0x9a2cx33)}mxUtils[_0x29ee[25]](_0x9a2cx68,_0x9a2cx66);_0x9a2cx68[_0x29ee[0]][_0x29ee[142]]=30;_0x9a2cx68[_0x29ee[0]][_0x29ee[143]]=2;function _0x9a2cx69(_0x9a2cx33){_0x9a2cx66[_0x29ee[24]](this,_0x9a2cx33)}mxUtils[_0x29ee[25]](_0x9a2cx69,_0x9a2cx66);_0x9a2cx69[_0x29ee[0]][_0x29ee[142]]=30;_0x9a2cx69[_0x29ee[0]][_0x29ee[143]]=1;_0x9a2cx69[_0x29ee[0]][_0x29ee[128]]=function(_0x9a2cx34){var _0x9a2cx5a=this[_0x29ee[84]][_0x29ee[93]]()[_0x29ee[113]];var _0x9a2cx67=Math[_0x29ee[6]](_0x9a2cx34[_0x29ee[70]],Math[_0x29ee[6]](_0x9a2cx34[_0x29ee[71]],mxUtils[_0x29ee[5]](this[_0x29ee[83]][_0x29ee[4]],_0x29ee[2],this[_0x29ee[142]])*_0x9a2cx5a/this[_0x29ee[143]]));return new mxPoint(_0x9a2cx34[_0x29ee[68]]+_0x9a2cx34[_0x29ee[70]]-_0x9a2cx67,_0x9a2cx34[_0x29ee[69]]+_0x9a2cx67)};_0x9a2cx69[_0x29ee[0]][_0x29ee[134]]=function(_0x9a2cx60,_0x9a2cx34){var _0x9a2cx4f=Math[_0x29ee[34]](0,Math[_0x29ee[6]](Math[_0x29ee[6]](_0x9a2cx34[_0x29ee[70]]/this[_0x29ee[143]],_0x9a2cx60[_0x29ee[68]]-_0x9a2cx34[_0x29ee[68]]+_0x9a2cx34[_0x29ee[70]]),Math[_0x29ee[6]](_0x9a2cx34[_0x29ee[71]]/this[_0x29ee[143]],_0x9a2cx60[_0x29ee[69]]-_0x9a2cx34[_0x29ee[69]])));var _0x9a2cx5a=this[_0x29ee[84]][_0x29ee[93]]()[_0x29ee[113]];this[_0x29ee[83]][_0x29ee[4]][_0x29ee[2]]=Math[_0x29ee[135]](Math[_0x29ee[34]](1,_0x9a2cx4f)/_0x9a2cx5a)*this[_0x29ee[143]];return new mxPoint(_0x9a2cx34[_0x29ee[68]]+_0x9a2cx34[_0x29ee[70]]-_0x9a2cx4f,_0x9a2cx34[_0x29ee[69]]+_0x9a2cx4f)};function _0x9a2cx6a(_0x9a2cx33){_0x9a2cx66[_0x29ee[24]](this,_0x9a2cx33)}mxUtils[_0x29ee[25]](_0x9a2cx6a,_0x9a2cx66);_0x9a2cx6a[_0x29ee[0]][_0x29ee[142]]=0.2;_0x9a2cx6a[_0x29ee[0]][_0x29ee[143]]=1;_0x9a2cx6a[_0x29ee[0]][_0x29ee[128]]=function(_0x9a2cx34){var _0x9a2cx67=mxUtils[_0x29ee[5]](this[_0x29ee[83]][_0x29ee[4]],_0x29ee[2],this[_0x29ee[142]]);return new mxPoint(_0x9a2cx34[_0x29ee[68]]+_0x9a2cx34[_0x29ee[70]]*_0x9a2cx67,_0x9a2cx34[_0x29ee[69]]+_0x9a2cx34[_0x29ee[71]]/2)};_0x9a2cx6a[_0x29ee[0]][_0x29ee[134]]=function(_0x9a2cx60,_0x9a2cx34){var _0x9a2cx4f=Math[_0x29ee[6]](1,(_0x9a2cx60[_0x29ee[68]]-_0x9a2cx34[_0x29ee[68]])/_0x9a2cx34[_0x29ee[70]]);this[_0x29ee[83]][_0x29ee[4]][_0x29ee[2]]=_0x9a2cx4f;return new mxPoint(_0x9a2cx34[_0x29ee[68]]+_0x9a2cx4f*_0x9a2cx34[_0x29ee[70]],_0x9a2cx34[_0x29ee[69]]+_0x9a2cx34[_0x29ee[71]]/2)};function _0x9a2cx6b(_0x9a2cx33){_0x9a2cx66[_0x29ee[24]](this,_0x9a2cx33)}mxUtils[_0x29ee[25]](_0x9a2cx6b,_0x9a2cx66);_0x9a2cx6b[_0x29ee[0]][_0x29ee[142]]=0.4;_0x9a2cx6b[_0x29ee[0]][_0x29ee[143]]=1;_0x9a2cx6b[_0x29ee[0]][_0x29ee[128]]=function(_0x9a2cx34){var _0x9a2cx67=mxUtils[_0x29ee[5]](this[_0x29ee[83]][_0x29ee[4]],_0x29ee[2],this[_0x29ee[142]]);return new mxPoint(_0x9a2cx34[_0x29ee[68]]+_0x9a2cx34[_0x29ee[70]]/2,_0x9a2cx34[_0x29ee[69]]+_0x9a2cx67*_0x9a2cx34[_0x29ee[71]]/2)};_0x9a2cx6b[_0x29ee[0]][_0x29ee[134]]=function(_0x9a2cx60,_0x9a2cx34){var _0x9a2cx4f=Math[_0x29ee[34]](0,Math[_0x29ee[6]](1,((_0x9a2cx60[_0x29ee[69]]-_0x9a2cx34[_0x29ee[69]])/_0x9a2cx34[_0x29ee[71]])*2));this[_0x29ee[83]][_0x29ee[4]][_0x29ee[2]]=_0x9a2cx4f;return new mxPoint(_0x9a2cx34[_0x29ee[68]]+_0x9a2cx34[_0x29ee[70]]/2,_0x9a2cx34[_0x29ee[69]]+_0x9a2cx4f*_0x9a2cx34[_0x29ee[71]]/2)};var _0x9a2cx6c={"\x73\x77\x69\x6D\x6C\x61\x6E\x65":_0x9a2cx64,"\x66\x6F\x6C\x64\x65\x72":_0x9a2cx61,"\x63\x75\x62\x65":_0x9a2cx66,"\x63\x61\x72\x64":_0x9a2cx68,"\x6E\x6F\x74\x65":_0x9a2cx69,"\x73\x74\x65\x70":_0x9a2cx6a,"\x74\x61\x70\x65":_0x9a2cx6b};var _0x9a2cx6d=mxGraph[_0x29ee[0]][_0x29ee[144]];mxGraph[_0x29ee[0]][_0x29ee[144]]=function(_0x9a2cx33){if(_0x9a2cx33!=null){var _0x9a2cx6e=_0x9a2cx6c[_0x9a2cx33[_0x29ee[4]][_0x29ee[123]]];if(_0x9a2cx6e!=null){return new _0x9a2cx6e(_0x9a2cx33)}};return _0x9a2cx6d[_0x29ee[30]](this,arguments)}};mxGraph[_0x29ee[0]][_0x29ee[145]]=function(_0x9a2cx6f,_0x9a2cx50){if(_0x9a2cx6f!=null&&_0x9a2cx6f[_0x29ee[123]]!=null){if(_0x9a2cx6f[_0x29ee[123]][_0x29ee[146]]!=null){if(_0x9a2cx6f[_0x29ee[123]][_0x29ee[146]]!=null){return _0x9a2cx6f[_0x29ee[123]][_0x29ee[146]][_0x29ee[147]]}}else {if(_0x9a2cx6f[_0x29ee[123]][_0x29ee[147]]!=null){return _0x9a2cx6f[_0x29ee[123]][_0x29ee[147]]}}};return null};mxRectangleShape[_0x29ee[0]][_0x29ee[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.75,0),true), new mxConnectionConstraint( new mxPoint(0,0.25),true), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(0,0.75),true), new mxConnectionConstraint( new mxPoint(1,0.25),true), new mxConnectionConstraint( new mxPoint(1,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.75),true), new mxConnectionConstraint( new mxPoint(0.25,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.75,1),true)];mxLabel[_0x29ee[0]][_0x29ee[147]]=mxRectangleShape[_0x29ee[0]][_0x29ee[147]];mxImageShape[_0x29ee[0]][_0x29ee[147]]=mxRectangleShape[_0x29ee[0]][_0x29ee[147]];mxSwimlane[_0x29ee[0]][_0x29ee[147]]=mxRectangleShape[_0x29ee[0]][_0x29ee[147]];_0x9a2cx14[_0x29ee[0]][_0x29ee[147]]=mxRectangleShape[_0x29ee[0]][_0x29ee[147]];_0x9a2cx9[_0x29ee[0]][_0x29ee[147]]=mxRectangleShape[_0x29ee[0]][_0x29ee[147]];_0x9a2cx10[_0x29ee[0]][_0x29ee[147]]=mxRectangleShape[_0x29ee[0]][_0x29ee[147]];_0x9a2cx1[_0x29ee[0]][_0x29ee[147]]=mxRectangleShape[_0x29ee[0]][_0x29ee[147]];_0x9a2cxa[_0x29ee[0]][_0x29ee[147]]=mxRectangleShape[_0x29ee[0]][_0x29ee[147]];mxCylinder[_0x29ee[0]][_0x29ee[147]]=[ new mxConnectionConstraint( new mxPoint(0.15,0.05),false), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.85,0.05),false), new mxConnectionConstraint( new mxPoint(0,0.3),true), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(0,0.7),true), new mxConnectionConstraint( new mxPoint(1,0.3),true), new mxConnectionConstraint( new mxPoint(1,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.7),true), new mxConnectionConstraint( new mxPoint(0.15,0.95),false), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.85,0.95),false)];_0x9a2cx25[_0x29ee[0]][_0x29ee[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0.1),false), new mxConnectionConstraint( new mxPoint(0.5,0),false), new mxConnectionConstraint( new mxPoint(0.75,0.1),false), new mxConnectionConstraint( new mxPoint(0,1/3),false), new mxConnectionConstraint( new mxPoint(0,1),false), new mxConnectionConstraint( new mxPoint(1,1/3),false), new mxConnectionConstraint( new mxPoint(1,1),false), new mxConnectionConstraint( new mxPoint(0.5,0.5),false)];_0x9a2cx28[_0x29ee[0]][_0x29ee[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.75,0),true), new mxConnectionConstraint( new mxPoint(0,0.3),true), new mxConnectionConstraint( new mxPoint(0,0.7),true), new mxConnectionConstraint( new mxPoint(1,0.25),true), new mxConnectionConstraint( new mxPoint(1,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.75),true), new mxConnectionConstraint( new mxPoint(0.25,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.75,1),true)];mxActor[_0x29ee[0]][_0x29ee[147]]=[ new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.25,0.2),false), new mxConnectionConstraint( new mxPoint(0.1,0.5),false), new mxConnectionConstraint( new mxPoint(0,0.75),true), new mxConnectionConstraint( new mxPoint(0.75,0.25),false), new mxConnectionConstraint( new mxPoint(0.9,0.5),false), new mxConnectionConstraint( new mxPoint(1,0.75),true), new mxConnectionConstraint( new mxPoint(0.25,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.75,1),true)];_0x9a2cx11[_0x29ee[0]][_0x29ee[147]]=[ new mxConnectionConstraint( new mxPoint(0,0.35),false), new mxConnectionConstraint( new mxPoint(0,0.5),false), new mxConnectionConstraint( new mxPoint(0,0.65),false), new mxConnectionConstraint( new mxPoint(1,0.35),false), new mxConnectionConstraint( new mxPoint(1,0.5),false), new mxConnectionConstraint( new mxPoint(1,0.65),false), new mxConnectionConstraint( new mxPoint(0.25,1),false), new mxConnectionConstraint( new mxPoint(0.75,0),false)];_0x9a2cx13[_0x29ee[0]][_0x29ee[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.75,0),true), new mxConnectionConstraint( new mxPoint(0.25,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.75,1),true), new mxConnectionConstraint( new mxPoint(0.1,0.25),false), new mxConnectionConstraint( new mxPoint(0.2,0.5),false), new mxConnectionConstraint( new mxPoint(0.1,0.75),false), new mxConnectionConstraint( new mxPoint(0.9,0.25),false), new mxConnectionConstraint( new mxPoint(1,0.5),false), new mxConnectionConstraint( new mxPoint(0.9,0.75),false)];mxLine[_0x29ee[0]][_0x29ee[147]]=[ new mxConnectionConstraint( new mxPoint(0,0.5),false), new mxConnectionConstraint( new mxPoint(0.25,0.5),false), new mxConnectionConstraint( new mxPoint(0.75,0.5),false), new mxConnectionConstraint( new mxPoint(1,0.5),false)];_0x9a2cx26[_0x29ee[0]][_0x29ee[147]]=[ new mxConnectionConstraint( new mxPoint(0.5,0),false), new mxConnectionConstraint( new mxPoint(0.5,1),false)];mxEllipse[_0x29ee[0]][_0x29ee[147]]=[ new mxConnectionConstraint( new mxPoint(0,0),true), new mxConnectionConstraint( new mxPoint(1,0),true), new mxConnectionConstraint( new mxPoint(0,1),true), new mxConnectionConstraint( new mxPoint(1,1),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.5))];mxDoubleEllipse[_0x29ee[0]][_0x29ee[147]]=mxEllipse[_0x29ee[0]][_0x29ee[147]];mxRhombus[_0x29ee[0]][_0x29ee[147]]=mxEllipse[_0x29ee[0]][_0x29ee[147]];mxTriangle[_0x29ee[0]][_0x29ee[147]]=[ new mxConnectionConstraint( new mxPoint(0,0.25),true), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(0,0.75),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(1,0.5),true)];mxHexagon[_0x29ee[0]][_0x29ee[147]]=[ new mxConnectionConstraint( new mxPoint(0.375,0),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.625,0),true), new mxConnectionConstraint( new mxPoint(0.125,0.25),false), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(0.125,0.75),false), new mxConnectionConstraint( new mxPoint(0.875,0.25),false), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.5),true), new mxConnectionConstraint( new mxPoint(0.875,0.75),false), new mxConnectionConstraint( new mxPoint(0.375,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.625,1),true)];mxCloud[_0x29ee[0]][_0x29ee[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0.25),false), new mxConnectionConstraint( new mxPoint(0.4,0.1),false), new mxConnectionConstraint( new mxPoint(0.16,0.55),false), new mxConnectionConstraint( new mxPoint(0.07,0.4),false), new mxConnectionConstraint( new mxPoint(0.31,0.8),false), new mxConnectionConstraint( new mxPoint(0.13,0.77),false), new mxConnectionConstraint( new mxPoint(0.8,0.8),false), new mxConnectionConstraint( new mxPoint(0.55,0.95),false), new mxConnectionConstraint( new mxPoint(0.875,0.5),false), new mxConnectionConstraint( new mxPoint(0.96,0.7),false), new mxConnectionConstraint( new mxPoint(0.625,0.2),false), new mxConnectionConstraint( new mxPoint(0.88,0.25),false)];mxArrow[_0x29ee[0]][_0x29ee[147]]=null})() \ No newline at end of file diff --git a/public/assets/plugins/jscripty/js/Sidebar.js b/public/assets/plugins/jscripty/js/Sidebar.js index 9a004dd..7753a44 100644 --- a/public/assets/plugins/jscripty/js/Sidebar.js +++ b/public/assets/plugins/jscripty/js/Sidebar.js @@ -1 +1 @@ -var _0x7a30=["\x75\x73\x65\x20\x73\x74\x72\x69\x63\x74","\x65\x64\x69\x74\x6F\x72\x55\x69","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x70\x61\x6C\x65\x74\x74\x65\x73","\x73\x68\x6F\x77\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x67\x72\x61\x70\x68","\x64\x69\x76","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x67\x65\x74\x53\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x65\x64\x69\x74\x6F\x72","\x72\x65\x73\x65\x74\x56\x69\x65\x77\x4F\x6E\x52\x6F\x6F\x74\x43\x68\x61\x6E\x67\x65","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x61\x75\x74\x6F\x53\x63\x72\x6F\x6C\x6C","\x73\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x76\x69\x73\x69\x62\x69\x6C\x69\x74\x79","\x73\x74\x79\x6C\x65","\x68\x69\x64\x64\x65\x6E","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x61\x62\x73\x6F\x6C\x75\x74\x65","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x62\x6F\x64\x79","\x49\x53\x5F\x54\x4F\x55\x43\x48","\x6D\x6F\x75\x73\x65\x75\x70","\x62\x69\x6E\x64","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x73\x63\x72\x6F\x6C\x6C","\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E","\x68\x69\x64\x65\x54\x6F\x6F\x6C\x74\x69\x70","\x6D\x6F\x75\x73\x65\x6D\x6F\x76\x65","\x67\x65\x74\x53\x6F\x75\x72\x63\x65","\x63\x75\x72\x72\x65\x6E\x74\x45\x6C\x74","\x70\x61\x72\x65\x6E\x74\x4E\x6F\x64\x65","\x6D\x6F\x75\x73\x65\x6F\x75\x74","\x74\x6F\x45\x6C\x65\x6D\x65\x6E\x74","\x72\x65\x6C\x61\x74\x65\x64\x54\x61\x72\x67\x65\x74","\x69\x6E\x69\x74","\x73\x72\x63","\x2F\x74\x6F\x6F\x6C\x74\x69\x70\x2E\x70\x6E\x67","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x6C\x6F\x67","\x65\x6E\x74\x72\x79","\x61\x70\x70\x6C\x69\x63\x61\x74\x69\x6F\x6E","\x67\x65\x74","\x2F","\x5F\x31\x32\x38\x78\x31\x32\x38\x2E\x70\x6E\x67","\x73\x74\x61\x72\x74","\x71\x75\x65\x73\x74\x69\x6F\x6E","\x69\x6E\x70\x75\x74","\x65\x6E\x64","\x61\x64\x64\x49\x6D\x61\x67\x65\x50\x61\x6C\x65\x74\x74\x65","\x65\x6E\x61\x62\x6C\x65\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x74\x6F\x6F\x6C\x74\x69\x70\x42\x6F\x72\x64\x65\x72","\x74\x68\x75\x6D\x62\x42\x6F\x72\x64\x65\x72","\x74\x6F\x6F\x6C\x74\x69\x70\x44\x65\x6C\x61\x79","\x69\x6E\x73\x74\x61\x6C\x6C\x45\x64\x67\x65\x73","\x67\x65\x61\x72\x49\x6D\x61\x67\x65","\x2F\x63\x6C\x69\x70\x61\x72\x74\x2F\x47\x65\x61\x72\x5F\x31\x32\x38\x78\x31\x32\x38\x2E\x70\x6E\x67","\x74\x68\x75\x6D\x62\x57\x69\x64\x74\x68","\x74\x68\x75\x6D\x62\x48\x65\x69\x67\x68\x74","\x74\x68\x75\x6D\x62\x50\x61\x64\x64\x69\x6E\x67","\x73\x69\x64\x65\x62\x61\x72\x54\x69\x74\x6C\x65\x53\x69\x7A\x65","\x73\x69\x64\x65\x62\x61\x72\x54\x69\x74\x6C\x65\x73","\x74\x6F\x6F\x6C\x74\x69\x70\x54\x69\x74\x6C\x65\x73","\x73\x68\x6F\x77\x54\x6F\x6F\x6C\x74\x69\x70","\x74\x68\x72\x65\x61\x64","\x63\x6C\x65\x61\x72\x54\x69\x6D\x65\x6F\x75\x74","\x74\x6F\x6F\x6C\x74\x69\x70","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x53\x69\x64\x65\x62\x61\x72\x54\x6F\x6F\x6C\x74\x69\x70","\x67\x72\x61\x70\x68\x32","\x73\x65\x74\x54\x72\x61\x6E\x73\x6C\x61\x74\x65","\x76\x69\x65\x77","\x49\x53\x5F\x53\x56\x47","\x63\x61\x6E\x76\x61\x73","\x72\x65\x6C\x61\x74\x69\x76\x65","\x74\x6F\x6F\x6C\x74\x69\x70\x49\x6D\x61\x67\x65","\x63\x72\x65\x61\x74\x65\x49\x6D\x61\x67\x65","\x77\x69\x64\x74\x68","\x31\x34\x70\x78","\x68\x65\x69\x67\x68\x74","\x32\x37\x70\x78","\x64\x69\x73\x70\x6C\x61\x79","\x62\x6C\x6F\x63\x6B","\x6C\x61\x62\x65\x6C\x73\x56\x69\x73\x69\x62\x6C\x65","\x63\x6C\x65\x61\x72","\x6D\x6F\x64\x65\x6C","\x61\x64\x64\x43\x65\x6C\x6C\x73","\x67\x65\x74\x47\x72\x61\x70\x68\x42\x6F\x75\x6E\x64\x73","\x49\x53\x5F\x51\x55\x49\x52\x4B\x53","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x76\x69\x73\x69\x62\x6C\x65","\x70\x78","\x6C\x65\x6E\x67\x74\x68","\x74\x6F\x6F\x6C\x74\x69\x70\x54\x69\x74\x6C\x65","\x62\x6F\x72\x64\x65\x72\x54\x6F\x70","\x31\x70\x78\x20\x73\x6F\x6C\x69\x64\x20\x67\x72\x61\x79","\x74\x65\x78\x74\x41\x6C\x69\x67\x6E","\x63\x65\x6E\x74\x65\x72","\x31\x30\x30\x25","\x70\x61\x64\x64\x69\x6E\x67\x54\x6F\x70","\x32\x70\x78","\x36\x70\x78","\x69\x6E\x6E\x65\x72\x48\x54\x4D\x4C","","\x77\x72\x69\x74\x65","\x6F\x66\x66\x73\x65\x74\x48\x65\x69\x67\x68\x74","\x6D\x61\x72\x67\x69\x6E\x54\x6F\x70","\x74\x6F\x70","\x6E\x6F\x6E\x65","\x78","\x6D\x69\x6E","\x79","\x63\x6C\x69\x65\x6E\x74\x57\x69\x64\x74\x68","\x73\x70\x6C\x69\x74\x53\x69\x7A\x65","\x6F\x66\x66\x73\x65\x74\x54\x6F\x70","\x73\x63\x72\x6F\x6C\x6C\x54\x6F\x70","\x6D\x61\x78","\x74\x72\x61\x6E\x73\x66\x6F\x72\x6D","\x74\x72\x61\x6E\x73\x6C\x61\x74\x65\x28","\x2C","\x29","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x6C\x65\x66\x74","\x64\x72\x61\x77\x50\x61\x6E\x65","\x73\x65\x74\x54\x69\x6D\x65\x6F\x75\x74","\x63\x72\x65\x61\x74\x65\x54\x69\x74\x6C\x65","\x61","\x68\x72\x65\x66","\x6A\x61\x76\x61\x73\x63\x72\x69\x70\x74\x3A\x76\x6F\x69\x64\x28\x30\x29\x3B","\x67\x65\x54\x69\x74\x6C\x65","\x63\x72\x65\x61\x74\x65\x54\x68\x75\x6D\x62","\x73\x63\x61\x6C\x65\x41\x6E\x64\x54\x72\x61\x6E\x73\x6C\x61\x74\x65","\x66\x6C\x6F\x6F\x72","\x64\x69\x61\x6C\x65\x63\x74","\x44\x49\x41\x4C\x45\x43\x54\x5F\x53\x56\x47","\x4E\x4F\x5F\x46\x4F","\x63\x6C\x6F\x6E\x65\x4E\x6F\x64\x65","\x6F\x77\x6E\x65\x72\x53\x56\x47\x45\x6C\x65\x6D\x65\x6E\x74","\x67\x65\x74\x43\x61\x6E\x76\x61\x73","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x49\x53\x5F\x49\x45\x36","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x75\x72\x6C\x28","\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x49\x6D\x61\x67\x65","\x63\x75\x72\x73\x6F\x72","\x70\x6F\x69\x6E\x74\x65\x72","\x6D\x69\x6E\x57\x69\x64\x74\x68","\x6D\x69\x6E\x48\x65\x69\x67\x68\x74","\x66\x6F\x6E\x74\x53\x69\x7A\x65","\x77\x68\x69\x74\x65\x53\x70\x61\x63\x65","\x6E\x6F\x77\x72\x61\x70","\x49\x53\x5F\x49\x45","\x34\x70\x78","\x63\x72\x65\x61\x74\x65\x49\x74\x65\x6D","\x67\x65\x49\x74\x65\x6D","\x70\x61\x64\x64\x69\x6E\x67","\x63\x6C\x69\x63\x6B","\x63\x6F\x6E\x73\x75\x6D\x65","\x63\x72\x65\x61\x74\x65\x44\x72\x6F\x70\x48\x61\x6E\x64\x6C\x65\x72","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x65\x74\x49\x6D\x70\x6F\x72\x74\x61\x62\x6C\x65\x43\x65\x6C\x6C\x73","\x69\x73\x56\x61\x6C\x69\x64\x44\x72\x6F\x70\x54\x61\x72\x67\x65\x74","\x69\x73\x53\x70\x6C\x69\x74\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x53\x70\x6C\x69\x74\x54\x61\x72\x67\x65\x74","\x73\x70\x6C\x69\x74\x45\x64\x67\x65","\x69\x6D\x70\x6F\x72\x74\x43\x65\x6C\x6C\x73","\x73\x63\x72\x6F\x6C\x6C\x43\x65\x6C\x6C\x54\x6F\x56\x69\x73\x69\x62\x6C\x65","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x63\x72\x65\x61\x74\x65\x44\x72\x61\x67\x50\x72\x65\x76\x69\x65\x77","\x62\x6F\x72\x64\x65\x72","\x31\x70\x78\x20\x64\x61\x73\x68\x65\x64\x20\x62\x6C\x61\x63\x6B","\x63\x72\x65\x61\x74\x65\x44\x72\x61\x67\x53\x6F\x75\x72\x63\x65","\x61\x75\x74\x6F\x73\x63\x72\x6F\x6C\x6C","\x6D\x61\x6B\x65\x44\x72\x61\x67\x67\x61\x62\x6C\x65","\x67\x65\x74\x44\x72\x6F\x70\x54\x61\x72\x67\x65\x74","\x61\x70\x70\x6C\x79","\x69\x73\x56\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x61\x64\x64\x43\x6C\x69\x63\x6B\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x58","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x59","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x6D\x6F\x75\x73\x65\x55\x70","\x69\x73\x50\x6F\x70\x75\x70\x54\x72\x69\x67\x67\x65\x72","\x63\x75\x72\x72\x65\x6E\x74\x47\x72\x61\x70\x68","\x74\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x61\x62\x73","\x67\x65\x74\x47\x72\x69\x64\x53\x69\x7A\x65","\x64\x72\x6F\x70","\x63\x72\x65\x61\x74\x65\x58\x6D\x6C\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x6C\x61\x62\x65\x6C","\x66\x6F\x72\x45\x61\x63\x68","\x63\x72\x65\x61\x74\x65\x56\x65\x72\x74\x65\x78\x54\x65\x6D\x70\x6C\x61\x74\x65","\x69\x64","\x74\x65\x78\x74","\x73\x74\x61\x74\x65","\x30","\x4F\x46\x46","\x6F\x74\x68\x65\x72","\x61\x64\x64","\x76\x65\x72\x74\x65\x78","\x63\x72\x65\x61\x74\x65\x56\x65\x72\x74\x65\x78\x54\x65\x6D\x70\x6C\x61\x74\x65\x46\x72\x6F\x6D\x43\x65\x6C\x6C\x73","\x69\x73\x47\x75\x69\x64\x65\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x75\x69\x64\x65\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x61\x70\x68\x48\x61\x6E\x64\x6C\x65\x72","\x63\x72\x65\x61\x74\x65\x45\x64\x67\x65\x54\x65\x6D\x70\x6C\x61\x74\x65","\x73\x65\x74\x54\x65\x72\x6D\x69\x6E\x61\x6C\x50\x6F\x69\x6E\x74","\x67\x65\x6F\x6D\x65\x74\x72\x79","\x65\x64\x67\x65","\x63\x72\x65\x61\x74\x65\x45\x64\x67\x65\x54\x65\x6D\x70\x6C\x61\x74\x65\x46\x72\x6F\x6D\x43\x65\x6C\x6C\x73","\x73\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x43\x6F\x6C\x6F\x72","\x23\x66\x66\x66\x66\x66\x66","\x61\x64\x64\x50\x61\x6C\x65\x74\x74\x65","\x67\x65\x53\x69\x64\x65\x62\x61\x72","\x61\x64\x64\x46\x6F\x6C\x64\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x2F\x63\x6F\x6C\x6C\x61\x70\x73\x65\x64\x2E\x67\x69\x66\x29","\x2F\x65\x78\x70\x61\x6E\x64\x65\x64\x2E\x67\x69\x66\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x52\x65\x70\x65\x61\x74","\x6E\x6F\x2D\x72\x65\x70\x65\x61\x74","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x31\x30\x30\x25\x20\x35\x30\x25","\x77\x61\x69\x74","\x72\x65\x6D\x6F\x76\x65\x50\x61\x6C\x65\x74\x74\x65","\x72\x65\x6D\x6F\x76\x65\x43\x68\x69\x6C\x64","\x69\x6D\x61\x67\x65\x3B\x69\x6D\x61\x67\x65\x3D"];_0x7a30[0];function Sidebar(_0x2fa2x2,_0x2fa2x3){this[_0x7a30[1]]=_0x2fa2x2;this[_0x7a30[2]]=_0x2fa2x3;this[_0x7a30[3]]= new Object();this[_0x7a30[4]]=true;this[_0x7a30[5]]= new Graph(document[_0x7a30[7]](_0x7a30[6]),null,null,this[_0x7a30[1]][_0x7a30[9]][_0x7a30[5]][_0x7a30[8]]());this[_0x7a30[5]][_0x7a30[10]]=false;this[_0x7a30[5]][_0x7a30[11]]=false;this[_0x7a30[5]][_0x7a30[12]](false);this[_0x7a30[5]][_0x7a30[13]]=false;this[_0x7a30[5]][_0x7a30[14]](false);this[_0x7a30[5]][_0x7a30[15]](false);this[_0x7a30[5]][_0x7a30[2]][_0x7a30[17]][_0x7a30[16]]=_0x7a30[18];this[_0x7a30[5]][_0x7a30[2]][_0x7a30[17]][_0x7a30[19]]=_0x7a30[20];document[_0x7a30[22]][_0x7a30[21]](this[_0x7a30[5]][_0x7a30[2]]);if(!mxClient[_0x7a30[23]]){mxEvent[_0x7a30[26]](document,_0x7a30[24],mxUtils[_0x7a30[25]](this,function(){this[_0x7a30[4]]=true}));mxEvent[_0x7a30[26]](_0x2fa2x3,_0x7a30[27],mxUtils[_0x7a30[25]](this,function(){this[_0x7a30[4]]=true}));mxEvent[_0x7a30[26]](document,_0x7a30[28],mxUtils[_0x7a30[25]](this,function(){this[_0x7a30[4]]=false;this[_0x7a30[29]]()}));mxEvent[_0x7a30[26]](document,_0x7a30[30],mxUtils[_0x7a30[25]](this,function(_0x2fa2x4){var _0x2fa2x5=mxEvent[_0x7a30[31]](_0x2fa2x4);while(_0x2fa2x5!=null){if(_0x2fa2x5==this[_0x7a30[32]]){return};_0x2fa2x5=_0x2fa2x5[_0x7a30[33]]};this[_0x7a30[29]]()}));mxEvent[_0x7a30[26]](document,_0x7a30[34],mxUtils[_0x7a30[25]](this,function(_0x2fa2x4){if(_0x2fa2x4[_0x7a30[35]]==null&&_0x2fa2x4[_0x7a30[36]]==null){this[_0x7a30[29]]()}}))};this[_0x7a30[37]](); new Image()[_0x7a30[38]]=IMAGE_PATH+_0x7a30[39]}Sidebar[_0x7a30[40]][_0x7a30[37]]=function(){var _0x2fa2x6=STENCIL_PATH;console[_0x7a30[41]](_0x2fa2x6);this[_0x7a30[51]](_0x7a30[42],mxResources[_0x7a30[44]](_0x7a30[43]),_0x2fa2x6+_0x7a30[45],_0x7a30[46],[_0x7a30[47],_0x7a30[48],_0x7a30[49],_0x7a30[50]],[mxResources[_0x7a30[44]](_0x7a30[47]),mxResources[_0x7a30[44]](_0x7a30[48]),mxResources[_0x7a30[44]](_0x7a30[49]),mxResources[_0x7a30[44]](_0x7a30[50])])};Sidebar[_0x7a30[40]][_0x7a30[52]]=!mxClient[_0x7a30[23]];Sidebar[_0x7a30[40]][_0x7a30[53]]=16;Sidebar[_0x7a30[40]][_0x7a30[54]]=3;Sidebar[_0x7a30[40]][_0x7a30[55]]=300;Sidebar[_0x7a30[40]][_0x7a30[56]]=true;Sidebar[_0x7a30[40]][_0x7a30[57]]=STENCIL_PATH+_0x7a30[58];Sidebar[_0x7a30[40]][_0x7a30[59]]=34;Sidebar[_0x7a30[40]][_0x7a30[60]]=34;Sidebar[_0x7a30[40]][_0x7a30[61]]=2;Sidebar[_0x7a30[40]][_0x7a30[62]]=9;Sidebar[_0x7a30[40]][_0x7a30[63]]=false;Sidebar[_0x7a30[40]][_0x7a30[64]]=false;Sidebar[_0x7a30[40]][_0x7a30[65]]=function(_0x2fa2x7,_0x2fa2x8,_0x2fa2x9,_0x2fa2xa){if(this[_0x7a30[52]]&&this[_0x7a30[4]]){if(this[_0x7a30[32]]!=_0x2fa2x7){if(this[_0x7a30[66]]!=null){window[_0x7a30[67]](this[_0x7a30[66]]);this[_0x7a30[66]]=null};var _0x2fa2xb=mxUtils[_0x7a30[25]](this,function(){if(this[_0x7a30[68]]==null){this[_0x7a30[68]]=document[_0x7a30[7]](_0x7a30[6]);this[_0x7a30[68]][_0x7a30[69]]=_0x7a30[70];document[_0x7a30[22]][_0x7a30[21]](this[_0x7a30[68]]);this[_0x7a30[71]]= new Graph(this[_0x7a30[68]],null,null,this[_0x7a30[1]][_0x7a30[9]][_0x7a30[5]][_0x7a30[8]]());this[_0x7a30[71]][_0x7a30[73]][_0x7a30[72]](this[_0x7a30[53]],this[_0x7a30[53]]);this[_0x7a30[71]][_0x7a30[10]]=false;this[_0x7a30[71]][_0x7a30[11]]=false;this[_0x7a30[71]][_0x7a30[13]]=false;this[_0x7a30[71]][_0x7a30[14]](false);this[_0x7a30[71]][_0x7a30[12]](false);this[_0x7a30[71]][_0x7a30[15]](false);if(!mxClient[_0x7a30[74]]){this[_0x7a30[71]][_0x7a30[73]][_0x7a30[75]][_0x7a30[17]][_0x7a30[19]]=_0x7a30[76]};this[_0x7a30[77]]=mxUtils[_0x7a30[78]](IMAGE_PATH+_0x7a30[39]);this[_0x7a30[77]][_0x7a30[17]][_0x7a30[19]]=_0x7a30[20];this[_0x7a30[77]][_0x7a30[17]][_0x7a30[79]]=_0x7a30[80];this[_0x7a30[77]][_0x7a30[17]][_0x7a30[81]]=_0x7a30[82];document[_0x7a30[22]][_0x7a30[21]](this[_0x7a30[77]])};this[_0x7a30[68]][_0x7a30[17]][_0x7a30[83]]=_0x7a30[84];this[_0x7a30[71]][_0x7a30[85]]=(_0x2fa2xa==null||_0x2fa2xa);this[_0x7a30[71]][_0x7a30[87]][_0x7a30[86]]();this[_0x7a30[71]][_0x7a30[88]](_0x2fa2x8);var _0x2fa2xc=this[_0x7a30[71]][_0x7a30[89]]();var _0x2fa2xd=_0x2fa2xc[_0x7a30[79]]+2*this[_0x7a30[53]];var _0x2fa2xe=_0x2fa2xc[_0x7a30[81]]+2*this[_0x7a30[53]];if(mxClient[_0x7a30[90]]){_0x2fa2xd+=4;_0x2fa2xe+=4;this[_0x7a30[68]][_0x7a30[17]][_0x7a30[91]]=_0x7a30[18]}else {this[_0x7a30[68]][_0x7a30[17]][_0x7a30[91]]=_0x7a30[92]};this[_0x7a30[77]][_0x7a30[17]][_0x7a30[16]]=_0x7a30[92];this[_0x7a30[68]][_0x7a30[17]][_0x7a30[79]]=_0x2fa2xd+_0x7a30[93];if(this[_0x7a30[64]]&&_0x2fa2x9!=null&&_0x2fa2x9[_0x7a30[94]]>0){if(this[_0x7a30[95]]==null){this[_0x7a30[95]]=document[_0x7a30[7]](_0x7a30[6]);this[_0x7a30[95]][_0x7a30[17]][_0x7a30[96]]=_0x7a30[97];this[_0x7a30[95]][_0x7a30[17]][_0x7a30[98]]=_0x7a30[99];this[_0x7a30[95]][_0x7a30[17]][_0x7a30[79]]=_0x7a30[100];this[_0x7a30[95]][_0x7a30[17]][_0x7a30[91]]=_0x7a30[18];if(mxClient[_0x7a30[74]]){this[_0x7a30[95]][_0x7a30[17]][_0x7a30[101]]=_0x7a30[102]}else {this[_0x7a30[95]][_0x7a30[17]][_0x7a30[19]]=_0x7a30[20];this[_0x7a30[95]][_0x7a30[17]][_0x7a30[101]]=_0x7a30[103]};this[_0x7a30[68]][_0x7a30[21]](this[_0x7a30[95]])}else {this[_0x7a30[95]][_0x7a30[104]]=_0x7a30[105]};this[_0x7a30[95]][_0x7a30[17]][_0x7a30[83]]=_0x7a30[105];mxUtils[_0x7a30[106]](this[_0x7a30[95]],_0x2fa2x9);var _0x2fa2xf=this[_0x7a30[95]][_0x7a30[107]]+10;_0x2fa2xe+=_0x2fa2xf;if(mxClient[_0x7a30[74]]){this[_0x7a30[95]][_0x7a30[17]][_0x7a30[108]]=(-_0x2fa2xf)+_0x7a30[93]}else {_0x2fa2xe-=6;this[_0x7a30[95]][_0x7a30[17]][_0x7a30[109]]=(_0x2fa2xe-_0x2fa2xf)+_0x7a30[93]}}else {if(this[_0x7a30[95]]!=null&&this[_0x7a30[95]][_0x7a30[33]]!=null){this[_0x7a30[95]][_0x7a30[17]][_0x7a30[83]]=_0x7a30[110]}};this[_0x7a30[68]][_0x7a30[17]][_0x7a30[81]]=_0x2fa2xe+_0x7a30[93];var _0x2fa2x10=-Math[_0x7a30[112]](0,_0x2fa2xc[_0x7a30[111]]-this[_0x7a30[53]]);var _0x2fa2x11=-Math[_0x7a30[112]](0,_0x2fa2xc[_0x7a30[113]]-this[_0x7a30[53]]);var _0x2fa2x12=this[_0x7a30[2]][_0x7a30[114]]+this[_0x7a30[1]][_0x7a30[115]]+3;var _0x2fa2x13=Math[_0x7a30[118]](0,(this[_0x7a30[2]][_0x7a30[116]]+_0x2fa2x7[_0x7a30[116]]-this[_0x7a30[2]][_0x7a30[117]]-_0x2fa2xe/2+16));if(mxClient[_0x7a30[74]]){this[_0x7a30[71]][_0x7a30[73]][_0x7a30[75]][_0x7a30[123]](_0x7a30[119],_0x7a30[120]+_0x2fa2x10+_0x7a30[121]+_0x2fa2x11+_0x7a30[122])}else {this[_0x7a30[71]][_0x7a30[73]][_0x7a30[125]][_0x7a30[17]][_0x7a30[124]]=_0x2fa2x10+_0x7a30[93];this[_0x7a30[71]][_0x7a30[73]][_0x7a30[125]][_0x7a30[17]][_0x7a30[109]]=_0x2fa2x11+_0x7a30[93]};_0x2fa2x13+=90;this[_0x7a30[68]][_0x7a30[17]][_0x7a30[19]]=_0x7a30[20];this[_0x7a30[68]][_0x7a30[17]][_0x7a30[124]]=_0x2fa2x12+_0x7a30[93];this[_0x7a30[68]][_0x7a30[17]][_0x7a30[109]]=_0x2fa2x13+_0x7a30[93];this[_0x7a30[77]][_0x7a30[17]][_0x7a30[124]]=(_0x2fa2x12-13)+_0x7a30[93];this[_0x7a30[77]][_0x7a30[17]][_0x7a30[109]]=(_0x2fa2x13+_0x2fa2xe/2-13)+_0x7a30[93]});if(this[_0x7a30[68]]!=null&&this[_0x7a30[68]][_0x7a30[17]][_0x7a30[83]]!=_0x7a30[110]){_0x2fa2xb()}else {this[_0x7a30[66]]=window[_0x7a30[126]](_0x2fa2xb,this[_0x7a30[55]])};this[_0x7a30[32]]=_0x2fa2x7}}};Sidebar[_0x7a30[40]][_0x7a30[29]]=function(){if(this[_0x7a30[66]]!=null){window[_0x7a30[67]](this[_0x7a30[66]]);this[_0x7a30[66]]=null};if(this[_0x7a30[68]]!=null){this[_0x7a30[68]][_0x7a30[17]][_0x7a30[83]]=_0x7a30[110];this[_0x7a30[77]][_0x7a30[17]][_0x7a30[16]]=_0x7a30[18];this[_0x7a30[32]]=null}};Sidebar[_0x7a30[40]][_0x7a30[127]]=function(_0x2fa2x14){var _0x2fa2x7=document[_0x7a30[7]](_0x7a30[128]);_0x2fa2x7[_0x7a30[123]](_0x7a30[129],_0x7a30[130]);_0x2fa2x7[_0x7a30[69]]=_0x7a30[131];mxUtils[_0x7a30[106]](_0x2fa2x7,_0x2fa2x14);return _0x2fa2x7};Sidebar[_0x7a30[40]][_0x7a30[132]]=function(_0x2fa2x8,_0x2fa2xd,_0x2fa2xe,_0x2fa2x15,_0x2fa2x9,_0x2fa2xa){this[_0x7a30[5]][_0x7a30[85]]=(_0x2fa2xa==null||_0x2fa2xa);this[_0x7a30[5]][_0x7a30[73]][_0x7a30[133]](1,0,0);this[_0x7a30[5]][_0x7a30[88]](_0x2fa2x8);var _0x2fa2xc=this[_0x7a30[5]][_0x7a30[89]]();var _0x2fa2x16=this[_0x7a30[54]];var _0x2fa2x17=Math[_0x7a30[112]]((_0x2fa2xd-2)/(_0x2fa2xc[_0x7a30[79]]-_0x2fa2xc[_0x7a30[111]]+_0x2fa2x16),(_0x2fa2xe-2)/(_0x2fa2xc[_0x7a30[81]]-_0x2fa2xc[_0x7a30[113]]+_0x2fa2x16));var _0x2fa2x10=-Math[_0x7a30[112]](_0x2fa2xc[_0x7a30[111]],0);var _0x2fa2x11=-Math[_0x7a30[112]](_0x2fa2xc[_0x7a30[113]],0);this[_0x7a30[5]][_0x7a30[73]][_0x7a30[133]](_0x2fa2x17,_0x2fa2x10,_0x2fa2x11);_0x2fa2xc=this[_0x7a30[5]][_0x7a30[89]]();var _0x2fa2x18=Math[_0x7a30[118]](0,Math[_0x7a30[134]]((_0x2fa2xd-_0x2fa2xc[_0x7a30[79]]-_0x2fa2xc[_0x7a30[111]])/2));var _0x2fa2xf=Math[_0x7a30[118]](0,Math[_0x7a30[134]]((_0x2fa2xe-_0x2fa2xc[_0x7a30[81]]-_0x2fa2xc[_0x7a30[113]])/2));var _0x2fa2x19=null;if(this[_0x7a30[5]][_0x7a30[135]]==mxConstants[_0x7a30[136]]&&!mxClient[_0x7a30[137]]){_0x2fa2x19=this[_0x7a30[5]][_0x7a30[73]][_0x7a30[140]]()[_0x7a30[139]][_0x7a30[138]](true)}else {_0x2fa2x19=this[_0x7a30[5]][_0x7a30[2]][_0x7a30[138]](false);_0x2fa2x19[_0x7a30[104]]=this[_0x7a30[5]][_0x7a30[2]][_0x7a30[104]]};this[_0x7a30[5]][_0x7a30[141]]()[_0x7a30[86]]();if(mxClient[_0x7a30[142]]){_0x2fa2x15[_0x7a30[17]][_0x7a30[143]]=_0x7a30[144]+this[_0x7a30[1]][_0x7a30[9]][_0x7a30[145]]+_0x7a30[122]};var _0x2fa2x1a=3;_0x2fa2x19[_0x7a30[17]][_0x7a30[19]]=_0x7a30[76];_0x2fa2x19[_0x7a30[17]][_0x7a30[91]]=_0x7a30[18];_0x2fa2x19[_0x7a30[17]][_0x7a30[146]]=_0x7a30[147];_0x2fa2x19[_0x7a30[17]][_0x7a30[124]]=(_0x2fa2x18+_0x2fa2x1a)+_0x7a30[93];_0x2fa2x19[_0x7a30[17]][_0x7a30[109]]=(_0x2fa2xf+_0x2fa2x1a)+_0x7a30[93];_0x2fa2x19[_0x7a30[17]][_0x7a30[79]]=_0x2fa2xd+_0x7a30[93];_0x2fa2x19[_0x7a30[17]][_0x7a30[81]]=_0x2fa2xe+_0x7a30[93];_0x2fa2x19[_0x7a30[17]][_0x7a30[16]]=_0x7a30[105];_0x2fa2x19[_0x7a30[17]][_0x7a30[148]]=_0x7a30[105];_0x2fa2x19[_0x7a30[17]][_0x7a30[149]]=_0x7a30[105];_0x2fa2x15[_0x7a30[21]](_0x2fa2x19);if(this[_0x7a30[63]]&&_0x2fa2x9!=null){var _0x2fa2x1b=(mxClient[_0x7a30[90]])?2*this[_0x7a30[61]]+2:0;_0x2fa2x15[_0x7a30[17]][_0x7a30[81]]=(this[_0x7a30[60]]+_0x2fa2x1b+this[_0x7a30[62]]+8)+_0x7a30[93];var _0x2fa2x1c=document[_0x7a30[7]](_0x7a30[6]);_0x2fa2x1c[_0x7a30[17]][_0x7a30[150]]=this[_0x7a30[62]]+_0x7a30[93];_0x2fa2x1c[_0x7a30[17]][_0x7a30[98]]=_0x7a30[99];_0x2fa2x1c[_0x7a30[17]][_0x7a30[151]]=_0x7a30[152];if(mxClient[_0x7a30[153]]){_0x2fa2x1c[_0x7a30[17]][_0x7a30[81]]=(this[_0x7a30[62]]+12)+_0x7a30[93]};_0x2fa2x1c[_0x7a30[17]][_0x7a30[101]]=_0x7a30[154];mxUtils[_0x7a30[106]](_0x2fa2x1c,_0x2fa2x9);_0x2fa2x15[_0x7a30[21]](_0x2fa2x1c)}};Sidebar[_0x7a30[40]][_0x7a30[155]]=function(_0x2fa2x8,_0x2fa2x9,_0x2fa2xa){var _0x2fa2x7=document[_0x7a30[7]](_0x7a30[128]);_0x2fa2x7[_0x7a30[123]](_0x7a30[129],_0x7a30[130]);_0x2fa2x7[_0x7a30[69]]=_0x7a30[156];_0x2fa2x7[_0x7a30[17]][_0x7a30[91]]=_0x7a30[18];var _0x2fa2x1b=(mxClient[_0x7a30[90]])?8+2*this[_0x7a30[61]]:6;_0x2fa2x7[_0x7a30[17]][_0x7a30[79]]=(this[_0x7a30[59]]+_0x2fa2x1b)+_0x7a30[93];_0x2fa2x7[_0x7a30[17]][_0x7a30[81]]=(this[_0x7a30[60]]+_0x2fa2x1b)+_0x7a30[93];_0x2fa2x7[_0x7a30[17]][_0x7a30[157]]=this[_0x7a30[61]]+_0x7a30[93];mxEvent[_0x7a30[26]](_0x2fa2x7,_0x7a30[158],function(_0x2fa2x4){mxEvent[_0x7a30[159]](_0x2fa2x4)});this[_0x7a30[132]](_0x2fa2x8,this[_0x7a30[59]],this[_0x7a30[60]],_0x2fa2x7,_0x2fa2x9,_0x2fa2xa);return _0x2fa2x7};Sidebar[_0x7a30[40]][_0x7a30[160]]=function(_0x2fa2x8,_0x2fa2x1d){return function(_0x2fa2x1e,_0x2fa2x4,_0x2fa2x1f,_0x2fa2x20,_0x2fa2x21){if(_0x2fa2x1e[_0x7a30[161]]()){_0x2fa2x8=_0x2fa2x1e[_0x7a30[162]](_0x2fa2x8);if(_0x2fa2x8[_0x7a30[94]]>0){var _0x2fa2x22=(_0x2fa2x1f!=null)?_0x2fa2x1e[_0x7a30[163]](_0x2fa2x1f,_0x2fa2x8,_0x2fa2x4):false;var _0x2fa2x23=null;if(_0x2fa2x1f!=null&& !_0x2fa2x22){_0x2fa2x1f=null};if(_0x2fa2x1d&&_0x2fa2x1e[_0x7a30[164]]()&&_0x2fa2x1e[_0x7a30[165]](_0x2fa2x1f,_0x2fa2x8,_0x2fa2x4)){_0x2fa2x1e[_0x7a30[166]](_0x2fa2x1f,_0x2fa2x8,null,_0x2fa2x20,_0x2fa2x21);_0x2fa2x23=_0x2fa2x8}else {if(_0x2fa2x8[_0x7a30[94]]>0){_0x2fa2x23=_0x2fa2x1e[_0x7a30[167]](_0x2fa2x8,_0x2fa2x20,_0x2fa2x21,_0x2fa2x1f)}};if(_0x2fa2x23!=null&&_0x2fa2x23[_0x7a30[94]]>0){_0x2fa2x1e[_0x7a30[168]](_0x2fa2x23[0]);_0x2fa2x1e[_0x7a30[169]](_0x2fa2x23)}}}}};Sidebar[_0x7a30[40]][_0x7a30[170]]=function(_0x2fa2xd,_0x2fa2xe){var _0x2fa2x7=document[_0x7a30[7]](_0x7a30[6]);_0x2fa2x7[_0x7a30[17]][_0x7a30[171]]=_0x7a30[172];_0x2fa2x7[_0x7a30[17]][_0x7a30[79]]=_0x2fa2xd+_0x7a30[93];_0x2fa2x7[_0x7a30[17]][_0x7a30[81]]=_0x2fa2xe+_0x7a30[93];return _0x2fa2x7};Sidebar[_0x7a30[40]][_0x7a30[173]]=function(_0x2fa2x7,_0x2fa2x24,_0x2fa2x25){var _0x2fa2x26=mxUtils[_0x7a30[175]](_0x2fa2x7,this[_0x7a30[1]][_0x7a30[9]][_0x7a30[5]],_0x2fa2x24,_0x2fa2x25,0,0,this[_0x7a30[1]][_0x7a30[9]][_0x7a30[5]][_0x7a30[174]],true,true);_0x2fa2x26[_0x7a30[176]]=function(_0x2fa2x1e,_0x2fa2x20,_0x2fa2x21){var _0x2fa2x1f=mxDragSource[_0x7a30[40]][_0x7a30[176]][_0x7a30[177]](this,arguments);if(!_0x2fa2x1e[_0x7a30[178]](_0x2fa2x1f)){_0x2fa2x1f=null};return _0x2fa2x1f};return _0x2fa2x26};Sidebar[_0x7a30[40]][_0x7a30[179]]=function(_0x2fa2x7,_0x2fa2x27){var _0x2fa2x1e=this[_0x7a30[1]][_0x7a30[9]][_0x7a30[5]];var _0x2fa2x28=null;mxEvent[_0x7a30[182]](_0x2fa2x7,function(_0x2fa2x4){_0x2fa2x28= new mxPoint(mxEvent[_0x7a30[180]](_0x2fa2x4),mxEvent[_0x7a30[181]](_0x2fa2x4))});var _0x2fa2x29=_0x2fa2x27[_0x7a30[183]];_0x2fa2x27[_0x7a30[183]]=function(_0x2fa2x4){if(!mxEvent[_0x7a30[184]](_0x2fa2x4)&&this[_0x7a30[185]]==null&&_0x2fa2x28!=null){var _0x2fa2x2a=_0x2fa2x1e[_0x7a30[186]];if(Math[_0x7a30[187]](_0x2fa2x28[_0x7a30[111]]-mxEvent[_0x7a30[180]](_0x2fa2x4))<=_0x2fa2x2a&&Math[_0x7a30[187]](_0x2fa2x28[_0x7a30[113]]-mxEvent[_0x7a30[181]](_0x2fa2x4))<=_0x2fa2x2a){var _0x2fa2x2b=_0x2fa2x1e[_0x7a30[188]]();_0x2fa2x27[_0x7a30[189]](_0x2fa2x1e,_0x2fa2x4,null,_0x2fa2x2b,_0x2fa2x2b)}};_0x2fa2x29[_0x7a30[177]](this,arguments);_0x2fa2x28=null}};Sidebar[_0x7a30[40]][_0x7a30[190]]=function(_0x2fa2x2c,_0x2fa2x14,_0x2fa2x2d,_0x2fa2x2e){var _0x2fa2x2f=mxUtils[_0x7a30[190]]();var _0x2fa2x19=_0x2fa2x2f[_0x7a30[7]](_0x2fa2x2c);_0x2fa2x19[_0x7a30[123]](_0x7a30[191],_0x2fa2x14);_0x2fa2x2d[_0x7a30[192]](function(_0x2fa2x30,_0x2fa2x31){_0x2fa2x19[_0x7a30[123]](_0x2fa2x30,_0x2fa2x2e[_0x2fa2x31]?_0x2fa2x2e[_0x2fa2x31]:_0x7a30[105])});return _0x2fa2x19};Sidebar[_0x7a30[40]][_0x7a30[193]]=function(_0x2fa2x32,_0x2fa2xd,_0x2fa2xe,_0x2fa2x33,_0x2fa2x9,_0x2fa2xa){var _0x2fa2x2d=[];var _0x2fa2x2e=[];switch(_0x2fa2x33){case _0x7a30[47]:_0x2fa2x2d=[_0x7a30[194],_0x7a30[195],_0x7a30[196]];_0x2fa2x2e=[_0x7a30[197],_0x7a30[105],_0x7a30[198]];break;case _0x7a30[50]:_0x2fa2x2d=[_0x7a30[194],_0x7a30[195]];_0x2fa2x2e=[_0x7a30[197],_0x7a30[105]];break;case _0x7a30[48]:_0x2fa2x2d=[_0x7a30[194],_0x7a30[48],_0x7a30[199]];_0x2fa2x2e=[_0x7a30[197],_0x7a30[105]];break;case _0x7a30[49]:_0x2fa2x2d=[_0x7a30[194],_0x7a30[48],_0x7a30[200]];_0x2fa2x2e=[_0x7a30[197],_0x7a30[105]];break;default:_0x2fa2x2d=[];_0x2fa2x2e=[];break};var _0x2fa2x19=this[_0x7a30[190]](_0x2fa2x33,_0x2fa2x9,_0x2fa2x2d,_0x2fa2x2e);var _0x2fa2x8=[ new mxCell(_0x2fa2x19, new mxGeometry(0,0,_0x2fa2xd,_0x2fa2xe),_0x2fa2x32)];_0x2fa2x8[0][_0x7a30[201]]=true;return this[_0x7a30[202]](_0x2fa2x8,_0x2fa2xd,_0x2fa2xe,_0x2fa2x9,_0x2fa2xa)};Sidebar[_0x7a30[40]][_0x7a30[202]]=function(_0x2fa2x8,_0x2fa2xd,_0x2fa2xe,_0x2fa2x9,_0x2fa2xa){var _0x2fa2x7=this[_0x7a30[155]](_0x2fa2x8,_0x2fa2x9,_0x2fa2xa);var _0x2fa2x27=this[_0x7a30[173]](_0x2fa2x7,this[_0x7a30[160]](_0x2fa2x8,true),this[_0x7a30[170]](_0x2fa2xd,_0x2fa2xe));this[_0x7a30[179]](_0x2fa2x7,_0x2fa2x27);_0x2fa2x27[_0x7a30[203]]=mxUtils[_0x7a30[25]](this,function(){return this[_0x7a30[1]][_0x7a30[9]][_0x7a30[5]][_0x7a30[205]][_0x7a30[204]]});if(!touchStyle){mxEvent[_0x7a30[26]](_0x2fa2x7,_0x7a30[30],mxUtils[_0x7a30[25]](this,function(_0x2fa2x4){this[_0x7a30[65]](_0x2fa2x7,_0x2fa2x8,_0x2fa2x9,_0x2fa2xa)}))};return _0x2fa2x7};Sidebar[_0x7a30[40]][_0x7a30[206]]=function(_0x2fa2x32,_0x2fa2xd,_0x2fa2xe,_0x2fa2x33,_0x2fa2x9,_0x2fa2xa){var _0x2fa2x8=[ new mxCell((_0x2fa2x33!=null)?_0x2fa2x33:_0x7a30[105], new mxGeometry(0,0,_0x2fa2xd,_0x2fa2xe),_0x2fa2x32)];_0x2fa2x8[0][_0x7a30[208]][_0x7a30[207]]( new mxPoint(0,_0x2fa2xe),true);_0x2fa2x8[0][_0x7a30[208]][_0x7a30[207]]( new mxPoint(_0x2fa2xd,0),false);_0x2fa2x8[0][_0x7a30[208]][_0x7a30[76]]=true;_0x2fa2x8[0][_0x7a30[209]]=true;return this[_0x7a30[210]](_0x2fa2x8,_0x2fa2xd,_0x2fa2xe,_0x2fa2x9,_0x2fa2xa)};Sidebar[_0x7a30[40]][_0x7a30[210]]=function(_0x2fa2x8,_0x2fa2xd,_0x2fa2xe,_0x2fa2x9,_0x2fa2xa){var _0x2fa2x7=this[_0x7a30[155]](_0x2fa2x8,_0x2fa2x9,_0x2fa2xa);this[_0x7a30[173]](_0x2fa2x7,this[_0x7a30[160]](_0x2fa2x8,false),this[_0x7a30[170]](_0x2fa2xd,_0x2fa2xe));var _0x2fa2x1e=this[_0x7a30[1]][_0x7a30[9]][_0x7a30[5]];mxEvent[_0x7a30[26]](_0x2fa2x7,_0x7a30[158],mxUtils[_0x7a30[25]](this,function(_0x2fa2x4){if(this[_0x7a30[56]]){_0x2fa2x1e[_0x7a30[211]](_0x2fa2x8[0])};_0x2fa2x7[_0x7a30[17]][_0x7a30[212]]=_0x7a30[213];window[_0x7a30[126]](function(){_0x2fa2x7[_0x7a30[17]][_0x7a30[212]]=_0x7a30[105]},300);mxEvent[_0x7a30[159]](_0x2fa2x4)}));touchStyle=false;if(!touchStyle){mxEvent[_0x7a30[26]](_0x2fa2x7,_0x7a30[30],mxUtils[_0x7a30[25]](this,function(_0x2fa2x4){this[_0x7a30[65]](_0x2fa2x7,_0x2fa2x8,_0x2fa2x9,_0x2fa2xa)}))};return _0x2fa2x7};Sidebar[_0x7a30[40]][_0x7a30[214]]=function(_0x2fa2x34,_0x2fa2x9,_0x2fa2x35,_0x2fa2x36){var _0x2fa2x7=this[_0x7a30[127]](_0x2fa2x9);this[_0x7a30[2]][_0x7a30[21]](_0x2fa2x7);var _0x2fa2x1c=document[_0x7a30[7]](_0x7a30[6]);_0x2fa2x1c[_0x7a30[69]]=_0x7a30[215];if(_0x2fa2x35){_0x2fa2x36(_0x2fa2x1c);_0x2fa2x36=null}else {_0x2fa2x1c[_0x7a30[17]][_0x7a30[83]]=_0x7a30[110]};this[_0x7a30[216]](_0x2fa2x7,_0x2fa2x1c,_0x2fa2x36);var _0x2fa2x37=document[_0x7a30[7]](_0x7a30[6]);_0x2fa2x37[_0x7a30[21]](_0x2fa2x1c);this[_0x7a30[2]][_0x7a30[21]](_0x2fa2x37);if(_0x2fa2x34!=null){this[_0x7a30[3]][_0x2fa2x34]=[_0x2fa2x7,_0x2fa2x37]}};Sidebar[_0x7a30[40]][_0x7a30[216]]=function(_0x2fa2x9,_0x2fa2x38,_0x2fa2x39){var _0x2fa2x3a=false;_0x2fa2x9[_0x7a30[17]][_0x7a30[143]]=(_0x2fa2x38[_0x7a30[17]][_0x7a30[83]]==_0x7a30[110])?_0x7a30[144]+IMAGE_PATH+_0x7a30[217]:_0x7a30[144]+IMAGE_PATH+_0x7a30[218];_0x2fa2x9[_0x7a30[17]][_0x7a30[219]]=_0x7a30[220];_0x2fa2x9[_0x7a30[17]][_0x7a30[221]]=_0x7a30[222];mxEvent[_0x7a30[26]](_0x2fa2x9,_0x7a30[158],function(_0x2fa2x4){if(_0x2fa2x38[_0x7a30[17]][_0x7a30[83]]==_0x7a30[110]){if(!_0x2fa2x3a){_0x2fa2x3a=true;if(_0x2fa2x39!=null){_0x2fa2x9[_0x7a30[17]][_0x7a30[146]]=_0x7a30[223];window[_0x7a30[126]](function(){_0x2fa2x39(_0x2fa2x38);_0x2fa2x9[_0x7a30[17]][_0x7a30[146]]=_0x7a30[105]},0)}};_0x2fa2x9[_0x7a30[17]][_0x7a30[143]]=_0x7a30[144]+IMAGE_PATH+_0x7a30[218];_0x2fa2x38[_0x7a30[17]][_0x7a30[83]]=_0x7a30[84]}else {_0x2fa2x9[_0x7a30[17]][_0x7a30[143]]=_0x7a30[144]+IMAGE_PATH+_0x7a30[217];_0x2fa2x38[_0x7a30[17]][_0x7a30[83]]=_0x7a30[110]};mxEvent[_0x7a30[159]](_0x2fa2x4)})};Sidebar[_0x7a30[40]][_0x7a30[224]]=function(_0x2fa2x34){var _0x2fa2x3b=this[_0x7a30[3]][_0x2fa2x34];if(_0x2fa2x3b!=null){this[_0x7a30[3]][_0x2fa2x34]=null;for(var _0x2fa2x3c=0;_0x2fa2x3c<_0x2fa2x3b[_0x7a30[94]];_0x2fa2x3c++){this[_0x7a30[2]][_0x7a30[225]](_0x2fa2x3b[_0x2fa2x3c])};return true};return false};Sidebar[_0x7a30[40]][_0x7a30[51]]=function(_0x2fa2x34,_0x2fa2x9,_0x2fa2x3d,_0x2fa2x3e,_0x2fa2x3f,_0x2fa2x40){this[_0x7a30[214]](_0x2fa2x34,_0x2fa2x9,(_0x2fa2x34===_0x7a30[42]),mxUtils[_0x7a30[25]](this,function(_0x2fa2x38){var _0x2fa2x41=_0x2fa2x40!=null;for(var _0x2fa2x3c=0;_0x2fa2x3c<_0x2fa2x3f[_0x7a30[94]];_0x2fa2x3c++){var _0x2fa2x42=_0x2fa2x3d+_0x2fa2x3f[_0x2fa2x3c]+_0x2fa2x3e;_0x2fa2x38[_0x7a30[21]](this[_0x7a30[193]](_0x7a30[226]+_0x2fa2x42,80,80,_0x2fa2x3f[_0x2fa2x3c],(_0x2fa2x41)?_0x2fa2x40[_0x2fa2x3c]:null,_0x2fa2x41))}}))} \ No newline at end of file +var _0x40b0=["\x75\x73\x65\x20\x73\x74\x72\x69\x63\x74","\x65\x64\x69\x74\x6F\x72\x55\x69","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x70\x61\x6C\x65\x74\x74\x65\x73","\x73\x68\x6F\x77\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x67\x72\x61\x70\x68","\x64\x69\x76","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x67\x65\x74\x53\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x65\x64\x69\x74\x6F\x72","\x72\x65\x73\x65\x74\x56\x69\x65\x77\x4F\x6E\x52\x6F\x6F\x74\x43\x68\x61\x6E\x67\x65","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x61\x75\x74\x6F\x53\x63\x72\x6F\x6C\x6C","\x73\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x76\x69\x73\x69\x62\x69\x6C\x69\x74\x79","\x73\x74\x79\x6C\x65","\x68\x69\x64\x64\x65\x6E","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x61\x62\x73\x6F\x6C\x75\x74\x65","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x62\x6F\x64\x79","\x49\x53\x5F\x54\x4F\x55\x43\x48","\x6D\x6F\x75\x73\x65\x75\x70","\x62\x69\x6E\x64","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x73\x63\x72\x6F\x6C\x6C","\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E","\x68\x69\x64\x65\x54\x6F\x6F\x6C\x74\x69\x70","\x6D\x6F\x75\x73\x65\x6D\x6F\x76\x65","\x67\x65\x74\x53\x6F\x75\x72\x63\x65","\x63\x75\x72\x72\x65\x6E\x74\x45\x6C\x74","\x70\x61\x72\x65\x6E\x74\x4E\x6F\x64\x65","\x6D\x6F\x75\x73\x65\x6F\x75\x74","\x74\x6F\x45\x6C\x65\x6D\x65\x6E\x74","\x72\x65\x6C\x61\x74\x65\x64\x54\x61\x72\x67\x65\x74","\x69\x6E\x69\x74","\x73\x72\x63","\x2F\x74\x6F\x6F\x6C\x74\x69\x70\x2E\x70\x6E\x67","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x6C\x6F\x67","\x65\x6E\x74\x72\x79","\x61\x70\x70\x6C\x69\x63\x61\x74\x69\x6F\x6E","\x67\x65\x74","\x2F","\x5F\x31\x32\x38\x78\x31\x32\x38\x2E\x70\x6E\x67","\x73\x74\x61\x72\x74","\x71\x75\x65\x73\x74\x69\x6F\x6E","\x69\x6E\x70\x75\x74","\x65\x6E\x64","\x61\x64\x64\x49\x6D\x61\x67\x65\x50\x61\x6C\x65\x74\x74\x65","\x65\x6E\x61\x62\x6C\x65\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x74\x6F\x6F\x6C\x74\x69\x70\x42\x6F\x72\x64\x65\x72","\x74\x68\x75\x6D\x62\x42\x6F\x72\x64\x65\x72","\x74\x6F\x6F\x6C\x74\x69\x70\x44\x65\x6C\x61\x79","\x69\x6E\x73\x74\x61\x6C\x6C\x45\x64\x67\x65\x73","\x67\x65\x61\x72\x49\x6D\x61\x67\x65","\x2F\x63\x6C\x69\x70\x61\x72\x74\x2F\x47\x65\x61\x72\x5F\x31\x32\x38\x78\x31\x32\x38\x2E\x70\x6E\x67","\x74\x68\x75\x6D\x62\x57\x69\x64\x74\x68","\x74\x68\x75\x6D\x62\x48\x65\x69\x67\x68\x74","\x74\x68\x75\x6D\x62\x50\x61\x64\x64\x69\x6E\x67","\x73\x69\x64\x65\x62\x61\x72\x54\x69\x74\x6C\x65\x53\x69\x7A\x65","\x73\x69\x64\x65\x62\x61\x72\x54\x69\x74\x6C\x65\x73","\x74\x6F\x6F\x6C\x74\x69\x70\x54\x69\x74\x6C\x65\x73","\x73\x68\x6F\x77\x54\x6F\x6F\x6C\x74\x69\x70","\x74\x68\x72\x65\x61\x64","\x63\x6C\x65\x61\x72\x54\x69\x6D\x65\x6F\x75\x74","\x74\x6F\x6F\x6C\x74\x69\x70","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x53\x69\x64\x65\x62\x61\x72\x54\x6F\x6F\x6C\x74\x69\x70","\x67\x72\x61\x70\x68\x32","\x73\x65\x74\x54\x72\x61\x6E\x73\x6C\x61\x74\x65","\x76\x69\x65\x77","\x49\x53\x5F\x53\x56\x47","\x63\x61\x6E\x76\x61\x73","\x72\x65\x6C\x61\x74\x69\x76\x65","\x74\x6F\x6F\x6C\x74\x69\x70\x49\x6D\x61\x67\x65","\x63\x72\x65\x61\x74\x65\x49\x6D\x61\x67\x65","\x77\x69\x64\x74\x68","\x31\x34\x70\x78","\x68\x65\x69\x67\x68\x74","\x32\x37\x70\x78","\x64\x69\x73\x70\x6C\x61\x79","\x62\x6C\x6F\x63\x6B","\x6C\x61\x62\x65\x6C\x73\x56\x69\x73\x69\x62\x6C\x65","\x63\x6C\x65\x61\x72","\x6D\x6F\x64\x65\x6C","\x61\x64\x64\x43\x65\x6C\x6C\x73","\x67\x65\x74\x47\x72\x61\x70\x68\x42\x6F\x75\x6E\x64\x73","\x49\x53\x5F\x51\x55\x49\x52\x4B\x53","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x76\x69\x73\x69\x62\x6C\x65","\x70\x78","\x6C\x65\x6E\x67\x74\x68","\x74\x6F\x6F\x6C\x74\x69\x70\x54\x69\x74\x6C\x65","\x62\x6F\x72\x64\x65\x72\x54\x6F\x70","\x31\x70\x78\x20\x73\x6F\x6C\x69\x64\x20\x67\x72\x61\x79","\x74\x65\x78\x74\x41\x6C\x69\x67\x6E","\x63\x65\x6E\x74\x65\x72","\x31\x30\x30\x25","\x70\x61\x64\x64\x69\x6E\x67\x54\x6F\x70","\x32\x70\x78","\x36\x70\x78","\x69\x6E\x6E\x65\x72\x48\x54\x4D\x4C","","\x77\x72\x69\x74\x65","\x6F\x66\x66\x73\x65\x74\x48\x65\x69\x67\x68\x74","\x6D\x61\x72\x67\x69\x6E\x54\x6F\x70","\x74\x6F\x70","\x6E\x6F\x6E\x65","\x78","\x6D\x69\x6E","\x79","\x63\x6C\x69\x65\x6E\x74\x57\x69\x64\x74\x68","\x73\x70\x6C\x69\x74\x53\x69\x7A\x65","\x6F\x66\x66\x73\x65\x74\x54\x6F\x70","\x73\x63\x72\x6F\x6C\x6C\x54\x6F\x70","\x6D\x61\x78","\x74\x72\x61\x6E\x73\x66\x6F\x72\x6D","\x74\x72\x61\x6E\x73\x6C\x61\x74\x65\x28","\x2C","\x29","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x6C\x65\x66\x74","\x64\x72\x61\x77\x50\x61\x6E\x65","\x73\x65\x74\x54\x69\x6D\x65\x6F\x75\x74","\x63\x72\x65\x61\x74\x65\x54\x69\x74\x6C\x65","\x61","\x68\x72\x65\x66","\x6A\x61\x76\x61\x73\x63\x72\x69\x70\x74\x3A\x76\x6F\x69\x64\x28\x30\x29\x3B","\x67\x65\x54\x69\x74\x6C\x65","\x63\x72\x65\x61\x74\x65\x54\x68\x75\x6D\x62","\x73\x63\x61\x6C\x65\x41\x6E\x64\x54\x72\x61\x6E\x73\x6C\x61\x74\x65","\x66\x6C\x6F\x6F\x72","\x64\x69\x61\x6C\x65\x63\x74","\x44\x49\x41\x4C\x45\x43\x54\x5F\x53\x56\x47","\x4E\x4F\x5F\x46\x4F","\x63\x6C\x6F\x6E\x65\x4E\x6F\x64\x65","\x6F\x77\x6E\x65\x72\x53\x56\x47\x45\x6C\x65\x6D\x65\x6E\x74","\x67\x65\x74\x43\x61\x6E\x76\x61\x73","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x49\x53\x5F\x49\x45\x36","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x75\x72\x6C\x28","\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x49\x6D\x61\x67\x65","\x63\x75\x72\x73\x6F\x72","\x70\x6F\x69\x6E\x74\x65\x72","\x6D\x69\x6E\x57\x69\x64\x74\x68","\x6D\x69\x6E\x48\x65\x69\x67\x68\x74","\x66\x6F\x6E\x74\x53\x69\x7A\x65","\x77\x68\x69\x74\x65\x53\x70\x61\x63\x65","\x6E\x6F\x77\x72\x61\x70","\x49\x53\x5F\x49\x45","\x34\x70\x78","\x63\x72\x65\x61\x74\x65\x49\x74\x65\x6D","\x67\x65\x49\x74\x65\x6D","\x70\x61\x64\x64\x69\x6E\x67","\x63\x6C\x69\x63\x6B","\x63\x6F\x6E\x73\x75\x6D\x65","\x63\x72\x65\x61\x74\x65\x44\x72\x6F\x70\x48\x61\x6E\x64\x6C\x65\x72","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x65\x74\x49\x6D\x70\x6F\x72\x74\x61\x62\x6C\x65\x43\x65\x6C\x6C\x73","\x69\x73\x56\x61\x6C\x69\x64\x44\x72\x6F\x70\x54\x61\x72\x67\x65\x74","\x69\x73\x53\x70\x6C\x69\x74\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x53\x70\x6C\x69\x74\x54\x61\x72\x67\x65\x74","\x73\x70\x6C\x69\x74\x45\x64\x67\x65","\x69\x6D\x70\x6F\x72\x74\x43\x65\x6C\x6C\x73","\x73\x63\x72\x6F\x6C\x6C\x43\x65\x6C\x6C\x54\x6F\x56\x69\x73\x69\x62\x6C\x65","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x63\x72\x65\x61\x74\x65\x44\x72\x61\x67\x50\x72\x65\x76\x69\x65\x77","\x62\x6F\x72\x64\x65\x72","\x31\x70\x78\x20\x64\x61\x73\x68\x65\x64\x20\x62\x6C\x61\x63\x6B","\x63\x72\x65\x61\x74\x65\x44\x72\x61\x67\x53\x6F\x75\x72\x63\x65","\x61\x75\x74\x6F\x73\x63\x72\x6F\x6C\x6C","\x6D\x61\x6B\x65\x44\x72\x61\x67\x67\x61\x62\x6C\x65","\x67\x65\x74\x44\x72\x6F\x70\x54\x61\x72\x67\x65\x74","\x61\x70\x70\x6C\x79","\x69\x73\x56\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x61\x64\x64\x43\x6C\x69\x63\x6B\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x58","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x59","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x6D\x6F\x75\x73\x65\x55\x70","\x69\x73\x50\x6F\x70\x75\x70\x54\x72\x69\x67\x67\x65\x72","\x63\x75\x72\x72\x65\x6E\x74\x47\x72\x61\x70\x68","\x74\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x61\x62\x73","\x67\x65\x74\x47\x72\x69\x64\x53\x69\x7A\x65","\x64\x72\x6F\x70","\x63\x72\x65\x61\x74\x65\x58\x6D\x6C\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x6C\x61\x62\x65\x6C","\x66\x6F\x72\x45\x61\x63\x68","\x63\x72\x65\x61\x74\x65\x56\x65\x72\x74\x65\x78\x54\x65\x6D\x70\x6C\x61\x74\x65","\x69\x64","\x74\x65\x78\x74","\x73\x74\x61\x74\x65","\x30","\x4F\x46\x46","\x6F\x74\x68\x65\x72","\x61\x64\x64","\x76\x65\x72\x74\x65\x78","\x63\x72\x65\x61\x74\x65\x56\x65\x72\x74\x65\x78\x54\x65\x6D\x70\x6C\x61\x74\x65\x46\x72\x6F\x6D\x43\x65\x6C\x6C\x73","\x69\x73\x47\x75\x69\x64\x65\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x75\x69\x64\x65\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x61\x70\x68\x48\x61\x6E\x64\x6C\x65\x72","\x63\x72\x65\x61\x74\x65\x45\x64\x67\x65\x54\x65\x6D\x70\x6C\x61\x74\x65","\x73\x65\x74\x54\x65\x72\x6D\x69\x6E\x61\x6C\x50\x6F\x69\x6E\x74","\x67\x65\x6F\x6D\x65\x74\x72\x79","\x65\x64\x67\x65","\x63\x72\x65\x61\x74\x65\x45\x64\x67\x65\x54\x65\x6D\x70\x6C\x61\x74\x65\x46\x72\x6F\x6D\x43\x65\x6C\x6C\x73","\x73\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x43\x6F\x6C\x6F\x72","\x23\x66\x66\x66\x66\x66\x66","\x61\x64\x64\x50\x61\x6C\x65\x74\x74\x65","\x67\x65\x53\x69\x64\x65\x62\x61\x72","\x61\x64\x64\x46\x6F\x6C\x64\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x2F\x63\x6F\x6C\x6C\x61\x70\x73\x65\x64\x2E\x67\x69\x66\x29","\x2F\x65\x78\x70\x61\x6E\x64\x65\x64\x2E\x67\x69\x66\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x52\x65\x70\x65\x61\x74","\x6E\x6F\x2D\x72\x65\x70\x65\x61\x74","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x31\x30\x30\x25\x20\x35\x30\x25","\x77\x61\x69\x74","\x72\x65\x6D\x6F\x76\x65\x50\x61\x6C\x65\x74\x74\x65","\x72\x65\x6D\x6F\x76\x65\x43\x68\x69\x6C\x64","\x69\x6D\x61\x67\x65\x3B\x69\x6D\x61\x67\x65\x3D"];_0x40b0[0];function Sidebar(_0x98f2x2,_0x98f2x3){this[_0x40b0[1]]=_0x98f2x2;this[_0x40b0[2]]=_0x98f2x3;this[_0x40b0[3]]= new Object();this[_0x40b0[4]]=true;this[_0x40b0[5]]= new Graph(document[_0x40b0[7]](_0x40b0[6]),null,null,this[_0x40b0[1]][_0x40b0[9]][_0x40b0[5]][_0x40b0[8]]());this[_0x40b0[5]][_0x40b0[10]]=false;this[_0x40b0[5]][_0x40b0[11]]=false;this[_0x40b0[5]][_0x40b0[12]](false);this[_0x40b0[5]][_0x40b0[13]]=false;this[_0x40b0[5]][_0x40b0[14]](false);this[_0x40b0[5]][_0x40b0[15]](false);this[_0x40b0[5]][_0x40b0[2]][_0x40b0[17]][_0x40b0[16]]=_0x40b0[18];this[_0x40b0[5]][_0x40b0[2]][_0x40b0[17]][_0x40b0[19]]=_0x40b0[20];document[_0x40b0[22]][_0x40b0[21]](this[_0x40b0[5]][_0x40b0[2]]);if(!mxClient[_0x40b0[23]]){mxEvent[_0x40b0[26]](document,_0x40b0[24],mxUtils[_0x40b0[25]](this,function(){this[_0x40b0[4]]=true}));mxEvent[_0x40b0[26]](_0x98f2x3,_0x40b0[27],mxUtils[_0x40b0[25]](this,function(){this[_0x40b0[4]]=true}));mxEvent[_0x40b0[26]](document,_0x40b0[28],mxUtils[_0x40b0[25]](this,function(){this[_0x40b0[4]]=false;this[_0x40b0[29]]()}));mxEvent[_0x40b0[26]](document,_0x40b0[30],mxUtils[_0x40b0[25]](this,function(_0x98f2x4){var _0x98f2x5=mxEvent[_0x40b0[31]](_0x98f2x4);while(_0x98f2x5!=null){if(_0x98f2x5==this[_0x40b0[32]]){return};_0x98f2x5=_0x98f2x5[_0x40b0[33]]};this[_0x40b0[29]]()}));mxEvent[_0x40b0[26]](document,_0x40b0[34],mxUtils[_0x40b0[25]](this,function(_0x98f2x4){if(_0x98f2x4[_0x40b0[35]]==null&&_0x98f2x4[_0x40b0[36]]==null){this[_0x40b0[29]]()}}))};this[_0x40b0[37]](); new Image()[_0x40b0[38]]=IMAGE_PATH+_0x40b0[39]}Sidebar[_0x40b0[40]][_0x40b0[37]]=function(){var _0x98f2x6=STENCIL_PATH;console[_0x40b0[41]](_0x98f2x6);this[_0x40b0[51]](_0x40b0[42],mxResources[_0x40b0[44]](_0x40b0[43]),_0x98f2x6+_0x40b0[45],_0x40b0[46],[_0x40b0[47],_0x40b0[48],_0x40b0[49],_0x40b0[50]],[mxResources[_0x40b0[44]](_0x40b0[47]),mxResources[_0x40b0[44]](_0x40b0[48]),mxResources[_0x40b0[44]](_0x40b0[49]),mxResources[_0x40b0[44]](_0x40b0[50])])};Sidebar[_0x40b0[40]][_0x40b0[52]]=!mxClient[_0x40b0[23]];Sidebar[_0x40b0[40]][_0x40b0[53]]=16;Sidebar[_0x40b0[40]][_0x40b0[54]]=3;Sidebar[_0x40b0[40]][_0x40b0[55]]=300;Sidebar[_0x40b0[40]][_0x40b0[56]]=true;Sidebar[_0x40b0[40]][_0x40b0[57]]=STENCIL_PATH+_0x40b0[58];Sidebar[_0x40b0[40]][_0x40b0[59]]=34;Sidebar[_0x40b0[40]][_0x40b0[60]]=34;Sidebar[_0x40b0[40]][_0x40b0[61]]=2;Sidebar[_0x40b0[40]][_0x40b0[62]]=9;Sidebar[_0x40b0[40]][_0x40b0[63]]=false;Sidebar[_0x40b0[40]][_0x40b0[64]]=false;Sidebar[_0x40b0[40]][_0x40b0[65]]=function(_0x98f2x7,_0x98f2x8,_0x98f2x9,_0x98f2xa){if(this[_0x40b0[52]]&&this[_0x40b0[4]]){if(this[_0x40b0[32]]!=_0x98f2x7){if(this[_0x40b0[66]]!=null){window[_0x40b0[67]](this[_0x40b0[66]]);this[_0x40b0[66]]=null};var _0x98f2xb=mxUtils[_0x40b0[25]](this,function(){if(this[_0x40b0[68]]==null){this[_0x40b0[68]]=document[_0x40b0[7]](_0x40b0[6]);this[_0x40b0[68]][_0x40b0[69]]=_0x40b0[70];document[_0x40b0[22]][_0x40b0[21]](this[_0x40b0[68]]);this[_0x40b0[71]]= new Graph(this[_0x40b0[68]],null,null,this[_0x40b0[1]][_0x40b0[9]][_0x40b0[5]][_0x40b0[8]]());this[_0x40b0[71]][_0x40b0[73]][_0x40b0[72]](this[_0x40b0[53]],this[_0x40b0[53]]);this[_0x40b0[71]][_0x40b0[10]]=false;this[_0x40b0[71]][_0x40b0[11]]=false;this[_0x40b0[71]][_0x40b0[13]]=false;this[_0x40b0[71]][_0x40b0[14]](false);this[_0x40b0[71]][_0x40b0[12]](false);this[_0x40b0[71]][_0x40b0[15]](false);if(!mxClient[_0x40b0[74]]){this[_0x40b0[71]][_0x40b0[73]][_0x40b0[75]][_0x40b0[17]][_0x40b0[19]]=_0x40b0[76]};this[_0x40b0[77]]=mxUtils[_0x40b0[78]](IMAGE_PATH+_0x40b0[39]);this[_0x40b0[77]][_0x40b0[17]][_0x40b0[19]]=_0x40b0[20];this[_0x40b0[77]][_0x40b0[17]][_0x40b0[79]]=_0x40b0[80];this[_0x40b0[77]][_0x40b0[17]][_0x40b0[81]]=_0x40b0[82];document[_0x40b0[22]][_0x40b0[21]](this[_0x40b0[77]])};this[_0x40b0[68]][_0x40b0[17]][_0x40b0[83]]=_0x40b0[84];this[_0x40b0[71]][_0x40b0[85]]=(_0x98f2xa==null||_0x98f2xa);this[_0x40b0[71]][_0x40b0[87]][_0x40b0[86]]();this[_0x40b0[71]][_0x40b0[88]](_0x98f2x8);var _0x98f2xc=this[_0x40b0[71]][_0x40b0[89]]();var _0x98f2xd=_0x98f2xc[_0x40b0[79]]+2*this[_0x40b0[53]];var _0x98f2xe=_0x98f2xc[_0x40b0[81]]+2*this[_0x40b0[53]];if(mxClient[_0x40b0[90]]){_0x98f2xd+=4;_0x98f2xe+=4;this[_0x40b0[68]][_0x40b0[17]][_0x40b0[91]]=_0x40b0[18]}else {this[_0x40b0[68]][_0x40b0[17]][_0x40b0[91]]=_0x40b0[92]};this[_0x40b0[77]][_0x40b0[17]][_0x40b0[16]]=_0x40b0[92];this[_0x40b0[68]][_0x40b0[17]][_0x40b0[79]]=_0x98f2xd+_0x40b0[93];if(this[_0x40b0[64]]&&_0x98f2x9!=null&&_0x98f2x9[_0x40b0[94]]>0){if(this[_0x40b0[95]]==null){this[_0x40b0[95]]=document[_0x40b0[7]](_0x40b0[6]);this[_0x40b0[95]][_0x40b0[17]][_0x40b0[96]]=_0x40b0[97];this[_0x40b0[95]][_0x40b0[17]][_0x40b0[98]]=_0x40b0[99];this[_0x40b0[95]][_0x40b0[17]][_0x40b0[79]]=_0x40b0[100];this[_0x40b0[95]][_0x40b0[17]][_0x40b0[91]]=_0x40b0[18];if(mxClient[_0x40b0[74]]){this[_0x40b0[95]][_0x40b0[17]][_0x40b0[101]]=_0x40b0[102]}else {this[_0x40b0[95]][_0x40b0[17]][_0x40b0[19]]=_0x40b0[20];this[_0x40b0[95]][_0x40b0[17]][_0x40b0[101]]=_0x40b0[103]};this[_0x40b0[68]][_0x40b0[21]](this[_0x40b0[95]])}else {this[_0x40b0[95]][_0x40b0[104]]=_0x40b0[105]};this[_0x40b0[95]][_0x40b0[17]][_0x40b0[83]]=_0x40b0[105];mxUtils[_0x40b0[106]](this[_0x40b0[95]],_0x98f2x9);var _0x98f2xf=this[_0x40b0[95]][_0x40b0[107]]+10;_0x98f2xe+=_0x98f2xf;if(mxClient[_0x40b0[74]]){this[_0x40b0[95]][_0x40b0[17]][_0x40b0[108]]=(-_0x98f2xf)+_0x40b0[93]}else {_0x98f2xe-=6;this[_0x40b0[95]][_0x40b0[17]][_0x40b0[109]]=(_0x98f2xe-_0x98f2xf)+_0x40b0[93]}}else {if(this[_0x40b0[95]]!=null&&this[_0x40b0[95]][_0x40b0[33]]!=null){this[_0x40b0[95]][_0x40b0[17]][_0x40b0[83]]=_0x40b0[110]}};this[_0x40b0[68]][_0x40b0[17]][_0x40b0[81]]=_0x98f2xe+_0x40b0[93];var _0x98f2x10=-Math[_0x40b0[112]](0,_0x98f2xc[_0x40b0[111]]-this[_0x40b0[53]]);var _0x98f2x11=-Math[_0x40b0[112]](0,_0x98f2xc[_0x40b0[113]]-this[_0x40b0[53]]);var _0x98f2x12=this[_0x40b0[2]][_0x40b0[114]]+this[_0x40b0[1]][_0x40b0[115]]+3;var _0x98f2x13=Math[_0x40b0[118]](0,(this[_0x40b0[2]][_0x40b0[116]]+_0x98f2x7[_0x40b0[116]]-this[_0x40b0[2]][_0x40b0[117]]-_0x98f2xe/2+16));if(mxClient[_0x40b0[74]]){this[_0x40b0[71]][_0x40b0[73]][_0x40b0[75]][_0x40b0[123]](_0x40b0[119],_0x40b0[120]+_0x98f2x10+_0x40b0[121]+_0x98f2x11+_0x40b0[122])}else {this[_0x40b0[71]][_0x40b0[73]][_0x40b0[125]][_0x40b0[17]][_0x40b0[124]]=_0x98f2x10+_0x40b0[93];this[_0x40b0[71]][_0x40b0[73]][_0x40b0[125]][_0x40b0[17]][_0x40b0[109]]=_0x98f2x11+_0x40b0[93]};_0x98f2x13+=90;this[_0x40b0[68]][_0x40b0[17]][_0x40b0[19]]=_0x40b0[20];this[_0x40b0[68]][_0x40b0[17]][_0x40b0[124]]=_0x98f2x12+_0x40b0[93];this[_0x40b0[68]][_0x40b0[17]][_0x40b0[109]]=_0x98f2x13+_0x40b0[93];this[_0x40b0[77]][_0x40b0[17]][_0x40b0[124]]=(_0x98f2x12-13)+_0x40b0[93];this[_0x40b0[77]][_0x40b0[17]][_0x40b0[109]]=(_0x98f2x13+_0x98f2xe/2-13)+_0x40b0[93]});if(this[_0x40b0[68]]!=null&&this[_0x40b0[68]][_0x40b0[17]][_0x40b0[83]]!=_0x40b0[110]){_0x98f2xb()}else {this[_0x40b0[66]]=window[_0x40b0[126]](_0x98f2xb,this[_0x40b0[55]])};this[_0x40b0[32]]=_0x98f2x7}}};Sidebar[_0x40b0[40]][_0x40b0[29]]=function(){if(this[_0x40b0[66]]!=null){window[_0x40b0[67]](this[_0x40b0[66]]);this[_0x40b0[66]]=null};if(this[_0x40b0[68]]!=null){this[_0x40b0[68]][_0x40b0[17]][_0x40b0[83]]=_0x40b0[110];this[_0x40b0[77]][_0x40b0[17]][_0x40b0[16]]=_0x40b0[18];this[_0x40b0[32]]=null}};Sidebar[_0x40b0[40]][_0x40b0[127]]=function(_0x98f2x14){var _0x98f2x7=document[_0x40b0[7]](_0x40b0[128]);_0x98f2x7[_0x40b0[123]](_0x40b0[129],_0x40b0[130]);_0x98f2x7[_0x40b0[69]]=_0x40b0[131];mxUtils[_0x40b0[106]](_0x98f2x7,_0x98f2x14);return _0x98f2x7};Sidebar[_0x40b0[40]][_0x40b0[132]]=function(_0x98f2x8,_0x98f2xd,_0x98f2xe,_0x98f2x15,_0x98f2x9,_0x98f2xa){this[_0x40b0[5]][_0x40b0[85]]=(_0x98f2xa==null||_0x98f2xa);this[_0x40b0[5]][_0x40b0[73]][_0x40b0[133]](1,0,0);this[_0x40b0[5]][_0x40b0[88]](_0x98f2x8);var _0x98f2xc=this[_0x40b0[5]][_0x40b0[89]]();var _0x98f2x16=this[_0x40b0[54]];var _0x98f2x17=Math[_0x40b0[112]]((_0x98f2xd-2)/(_0x98f2xc[_0x40b0[79]]-_0x98f2xc[_0x40b0[111]]+_0x98f2x16),(_0x98f2xe-2)/(_0x98f2xc[_0x40b0[81]]-_0x98f2xc[_0x40b0[113]]+_0x98f2x16));var _0x98f2x10=-Math[_0x40b0[112]](_0x98f2xc[_0x40b0[111]],0);var _0x98f2x11=-Math[_0x40b0[112]](_0x98f2xc[_0x40b0[113]],0);this[_0x40b0[5]][_0x40b0[73]][_0x40b0[133]](_0x98f2x17,_0x98f2x10,_0x98f2x11);_0x98f2xc=this[_0x40b0[5]][_0x40b0[89]]();var _0x98f2x18=Math[_0x40b0[118]](0,Math[_0x40b0[134]]((_0x98f2xd-_0x98f2xc[_0x40b0[79]]-_0x98f2xc[_0x40b0[111]])/2));var _0x98f2xf=Math[_0x40b0[118]](0,Math[_0x40b0[134]]((_0x98f2xe-_0x98f2xc[_0x40b0[81]]-_0x98f2xc[_0x40b0[113]])/2));var _0x98f2x19=null;if(this[_0x40b0[5]][_0x40b0[135]]==mxConstants[_0x40b0[136]]&&!mxClient[_0x40b0[137]]){_0x98f2x19=this[_0x40b0[5]][_0x40b0[73]][_0x40b0[140]]()[_0x40b0[139]][_0x40b0[138]](true)}else {_0x98f2x19=this[_0x40b0[5]][_0x40b0[2]][_0x40b0[138]](false);_0x98f2x19[_0x40b0[104]]=this[_0x40b0[5]][_0x40b0[2]][_0x40b0[104]]};this[_0x40b0[5]][_0x40b0[141]]()[_0x40b0[86]]();if(mxClient[_0x40b0[142]]){_0x98f2x15[_0x40b0[17]][_0x40b0[143]]=_0x40b0[144]+this[_0x40b0[1]][_0x40b0[9]][_0x40b0[145]]+_0x40b0[122]};var _0x98f2x1a=3;_0x98f2x19[_0x40b0[17]][_0x40b0[19]]=_0x40b0[76];_0x98f2x19[_0x40b0[17]][_0x40b0[91]]=_0x40b0[18];_0x98f2x19[_0x40b0[17]][_0x40b0[146]]=_0x40b0[147];_0x98f2x19[_0x40b0[17]][_0x40b0[124]]=(_0x98f2x18+_0x98f2x1a)+_0x40b0[93];_0x98f2x19[_0x40b0[17]][_0x40b0[109]]=(_0x98f2xf+_0x98f2x1a)+_0x40b0[93];_0x98f2x19[_0x40b0[17]][_0x40b0[79]]=_0x98f2xd+_0x40b0[93];_0x98f2x19[_0x40b0[17]][_0x40b0[81]]=_0x98f2xe+_0x40b0[93];_0x98f2x19[_0x40b0[17]][_0x40b0[16]]=_0x40b0[105];_0x98f2x19[_0x40b0[17]][_0x40b0[148]]=_0x40b0[105];_0x98f2x19[_0x40b0[17]][_0x40b0[149]]=_0x40b0[105];_0x98f2x15[_0x40b0[21]](_0x98f2x19);if(this[_0x40b0[63]]&&_0x98f2x9!=null){var _0x98f2x1b=(mxClient[_0x40b0[90]])?2*this[_0x40b0[61]]+2:0;_0x98f2x15[_0x40b0[17]][_0x40b0[81]]=(this[_0x40b0[60]]+_0x98f2x1b+this[_0x40b0[62]]+8)+_0x40b0[93];var _0x98f2x1c=document[_0x40b0[7]](_0x40b0[6]);_0x98f2x1c[_0x40b0[17]][_0x40b0[150]]=this[_0x40b0[62]]+_0x40b0[93];_0x98f2x1c[_0x40b0[17]][_0x40b0[98]]=_0x40b0[99];_0x98f2x1c[_0x40b0[17]][_0x40b0[151]]=_0x40b0[152];if(mxClient[_0x40b0[153]]){_0x98f2x1c[_0x40b0[17]][_0x40b0[81]]=(this[_0x40b0[62]]+12)+_0x40b0[93]};_0x98f2x1c[_0x40b0[17]][_0x40b0[101]]=_0x40b0[154];mxUtils[_0x40b0[106]](_0x98f2x1c,_0x98f2x9);_0x98f2x15[_0x40b0[21]](_0x98f2x1c)}};Sidebar[_0x40b0[40]][_0x40b0[155]]=function(_0x98f2x8,_0x98f2x9,_0x98f2xa){var _0x98f2x7=document[_0x40b0[7]](_0x40b0[128]);_0x98f2x7[_0x40b0[123]](_0x40b0[129],_0x40b0[130]);_0x98f2x7[_0x40b0[69]]=_0x40b0[156];_0x98f2x7[_0x40b0[17]][_0x40b0[91]]=_0x40b0[18];var _0x98f2x1b=(mxClient[_0x40b0[90]])?8+2*this[_0x40b0[61]]:6;_0x98f2x7[_0x40b0[17]][_0x40b0[79]]=(this[_0x40b0[59]]+_0x98f2x1b)+_0x40b0[93];_0x98f2x7[_0x40b0[17]][_0x40b0[81]]=(this[_0x40b0[60]]+_0x98f2x1b)+_0x40b0[93];_0x98f2x7[_0x40b0[17]][_0x40b0[157]]=this[_0x40b0[61]]+_0x40b0[93];mxEvent[_0x40b0[26]](_0x98f2x7,_0x40b0[158],function(_0x98f2x4){mxEvent[_0x40b0[159]](_0x98f2x4)});this[_0x40b0[132]](_0x98f2x8,this[_0x40b0[59]],this[_0x40b0[60]],_0x98f2x7,_0x98f2x9,_0x98f2xa);return _0x98f2x7};Sidebar[_0x40b0[40]][_0x40b0[160]]=function(_0x98f2x8,_0x98f2x1d){return function(_0x98f2x1e,_0x98f2x4,_0x98f2x1f,_0x98f2x20,_0x98f2x21){if(_0x98f2x1e[_0x40b0[161]]()){_0x98f2x8=_0x98f2x1e[_0x40b0[162]](_0x98f2x8);if(_0x98f2x8[_0x40b0[94]]>0){var _0x98f2x22=(_0x98f2x1f!=null)?_0x98f2x1e[_0x40b0[163]](_0x98f2x1f,_0x98f2x8,_0x98f2x4):false;var _0x98f2x23=null;if(_0x98f2x1f!=null&& !_0x98f2x22){_0x98f2x1f=null};if(_0x98f2x1d&&_0x98f2x1e[_0x40b0[164]]()&&_0x98f2x1e[_0x40b0[165]](_0x98f2x1f,_0x98f2x8,_0x98f2x4)){_0x98f2x1e[_0x40b0[166]](_0x98f2x1f,_0x98f2x8,null,_0x98f2x20,_0x98f2x21);_0x98f2x23=_0x98f2x8}else {if(_0x98f2x8[_0x40b0[94]]>0){_0x98f2x23=_0x98f2x1e[_0x40b0[167]](_0x98f2x8,_0x98f2x20,_0x98f2x21,_0x98f2x1f)}};if(_0x98f2x23!=null&&_0x98f2x23[_0x40b0[94]]>0){_0x98f2x1e[_0x40b0[168]](_0x98f2x23[0]);_0x98f2x1e[_0x40b0[169]](_0x98f2x23)}}}}};Sidebar[_0x40b0[40]][_0x40b0[170]]=function(_0x98f2xd,_0x98f2xe){var _0x98f2x7=document[_0x40b0[7]](_0x40b0[6]);_0x98f2x7[_0x40b0[17]][_0x40b0[171]]=_0x40b0[172];_0x98f2x7[_0x40b0[17]][_0x40b0[79]]=_0x98f2xd+_0x40b0[93];_0x98f2x7[_0x40b0[17]][_0x40b0[81]]=_0x98f2xe+_0x40b0[93];return _0x98f2x7};Sidebar[_0x40b0[40]][_0x40b0[173]]=function(_0x98f2x7,_0x98f2x24,_0x98f2x25){var _0x98f2x26=mxUtils[_0x40b0[175]](_0x98f2x7,this[_0x40b0[1]][_0x40b0[9]][_0x40b0[5]],_0x98f2x24,_0x98f2x25,0,0,this[_0x40b0[1]][_0x40b0[9]][_0x40b0[5]][_0x40b0[174]],true,true);_0x98f2x26[_0x40b0[176]]=function(_0x98f2x1e,_0x98f2x20,_0x98f2x21){var _0x98f2x1f=mxDragSource[_0x40b0[40]][_0x40b0[176]][_0x40b0[177]](this,arguments);if(!_0x98f2x1e[_0x40b0[178]](_0x98f2x1f)){_0x98f2x1f=null};return _0x98f2x1f};return _0x98f2x26};Sidebar[_0x40b0[40]][_0x40b0[179]]=function(_0x98f2x7,_0x98f2x27){var _0x98f2x1e=this[_0x40b0[1]][_0x40b0[9]][_0x40b0[5]];var _0x98f2x28=null;mxEvent[_0x40b0[182]](_0x98f2x7,function(_0x98f2x4){_0x98f2x28= new mxPoint(mxEvent[_0x40b0[180]](_0x98f2x4),mxEvent[_0x40b0[181]](_0x98f2x4))});var _0x98f2x29=_0x98f2x27[_0x40b0[183]];_0x98f2x27[_0x40b0[183]]=function(_0x98f2x4){if(!mxEvent[_0x40b0[184]](_0x98f2x4)&&this[_0x40b0[185]]==null&&_0x98f2x28!=null){var _0x98f2x2a=_0x98f2x1e[_0x40b0[186]];if(Math[_0x40b0[187]](_0x98f2x28[_0x40b0[111]]-mxEvent[_0x40b0[180]](_0x98f2x4))<=_0x98f2x2a&&Math[_0x40b0[187]](_0x98f2x28[_0x40b0[113]]-mxEvent[_0x40b0[181]](_0x98f2x4))<=_0x98f2x2a){var _0x98f2x2b=_0x98f2x1e[_0x40b0[188]]();_0x98f2x27[_0x40b0[189]](_0x98f2x1e,_0x98f2x4,null,_0x98f2x2b,_0x98f2x2b)}};_0x98f2x29[_0x40b0[177]](this,arguments);_0x98f2x28=null}};Sidebar[_0x40b0[40]][_0x40b0[190]]=function(_0x98f2x2c,_0x98f2x14,_0x98f2x2d,_0x98f2x2e){var _0x98f2x2f=mxUtils[_0x40b0[190]]();var _0x98f2x19=_0x98f2x2f[_0x40b0[7]](_0x98f2x2c);_0x98f2x19[_0x40b0[123]](_0x40b0[191],_0x98f2x14);_0x98f2x2d[_0x40b0[192]](function(_0x98f2x30,_0x98f2x31){_0x98f2x19[_0x40b0[123]](_0x98f2x30,_0x98f2x2e[_0x98f2x31]?_0x98f2x2e[_0x98f2x31]:_0x40b0[105])});return _0x98f2x19};Sidebar[_0x40b0[40]][_0x40b0[193]]=function(_0x98f2x32,_0x98f2xd,_0x98f2xe,_0x98f2x33,_0x98f2x9,_0x98f2xa){var _0x98f2x2d=[];var _0x98f2x2e=[];switch(_0x98f2x33){case _0x40b0[47]:_0x98f2x2d=[_0x40b0[194],_0x40b0[195],_0x40b0[196]];_0x98f2x2e=[_0x40b0[197],_0x40b0[105],_0x40b0[198]];break;case _0x40b0[50]:_0x98f2x2d=[_0x40b0[194],_0x40b0[195]];_0x98f2x2e=[_0x40b0[197],_0x40b0[105]];break;case _0x40b0[48]:_0x98f2x2d=[_0x40b0[194],_0x40b0[48],_0x40b0[199]];_0x98f2x2e=[_0x40b0[197],_0x40b0[105]];break;case _0x40b0[49]:_0x98f2x2d=[_0x40b0[194],_0x40b0[48],_0x40b0[200]];_0x98f2x2e=[_0x40b0[197],_0x40b0[105]];break;default:_0x98f2x2d=[];_0x98f2x2e=[];break};var _0x98f2x19=this[_0x40b0[190]](_0x98f2x33,_0x98f2x9,_0x98f2x2d,_0x98f2x2e);var _0x98f2x8=[ new mxCell(_0x98f2x19, new mxGeometry(0,0,_0x98f2xd,_0x98f2xe),_0x98f2x32)];_0x98f2x8[0][_0x40b0[201]]=true;return this[_0x40b0[202]](_0x98f2x8,_0x98f2xd,_0x98f2xe,_0x98f2x9,_0x98f2xa)};Sidebar[_0x40b0[40]][_0x40b0[202]]=function(_0x98f2x8,_0x98f2xd,_0x98f2xe,_0x98f2x9,_0x98f2xa){var _0x98f2x7=this[_0x40b0[155]](_0x98f2x8,_0x98f2x9,_0x98f2xa);var _0x98f2x27=this[_0x40b0[173]](_0x98f2x7,this[_0x40b0[160]](_0x98f2x8,true),this[_0x40b0[170]](_0x98f2xd,_0x98f2xe));this[_0x40b0[179]](_0x98f2x7,_0x98f2x27);_0x98f2x27[_0x40b0[203]]=mxUtils[_0x40b0[25]](this,function(){return this[_0x40b0[1]][_0x40b0[9]][_0x40b0[5]][_0x40b0[205]][_0x40b0[204]]});if(!touchStyle){mxEvent[_0x40b0[26]](_0x98f2x7,_0x40b0[30],mxUtils[_0x40b0[25]](this,function(_0x98f2x4){this[_0x40b0[65]](_0x98f2x7,_0x98f2x8,_0x98f2x9,_0x98f2xa)}))};return _0x98f2x7};Sidebar[_0x40b0[40]][_0x40b0[206]]=function(_0x98f2x32,_0x98f2xd,_0x98f2xe,_0x98f2x33,_0x98f2x9,_0x98f2xa){var _0x98f2x8=[ new mxCell((_0x98f2x33!=null)?_0x98f2x33:_0x40b0[105], new mxGeometry(0,0,_0x98f2xd,_0x98f2xe),_0x98f2x32)];_0x98f2x8[0][_0x40b0[208]][_0x40b0[207]]( new mxPoint(0,_0x98f2xe),true);_0x98f2x8[0][_0x40b0[208]][_0x40b0[207]]( new mxPoint(_0x98f2xd,0),false);_0x98f2x8[0][_0x40b0[208]][_0x40b0[76]]=true;_0x98f2x8[0][_0x40b0[209]]=true;return this[_0x40b0[210]](_0x98f2x8,_0x98f2xd,_0x98f2xe,_0x98f2x9,_0x98f2xa)};Sidebar[_0x40b0[40]][_0x40b0[210]]=function(_0x98f2x8,_0x98f2xd,_0x98f2xe,_0x98f2x9,_0x98f2xa){var _0x98f2x7=this[_0x40b0[155]](_0x98f2x8,_0x98f2x9,_0x98f2xa);this[_0x40b0[173]](_0x98f2x7,this[_0x40b0[160]](_0x98f2x8,false),this[_0x40b0[170]](_0x98f2xd,_0x98f2xe));var _0x98f2x1e=this[_0x40b0[1]][_0x40b0[9]][_0x40b0[5]];mxEvent[_0x40b0[26]](_0x98f2x7,_0x40b0[158],mxUtils[_0x40b0[25]](this,function(_0x98f2x4){if(this[_0x40b0[56]]){_0x98f2x1e[_0x40b0[211]](_0x98f2x8[0])};_0x98f2x7[_0x40b0[17]][_0x40b0[212]]=_0x40b0[213];window[_0x40b0[126]](function(){_0x98f2x7[_0x40b0[17]][_0x40b0[212]]=_0x40b0[105]},300);mxEvent[_0x40b0[159]](_0x98f2x4)}));touchStyle=false;if(!touchStyle){mxEvent[_0x40b0[26]](_0x98f2x7,_0x40b0[30],mxUtils[_0x40b0[25]](this,function(_0x98f2x4){this[_0x40b0[65]](_0x98f2x7,_0x98f2x8,_0x98f2x9,_0x98f2xa)}))};return _0x98f2x7};Sidebar[_0x40b0[40]][_0x40b0[214]]=function(_0x98f2x34,_0x98f2x9,_0x98f2x35,_0x98f2x36){var _0x98f2x7=this[_0x40b0[127]](_0x98f2x9);this[_0x40b0[2]][_0x40b0[21]](_0x98f2x7);var _0x98f2x1c=document[_0x40b0[7]](_0x40b0[6]);_0x98f2x1c[_0x40b0[69]]=_0x40b0[215];if(_0x98f2x35){_0x98f2x36(_0x98f2x1c);_0x98f2x36=null}else {_0x98f2x1c[_0x40b0[17]][_0x40b0[83]]=_0x40b0[110]};this[_0x40b0[216]](_0x98f2x7,_0x98f2x1c,_0x98f2x36);var _0x98f2x37=document[_0x40b0[7]](_0x40b0[6]);_0x98f2x37[_0x40b0[21]](_0x98f2x1c);this[_0x40b0[2]][_0x40b0[21]](_0x98f2x37);if(_0x98f2x34!=null){this[_0x40b0[3]][_0x98f2x34]=[_0x98f2x7,_0x98f2x37]}};Sidebar[_0x40b0[40]][_0x40b0[216]]=function(_0x98f2x9,_0x98f2x38,_0x98f2x39){var _0x98f2x3a=false;_0x98f2x9[_0x40b0[17]][_0x40b0[143]]=(_0x98f2x38[_0x40b0[17]][_0x40b0[83]]==_0x40b0[110])?_0x40b0[144]+IMAGE_PATH+_0x40b0[217]:_0x40b0[144]+IMAGE_PATH+_0x40b0[218];_0x98f2x9[_0x40b0[17]][_0x40b0[219]]=_0x40b0[220];_0x98f2x9[_0x40b0[17]][_0x40b0[221]]=_0x40b0[222];mxEvent[_0x40b0[26]](_0x98f2x9,_0x40b0[158],function(_0x98f2x4){if(_0x98f2x38[_0x40b0[17]][_0x40b0[83]]==_0x40b0[110]){if(!_0x98f2x3a){_0x98f2x3a=true;if(_0x98f2x39!=null){_0x98f2x9[_0x40b0[17]][_0x40b0[146]]=_0x40b0[223];window[_0x40b0[126]](function(){_0x98f2x39(_0x98f2x38);_0x98f2x9[_0x40b0[17]][_0x40b0[146]]=_0x40b0[105]},0)}};_0x98f2x9[_0x40b0[17]][_0x40b0[143]]=_0x40b0[144]+IMAGE_PATH+_0x40b0[218];_0x98f2x38[_0x40b0[17]][_0x40b0[83]]=_0x40b0[84]}else {_0x98f2x9[_0x40b0[17]][_0x40b0[143]]=_0x40b0[144]+IMAGE_PATH+_0x40b0[217];_0x98f2x38[_0x40b0[17]][_0x40b0[83]]=_0x40b0[110]};mxEvent[_0x40b0[159]](_0x98f2x4)})};Sidebar[_0x40b0[40]][_0x40b0[224]]=function(_0x98f2x34){var _0x98f2x3b=this[_0x40b0[3]][_0x98f2x34];if(_0x98f2x3b!=null){this[_0x40b0[3]][_0x98f2x34]=null;for(var _0x98f2x3c=0;_0x98f2x3c<_0x98f2x3b[_0x40b0[94]];_0x98f2x3c++){this[_0x40b0[2]][_0x40b0[225]](_0x98f2x3b[_0x98f2x3c])};return true};return false};Sidebar[_0x40b0[40]][_0x40b0[51]]=function(_0x98f2x34,_0x98f2x9,_0x98f2x3d,_0x98f2x3e,_0x98f2x3f,_0x98f2x40){this[_0x40b0[214]](_0x98f2x34,_0x98f2x9,(_0x98f2x34===_0x40b0[42]),mxUtils[_0x40b0[25]](this,function(_0x98f2x38){var _0x98f2x41=_0x98f2x40!=null;for(var _0x98f2x3c=0;_0x98f2x3c<_0x98f2x3f[_0x40b0[94]];_0x98f2x3c++){var _0x98f2x42=_0x98f2x3d+_0x98f2x3f[_0x98f2x3c]+_0x98f2x3e;_0x98f2x38[_0x40b0[21]](this[_0x40b0[193]](_0x40b0[226]+_0x98f2x42,80,80,_0x98f2x3f[_0x98f2x3c],(_0x98f2x41)?_0x98f2x40[_0x98f2x3c]:null,_0x98f2x41))}}))} \ No newline at end of file diff --git a/public/assets/plugins/jscripty/js/Toolbar.js b/public/assets/plugins/jscripty/js/Toolbar.js index cd5dd8b..b680523 100644 --- a/public/assets/plugins/jscripty/js/Toolbar.js +++ b/public/assets/plugins/jscripty/js/Toolbar.js @@ -1 +1 @@ -var _0x39f9=["\x65\x64\x69\x74\x6F\x72\x55\x69","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x69\x6E\x69\x74","\x68\x69\x64\x65\x4D\x65\x6E\x75","\x62\x69\x6E\x64","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x73\x61\x76\x65","\x70\x75\x62\x6C\x69\x73\x68","\x2D","\x70\x72\x69\x6E\x74","\x75\x6E\x64\x6F","\x72\x65\x64\x6F","\x63\x6F\x70\x79","\x63\x75\x74","\x70\x61\x73\x74\x65","\x64\x65\x6C\x65\x74\x65","\x64\x75\x70\x6C\x69\x63\x61\x74\x65","\x61\x63\x74\x75\x61\x6C\x53\x69\x7A\x65","\x7A\x6F\x6F\x6D\x49\x6E","\x7A\x6F\x6F\x6D\x4F\x75\x74","\x61\x64\x64\x49\x74\x65\x6D\x73","\x48\x65\x6C\x76\x65\x74\x69\x63\x61","\x66\x6F\x6E\x74\x46\x61\x6D\x69\x6C\x79","\x67\x65\x74","\x61\x64\x64\x4D\x65\x6E\x75","\x77\x68\x69\x74\x65\x53\x70\x61\x63\x65","\x73\x74\x79\x6C\x65","\x6E\x6F\x77\x72\x61\x70","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x68\x69\x64\x64\x65\x6E","\x77\x69\x64\x74\x68","\x37\x30\x70\x78","\x61\x64\x64\x53\x65\x70\x61\x72\x61\x74\x6F\x72","\x31\x32","\x66\x6F\x6E\x74\x53\x69\x7A\x65","\x33\x30\x70\x78","\x62\x6F\x6C\x64","\x69\x74\x61\x6C\x69\x63","\x75\x6E\x64\x65\x72\x6C\x69\x6E\x65","\x67\x72\x61\x70\x68","\x65\x64\x69\x74\x6F\x72","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x67\x65\x74\x53\x74\x61\x74\x65","\x67\x65\x74\x56\x69\x65\x77","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x46\x41\x4D\x49\x4C\x59","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x53\x49\x5A\x45","\x6C\x65\x6E\x67\x74\x68","\x73\x75\x62\x73\x74\x72\x69\x6E\x67","\x2E\x2E\x2E","\x69\x6E\x6E\x65\x72\x48\x54\x4D\x4C","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x4D\x6F\x64\x65\x6C","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x63\x75\x72\x72\x65\x6E\x74\x4D\x65\x6E\x75","\x64\x65\x73\x74\x72\x6F\x79","\x6D\x65\x6E\x75\x73","\x66\x75\x6E\x63\x74","\x61\x64\x64\x4D\x65\x6E\x75\x46\x75\x6E\x63\x74\x69\x6F\x6E","\x73\x74\x61\x74\x65\x43\x68\x61\x6E\x67\x65\x64","\x65\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x63\x72\x65\x61\x74\x65\x4C\x61\x62\x65\x6C","\x63\x72\x65\x61\x74\x65\x42\x75\x74\x74\x6F\x6E","\x69\x6E\x69\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x61\x64\x64\x4D\x65\x6E\x75\x48\x61\x6E\x64\x6C\x65\x72","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x64\x69\x76","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x53\x65\x70\x61\x72\x61\x74\x6F\x72","\x67\x65\x53\x70\x72\x69\x74\x65\x2D","\x74\x6F\x4C\x6F\x77\x65\x72\x43\x61\x73\x65","\x61\x64\x64\x49\x74\x65\x6D","\x61\x63\x74\x69\x6F\x6E\x73","\x6C\x61\x62\x65\x6C","\x61\x64\x64\x42\x75\x74\x74\x6F\x6E","\x61\x64\x64\x43\x6C\x69\x63\x6B\x48\x61\x6E\x64\x6C\x65\x72","\x61\x64\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6D\x70\x74\x79","\x61\x64\x64\x45\x64\x67\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x69\x73\x45\x64\x67\x65","\x74\x61\x62\x69\x6E\x64\x65\x78","\x30","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x74\x69\x74\x6C\x65","\x61\x64\x64\x45\x6E\x61\x62\x6C\x65\x64\x53\x74\x61\x74\x65","\x20\x67\x65\x44\x69\x73\x61\x62\x6C\x65\x64","\x63\x6C\x69\x63\x6B","\x63\x6F\x6E\x73\x75\x6D\x65","\x61","\x68\x72\x65\x66","\x6A\x61\x76\x61\x73\x63\x72\x69\x70\x74\x3A\x76\x6F\x69\x64\x28\x30\x29\x3B","\x67\x65\x42\x75\x74\x74\x6F\x6E","\x67\x65\x53\x70\x72\x69\x74\x65\x20","\x67\x65\x4C\x61\x62\x65\x6C","\x77\x72\x69\x74\x65","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x20\x67\x65\x54\x6F\x6F\x6C\x62\x61\x72\x4D\x65\x6E\x75","\x73\x68\x6F\x77\x44\x69\x73\x61\x62\x6C\x65\x64","\x6C\x61\x62\x65\x6C\x73","\x61\x75\x74\x6F\x45\x78\x70\x61\x6E\x64","\x6F\x66\x66\x73\x65\x74\x4C\x65\x66\x74","\x6F\x66\x66\x73\x65\x74\x54\x6F\x70","\x6F\x66\x66\x73\x65\x74\x48\x65\x69\x67\x68\x74","\x70\x6F\x70\x75\x70"];function Toolbar(_0x997fx2,_0x997fx3){this[_0x39f9[0]]=_0x997fx2;this[_0x39f9[1]]=_0x997fx3;this[_0x39f9[2]]();mxEvent[_0x39f9[5]](document,mxUtils[_0x39f9[4]](this,function(_0x997fx4){this[_0x39f9[3]]()}))}Toolbar[_0x39f9[6]][_0x39f9[2]]=function(){this[_0x39f9[21]]([_0x39f9[7],_0x39f9[8],_0x39f9[9],_0x39f9[10],_0x39f9[11],_0x39f9[12],_0x39f9[9],_0x39f9[13],_0x39f9[14],_0x39f9[15],_0x39f9[16],_0x39f9[9],_0x39f9[17],_0x39f9[9],_0x39f9[18],_0x39f9[19],_0x39f9[20],_0x39f9[9]]);var _0x997fx5=this[_0x39f9[25]](_0x39f9[22],mxResources[_0x39f9[24]](_0x39f9[23]),true,_0x39f9[23]);_0x997fx5[_0x39f9[27]][_0x39f9[26]]=_0x39f9[28];_0x997fx5[_0x39f9[27]][_0x39f9[29]]=_0x39f9[30];_0x997fx5[_0x39f9[27]][_0x39f9[31]]=_0x39f9[32];this[_0x39f9[33]]();var _0x997fx6=this[_0x39f9[25]](_0x39f9[34],mxResources[_0x39f9[24]](_0x39f9[35]),true,_0x39f9[35]);_0x997fx6[_0x39f9[27]][_0x39f9[26]]=_0x39f9[28];_0x997fx6[_0x39f9[27]][_0x39f9[29]]=_0x39f9[30];_0x997fx6[_0x39f9[27]][_0x39f9[31]]=_0x39f9[36];this[_0x39f9[21]]([_0x39f9[9],_0x39f9[37],_0x39f9[38],_0x39f9[39]]);var _0x997fx7=this[_0x39f9[0]][_0x39f9[41]][_0x39f9[40]];var _0x997fx8=mxUtils[_0x39f9[4]](this,function(){var _0x997fx9=_0x39f9[22];var _0x997fxa=_0x39f9[34];var _0x997fxb=_0x997fx7[_0x39f9[44]]()[_0x39f9[43]](_0x997fx7[_0x39f9[42]]());if(_0x997fxb!=null){_0x997fx9=_0x997fxb[_0x39f9[27]][mxConstants[_0x39f9[45]]]||_0x997fx9;_0x997fxa=_0x997fxb[_0x39f9[27]][mxConstants[_0x39f9[46]]]||_0x997fxa;if(_0x997fx9[_0x39f9[47]]>10){_0x997fx9=_0x997fx9[_0x39f9[48]](0,8)+_0x39f9[49]};_0x997fx5[_0x39f9[50]]=_0x997fx9;_0x997fx6[_0x39f9[50]]=_0x997fxa}});_0x997fx7[_0x39f9[52]]()[_0x39f9[51]](mxEvent.CHANGE,_0x997fx8);_0x997fx7[_0x39f9[53]]()[_0x39f9[51]](mxEvent.CHANGE,_0x997fx8)};Toolbar[_0x39f9[6]][_0x39f9[3]]=function(){if(this[_0x39f9[54]]!=null){this[_0x39f9[54]][_0x39f9[3]]();this[_0x39f9[54]][_0x39f9[55]]();this[_0x39f9[54]]=null}};Toolbar[_0x39f9[6]][_0x39f9[25]]=function(_0x997fxc,_0x997fxd,_0x997fxe,_0x997fxf){var _0x997fx10=this[_0x39f9[0]][_0x39f9[56]][_0x39f9[24]](_0x997fxf);var _0x997fx11=this[_0x39f9[58]](_0x997fxc,_0x997fxd,_0x997fxe,_0x997fx10[_0x39f9[57]]);_0x997fx10[_0x39f9[51]](_0x39f9[59],function(){_0x997fx11[_0x39f9[61]](_0x997fx10[_0x39f9[60]])});return _0x997fx11};Toolbar[_0x39f9[6]][_0x39f9[58]]=function(_0x997fxc,_0x997fxd,_0x997fxe,_0x997fx12){var _0x997fx11=(_0x997fxe)?this[_0x39f9[62]](_0x997fxc):this[_0x39f9[63]](_0x997fxc);this[_0x39f9[64]](_0x997fx11,_0x997fxd);this[_0x39f9[65]](_0x997fx11,_0x997fxe,_0x997fx12);this[_0x39f9[1]][_0x39f9[66]](_0x997fx11);return _0x997fx11};Toolbar[_0x39f9[6]][_0x39f9[33]]=function(){var _0x997fx11=document[_0x39f9[68]](_0x39f9[67]);_0x997fx11[_0x39f9[69]]=_0x39f9[70];this[_0x39f9[1]][_0x39f9[66]](_0x997fx11);return _0x997fx11};Toolbar[_0x39f9[6]][_0x39f9[21]]=function(_0x997fx13){for(var _0x997fx14=0;_0x997fx14<_0x997fx13[_0x39f9[47]];_0x997fx14++){var _0x997fx15=_0x997fx13[_0x997fx14];if(_0x997fx15==_0x39f9[9]){this[_0x39f9[33]]()}else {this[_0x39f9[73]](_0x39f9[71]+_0x997fx15[_0x39f9[72]](),_0x997fx15)}}};Toolbar[_0x39f9[6]][_0x39f9[73]]=function(_0x997fx16,_0x997fx15){var _0x997fx17=this[_0x39f9[0]][_0x39f9[74]][_0x39f9[24]](_0x997fx15);var _0x997fx11=null;if(_0x997fx17!=null){_0x997fx11=this[_0x39f9[76]](_0x997fx16,_0x997fx17[_0x39f9[75]],_0x997fx17[_0x39f9[57]]);_0x997fx11[_0x39f9[61]](_0x997fx17[_0x39f9[60]]);_0x997fx17[_0x39f9[51]](_0x39f9[59],function(){_0x997fx11[_0x39f9[61]](_0x997fx17[_0x39f9[60]])})};return _0x997fx11};Toolbar[_0x39f9[6]][_0x39f9[76]]=function(_0x997fx18,_0x997fxd,_0x997fx12){var _0x997fx11=this[_0x39f9[63]](_0x997fx18);this[_0x39f9[64]](_0x997fx11,_0x997fxd);this[_0x39f9[77]](_0x997fx11,_0x997fx12);this[_0x39f9[1]][_0x39f9[66]](_0x997fx11);return _0x997fx11};Toolbar[_0x39f9[6]][_0x39f9[78]]=function(_0x997fx19){var _0x997fx7=this[_0x39f9[0]][_0x39f9[41]][_0x39f9[40]];var _0x997fx1a=function(){var _0x997fx1b=!_0x997fx7[_0x39f9[79]]();for(var _0x997fx14=0;_0x997fx14<_0x997fx19[_0x39f9[47]];_0x997fx14++){_0x997fx19[_0x997fx14][_0x39f9[61]](_0x997fx1b)}};_0x997fx7[_0x39f9[52]]()[_0x39f9[51]](mxEvent.CHANGE,_0x997fx1a);_0x997fx1a()};Toolbar[_0x39f9[6]][_0x39f9[80]]=function(_0x997fx19){var _0x997fx7=this[_0x39f9[0]][_0x39f9[41]][_0x39f9[40]];var _0x997fx1a=function(){var _0x997fx1c=false;if(!_0x997fx7[_0x39f9[79]]()){var _0x997fx1d=_0x997fx7[_0x39f9[81]]();for(var _0x997fx14=0;_0x997fx14<_0x997fx1d[_0x39f9[47]];_0x997fx14++){if(_0x997fx7[_0x39f9[53]]()[_0x39f9[82]](_0x997fx1d[_0x997fx14])){_0x997fx1c=true;break}}};for(var _0x997fx14=0;_0x997fx14<_0x997fx19[_0x39f9[47]];_0x997fx14++){_0x997fx19[_0x997fx14][_0x39f9[61]](_0x997fx1c)}};_0x997fx7[_0x39f9[52]]()[_0x39f9[51]](mxEvent.CHANGE,_0x997fx1a);_0x997fx1a()};Toolbar[_0x39f9[6]][_0x39f9[64]]=function(_0x997fx11,_0x997fxd){_0x997fx11[_0x39f9[85]](_0x39f9[83],_0x39f9[84]);if(_0x997fxd!=null){_0x997fx11[_0x39f9[85]](_0x39f9[86],_0x997fxd)};this[_0x39f9[87]](_0x997fx11)};Toolbar[_0x39f9[6]][_0x39f9[87]]=function(_0x997fx11){var _0x997fx18=_0x997fx11[_0x39f9[69]];_0x997fx11[_0x39f9[61]]=function(_0x997fx1e){_0x997fx11[_0x39f9[60]]=_0x997fx1e;if(_0x997fx1e){_0x997fx11[_0x39f9[69]]=_0x997fx18}else {_0x997fx11[_0x39f9[69]]=_0x997fx18+_0x39f9[88]}};_0x997fx11[_0x39f9[61]](true)};Toolbar[_0x39f9[6]][_0x39f9[77]]=function(_0x997fx11,_0x997fx12){if(_0x997fx12!=null){mxEvent[_0x39f9[51]](_0x997fx11,_0x39f9[89],function(_0x997fx4){if(_0x997fx11[_0x39f9[60]]){_0x997fx12(_0x997fx4)};mxEvent[_0x39f9[90]](_0x997fx4)})}};Toolbar[_0x39f9[6]][_0x39f9[63]]=function(_0x997fx18){var _0x997fx11=document[_0x39f9[68]](_0x39f9[91]);_0x997fx11[_0x39f9[85]](_0x39f9[92],_0x39f9[93]);_0x997fx11[_0x39f9[69]]=_0x39f9[94];var _0x997fx1f=document[_0x39f9[68]](_0x39f9[67]);_0x997fx1f[_0x39f9[69]]=_0x39f9[95]+_0x997fx18;_0x997fx11[_0x39f9[66]](_0x997fx1f);return _0x997fx11};Toolbar[_0x39f9[6]][_0x39f9[62]]=function(_0x997fxc,_0x997fxd){var _0x997fx11=document[_0x39f9[68]](_0x39f9[91]);_0x997fx11[_0x39f9[85]](_0x39f9[92],_0x39f9[93]);_0x997fx11[_0x39f9[69]]=_0x39f9[96];mxUtils[_0x39f9[97]](_0x997fx11,_0x997fxc);return _0x997fx11};Toolbar[_0x39f9[6]][_0x39f9[65]]=function(_0x997fx11,_0x997fxe,_0x997fx12,_0x997fx20){if(_0x997fx12!=null){var _0x997fx7=this[_0x39f9[0]][_0x39f9[41]][_0x39f9[40]];var _0x997fx10=null;mxEvent[_0x39f9[51]](_0x997fx11,_0x39f9[89],mxUtils[_0x39f9[4]](this,function(_0x997fx4){if(_0x997fx11[_0x39f9[60]]==null||_0x997fx11[_0x39f9[60]]){_0x997fx7[_0x39f9[98]][_0x39f9[3]]();_0x997fx10= new mxPopupMenu(_0x997fx12);_0x997fx10[_0x39f9[67]][_0x39f9[69]]+=_0x39f9[99];_0x997fx10[_0x39f9[100]]=_0x997fx20;_0x997fx10[_0x39f9[101]]=_0x997fxe;_0x997fx10[_0x39f9[102]]=true;_0x997fx10[_0x39f9[106]](_0x997fx11[_0x39f9[103]],_0x997fx11[_0x39f9[104]]+_0x997fx11[_0x39f9[105]]+34,null,_0x997fx4);this[_0x39f9[54]]=_0x997fx10};mxEvent[_0x39f9[90]](_0x997fx4)}))}} \ No newline at end of file +var _0x46c5=["\x65\x64\x69\x74\x6F\x72\x55\x69","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x69\x6E\x69\x74","\x68\x69\x64\x65\x4D\x65\x6E\x75","\x62\x69\x6E\x64","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x73\x61\x76\x65","\x70\x75\x62\x6C\x69\x73\x68","\x2D","\x70\x72\x69\x6E\x74","\x75\x6E\x64\x6F","\x72\x65\x64\x6F","\x63\x6F\x70\x79","\x63\x75\x74","\x70\x61\x73\x74\x65","\x64\x65\x6C\x65\x74\x65","\x64\x75\x70\x6C\x69\x63\x61\x74\x65","\x61\x63\x74\x75\x61\x6C\x53\x69\x7A\x65","\x7A\x6F\x6F\x6D\x49\x6E","\x7A\x6F\x6F\x6D\x4F\x75\x74","\x61\x64\x64\x49\x74\x65\x6D\x73","\x48\x65\x6C\x76\x65\x74\x69\x63\x61","\x66\x6F\x6E\x74\x46\x61\x6D\x69\x6C\x79","\x67\x65\x74","\x61\x64\x64\x4D\x65\x6E\x75","\x77\x68\x69\x74\x65\x53\x70\x61\x63\x65","\x73\x74\x79\x6C\x65","\x6E\x6F\x77\x72\x61\x70","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x68\x69\x64\x64\x65\x6E","\x77\x69\x64\x74\x68","\x37\x30\x70\x78","\x61\x64\x64\x53\x65\x70\x61\x72\x61\x74\x6F\x72","\x31\x32","\x66\x6F\x6E\x74\x53\x69\x7A\x65","\x33\x30\x70\x78","\x62\x6F\x6C\x64","\x69\x74\x61\x6C\x69\x63","\x75\x6E\x64\x65\x72\x6C\x69\x6E\x65","\x67\x72\x61\x70\x68","\x65\x64\x69\x74\x6F\x72","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x67\x65\x74\x53\x74\x61\x74\x65","\x67\x65\x74\x56\x69\x65\x77","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x46\x41\x4D\x49\x4C\x59","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x53\x49\x5A\x45","\x6C\x65\x6E\x67\x74\x68","\x73\x75\x62\x73\x74\x72\x69\x6E\x67","\x2E\x2E\x2E","\x69\x6E\x6E\x65\x72\x48\x54\x4D\x4C","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x4D\x6F\x64\x65\x6C","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x63\x75\x72\x72\x65\x6E\x74\x4D\x65\x6E\x75","\x64\x65\x73\x74\x72\x6F\x79","\x6D\x65\x6E\x75\x73","\x66\x75\x6E\x63\x74","\x61\x64\x64\x4D\x65\x6E\x75\x46\x75\x6E\x63\x74\x69\x6F\x6E","\x73\x74\x61\x74\x65\x43\x68\x61\x6E\x67\x65\x64","\x65\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x63\x72\x65\x61\x74\x65\x4C\x61\x62\x65\x6C","\x63\x72\x65\x61\x74\x65\x42\x75\x74\x74\x6F\x6E","\x69\x6E\x69\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x61\x64\x64\x4D\x65\x6E\x75\x48\x61\x6E\x64\x6C\x65\x72","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x64\x69\x76","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x53\x65\x70\x61\x72\x61\x74\x6F\x72","\x67\x65\x53\x70\x72\x69\x74\x65\x2D","\x74\x6F\x4C\x6F\x77\x65\x72\x43\x61\x73\x65","\x61\x64\x64\x49\x74\x65\x6D","\x61\x63\x74\x69\x6F\x6E\x73","\x6C\x61\x62\x65\x6C","\x61\x64\x64\x42\x75\x74\x74\x6F\x6E","\x61\x64\x64\x43\x6C\x69\x63\x6B\x48\x61\x6E\x64\x6C\x65\x72","\x61\x64\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6D\x70\x74\x79","\x61\x64\x64\x45\x64\x67\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x69\x73\x45\x64\x67\x65","\x74\x61\x62\x69\x6E\x64\x65\x78","\x30","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x74\x69\x74\x6C\x65","\x61\x64\x64\x45\x6E\x61\x62\x6C\x65\x64\x53\x74\x61\x74\x65","\x20\x67\x65\x44\x69\x73\x61\x62\x6C\x65\x64","\x63\x6C\x69\x63\x6B","\x63\x6F\x6E\x73\x75\x6D\x65","\x61","\x68\x72\x65\x66","\x6A\x61\x76\x61\x73\x63\x72\x69\x70\x74\x3A\x76\x6F\x69\x64\x28\x30\x29\x3B","\x67\x65\x42\x75\x74\x74\x6F\x6E","\x67\x65\x53\x70\x72\x69\x74\x65\x20","\x67\x65\x4C\x61\x62\x65\x6C","\x77\x72\x69\x74\x65","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x20\x67\x65\x54\x6F\x6F\x6C\x62\x61\x72\x4D\x65\x6E\x75","\x73\x68\x6F\x77\x44\x69\x73\x61\x62\x6C\x65\x64","\x6C\x61\x62\x65\x6C\x73","\x61\x75\x74\x6F\x45\x78\x70\x61\x6E\x64","\x6F\x66\x66\x73\x65\x74\x4C\x65\x66\x74","\x6F\x66\x66\x73\x65\x74\x54\x6F\x70","\x6F\x66\x66\x73\x65\x74\x48\x65\x69\x67\x68\x74","\x70\x6F\x70\x75\x70"];function Toolbar(_0x89bcx2,_0x89bcx3){this[_0x46c5[0]]=_0x89bcx2;this[_0x46c5[1]]=_0x89bcx3;this[_0x46c5[2]]();mxEvent[_0x46c5[5]](document,mxUtils[_0x46c5[4]](this,function(_0x89bcx4){this[_0x46c5[3]]()}))}Toolbar[_0x46c5[6]][_0x46c5[2]]=function(){this[_0x46c5[21]]([_0x46c5[7],_0x46c5[8],_0x46c5[9],_0x46c5[10],_0x46c5[11],_0x46c5[12],_0x46c5[9],_0x46c5[13],_0x46c5[14],_0x46c5[15],_0x46c5[16],_0x46c5[9],_0x46c5[17],_0x46c5[9],_0x46c5[18],_0x46c5[19],_0x46c5[20],_0x46c5[9]]);var _0x89bcx5=this[_0x46c5[25]](_0x46c5[22],mxResources[_0x46c5[24]](_0x46c5[23]),true,_0x46c5[23]);_0x89bcx5[_0x46c5[27]][_0x46c5[26]]=_0x46c5[28];_0x89bcx5[_0x46c5[27]][_0x46c5[29]]=_0x46c5[30];_0x89bcx5[_0x46c5[27]][_0x46c5[31]]=_0x46c5[32];this[_0x46c5[33]]();var _0x89bcx6=this[_0x46c5[25]](_0x46c5[34],mxResources[_0x46c5[24]](_0x46c5[35]),true,_0x46c5[35]);_0x89bcx6[_0x46c5[27]][_0x46c5[26]]=_0x46c5[28];_0x89bcx6[_0x46c5[27]][_0x46c5[29]]=_0x46c5[30];_0x89bcx6[_0x46c5[27]][_0x46c5[31]]=_0x46c5[36];this[_0x46c5[21]]([_0x46c5[9],_0x46c5[37],_0x46c5[38],_0x46c5[39]]);var _0x89bcx7=this[_0x46c5[0]][_0x46c5[41]][_0x46c5[40]];var _0x89bcx8=mxUtils[_0x46c5[4]](this,function(){var _0x89bcx9=_0x46c5[22];var _0x89bcxa=_0x46c5[34];var _0x89bcxb=_0x89bcx7[_0x46c5[44]]()[_0x46c5[43]](_0x89bcx7[_0x46c5[42]]());if(_0x89bcxb!=null){_0x89bcx9=_0x89bcxb[_0x46c5[27]][mxConstants[_0x46c5[45]]]||_0x89bcx9;_0x89bcxa=_0x89bcxb[_0x46c5[27]][mxConstants[_0x46c5[46]]]||_0x89bcxa;if(_0x89bcx9[_0x46c5[47]]>10){_0x89bcx9=_0x89bcx9[_0x46c5[48]](0,8)+_0x46c5[49]};_0x89bcx5[_0x46c5[50]]=_0x89bcx9;_0x89bcx6[_0x46c5[50]]=_0x89bcxa}});_0x89bcx7[_0x46c5[52]]()[_0x46c5[51]](mxEvent.CHANGE,_0x89bcx8);_0x89bcx7[_0x46c5[53]]()[_0x46c5[51]](mxEvent.CHANGE,_0x89bcx8)};Toolbar[_0x46c5[6]][_0x46c5[3]]=function(){if(this[_0x46c5[54]]!=null){this[_0x46c5[54]][_0x46c5[3]]();this[_0x46c5[54]][_0x46c5[55]]();this[_0x46c5[54]]=null}};Toolbar[_0x46c5[6]][_0x46c5[25]]=function(_0x89bcxc,_0x89bcxd,_0x89bcxe,_0x89bcxf){var _0x89bcx10=this[_0x46c5[0]][_0x46c5[56]][_0x46c5[24]](_0x89bcxf);var _0x89bcx11=this[_0x46c5[58]](_0x89bcxc,_0x89bcxd,_0x89bcxe,_0x89bcx10[_0x46c5[57]]);_0x89bcx10[_0x46c5[51]](_0x46c5[59],function(){_0x89bcx11[_0x46c5[61]](_0x89bcx10[_0x46c5[60]])});return _0x89bcx11};Toolbar[_0x46c5[6]][_0x46c5[58]]=function(_0x89bcxc,_0x89bcxd,_0x89bcxe,_0x89bcx12){var _0x89bcx11=(_0x89bcxe)?this[_0x46c5[62]](_0x89bcxc):this[_0x46c5[63]](_0x89bcxc);this[_0x46c5[64]](_0x89bcx11,_0x89bcxd);this[_0x46c5[65]](_0x89bcx11,_0x89bcxe,_0x89bcx12);this[_0x46c5[1]][_0x46c5[66]](_0x89bcx11);return _0x89bcx11};Toolbar[_0x46c5[6]][_0x46c5[33]]=function(){var _0x89bcx11=document[_0x46c5[68]](_0x46c5[67]);_0x89bcx11[_0x46c5[69]]=_0x46c5[70];this[_0x46c5[1]][_0x46c5[66]](_0x89bcx11);return _0x89bcx11};Toolbar[_0x46c5[6]][_0x46c5[21]]=function(_0x89bcx13){for(var _0x89bcx14=0;_0x89bcx14<_0x89bcx13[_0x46c5[47]];_0x89bcx14++){var _0x89bcx15=_0x89bcx13[_0x89bcx14];if(_0x89bcx15==_0x46c5[9]){this[_0x46c5[33]]()}else {this[_0x46c5[73]](_0x46c5[71]+_0x89bcx15[_0x46c5[72]](),_0x89bcx15)}}};Toolbar[_0x46c5[6]][_0x46c5[73]]=function(_0x89bcx16,_0x89bcx15){var _0x89bcx17=this[_0x46c5[0]][_0x46c5[74]][_0x46c5[24]](_0x89bcx15);var _0x89bcx11=null;if(_0x89bcx17!=null){_0x89bcx11=this[_0x46c5[76]](_0x89bcx16,_0x89bcx17[_0x46c5[75]],_0x89bcx17[_0x46c5[57]]);_0x89bcx11[_0x46c5[61]](_0x89bcx17[_0x46c5[60]]);_0x89bcx17[_0x46c5[51]](_0x46c5[59],function(){_0x89bcx11[_0x46c5[61]](_0x89bcx17[_0x46c5[60]])})};return _0x89bcx11};Toolbar[_0x46c5[6]][_0x46c5[76]]=function(_0x89bcx18,_0x89bcxd,_0x89bcx12){var _0x89bcx11=this[_0x46c5[63]](_0x89bcx18);this[_0x46c5[64]](_0x89bcx11,_0x89bcxd);this[_0x46c5[77]](_0x89bcx11,_0x89bcx12);this[_0x46c5[1]][_0x46c5[66]](_0x89bcx11);return _0x89bcx11};Toolbar[_0x46c5[6]][_0x46c5[78]]=function(_0x89bcx19){var _0x89bcx7=this[_0x46c5[0]][_0x46c5[41]][_0x46c5[40]];var _0x89bcx1a=function(){var _0x89bcx1b=!_0x89bcx7[_0x46c5[79]]();for(var _0x89bcx14=0;_0x89bcx14<_0x89bcx19[_0x46c5[47]];_0x89bcx14++){_0x89bcx19[_0x89bcx14][_0x46c5[61]](_0x89bcx1b)}};_0x89bcx7[_0x46c5[52]]()[_0x46c5[51]](mxEvent.CHANGE,_0x89bcx1a);_0x89bcx1a()};Toolbar[_0x46c5[6]][_0x46c5[80]]=function(_0x89bcx19){var _0x89bcx7=this[_0x46c5[0]][_0x46c5[41]][_0x46c5[40]];var _0x89bcx1a=function(){var _0x89bcx1c=false;if(!_0x89bcx7[_0x46c5[79]]()){var _0x89bcx1d=_0x89bcx7[_0x46c5[81]]();for(var _0x89bcx14=0;_0x89bcx14<_0x89bcx1d[_0x46c5[47]];_0x89bcx14++){if(_0x89bcx7[_0x46c5[53]]()[_0x46c5[82]](_0x89bcx1d[_0x89bcx14])){_0x89bcx1c=true;break}}};for(var _0x89bcx14=0;_0x89bcx14<_0x89bcx19[_0x46c5[47]];_0x89bcx14++){_0x89bcx19[_0x89bcx14][_0x46c5[61]](_0x89bcx1c)}};_0x89bcx7[_0x46c5[52]]()[_0x46c5[51]](mxEvent.CHANGE,_0x89bcx1a);_0x89bcx1a()};Toolbar[_0x46c5[6]][_0x46c5[64]]=function(_0x89bcx11,_0x89bcxd){_0x89bcx11[_0x46c5[85]](_0x46c5[83],_0x46c5[84]);if(_0x89bcxd!=null){_0x89bcx11[_0x46c5[85]](_0x46c5[86],_0x89bcxd)};this[_0x46c5[87]](_0x89bcx11)};Toolbar[_0x46c5[6]][_0x46c5[87]]=function(_0x89bcx11){var _0x89bcx18=_0x89bcx11[_0x46c5[69]];_0x89bcx11[_0x46c5[61]]=function(_0x89bcx1e){_0x89bcx11[_0x46c5[60]]=_0x89bcx1e;if(_0x89bcx1e){_0x89bcx11[_0x46c5[69]]=_0x89bcx18}else {_0x89bcx11[_0x46c5[69]]=_0x89bcx18+_0x46c5[88]}};_0x89bcx11[_0x46c5[61]](true)};Toolbar[_0x46c5[6]][_0x46c5[77]]=function(_0x89bcx11,_0x89bcx12){if(_0x89bcx12!=null){mxEvent[_0x46c5[51]](_0x89bcx11,_0x46c5[89],function(_0x89bcx4){if(_0x89bcx11[_0x46c5[60]]){_0x89bcx12(_0x89bcx4)};mxEvent[_0x46c5[90]](_0x89bcx4)})}};Toolbar[_0x46c5[6]][_0x46c5[63]]=function(_0x89bcx18){var _0x89bcx11=document[_0x46c5[68]](_0x46c5[91]);_0x89bcx11[_0x46c5[85]](_0x46c5[92],_0x46c5[93]);_0x89bcx11[_0x46c5[69]]=_0x46c5[94];var _0x89bcx1f=document[_0x46c5[68]](_0x46c5[67]);_0x89bcx1f[_0x46c5[69]]=_0x46c5[95]+_0x89bcx18;_0x89bcx11[_0x46c5[66]](_0x89bcx1f);return _0x89bcx11};Toolbar[_0x46c5[6]][_0x46c5[62]]=function(_0x89bcxc,_0x89bcxd){var _0x89bcx11=document[_0x46c5[68]](_0x46c5[91]);_0x89bcx11[_0x46c5[85]](_0x46c5[92],_0x46c5[93]);_0x89bcx11[_0x46c5[69]]=_0x46c5[96];mxUtils[_0x46c5[97]](_0x89bcx11,_0x89bcxc);return _0x89bcx11};Toolbar[_0x46c5[6]][_0x46c5[65]]=function(_0x89bcx11,_0x89bcxe,_0x89bcx12,_0x89bcx20){if(_0x89bcx12!=null){var _0x89bcx7=this[_0x46c5[0]][_0x46c5[41]][_0x46c5[40]];var _0x89bcx10=null;mxEvent[_0x46c5[51]](_0x89bcx11,_0x46c5[89],mxUtils[_0x46c5[4]](this,function(_0x89bcx4){if(_0x89bcx11[_0x46c5[60]]==null||_0x89bcx11[_0x46c5[60]]){_0x89bcx7[_0x46c5[98]][_0x46c5[3]]();_0x89bcx10= new mxPopupMenu(_0x89bcx12);_0x89bcx10[_0x46c5[67]][_0x46c5[69]]+=_0x46c5[99];_0x89bcx10[_0x46c5[100]]=_0x89bcx20;_0x89bcx10[_0x46c5[101]]=_0x89bcxe;_0x89bcx10[_0x46c5[102]]=true;_0x89bcx10[_0x46c5[106]](_0x89bcx11[_0x46c5[103]],_0x89bcx11[_0x46c5[104]]+_0x89bcx11[_0x46c5[105]]+34,null,_0x89bcx4);this[_0x46c5[54]]=_0x89bcx10};mxEvent[_0x46c5[90]](_0x89bcx4)}))}} \ No newline at end of file diff --git a/public/assets/plugins/jscripty/js/custom.js b/public/assets/plugins/jscripty/js/custom.js index 124786e..f02faa2 100644 --- a/public/assets/plugins/jscripty/js/custom.js +++ b/public/assets/plugins/jscripty/js/custom.js @@ -1 +1 @@ -var _0xb976=["\x68\x72\x65\x66","\x6C\x6F\x63\x61\x74\x69\x6F\x6E","\x3F","\x6C\x61\x73\x74\x49\x6E\x64\x65\x78\x4F\x66","\x26","\x73\x70\x6C\x69\x74","\x73\x75\x62\x73\x74\x72\x69\x6E\x67","\x6C\x65\x6E\x67\x74\x68","\x3D","\x69\x6E\x64\x65\x78\x4F\x66","\x68\x74\x74\x70\x3A\x2F\x2F\x65\x78\x70\x2E\x64\x72\x61\x77\x2E\x69\x6F\x2F\x49\x6D\x61\x67\x65\x45\x78\x70\x6F\x72\x74\x32\x2F\x65\x78\x70\x6F\x72\x74","\x68\x74\x74\x70\x3A\x2F\x2F\x6C\x6F\x63\x61\x6C\x68\x6F\x73\x74\x3A\x33\x30\x30\x30","\x61\x70\x69\x2F\x6A\x73\x63\x72\x69\x70\x74\x79\x2F\x70\x72\x6F\x6A\x65\x63\x74\x73\x2F","\x61\x70\x69\x2F\x73\x71\x75\x61\x72\x65\x2F\x76\x61\x72\x69\x61\x62\x6C\x65\x73\x2F","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6A\x73\x63\x72\x69\x70\x74\x79\x2F\x73\x74\x65\x6E\x63\x69\x6C\x73","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68\x2F\x73\x68\x61\x70\x65\x73","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68\x2F\x69\x6D\x61\x67\x65\x73","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68\x2F\x73\x74\x79\x6C\x65\x73","\x61\x70\x69\x2F\x6A\x73\x63\x72\x69\x70\x74\x79\x2F\x70\x72\x6F\x6A\x65\x63\x74\x73\x2F\x6F\x70\x65\x6E","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6A\x73\x63\x72\x69\x70\x74\x79\x2F\x74\x65\x6D\x70\x6C\x61\x74\x65\x73","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6A\x73\x63\x72\x69\x70\x74\x79\x2F\x72\x65\x73\x6F\x75\x72\x63\x65\x73","\x2F\x64\x69\x61","\x63\x75\x73\x74\x6F\x6D","\x4E\x47\x5F\x54\x52\x41\x4E\x53\x4C\x41\x54\x45\x5F\x4C\x41\x4E\x47\x5F\x4B\x45\x59","\x67\x65\x74\x49\x74\x65\x6D","\x6C\x6F\x67","\x65\x6E","\x65\x6E\x5F\x45\x4E","\x69\x74","\x6D\x78\x4C\x61\x6E\x67\x75\x61\x67\x65","\x61\x72","\x62\x73","\x63\x73","\x64\x61","\x64\x65","\x65\x6C","\x65\x73","\x65\x73\x2D\x61\x72","\x66\x72","\x68\x75","\x69\x64","\x6A\x61","\x6B\x6F","\x6E\x6C","\x6E\x6F","\x70\x6C","\x70\x74\x2D\x62\x72","\x70\x74","\x72\x6F","\x72\x75","\x73\x72","\x73\x76","\x74\x68","\x74\x72","\x75\x6B","\x7A\x68","\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68","\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68\x2F\x6A\x73","\x55\x53\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x55\x53\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x4D\x61\x6C\x65","\x55\x4B\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x55\x4B\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x4D\x61\x6C\x65","\x41\x75\x73\x74\x72\x61\x6C\x69\x61\x6E\x20\x45\x6E\x67\x6C\x69\x73\x68","\x55\x53\x20\x53\x70\x61\x6E\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x55\x53\x20\x53\x70\x61\x6E\x69\x73\x68\x20\x4D\x61\x6C\x65","\x43\x68\x69\x6E\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x43\x68\x69\x6E\x65\x73\x65\x20\x4D\x61\x6C\x65","\x48\x6F\x6E\x67\x20\x4B\x6F\x6E\x67\x20\x43\x61\x6E\x74\x6F\x6E\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x54\x61\x69\x77\x61\x6E\x20\x43\x68\x69\x6E\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x4A\x61\x70\x61\x6E\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x4A\x61\x70\x61\x6E\x65\x73\x65\x20\x4D\x61\x6C\x65","\x4B\x6F\x72\x65\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x4B\x6F\x72\x65\x61\x6E\x20\x4D\x61\x6C\x65","\x43\x61\x6E\x61\x64\x69\x61\x6E\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x48\x75\x6E\x67\x61\x72\x69\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x42\x72\x61\x7A\x69\x6C\x69\x61\x6E\x20\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x4D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x53\x70\x61\x6E\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x53\x70\x61\x6E\x69\x73\x68\x20\x4D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x43\x61\x74\x61\x6C\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x43\x7A\x65\x63\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x44\x61\x6E\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x46\x69\x6E\x6E\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x46\x72\x65\x6E\x63\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x46\x72\x65\x6E\x63\x68\x20\x4D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x4E\x6F\x72\x77\x65\x67\x69\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x44\x75\x74\x63\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x50\x6F\x6C\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x49\x74\x61\x6C\x69\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x54\x75\x72\x6B\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65\x09","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x54\x75\x72\x6B\x69\x73\x68\x20\x4D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x47\x65\x72\x6D\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x47\x65\x72\x6D\x61\x6E\x20\x4D\x61\x6C\x65","\x52\x75\x73\x73\x69\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x52\x75\x73\x73\x69\x61\x6E\x20\x4D\x61\x6C\x65","\x53\x77\x65\x64\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x43\x61\x6E\x61\x64\x69\x61\x6E\x20\x46\x72\x65\x6E\x63\x68\x20\x46\x65\x6D\x61\x6C\x65","\x43\x61\x6E\x61\x64\x69\x61\x6E\x20\x46\x72\x65\x6E\x63\x68\x20\x4D\x61\x6C\x65","\x45\x6E\x67\x6C\x69\x73\x68\x20\x28\x55\x53\x29","\x45\x6E\x67\x6C\x69\x73\x68\x20\x28\x43\x61\x6E\x61\x64\x61\x29","\x45\x6E\x67\x6C\x69\x73\x68\x20\x28\x55\x4B\x29","\x45\x6E\x67\x6C\x69\x73\x68\x20\x28\x41\x75\x73\x74\x72\x61\x6C\x69\x61\x29","\x53\x70\x61\x6E\x69\x73\x68\x20\x28\x53\x70\x61\x69\x6E\x29","\x53\x70\x61\x6E\x69\x73\x68\x20\x28\x4D\x65\x78\x69\x63\x6F\x29","\x49\x74\x61\x6C\x69\x61\x6E\x20\x28\x49\x74\x61\x6C\x79\x29\x20\x2F\x20\x46\x69\x6E\x6E\x69\x73\x68\x20\x28\x46\x69\x6E\x6C\x61\x6E\x64\x29","\x46\x72\x65\x6E\x63\x68\x20\x28\x46\x72\x61\x6E\x63\x65\x29","\x46\x72\x65\x6E\x63\x68\x20\x28\x43\x61\x6E\x61\x64\x61\x29","\x50\x6F\x6C\x69\x73\x68\x20\x28\x50\x6F\x6C\x61\x6E\x64\x29","\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x28\x50\x6F\x72\x74\x75\x67\x61\x6C\x29","\x43\x61\x74\x61\x6C\x61\x6E\x20\x28\x43\x61\x74\x61\x6C\x61\x6E\x29","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x54\x61\x69\x77\x61\x6E\x29","\x44\x61\x6E\x69\x73\x68\x20\x28\x44\x65\x6E\x6D\x61\x72\x6B\x29","\x47\x65\x72\x6D\x61\x6E\x20\x28\x47\x65\x72\x6D\x61\x6E\x79\x29","\x4A\x61\x70\x61\x6E\x65\x73\x65\x20\x28\x4A\x61\x70\x61\x6E\x29","\x4B\x6F\x72\x65\x61\x6E\x20\x28\x4B\x6F\x72\x65\x61\x29","\x44\x75\x74\x63\x68\x20\x28\x4E\x65\x74\x68\x65\x72\x6C\x61\x6E\x64\x73\x29","\x4E\x6F\x72\x77\x65\x67\x69\x61\x6E\x20\x28\x4E\x6F\x72\x77\x61\x79\x29","\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x28\x42\x72\x61\x7A\x69\x6C\x29","\x52\x75\x73\x73\x69\x61\x6E\x20\x28\x52\x75\x73\x73\x69\x61\x29","\x53\x77\x65\x64\x69\x73\x68\x20\x28\x53\x77\x65\x64\x65\x6E\x29","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x43\x68\x69\x6E\x61\x29","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x48\x6F\x6E\x67\x20\x4B\x6F\x6E\x67\x29","\x44\x65\x66\x61\x75\x6C\x74","\x44\x61\x74\x65","\x55\x53\x20\x4D\x6F\x6E\x65\x79","\x4E\x75\x6D\x62\x65\x72\x73\x20\x74\x6F\x20\x39","\x4E\x75\x6D\x62\x65\x72\x73\x20\x74\x6F\x20\x39\x39","\x4E\x75\x6D\x62\x65\x72\x73\x20\x74\x6F\x20\x39\x39\x39","\x54\x69\x6D\x65","\x50\x68\x6F\x6E\x65\x20\x6E\x75\x6D\x62\x65\x72","\x53\x74\x72\x65\x65\x74\x73","\x55\x53\x20\x43\x69\x74\x79\x2F\x53\x74\x61\x74\x65\x73","\x41\x66\x72\x69\x6B\x61\x61\x6E\x73","\x41\x6C\x62\x61\x6E\x69\x61\x6E","\x41\x6D\x68\x61\x72\x69\x63","\x41\x72\x61\x62\x69\x63","\x41\x72\x6D\x65\x6E\x69\x61\x6E","\x41\x7A\x65\x72\x62\x61\x69\x6A\x61\x6E\x69","\x42\x61\x73\x71\x75\x65","\x42\x65\x6C\x61\x72\x75\x73\x69\x61\x6E","\x42\x65\x6E\x67\x61\x6C\x69","\x42\x69\x68\x61\x72\x69","\x42\x6F\x73\x6E\x69\x61\x6E","\x42\x72\x65\x74\x6F\x6E","\x42\x75\x6C\x67\x61\x72\x69\x61\x6E","\x43\x61\x6D\x62\x6F\x64\x69\x61\x6E","\x43\x61\x74\x61\x6C\x61\x6E","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x53\x69\x6D\x70\x6C\x69\x66\x69\x65\x64\x29","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x54\x72\x61\x64\x69\x74\x69\x6F\x6E\x61\x6C\x29","\x43\x6F\x72\x73\x69\x63\x61\x6E","\x43\x72\x6F\x61\x74\x69\x61\x6E","\x43\x7A\x65\x63\x68","\x44\x61\x6E\x69\x73\x68","\x44\x75\x74\x63\x68","\x45\x6E\x67\x6C\x69\x73\x68","\x45\x73\x70\x65\x72\x61\x6E\x74\x6F","\x45\x73\x74\x6F\x6E\x69\x61\x6E","\x46\x61\x72\x6F\x65\x73\x65","\x46\x69\x6C\x69\x70\x69\x6E\x6F","\x46\x69\x6E\x6E\x69\x73\x68","\x46\x72\x65\x6E\x63\x68","\x46\x72\x69\x73\x69\x61\x6E","\x47\x61\x6C\x69\x63\x69\x61\x6E","\x47\x65\x6F\x72\x67\x69\x61\x6E","\x47\x65\x72\x6D\x61\x6E","\x47\x72\x65\x65\x6B","\x47\x75\x61\x72\x61\x6E\x69","\x47\x75\x6A\x61\x72\x61\x74\x69","\x48\x61\x63\x6B\x65\x72","\x48\x61\x75\x73\x61","\x48\x65\x62\x72\x65\x77","\x48\x69\x6E\x64\x69","\x48\x75\x6E\x67\x61\x72\x69\x61\x6E","\x49\x63\x65\x6C\x61\x6E\x64\x69\x63","\x49\x6E\x64\x6F\x6E\x65\x73\x69\x61\x6E","\x49\x6E\x74\x65\x72\x6C\x69\x6E\x67\x75\x61","\x49\x72\x69\x73\x68","\x49\x74\x61\x6C\x69\x61\x6E","\x4A\x61\x76\x61\x6E\x65\x73\x65","\x4A\x61\x70\x61\x6E\x65\x73\x65","\x4B\x61\x6E\x6E\x61\x64\x61","\x4B\x61\x7A\x61\x6B\x68","\x4B\x69\x6E\x79\x61\x72\x77\x61\x6E\x64\x61","\x4B\x69\x72\x75\x6E\x64\x69","\x4B\x6C\x69\x6E\x67\x6F\x6E","\x4B\x75\x72\x64\x69\x73\x68","\x4B\x6F\x72\x65\x61\x6E","\x4B\x79\x72\x67\x79\x7A","\x4C\x61\x6F\x74\x68\x69\x61\x6E","\x4C\x61\x74\x69\x6E","\x4C\x61\x74\x76\x69\x61\x6E","\x4C\x69\x6E\x67\x61\x6C\x61","\x4C\x69\x74\x68\x75\x61\x6E\x69\x61\x6E","\x4D\x61\x63\x65\x64\x6F\x6E\x69\x61\x6E","\x4D\x61\x6C\x61\x67\x61\x73\x79","\x4D\x61\x6C\x61\x79","\x4D\x61\x6C\x61\x79\x61\x6C\x61\x6D","\x4D\x61\x6C\x74\x65\x73\x65","\x4D\x61\x6F\x72\x69","\x4D\x61\x72\x61\x74\x68\x69","\x4D\x6F\x6C\x64\x61\x76\x69\x61\x6E","\x4D\x6F\x6E\x67\x6F\x6C\x69\x61\x6E","\x4D\x6F\x6E\x74\x65\x6E\x65\x67\x72\x69\x6E","\x4E\x65\x70\x61\x6C\x69","\x4E\x6F\x72\x77\x65\x67\x69\x61\x6E","\x4E\x6F\x72\x77\x65\x67\x69\x61\x6E\x20\x28\x4E\x79\x6E\x6F\x72\x73\x6B\x29","\x4F\x63\x63\x69\x74\x61\x6E","\x4F\x72\x69\x79\x61","\x4F\x72\x6F\x6D\x6F","\x50\x61\x73\x68\x74\x6F","\x50\x65\x72\x73\x69\x61\x6E","\x50\x69\x72\x61\x74\x65","\x50\x6F\x6C\x69\x73\x68","\x50\x75\x6E\x6A\x61\x62\x69","\x51\x75\x65\x63\x68\x75\x61","\x52\x6F\x6D\x61\x6E\x69\x61\x6E","\x52\x6F\x6D\x61\x6E\x73\x68","\x52\x75\x73\x73\x69\x61\x6E","\x53\x63\x6F\x74\x73\x20\x47\x61\x65\x6C\x69\x63","\x53\x65\x72\x62\x69\x61\x6E","\x53\x65\x72\x62\x6F\x2D\x43\x72\x6F\x61\x74\x69\x61\x6E","\x53\x65\x73\x6F\x74\x68\x6F","\x53\x68\x6F\x6E\x61","\x53\x69\x6E\x64\x68\x69","\x53\x69\x6E\x68\x61\x6C\x65\x73\x65","\x53\x6C\x6F\x76\x61\x6B","\x53\x6C\x6F\x76\x65\x6E\x69\x61\x6E","\x53\x6F\x6D\x61\x6C\x69","\x53\x70\x61\x6E\x69\x73\x68","\x53\x75\x6E\x64\x61\x6E\x65\x73\x65","\x53\x77\x61\x68\x69\x6C\x69","\x53\x77\x65\x64\x69\x73\x68","\x54\x61\x6A\x69\x6B","\x54\x61\x6D\x69\x6C","\x54\x61\x74\x61\x72","\x54\x65\x6C\x75\x67\x75","\x54\x68\x61\x69","\x54\x69\x67\x72\x69\x6E\x79\x61","\x54\x6F\x6E\x67\x61","\x54\x75\x72\x6B\x69\x73\x68","\x54\x75\x72\x6B\x6D\x65\x6E","\x54\x77\x69","\x55\x69\x67\x68\x75\x72","\x55\x6B\x72\x61\x69\x6E\x69\x61\x6E","\x55\x72\x64\x75","\x55\x7A\x62\x65\x6B","\x56\x69\x65\x74\x6E\x61\x6D\x65\x73\x65","\x57\x65\x6C\x73\x68","\x58\x68\x6F\x73\x61","\x59\x69\x64\x64\x69\x73\x68","\x59\x6F\x72\x75\x62\x61","\x5A\x75\x6C\x75"];var urlParams=(function(_0x3742x2){var _0x3742x3= new Object();var _0x3742x4=_0x3742x2[_0xb976[3]](_0xb976[2]);if(_0x3742x4>0){var _0x3742x5=_0x3742x2[_0xb976[6]](_0x3742x4+1)[_0xb976[5]](_0xb976[4]);for(var _0x3742x6=0;_0x3742x6<_0x3742x5[_0xb976[7]];_0x3742x6++){_0x3742x4=_0x3742x5[_0x3742x6][_0xb976[9]](_0xb976[8]);if(_0x3742x4>0){_0x3742x3[_0x3742x5[_0x3742x6][_0xb976[6]](0,_0x3742x4)]=_0x3742x5[_0x3742x6][_0xb976[6]](_0x3742x4+1)}}};return _0x3742x3})(window[_0xb976[1]][_0xb976[0]]);var t0= new Date();var MAX_REQUEST_SIZE=10485760;var MAX_WIDTH=12000;var MAX_HEIGHT=12000;var EXPORT_URL=_0xb976[10];var SHARE_HOST=_0xb976[11];var SAVE_URL=_0xb976[12];var VARIABLE_URL=_0xb976[13];var STENCIL_PATH=_0xb976[14];var SHAPES_PATH=_0xb976[15];var IMAGE_PATH=_0xb976[16];var STYLE_PATH=_0xb976[17];var CSS_PATH=_0xb976[17];var OPEN_FORM=_0xb976[18];var TEMPLATE_PATH=_0xb976[19];var RESOURCES_PATH=_0xb976[20];var RESOURCE_BASE=RESOURCES_PATH+_0xb976[21];var tapAndHoldStartsConnection=true;var showConnectorImg=true;var mxLoadResources=false;console[_0xb976[25]](_0xb976[22],localStorage[_0xb976[24]](_0xb976[23]));switch(localStorage[_0xb976[24]](_0xb976[23])){case _0xb976[27]:mxLanguage=_0xb976[26];break;case _0xb976[28]:mxLanguage=_0xb976[28];break;default:mxLanguage=_0xb976[28]};console[_0xb976[25]](_0xb976[29],mxLanguage);var mxLanguages=[_0xb976[30],_0xb976[31],_0xb976[32],_0xb976[33],_0xb976[34],_0xb976[35],_0xb976[36],_0xb976[37],_0xb976[38],_0xb976[39],_0xb976[40],_0xb976[28],_0xb976[41],_0xb976[42],_0xb976[43],_0xb976[44],_0xb976[45],_0xb976[46],_0xb976[47],_0xb976[48],_0xb976[49],_0xb976[50],_0xb976[51],_0xb976[52],_0xb976[53],_0xb976[54],_0xb976[55]];var mxBasePath=_0xb976[56];var geBasePath=_0xb976[57];var ISPEECHLANG={usenglishfemale:_0xb976[58],usenglishmale:_0xb976[59],ukenglishfemale:_0xb976[60],ukenglishmale:_0xb976[61],auenglishfemale:_0xb976[62],usspanishfemale:_0xb976[63],usspanishmale:_0xb976[64],chchinesefemale:_0xb976[65],chchinesemale:_0xb976[66],hkchinesefemale:_0xb976[67],twchinesefemale:_0xb976[68],jpjapanesefemale:_0xb976[69],jpjapanesemale:_0xb976[70],krkoreanfemale:_0xb976[71],krkoreanmale:_0xb976[72],caenglishfemale:_0xb976[73],huhungarianfemale:_0xb976[74],brportuguesefemale:_0xb976[75],eurportuguesefemale:_0xb976[76],eurportuguesemale:_0xb976[77],eurspanishfemale:_0xb976[78],eurspanishmale:_0xb976[79],eurcatalanfemale:_0xb976[80],eurczechfemale:_0xb976[81],eurdanishfemale:_0xb976[82],eurfinnishfemale:_0xb976[83],eurfrenchfemale:_0xb976[84],eurfrenchmale:_0xb976[85],eurnorwegianfemale:_0xb976[86],eurdutchfemale:_0xb976[87],eurpolishfemale:_0xb976[88],euritalianfemale:_0xb976[89],eurturkishfemale:_0xb976[90],eurturkishmale:_0xb976[91],eurgermanfemale:_0xb976[92],eurgermanmale:_0xb976[93],rurussianfemale:_0xb976[94],rurussianmale:_0xb976[95],swswedishfemale:_0xb976[96],cafrenchfemale:_0xb976[97],cafrenchmale:_0xb976[98]};var ISPEECHASRLANG={"\x65\x6E\x2D\x55\x53":_0xb976[99],"\x65\x6E\x2D\x43\x41":_0xb976[100],"\x65\x6E\x2D\x47\x42":_0xb976[101],"\x65\x6E\x2D\x41\x55":_0xb976[102],"\x65\x73\x2D\x45\x53":_0xb976[103],"\x65\x73\x2D\x4D\x58":_0xb976[104],"\x69\x74\x2D\x49\x54":_0xb976[105],"\x66\x72\x2D\x46\x52":_0xb976[106],"\x66\x72\x2D\x43\x41":_0xb976[107],"\x70\x6C\x2D\x50\x4C":_0xb976[108],"\x70\x74\x2D\x50\x54":_0xb976[109],"\x63\x61\x2D\x45\x53":_0xb976[110],"\x7A\x68\x2D\x54\x57":_0xb976[111],"\x64\x61\x2D\x44\x4B":_0xb976[112],"\x66\x72\x2D\x46\x52":_0xb976[113],"\x6A\x61\x2D\x4A\x50":_0xb976[114],"\x6B\x6F\x2D\x4B\x52":_0xb976[115],"\x6E\x6C\x2D\x4E\x4C":_0xb976[116],"\x6E\x62\x2D\x4E\x4F":_0xb976[117],"\x70\x74\x2D\x42\x52":_0xb976[118],"\x72\x75\x2D\x52\x55":_0xb976[119],"\x73\x76\x2D\x53\x45":_0xb976[120],"\x7A\x68\x2D\x43\x4E":_0xb976[121],"\x7A\x68\x2D\x48\x4B":_0xb976[122]};var ISPEECHASRMODEL={0:_0xb976[123],date:_0xb976[124],usmoney:_0xb976[125],numbersto9:_0xb976[126],numbersto99:_0xb976[127],numbersto999:_0xb976[128],time:_0xb976[129],phonenumber:_0xb976[130],streets:_0xb976[131],citystate:_0xb976[132]};var GOOGLETTSLANG={"\x61\x66":_0xb976[133],"\x73\x71":_0xb976[134],"\x61\x6D":_0xb976[135],"\x61\x72":_0xb976[136],"\x68\x79":_0xb976[137],"\x61\x7A":_0xb976[138],"\x65\x75":_0xb976[139],"\x62\x65":_0xb976[140],"\x62\x6E":_0xb976[141],"\x62\x68":_0xb976[142],"\x62\x73":_0xb976[143],"\x62\x72":_0xb976[144],"\x62\x67":_0xb976[145],"\x6B\x6D":_0xb976[146],"\x63\x61":_0xb976[147],"\x7A\x68\x2D\x43\x4E":_0xb976[148],"\x7A\x68\x2D\x54\x57":_0xb976[149],"\x63\x6F":_0xb976[150],"\x68\x72":_0xb976[151],"\x63\x73":_0xb976[152],"\x64\x61":_0xb976[153],"\x6E\x6C":_0xb976[154],"\x65\x6E":_0xb976[155],"\x65\x6F":_0xb976[156],"\x65\x74":_0xb976[157],"\x66\x6F":_0xb976[158],"\x74\x6C":_0xb976[159],"\x66\x69":_0xb976[160],"\x66\x72":_0xb976[161],"\x66\x79":_0xb976[162],"\x67\x6C":_0xb976[163],"\x6B\x61":_0xb976[164],"\x64\x65":_0xb976[165],"\x65\x6C":_0xb976[166],"\x67\x6E":_0xb976[167],"\x67\x75":_0xb976[168],"\x78\x78\x2D\x68\x61\x63\x6B\x65\x72":_0xb976[169],"\x68\x61":_0xb976[170],"\x69\x77":_0xb976[171],"\x68\x69":_0xb976[172],"\x68\x75":_0xb976[173],"\x69\x73":_0xb976[174],"\x69\x64":_0xb976[175],"\x69\x61":_0xb976[176],"\x67\x61":_0xb976[177],"\x69\x74":_0xb976[178],"\x6A\x77":_0xb976[179],"\x6A\x61":_0xb976[180],"\x6B\x6E":_0xb976[181],"\x6B\x6B":_0xb976[182],"\x72\x77":_0xb976[183],"\x72\x6E":_0xb976[184],"\x78\x78\x2D\x6B\x6C\x69\x6E\x67\x6F\x6E":_0xb976[185],"\x6B\x75":_0xb976[186],"\x6B\x6F":_0xb976[187],"\x6B\x79":_0xb976[188],"\x6C\x6F":_0xb976[189],"\x6C\x61":_0xb976[190],"\x6C\x76":_0xb976[191],"\x6C\x6E":_0xb976[192],"\x6C\x74":_0xb976[193],"\x6D\x6B":_0xb976[194],"\x6D\x67":_0xb976[195],"\x6D\x73":_0xb976[196],"\x6D\x6C":_0xb976[197],"\x6D\x74":_0xb976[198],"\x6D\x69":_0xb976[199],"\x6D\x72":_0xb976[200],"\x6D\x6F":_0xb976[201],"\x6D\x6E":_0xb976[202],"\x73\x72\x2D\x4D\x45":_0xb976[203],"\x6E\x65":_0xb976[204],"\x6E\x6F":_0xb976[205],"\x6E\x6E":_0xb976[206],"\x6F\x63":_0xb976[207],"\x6F\x72":_0xb976[208],"\x6F\x6D":_0xb976[209],"\x70\x73":_0xb976[210],"\x66\x61":_0xb976[211],"\x78\x78\x2D\x70\x69\x72\x61\x74\x65":_0xb976[212],"\x70\x6C":_0xb976[213],"\x70\x74\x2D\x42\x52":_0xb976[118],"\x70\x74\x2D\x50\x54":_0xb976[109],"\x70\x61":_0xb976[214],"\x71\x75":_0xb976[215],"\x72\x6F":_0xb976[216],"\x72\x6D":_0xb976[217],"\x72\x75":_0xb976[218],"\x67\x64":_0xb976[219],"\x73\x72":_0xb976[220],"\x73\x68":_0xb976[221],"\x73\x74":_0xb976[222],"\x73\x6E":_0xb976[223],"\x73\x64":_0xb976[224],"\x73\x69":_0xb976[225],"\x73\x6B":_0xb976[226],"\x73\x6C":_0xb976[227],"\x73\x6F":_0xb976[228],"\x65\x73":_0xb976[229],"\x73\x75":_0xb976[230],"\x73\x77":_0xb976[231],"\x73\x76":_0xb976[232],"\x74\x67":_0xb976[233],"\x74\x61":_0xb976[234],"\x74\x74":_0xb976[235],"\x74\x65":_0xb976[236],"\x74\x68":_0xb976[237],"\x74\x69":_0xb976[238],"\x74\x6F":_0xb976[239],"\x74\x72":_0xb976[240],"\x74\x6B":_0xb976[241],"\x74\x77":_0xb976[242],"\x75\x67":_0xb976[243],"\x75\x6B":_0xb976[244],"\x75\x72":_0xb976[245],"\x75\x7A":_0xb976[246],"\x76\x69":_0xb976[247],"\x63\x79":_0xb976[248],"\x78\x68":_0xb976[249],"\x79\x69":_0xb976[250],"\x79\x6F":_0xb976[251],"\x7A\x75":_0xb976[252]} \ No newline at end of file +var _0x2df9=["\x68\x72\x65\x66","\x6C\x6F\x63\x61\x74\x69\x6F\x6E","\x3F","\x6C\x61\x73\x74\x49\x6E\x64\x65\x78\x4F\x66","\x26","\x73\x70\x6C\x69\x74","\x73\x75\x62\x73\x74\x72\x69\x6E\x67","\x6C\x65\x6E\x67\x74\x68","\x3D","\x69\x6E\x64\x65\x78\x4F\x66","\x68\x74\x74\x70\x3A\x2F\x2F\x65\x78\x70\x2E\x64\x72\x61\x77\x2E\x69\x6F\x2F\x49\x6D\x61\x67\x65\x45\x78\x70\x6F\x72\x74\x32\x2F\x65\x78\x70\x6F\x72\x74","\x68\x74\x74\x70\x3A\x2F\x2F\x6C\x6F\x63\x61\x6C\x68\x6F\x73\x74\x3A\x33\x30\x30\x30","\x61\x70\x69\x2F\x6A\x73\x63\x72\x69\x70\x74\x79\x2F\x70\x72\x6F\x6A\x65\x63\x74\x73\x2F","\x61\x70\x69\x2F\x73\x71\x75\x61\x72\x65\x2F\x76\x61\x72\x69\x61\x62\x6C\x65\x73\x2F","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6A\x73\x63\x72\x69\x70\x74\x79\x2F\x73\x74\x65\x6E\x63\x69\x6C\x73","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68\x2F\x73\x68\x61\x70\x65\x73","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68\x2F\x69\x6D\x61\x67\x65\x73","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68\x2F\x73\x74\x79\x6C\x65\x73","\x61\x70\x69\x2F\x6A\x73\x63\x72\x69\x70\x74\x79\x2F\x70\x72\x6F\x6A\x65\x63\x74\x73\x2F\x6F\x70\x65\x6E","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6A\x73\x63\x72\x69\x70\x74\x79\x2F\x74\x65\x6D\x70\x6C\x61\x74\x65\x73","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6A\x73\x63\x72\x69\x70\x74\x79\x2F\x72\x65\x73\x6F\x75\x72\x63\x65\x73","\x2F\x64\x69\x61","\x63\x75\x73\x74\x6F\x6D","\x4E\x47\x5F\x54\x52\x41\x4E\x53\x4C\x41\x54\x45\x5F\x4C\x41\x4E\x47\x5F\x4B\x45\x59","\x67\x65\x74\x49\x74\x65\x6D","\x6C\x6F\x67","\x65\x6E","\x65\x6E\x5F\x45\x4E","\x69\x74","\x6D\x78\x4C\x61\x6E\x67\x75\x61\x67\x65","\x61\x72","\x62\x73","\x63\x73","\x64\x61","\x64\x65","\x65\x6C","\x65\x73","\x65\x73\x2D\x61\x72","\x66\x72","\x68\x75","\x69\x64","\x6A\x61","\x6B\x6F","\x6E\x6C","\x6E\x6F","\x70\x6C","\x70\x74\x2D\x62\x72","\x70\x74","\x72\x6F","\x72\x75","\x73\x72","\x73\x76","\x74\x68","\x74\x72","\x75\x6B","\x7A\x68","\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68","\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68\x2F\x6A\x73","\x55\x53\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x55\x53\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x4D\x61\x6C\x65","\x55\x4B\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x55\x4B\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x4D\x61\x6C\x65","\x41\x75\x73\x74\x72\x61\x6C\x69\x61\x6E\x20\x45\x6E\x67\x6C\x69\x73\x68","\x55\x53\x20\x53\x70\x61\x6E\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x55\x53\x20\x53\x70\x61\x6E\x69\x73\x68\x20\x4D\x61\x6C\x65","\x43\x68\x69\x6E\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x43\x68\x69\x6E\x65\x73\x65\x20\x4D\x61\x6C\x65","\x48\x6F\x6E\x67\x20\x4B\x6F\x6E\x67\x20\x43\x61\x6E\x74\x6F\x6E\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x54\x61\x69\x77\x61\x6E\x20\x43\x68\x69\x6E\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x4A\x61\x70\x61\x6E\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x4A\x61\x70\x61\x6E\x65\x73\x65\x20\x4D\x61\x6C\x65","\x4B\x6F\x72\x65\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x4B\x6F\x72\x65\x61\x6E\x20\x4D\x61\x6C\x65","\x43\x61\x6E\x61\x64\x69\x61\x6E\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x48\x75\x6E\x67\x61\x72\x69\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x42\x72\x61\x7A\x69\x6C\x69\x61\x6E\x20\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x4D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x53\x70\x61\x6E\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x53\x70\x61\x6E\x69\x73\x68\x20\x4D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x43\x61\x74\x61\x6C\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x43\x7A\x65\x63\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x44\x61\x6E\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x46\x69\x6E\x6E\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x46\x72\x65\x6E\x63\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x46\x72\x65\x6E\x63\x68\x20\x4D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x4E\x6F\x72\x77\x65\x67\x69\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x44\x75\x74\x63\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x50\x6F\x6C\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x49\x74\x61\x6C\x69\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x54\x75\x72\x6B\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65\x09","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x54\x75\x72\x6B\x69\x73\x68\x20\x4D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x47\x65\x72\x6D\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x47\x65\x72\x6D\x61\x6E\x20\x4D\x61\x6C\x65","\x52\x75\x73\x73\x69\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x52\x75\x73\x73\x69\x61\x6E\x20\x4D\x61\x6C\x65","\x53\x77\x65\x64\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x43\x61\x6E\x61\x64\x69\x61\x6E\x20\x46\x72\x65\x6E\x63\x68\x20\x46\x65\x6D\x61\x6C\x65","\x43\x61\x6E\x61\x64\x69\x61\x6E\x20\x46\x72\x65\x6E\x63\x68\x20\x4D\x61\x6C\x65","\x45\x6E\x67\x6C\x69\x73\x68\x20\x28\x55\x53\x29","\x45\x6E\x67\x6C\x69\x73\x68\x20\x28\x43\x61\x6E\x61\x64\x61\x29","\x45\x6E\x67\x6C\x69\x73\x68\x20\x28\x55\x4B\x29","\x45\x6E\x67\x6C\x69\x73\x68\x20\x28\x41\x75\x73\x74\x72\x61\x6C\x69\x61\x29","\x53\x70\x61\x6E\x69\x73\x68\x20\x28\x53\x70\x61\x69\x6E\x29","\x53\x70\x61\x6E\x69\x73\x68\x20\x28\x4D\x65\x78\x69\x63\x6F\x29","\x49\x74\x61\x6C\x69\x61\x6E\x20\x28\x49\x74\x61\x6C\x79\x29\x20\x2F\x20\x46\x69\x6E\x6E\x69\x73\x68\x20\x28\x46\x69\x6E\x6C\x61\x6E\x64\x29","\x46\x72\x65\x6E\x63\x68\x20\x28\x46\x72\x61\x6E\x63\x65\x29","\x46\x72\x65\x6E\x63\x68\x20\x28\x43\x61\x6E\x61\x64\x61\x29","\x50\x6F\x6C\x69\x73\x68\x20\x28\x50\x6F\x6C\x61\x6E\x64\x29","\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x28\x50\x6F\x72\x74\x75\x67\x61\x6C\x29","\x43\x61\x74\x61\x6C\x61\x6E\x20\x28\x43\x61\x74\x61\x6C\x61\x6E\x29","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x54\x61\x69\x77\x61\x6E\x29","\x44\x61\x6E\x69\x73\x68\x20\x28\x44\x65\x6E\x6D\x61\x72\x6B\x29","\x47\x65\x72\x6D\x61\x6E\x20\x28\x47\x65\x72\x6D\x61\x6E\x79\x29","\x4A\x61\x70\x61\x6E\x65\x73\x65\x20\x28\x4A\x61\x70\x61\x6E\x29","\x4B\x6F\x72\x65\x61\x6E\x20\x28\x4B\x6F\x72\x65\x61\x29","\x44\x75\x74\x63\x68\x20\x28\x4E\x65\x74\x68\x65\x72\x6C\x61\x6E\x64\x73\x29","\x4E\x6F\x72\x77\x65\x67\x69\x61\x6E\x20\x28\x4E\x6F\x72\x77\x61\x79\x29","\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x28\x42\x72\x61\x7A\x69\x6C\x29","\x52\x75\x73\x73\x69\x61\x6E\x20\x28\x52\x75\x73\x73\x69\x61\x29","\x53\x77\x65\x64\x69\x73\x68\x20\x28\x53\x77\x65\x64\x65\x6E\x29","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x43\x68\x69\x6E\x61\x29","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x48\x6F\x6E\x67\x20\x4B\x6F\x6E\x67\x29","\x44\x65\x66\x61\x75\x6C\x74","\x44\x61\x74\x65","\x55\x53\x20\x4D\x6F\x6E\x65\x79","\x4E\x75\x6D\x62\x65\x72\x73\x20\x74\x6F\x20\x39","\x4E\x75\x6D\x62\x65\x72\x73\x20\x74\x6F\x20\x39\x39","\x4E\x75\x6D\x62\x65\x72\x73\x20\x74\x6F\x20\x39\x39\x39","\x54\x69\x6D\x65","\x50\x68\x6F\x6E\x65\x20\x6E\x75\x6D\x62\x65\x72","\x53\x74\x72\x65\x65\x74\x73","\x55\x53\x20\x43\x69\x74\x79\x2F\x53\x74\x61\x74\x65\x73","\x41\x66\x72\x69\x6B\x61\x61\x6E\x73","\x41\x6C\x62\x61\x6E\x69\x61\x6E","\x41\x6D\x68\x61\x72\x69\x63","\x41\x72\x61\x62\x69\x63","\x41\x72\x6D\x65\x6E\x69\x61\x6E","\x41\x7A\x65\x72\x62\x61\x69\x6A\x61\x6E\x69","\x42\x61\x73\x71\x75\x65","\x42\x65\x6C\x61\x72\x75\x73\x69\x61\x6E","\x42\x65\x6E\x67\x61\x6C\x69","\x42\x69\x68\x61\x72\x69","\x42\x6F\x73\x6E\x69\x61\x6E","\x42\x72\x65\x74\x6F\x6E","\x42\x75\x6C\x67\x61\x72\x69\x61\x6E","\x43\x61\x6D\x62\x6F\x64\x69\x61\x6E","\x43\x61\x74\x61\x6C\x61\x6E","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x53\x69\x6D\x70\x6C\x69\x66\x69\x65\x64\x29","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x54\x72\x61\x64\x69\x74\x69\x6F\x6E\x61\x6C\x29","\x43\x6F\x72\x73\x69\x63\x61\x6E","\x43\x72\x6F\x61\x74\x69\x61\x6E","\x43\x7A\x65\x63\x68","\x44\x61\x6E\x69\x73\x68","\x44\x75\x74\x63\x68","\x45\x6E\x67\x6C\x69\x73\x68","\x45\x73\x70\x65\x72\x61\x6E\x74\x6F","\x45\x73\x74\x6F\x6E\x69\x61\x6E","\x46\x61\x72\x6F\x65\x73\x65","\x46\x69\x6C\x69\x70\x69\x6E\x6F","\x46\x69\x6E\x6E\x69\x73\x68","\x46\x72\x65\x6E\x63\x68","\x46\x72\x69\x73\x69\x61\x6E","\x47\x61\x6C\x69\x63\x69\x61\x6E","\x47\x65\x6F\x72\x67\x69\x61\x6E","\x47\x65\x72\x6D\x61\x6E","\x47\x72\x65\x65\x6B","\x47\x75\x61\x72\x61\x6E\x69","\x47\x75\x6A\x61\x72\x61\x74\x69","\x48\x61\x63\x6B\x65\x72","\x48\x61\x75\x73\x61","\x48\x65\x62\x72\x65\x77","\x48\x69\x6E\x64\x69","\x48\x75\x6E\x67\x61\x72\x69\x61\x6E","\x49\x63\x65\x6C\x61\x6E\x64\x69\x63","\x49\x6E\x64\x6F\x6E\x65\x73\x69\x61\x6E","\x49\x6E\x74\x65\x72\x6C\x69\x6E\x67\x75\x61","\x49\x72\x69\x73\x68","\x49\x74\x61\x6C\x69\x61\x6E","\x4A\x61\x76\x61\x6E\x65\x73\x65","\x4A\x61\x70\x61\x6E\x65\x73\x65","\x4B\x61\x6E\x6E\x61\x64\x61","\x4B\x61\x7A\x61\x6B\x68","\x4B\x69\x6E\x79\x61\x72\x77\x61\x6E\x64\x61","\x4B\x69\x72\x75\x6E\x64\x69","\x4B\x6C\x69\x6E\x67\x6F\x6E","\x4B\x75\x72\x64\x69\x73\x68","\x4B\x6F\x72\x65\x61\x6E","\x4B\x79\x72\x67\x79\x7A","\x4C\x61\x6F\x74\x68\x69\x61\x6E","\x4C\x61\x74\x69\x6E","\x4C\x61\x74\x76\x69\x61\x6E","\x4C\x69\x6E\x67\x61\x6C\x61","\x4C\x69\x74\x68\x75\x61\x6E\x69\x61\x6E","\x4D\x61\x63\x65\x64\x6F\x6E\x69\x61\x6E","\x4D\x61\x6C\x61\x67\x61\x73\x79","\x4D\x61\x6C\x61\x79","\x4D\x61\x6C\x61\x79\x61\x6C\x61\x6D","\x4D\x61\x6C\x74\x65\x73\x65","\x4D\x61\x6F\x72\x69","\x4D\x61\x72\x61\x74\x68\x69","\x4D\x6F\x6C\x64\x61\x76\x69\x61\x6E","\x4D\x6F\x6E\x67\x6F\x6C\x69\x61\x6E","\x4D\x6F\x6E\x74\x65\x6E\x65\x67\x72\x69\x6E","\x4E\x65\x70\x61\x6C\x69","\x4E\x6F\x72\x77\x65\x67\x69\x61\x6E","\x4E\x6F\x72\x77\x65\x67\x69\x61\x6E\x20\x28\x4E\x79\x6E\x6F\x72\x73\x6B\x29","\x4F\x63\x63\x69\x74\x61\x6E","\x4F\x72\x69\x79\x61","\x4F\x72\x6F\x6D\x6F","\x50\x61\x73\x68\x74\x6F","\x50\x65\x72\x73\x69\x61\x6E","\x50\x69\x72\x61\x74\x65","\x50\x6F\x6C\x69\x73\x68","\x50\x75\x6E\x6A\x61\x62\x69","\x51\x75\x65\x63\x68\x75\x61","\x52\x6F\x6D\x61\x6E\x69\x61\x6E","\x52\x6F\x6D\x61\x6E\x73\x68","\x52\x75\x73\x73\x69\x61\x6E","\x53\x63\x6F\x74\x73\x20\x47\x61\x65\x6C\x69\x63","\x53\x65\x72\x62\x69\x61\x6E","\x53\x65\x72\x62\x6F\x2D\x43\x72\x6F\x61\x74\x69\x61\x6E","\x53\x65\x73\x6F\x74\x68\x6F","\x53\x68\x6F\x6E\x61","\x53\x69\x6E\x64\x68\x69","\x53\x69\x6E\x68\x61\x6C\x65\x73\x65","\x53\x6C\x6F\x76\x61\x6B","\x53\x6C\x6F\x76\x65\x6E\x69\x61\x6E","\x53\x6F\x6D\x61\x6C\x69","\x53\x70\x61\x6E\x69\x73\x68","\x53\x75\x6E\x64\x61\x6E\x65\x73\x65","\x53\x77\x61\x68\x69\x6C\x69","\x53\x77\x65\x64\x69\x73\x68","\x54\x61\x6A\x69\x6B","\x54\x61\x6D\x69\x6C","\x54\x61\x74\x61\x72","\x54\x65\x6C\x75\x67\x75","\x54\x68\x61\x69","\x54\x69\x67\x72\x69\x6E\x79\x61","\x54\x6F\x6E\x67\x61","\x54\x75\x72\x6B\x69\x73\x68","\x54\x75\x72\x6B\x6D\x65\x6E","\x54\x77\x69","\x55\x69\x67\x68\x75\x72","\x55\x6B\x72\x61\x69\x6E\x69\x61\x6E","\x55\x72\x64\x75","\x55\x7A\x62\x65\x6B","\x56\x69\x65\x74\x6E\x61\x6D\x65\x73\x65","\x57\x65\x6C\x73\x68","\x58\x68\x6F\x73\x61","\x59\x69\x64\x64\x69\x73\x68","\x59\x6F\x72\x75\x62\x61","\x5A\x75\x6C\x75"];var urlParams=(function(_0xfa5fx2){var _0xfa5fx3= new Object();var _0xfa5fx4=_0xfa5fx2[_0x2df9[3]](_0x2df9[2]);if(_0xfa5fx4>0){var _0xfa5fx5=_0xfa5fx2[_0x2df9[6]](_0xfa5fx4+1)[_0x2df9[5]](_0x2df9[4]);for(var _0xfa5fx6=0;_0xfa5fx6<_0xfa5fx5[_0x2df9[7]];_0xfa5fx6++){_0xfa5fx4=_0xfa5fx5[_0xfa5fx6][_0x2df9[9]](_0x2df9[8]);if(_0xfa5fx4>0){_0xfa5fx3[_0xfa5fx5[_0xfa5fx6][_0x2df9[6]](0,_0xfa5fx4)]=_0xfa5fx5[_0xfa5fx6][_0x2df9[6]](_0xfa5fx4+1)}}};return _0xfa5fx3})(window[_0x2df9[1]][_0x2df9[0]]);var t0= new Date();var MAX_REQUEST_SIZE=10485760;var MAX_WIDTH=12000;var MAX_HEIGHT=12000;var EXPORT_URL=_0x2df9[10];var SHARE_HOST=_0x2df9[11];var SAVE_URL=_0x2df9[12];var VARIABLE_URL=_0x2df9[13];var STENCIL_PATH=_0x2df9[14];var SHAPES_PATH=_0x2df9[15];var IMAGE_PATH=_0x2df9[16];var STYLE_PATH=_0x2df9[17];var CSS_PATH=_0x2df9[17];var OPEN_FORM=_0x2df9[18];var TEMPLATE_PATH=_0x2df9[19];var RESOURCES_PATH=_0x2df9[20];var RESOURCE_BASE=RESOURCES_PATH+_0x2df9[21];var tapAndHoldStartsConnection=true;var showConnectorImg=true;var mxLoadResources=false;console[_0x2df9[25]](_0x2df9[22],localStorage[_0x2df9[24]](_0x2df9[23]));switch(localStorage[_0x2df9[24]](_0x2df9[23])){case _0x2df9[27]:mxLanguage=_0x2df9[26];break;case _0x2df9[28]:mxLanguage=_0x2df9[28];break;default:mxLanguage=_0x2df9[28]};console[_0x2df9[25]](_0x2df9[29],mxLanguage);var mxLanguages=[_0x2df9[30],_0x2df9[31],_0x2df9[32],_0x2df9[33],_0x2df9[34],_0x2df9[35],_0x2df9[36],_0x2df9[37],_0x2df9[38],_0x2df9[39],_0x2df9[40],_0x2df9[28],_0x2df9[41],_0x2df9[42],_0x2df9[43],_0x2df9[44],_0x2df9[45],_0x2df9[46],_0x2df9[47],_0x2df9[48],_0x2df9[49],_0x2df9[50],_0x2df9[51],_0x2df9[52],_0x2df9[53],_0x2df9[54],_0x2df9[55]];var mxBasePath=_0x2df9[56];var geBasePath=_0x2df9[57];var ISPEECHLANG={usenglishfemale:_0x2df9[58],usenglishmale:_0x2df9[59],ukenglishfemale:_0x2df9[60],ukenglishmale:_0x2df9[61],auenglishfemale:_0x2df9[62],usspanishfemale:_0x2df9[63],usspanishmale:_0x2df9[64],chchinesefemale:_0x2df9[65],chchinesemale:_0x2df9[66],hkchinesefemale:_0x2df9[67],twchinesefemale:_0x2df9[68],jpjapanesefemale:_0x2df9[69],jpjapanesemale:_0x2df9[70],krkoreanfemale:_0x2df9[71],krkoreanmale:_0x2df9[72],caenglishfemale:_0x2df9[73],huhungarianfemale:_0x2df9[74],brportuguesefemale:_0x2df9[75],eurportuguesefemale:_0x2df9[76],eurportuguesemale:_0x2df9[77],eurspanishfemale:_0x2df9[78],eurspanishmale:_0x2df9[79],eurcatalanfemale:_0x2df9[80],eurczechfemale:_0x2df9[81],eurdanishfemale:_0x2df9[82],eurfinnishfemale:_0x2df9[83],eurfrenchfemale:_0x2df9[84],eurfrenchmale:_0x2df9[85],eurnorwegianfemale:_0x2df9[86],eurdutchfemale:_0x2df9[87],eurpolishfemale:_0x2df9[88],euritalianfemale:_0x2df9[89],eurturkishfemale:_0x2df9[90],eurturkishmale:_0x2df9[91],eurgermanfemale:_0x2df9[92],eurgermanmale:_0x2df9[93],rurussianfemale:_0x2df9[94],rurussianmale:_0x2df9[95],swswedishfemale:_0x2df9[96],cafrenchfemale:_0x2df9[97],cafrenchmale:_0x2df9[98]};var ISPEECHASRLANG={"\x65\x6E\x2D\x55\x53":_0x2df9[99],"\x65\x6E\x2D\x43\x41":_0x2df9[100],"\x65\x6E\x2D\x47\x42":_0x2df9[101],"\x65\x6E\x2D\x41\x55":_0x2df9[102],"\x65\x73\x2D\x45\x53":_0x2df9[103],"\x65\x73\x2D\x4D\x58":_0x2df9[104],"\x69\x74\x2D\x49\x54":_0x2df9[105],"\x66\x72\x2D\x46\x52":_0x2df9[106],"\x66\x72\x2D\x43\x41":_0x2df9[107],"\x70\x6C\x2D\x50\x4C":_0x2df9[108],"\x70\x74\x2D\x50\x54":_0x2df9[109],"\x63\x61\x2D\x45\x53":_0x2df9[110],"\x7A\x68\x2D\x54\x57":_0x2df9[111],"\x64\x61\x2D\x44\x4B":_0x2df9[112],"\x66\x72\x2D\x46\x52":_0x2df9[113],"\x6A\x61\x2D\x4A\x50":_0x2df9[114],"\x6B\x6F\x2D\x4B\x52":_0x2df9[115],"\x6E\x6C\x2D\x4E\x4C":_0x2df9[116],"\x6E\x62\x2D\x4E\x4F":_0x2df9[117],"\x70\x74\x2D\x42\x52":_0x2df9[118],"\x72\x75\x2D\x52\x55":_0x2df9[119],"\x73\x76\x2D\x53\x45":_0x2df9[120],"\x7A\x68\x2D\x43\x4E":_0x2df9[121],"\x7A\x68\x2D\x48\x4B":_0x2df9[122]};var ISPEECHASRMODEL={0:_0x2df9[123],date:_0x2df9[124],usmoney:_0x2df9[125],numbersto9:_0x2df9[126],numbersto99:_0x2df9[127],numbersto999:_0x2df9[128],time:_0x2df9[129],phonenumber:_0x2df9[130],streets:_0x2df9[131],citystate:_0x2df9[132]};var GOOGLETTSLANG={"\x61\x66":_0x2df9[133],"\x73\x71":_0x2df9[134],"\x61\x6D":_0x2df9[135],"\x61\x72":_0x2df9[136],"\x68\x79":_0x2df9[137],"\x61\x7A":_0x2df9[138],"\x65\x75":_0x2df9[139],"\x62\x65":_0x2df9[140],"\x62\x6E":_0x2df9[141],"\x62\x68":_0x2df9[142],"\x62\x73":_0x2df9[143],"\x62\x72":_0x2df9[144],"\x62\x67":_0x2df9[145],"\x6B\x6D":_0x2df9[146],"\x63\x61":_0x2df9[147],"\x7A\x68\x2D\x43\x4E":_0x2df9[148],"\x7A\x68\x2D\x54\x57":_0x2df9[149],"\x63\x6F":_0x2df9[150],"\x68\x72":_0x2df9[151],"\x63\x73":_0x2df9[152],"\x64\x61":_0x2df9[153],"\x6E\x6C":_0x2df9[154],"\x65\x6E":_0x2df9[155],"\x65\x6F":_0x2df9[156],"\x65\x74":_0x2df9[157],"\x66\x6F":_0x2df9[158],"\x74\x6C":_0x2df9[159],"\x66\x69":_0x2df9[160],"\x66\x72":_0x2df9[161],"\x66\x79":_0x2df9[162],"\x67\x6C":_0x2df9[163],"\x6B\x61":_0x2df9[164],"\x64\x65":_0x2df9[165],"\x65\x6C":_0x2df9[166],"\x67\x6E":_0x2df9[167],"\x67\x75":_0x2df9[168],"\x78\x78\x2D\x68\x61\x63\x6B\x65\x72":_0x2df9[169],"\x68\x61":_0x2df9[170],"\x69\x77":_0x2df9[171],"\x68\x69":_0x2df9[172],"\x68\x75":_0x2df9[173],"\x69\x73":_0x2df9[174],"\x69\x64":_0x2df9[175],"\x69\x61":_0x2df9[176],"\x67\x61":_0x2df9[177],"\x69\x74":_0x2df9[178],"\x6A\x77":_0x2df9[179],"\x6A\x61":_0x2df9[180],"\x6B\x6E":_0x2df9[181],"\x6B\x6B":_0x2df9[182],"\x72\x77":_0x2df9[183],"\x72\x6E":_0x2df9[184],"\x78\x78\x2D\x6B\x6C\x69\x6E\x67\x6F\x6E":_0x2df9[185],"\x6B\x75":_0x2df9[186],"\x6B\x6F":_0x2df9[187],"\x6B\x79":_0x2df9[188],"\x6C\x6F":_0x2df9[189],"\x6C\x61":_0x2df9[190],"\x6C\x76":_0x2df9[191],"\x6C\x6E":_0x2df9[192],"\x6C\x74":_0x2df9[193],"\x6D\x6B":_0x2df9[194],"\x6D\x67":_0x2df9[195],"\x6D\x73":_0x2df9[196],"\x6D\x6C":_0x2df9[197],"\x6D\x74":_0x2df9[198],"\x6D\x69":_0x2df9[199],"\x6D\x72":_0x2df9[200],"\x6D\x6F":_0x2df9[201],"\x6D\x6E":_0x2df9[202],"\x73\x72\x2D\x4D\x45":_0x2df9[203],"\x6E\x65":_0x2df9[204],"\x6E\x6F":_0x2df9[205],"\x6E\x6E":_0x2df9[206],"\x6F\x63":_0x2df9[207],"\x6F\x72":_0x2df9[208],"\x6F\x6D":_0x2df9[209],"\x70\x73":_0x2df9[210],"\x66\x61":_0x2df9[211],"\x78\x78\x2D\x70\x69\x72\x61\x74\x65":_0x2df9[212],"\x70\x6C":_0x2df9[213],"\x70\x74\x2D\x42\x52":_0x2df9[118],"\x70\x74\x2D\x50\x54":_0x2df9[109],"\x70\x61":_0x2df9[214],"\x71\x75":_0x2df9[215],"\x72\x6F":_0x2df9[216],"\x72\x6D":_0x2df9[217],"\x72\x75":_0x2df9[218],"\x67\x64":_0x2df9[219],"\x73\x72":_0x2df9[220],"\x73\x68":_0x2df9[221],"\x73\x74":_0x2df9[222],"\x73\x6E":_0x2df9[223],"\x73\x64":_0x2df9[224],"\x73\x69":_0x2df9[225],"\x73\x6B":_0x2df9[226],"\x73\x6C":_0x2df9[227],"\x73\x6F":_0x2df9[228],"\x65\x73":_0x2df9[229],"\x73\x75":_0x2df9[230],"\x73\x77":_0x2df9[231],"\x73\x76":_0x2df9[232],"\x74\x67":_0x2df9[233],"\x74\x61":_0x2df9[234],"\x74\x74":_0x2df9[235],"\x74\x65":_0x2df9[236],"\x74\x68":_0x2df9[237],"\x74\x69":_0x2df9[238],"\x74\x6F":_0x2df9[239],"\x74\x72":_0x2df9[240],"\x74\x6B":_0x2df9[241],"\x74\x77":_0x2df9[242],"\x75\x67":_0x2df9[243],"\x75\x6B":_0x2df9[244],"\x75\x72":_0x2df9[245],"\x75\x7A":_0x2df9[246],"\x76\x69":_0x2df9[247],"\x63\x79":_0x2df9[248],"\x78\x68":_0x2df9[249],"\x79\x69":_0x2df9[250],"\x79\x6F":_0x2df9[251],"\x7A\x75":_0x2df9[252]} \ No newline at end of file diff --git a/public/assets/plugins/mxgraph/js/mxClient.js b/public/assets/plugins/mxgraph/js/mxClient.js index 5e1ac94..f99d610 100644 --- a/public/assets/plugins/mxgraph/js/mxClient.js +++ b/public/assets/plugins/mxgraph/js/mxClient.js @@ -1 +1 @@ -var _0xb337=["\x32\x2E\x30\x2E\x30\x2E\x30","\x4D\x53\x49\x45","\x69\x6E\x64\x65\x78\x4F\x66","\x75\x73\x65\x72\x41\x67\x65\x6E\x74","\x4D\x53\x49\x45\x20\x36","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x4D\x6F\x64\x65","\x76","\x6F","\x4D\x6F\x7A\x69\x6C\x6C\x61\x2F","\x4F\x70\x65\x72\x61\x2F","\x50\x72\x65\x73\x74\x6F\x2F\x32\x2E\x34\x2E","\x50\x72\x65\x73\x74\x6F\x2F\x32\x2E\x33\x2E","\x50\x72\x65\x73\x74\x6F\x2F\x32\x2E\x32\x2E","\x50\x72\x65\x73\x74\x6F\x2F\x32\x2E\x31\x2E","\x50\x72\x65\x73\x74\x6F\x2F\x32\x2E\x30\x2E","\x50\x72\x65\x73\x74\x6F\x2F\x31\x2E","\x41\x70\x70\x6C\x65\x57\x65\x62\x4B\x69\x74\x2F","\x43\x68\x72\x6F\x6D\x65\x2F","\x46\x69\x72\x65\x66\x6F\x78\x2F","\x46\x69\x72\x65\x66\x6F\x78\x2F\x31\x2E","\x46\x69\x72\x65\x66\x6F\x78\x2F\x32\x2E","\x49\x63\x65\x77\x65\x61\x73\x65\x6C\x2F","\x49\x63\x65\x77\x65\x61\x73\x65\x6C\x2F\x31\x2E","\x49\x63\x65\x77\x65\x61\x73\x65\x6C\x2F\x32\x2E","\x53\x65\x61\x4D\x6F\x6E\x6B\x65\x79\x2F","\x53\x65\x61\x4D\x6F\x6E\x6B\x65\x79\x2F\x31\x2E","\x49\x63\x65\x61\x70\x65\x2F","\x49\x63\x65\x61\x70\x65\x2F\x31\x2E","\x53\x65\x61\x6D\x6F\x6E\x6B\x65\x79\x2F","\x47\x61\x6C\x65\x6F\x6E\x2F","\x45\x70\x69\x70\x68\x61\x6E\x79\x2F","\x47\x65\x63\x6B\x6F\x2F","\x43\x61\x6D\x69\x6E\x6F\x2F\x31\x2E","\x45\x70\x69\x70\x68\x61\x6E\x79\x2F\x32\x2E","\x4D\x6F\x7A\x69\x6C\x6C\x61\x2F\x32\x2E","\x4D\x49\x43\x52\x4F\x53\x4F\x46\x54\x20\x49\x4E\x54\x45\x52\x4E\x45\x54\x20\x45\x58\x50\x4C\x4F\x52\x45\x52","\x74\x6F\x55\x70\x70\x65\x72\x43\x61\x73\x65","\x61\x70\x70\x4E\x61\x6D\x65","\x4D\x41\x43\x49\x4E\x54\x4F\x53\x48","\x49\x50\x41\x44","\x49\x50\x4F\x44","\x49\x50\x48\x4F\x4E\x45","\x41\x4E\x44\x52\x4F\x49\x44","\x68\x74\x74\x70\x3A\x2F\x2F","\x68\x72\x65\x66","\x6C\x6F\x63\x61\x74\x69\x6F\x6E","\x68\x74\x74\x70\x73\x3A\x2F\x2F","\x49\x53\x5F\x56\x4D\x4C","\x49\x53\x5F\x53\x56\x47","\x49\x53\x5F\x49\x45\x36","\x3C\x6C\x69\x6E\x6B\x20\x72\x65\x6C\x3D\x22","\x22\x20\x68\x72\x65\x66\x3D\x22","\x22\x20\x63\x68\x61\x72\x73\x65\x74\x3D\x22\x49\x53\x4F\x2D\x38\x38\x35\x39\x2D\x31\x22\x20\x74\x79\x70\x65\x3D\x22\x74\x65\x78\x74\x2F\x63\x73\x73\x22\x2F\x3E","\x77\x72\x69\x74\x65","\x6C\x69\x6E\x6B","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x72\x65\x6C","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x68\x61\x72\x73\x65\x74","\x49\x53\x4F\x2D\x38\x38\x35\x39\x2D\x31","\x74\x79\x70\x65","\x74\x65\x78\x74\x2F\x63\x73\x73","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x68\x65\x61\x64","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74\x73\x42\x79\x54\x61\x67\x4E\x61\x6D\x65","\x3C\x73\x63\x72\x69\x70\x74\x20\x73\x72\x63\x3D\x22","\x22\x3E\x3C\x2F\x73\x63\x72\x69\x70\x74\x3E","\x6C\x65\x6E\x67\x74\x68","\x6F\x62\x6A\x65\x63\x74\x73","\x6D\x78\x4C\x69\x73\x74\x65\x6E\x65\x72\x4C\x69\x73\x74","\x72\x65\x6D\x6F\x76\x65\x41\x6C\x6C\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x49\x53\x5F\x4F\x50","\x49\x53\x5F\x4F\x54","\x43\x53\x53\x5F\x50\x52\x45\x46\x49\x58","\x4F","\x49\x53\x5F\x53\x46","\x49\x53\x5F\x47\x43","\x57\x65\x62\x6B\x69\x74","\x49\x53\x5F\x4D\x54","\x4D\x6F\x7A","\x49\x53\x5F\x49\x45","\x6D\x73","\x75\x6E\x64\x65\x66\x69\x6E\x65\x64","\x2E\x74\x78\x74","\x2F","\x73\x75\x62\x73\x74\x72\x69\x6E\x67","\x62\x61\x73\x65\x50\x61\x74\x68","\x2E","\x69\x6D\x61\x67\x65\x42\x61\x73\x65\x50\x61\x74\x68","\x2F\x69\x6D\x61\x67\x65\x73","\x6C\x61\x6E\x67\x75\x61\x67\x65","\x75\x73\x65\x72\x4C\x61\x6E\x67\x75\x61\x67\x65","\x64\x65\x66\x61\x75\x6C\x74\x4C\x61\x6E\x67\x75\x61\x67\x65","\x65\x6E","\x73\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x2F\x63\x73\x73\x2F\x63\x6F\x6D\x6D\x6F\x6E\x2E\x63\x73\x73","\x6C\x61\x6E\x67\x75\x61\x67\x65\x73","\x75\x72\x6E\x3A\x73\x63\x68\x65\x6D\x61\x73\x2D\x6D\x69\x63\x72\x6F\x73\x6F\x66\x74\x2D\x63\x6F\x6D\x3A\x76\x6D\x6C","\x23\x64\x65\x66\x61\x75\x6C\x74\x23\x56\x4D\x4C","\x61\x64\x64","\x6E\x61\x6D\x65\x73\x70\x61\x63\x65\x73","\x75\x72\x6E\x3A\x73\x63\x68\x65\x6D\x61\x73\x2D\x6D\x69\x63\x72\x6F\x73\x6F\x66\x74\x2D\x63\x6F\x6D\x3A\x6F\x66\x66\x69\x63\x65\x3A\x6F\x66\x66\x69\x63\x65","\x63\x72\x65\x61\x74\x65\x53\x74\x79\x6C\x65\x53\x68\x65\x65\x74","\x63\x73\x73\x54\x65\x78\x74","\x76\x5C\x3A\x2A\x7B\x62\x65\x68\x61\x76\x69\x6F\x72\x3A\x75\x72\x6C\x28\x23\x64\x65\x66\x61\x75\x6C\x74\x23\x56\x4D\x4C\x29\x7D\x6F\x5C\x3A\x2A\x7B\x62\x65\x68\x61\x76\x69\x6F\x72\x3A\x75\x72\x6C\x28\x23\x64\x65\x66\x61\x75\x6C\x74\x23\x56\x4D\x4C\x29\x7D","\x2F\x63\x73\x73\x2F\x65\x78\x70\x6C\x6F\x72\x65\x72\x2E\x63\x73\x73","\x6F\x6E\x75\x6E\x6C\x6F\x61\x64","\x64\x69\x73\x70\x6F\x73\x65","\x61\x74\x74\x61\x63\x68\x45\x76\x65\x6E\x74","\x43\x6F\x6E\x73\x6F\x6C\x65","","\x77\x69\x6E\x64\x6F\x77","\x62\x6F\x64\x79","\x63\x6F\x6E\x73\x6F\x6C\x65\x4E\x61\x6D\x65","\x20\x2D\x20\x6D\x78\x47\x72\x61\x70\x68\x20","\x56\x45\x52\x53\x49\x4F\x4E","\x74\x61\x62\x6C\x65","\x77\x69\x64\x74\x68","\x31\x30\x30\x25","\x68\x65\x69\x67\x68\x74","\x74\x62\x6F\x64\x79","\x74\x72","\x74\x64","\x76\x65\x72\x74\x69\x63\x61\x6C\x41\x6C\x69\x67\x6E","\x73\x74\x79\x6C\x65","\x74\x6F\x70","\x74\x65\x78\x74\x61\x72\x65\x61","\x72\x65\x61\x64\x4F\x6E\x6C\x79","\x74\x72\x75\x65","\x72\x65\x73\x69\x7A\x65","\x6E\x6F\x6E\x65","\x76\x61\x6C\x75\x65","\x62\x75\x66\x66\x65\x72","\x49\x53\x5F\x4E\x53","\x42\x61\x63\x6B\x43\x6F\x6D\x70\x61\x74","\x63\x6F\x6D\x70\x61\x74\x4D\x6F\x64\x65","\x39\x39\x25","\x33\x30\x70\x78","\x49\x6E\x66\x6F","\x69\x6E\x66\x6F","\x61\x64\x64\x42\x75\x74\x74\x6F\x6E","\x44\x4F\x4D","\x67\x65\x74\x49\x6E\x6E\x65\x72\x48\x74\x6D\x6C","\x64\x65\x62\x75\x67","\x54\x72\x61\x63\x65","\x54\x52\x41\x43\x45","\x54\x72\x61\x63\x69\x6E\x67\x20\x65\x6E\x61\x62\x6C\x65\x64","\x54\x72\x61\x63\x69\x6E\x67\x20\x64\x69\x73\x61\x62\x6C\x65\x64","\x43\x6F\x70\x79","\x63\x6F\x70\x79","\x61\x6C\x65\x72\x74","\x53\x68\x6F\x77","\x70\x6F\x70\x75\x70","\x43\x6C\x65\x61\x72","\x6E\x75\x6D\x62\x65\x72","\x69\x6E\x6E\x65\x72\x57\x69\x64\x74\x68","\x69\x6E\x6E\x65\x72\x48\x65\x69\x67\x68\x74","\x63\x6C\x69\x65\x6E\x74\x48\x65\x69\x67\x68\x74","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x63\x6C\x69\x65\x6E\x74\x57\x69\x64\x74\x68","\x6D\x61\x78","\x73\x65\x74\x4D\x61\x78\x69\x6D\x69\x7A\x61\x62\x6C\x65","\x73\x65\x74\x53\x63\x72\x6F\x6C\x6C\x61\x62\x6C\x65","\x73\x65\x74\x52\x65\x73\x69\x7A\x61\x62\x6C\x65","\x73\x65\x74\x43\x6C\x6F\x73\x61\x62\x6C\x65","\x64\x65\x73\x74\x72\x6F\x79\x4F\x6E\x43\x6C\x6F\x73\x65","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x6F\x66\x66\x73\x65\x74\x48\x65\x69\x67\x68\x74","\x70\x78","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x39\x32\x70\x78","\x77\x72\x69\x74\x65\x6C\x6E","\x62\x75\x74\x74\x6F\x6E","\x63\x6C\x69\x63\x6B","\x69\x73\x56\x69\x73\x69\x62\x6C\x65","\x73\x65\x74\x56\x69\x73\x69\x62\x6C\x65","\x69\x6E\x69\x74","\x45\x6E\x74\x65\x72\x69\x6E\x67\x20","\x67\x65\x74\x54\x69\x6D\x65","\x20\x28","\x20\x6D\x73\x29","\x4C\x65\x61\x76\x69\x6E\x67\x20","\x44\x45\x42\x55\x47","\x61\x70\x70\x6C\x79","\x57\x41\x52\x4E","\x20","\x50\x72\x65\x73\x74\x6F\x2F\x32\x2E\x35","\x76\x69\x73\x69\x62\x69\x6C\x69\x74\x79","\x68\x69\x64\x64\x65\x6E","\x76\x69\x73\x69\x62\x6C\x65","\x73\x63\x72\x6F\x6C\x6C\x54\x6F\x70","\x73\x63\x72\x6F\x6C\x6C\x48\x65\x69\x67\x68\x74","\x0A","\x6D\x78\x4F\x62\x6A\x65\x63\x74\x49\x64","\x6F\x62\x6A\x65\x63\x74","\x46\x49\x45\x4C\x44\x5F\x4E\x41\x4D\x45","\x63\x6F\x6E\x73\x74\x72\x75\x63\x74\x6F\x72","\x67\x65\x74\x46\x75\x6E\x63\x74\x69\x6F\x6E\x4E\x61\x6D\x65","\x23","\x63\x6F\x75\x6E\x74\x65\x72","\x63\x6C\x65\x61\x72","\x6D\x61\x70","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x67\x65\x74","\x70\x75\x74","\x72\x65\x6D\x6F\x76\x65","\x67\x65\x74\x4B\x65\x79\x73","\x70\x75\x73\x68","\x67\x65\x74\x56\x61\x6C\x75\x65\x73","\x76\x69\x73\x69\x74","\x6C\x6F\x61\x64\x44\x65\x66\x61\x75\x6C\x74\x42\x75\x6E\x64\x6C\x65","\x69\x73\x4C\x61\x6E\x67\x75\x61\x67\x65\x53\x75\x70\x70\x6F\x72\x74\x65\x64","\x65\x78\x74\x65\x6E\x73\x69\x6F\x6E","\x2D","\x6C\x6F\x61\x64\x53\x70\x65\x63\x69\x61\x6C\x42\x75\x6E\x64\x6C\x65","\x5F","\x74\x6F\x4C\x6F\x77\x65\x72\x43\x61\x73\x65","\x4E\x4F\x4E\x45","\x67\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x42\x75\x6E\x64\x6C\x65","\x6C\x6F\x61\x64","\x69\x73\x52\x65\x61\x64\x79","\x67\x65\x74\x54\x65\x78\x74","\x70\x61\x72\x73\x65","\x67\x65\x74\x53\x70\x65\x63\x69\x61\x6C\x42\x75\x6E\x64\x6C\x65","\x73\x70\x6C\x69\x74","\x63\x68\x61\x72\x41\x74","\x3D","\x63\x68\x61\x72\x43\x6F\x64\x65\x41\x74","\x72\x65\x73\x6F\x75\x72\x63\x65\x73\x45\x6E\x63\x6F\x64\x65\x64","\x25","\x72\x65\x70\x6C\x61\x63\x65","\x72\x65\x73\x6F\x75\x72\x63\x65\x73","\x7B","\x7D","\x6A\x6F\x69\x6E","\x78","\x79","\x65\x71\x75\x61\x6C\x73","\x63\x6C\x6F\x6E\x65","\x63\x61\x6C\x6C","\x73\x65\x74\x52\x65\x63\x74","\x67\x65\x74\x43\x65\x6E\x74\x65\x72\x58","\x67\x65\x74\x43\x65\x6E\x74\x65\x72\x59","\x6D\x69\x6E","\x67\x72\x6F\x77","\x67\x65\x74\x50\x6F\x69\x6E\x74","\x63\x65\x6C\x6C","\x63\x68\x69\x6C\x64","\x67\x65\x74\x53\x74\x61\x74\x65","\x67\x65\x74\x56\x69\x65\x77","\x69\x73\x45\x64\x67\x65","\x6D\x6F\x64\x65\x6C","\x6E\x6F\x64\x65","\x73\x68\x61\x70\x65","\x73\x65\x74\x4F\x70\x61\x63\x69\x74\x79","\x73\x63\x61\x6C\x65","\x67\x65\x6F\x6D\x65\x74\x72\x79","\x70\x72\x65\x76\x69\x6F\x75\x73","\x72\x65\x64\x72\x61\x77","\x63\x65\x6C\x6C\x52\x65\x6E\x64\x65\x72\x65\x72","\x63\x61\x73\x63\x61\x64\x65\x4F\x70\x61\x63\x69\x74\x79","\x73\x65\x74\x54\x69\x6D\x65\x6F\x75\x74","\x67\x65\x74\x43\x68\x69\x6C\x64\x43\x6F\x75\x6E\x74","\x67\x65\x74\x43\x68\x69\x6C\x64\x41\x74","\x67\x65\x74\x45\x64\x67\x65\x73","\x70\x61\x72\x65\x6E\x74\x4E\x6F\x64\x65","\x72\x65\x6D\x6F\x76\x65\x43\x68\x69\x6C\x64","\x65\x72\x72\x6F\x72","\x63\x6C\x6F\x73\x65","\x2F\x65\x72\x72\x6F\x72\x2E\x67\x69\x66","\x63\x75\x72\x73\x6F\x72","\x63\x68\x69\x6C\x64\x4E\x6F\x64\x65\x73","\x72\x65\x6D\x6F\x76\x65\x43\x75\x72\x73\x6F\x72\x73","\x63\x75\x72\x72\x65\x6E\x74\x53\x74\x79\x6C\x65","\x67\x65\x74\x43\x6F\x6D\x70\x75\x74\x65\x64\x53\x74\x79\x6C\x65","\x67\x65\x74\x43\x75\x72\x72\x65\x6E\x74\x53\x74\x79\x6C\x65","\x73\x63\x72\x6F\x6C\x6C","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x61\x75\x74\x6F","\x66\x75\x6E\x63\x74\x69\x6F\x6E","\x76\x61\x72\x20\x5F\x6D\x78\x4A\x61\x76\x61\x53\x63\x72\x69\x70\x74\x45\x78\x70\x72\x65\x73\x73\x69\x6F\x6E\x3D","\x6D\x65\x73\x73\x61\x67\x65","\x20\x77\x68\x69\x6C\x65\x20\x65\x76\x61\x6C\x75\x61\x74\x69\x6E\x67\x20","\x77\x61\x72\x6E","\x67\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x66\x69\x72\x73\x74\x43\x68\x69\x6C\x64","\x66\x69\x6E\x64\x4E\x6F\x64\x65","\x6E\x65\x78\x74\x53\x69\x62\x6C\x69\x6E\x67","\x6E\x6F\x64\x65\x54\x79\x70\x65","\x4E\x4F\x44\x45\x54\x59\x50\x45\x5F\x45\x4C\x45\x4D\x45\x4E\x54","\x66\x69\x6E\x64\x4E\x6F\x64\x65\x42\x79\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x2F\x2F\x2A\x5B\x40","\x3D\x27","\x27\x5D","\x73\x65\x6C\x65\x63\x74\x53\x69\x6E\x67\x6C\x65\x4E\x6F\x64\x65","\x6F\x77\x6E\x65\x72\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x69\x74\x65\x72\x61\x74\x65\x4E\x65\x78\x74","\x65\x76\x61\x6C\x75\x61\x74\x65","\x6E\x61\x6D\x65","\x28","\x73\x70\x6C\x69\x63\x65","\x6E\x6F\x64\x65\x4E\x61\x6D\x65","\x69\x6D\x70\x6C\x65\x6D\x65\x6E\x74\x61\x74\x69\x6F\x6E","\x63\x72\x65\x61\x74\x65\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x41\x63\x74\x69\x76\x65\x58\x4F\x62\x6A\x65\x63\x74","\x4D\x69\x63\x72\x6F\x73\x6F\x66\x74\x2E\x58\x4D\x4C\x44\x4F\x4D","\x63\x72\x65\x61\x74\x65\x58\x6D\x6C\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x61\x73\x79\x6E\x63","\x66\x61\x6C\x73\x65","\x6C\x6F\x61\x64\x58\x4D\x4C","\x74\x65\x78\x74\x2F\x78\x6D\x6C","\x70\x61\x72\x73\x65\x46\x72\x6F\x6D\x53\x74\x72\x69\x6E\x67","\x73\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x65\x6D\x70\x74\x79","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x72\x65\x6D\x6F\x76\x65\x41\x6C\x6C\x52\x61\x6E\x67\x65\x73","\x20\x20","\x4E\x4F\x44\x45\x54\x59\x50\x45\x5F\x54\x45\x58\x54","\x6E\x6F\x64\x65\x56\x61\x6C\x75\x65","\x3C","\x61\x74\x74\x72\x69\x62\x75\x74\x65\x73","\x68\x74\x6D\x6C\x45\x6E\x74\x69\x74\x69\x65\x73","\x3D\x22","\x22","\x3E\x0A","\x67\x65\x74\x50\x72\x65\x74\x74\x79\x58\x6D\x6C","\x3C\x2F","\x2F\x3E\x0A","\x70\x72\x65\x76\x69\x6F\x75\x73\x53\x69\x62\x6C\x69\x6E\x67","\x67\x65\x74\x54\x65\x78\x74\x43\x6F\x6E\x74\x65\x6E\x74","\x74\x72\x69\x6D","\x26\x61\x6D\x70\x3B","\x26\x71\x75\x6F\x74\x3B","\x26\x23\x33\x39\x3B","\x26\x6C\x74\x3B","\x26\x67\x74\x3B","\x26\x23\x78\x61\x3B","\x74\x61\x67\x55\x72\x6E","\x78\x6D\x6C","\x69\x6E\x6E\x65\x72\x48\x54\x4D\x4C","\x73\x65\x72\x69\x61\x6C\x69\x7A\x65\x54\x6F\x53\x74\x72\x69\x6E\x67","\x3E","\x6F\x75\x74\x65\x72\x48\x54\x4D\x4C","\x2F\x3E","\x63\x72\x65\x61\x74\x65\x54\x65\x78\x74\x4E\x6F\x64\x65","\x62\x72","\x70","\x66\x69\x6C\x74\x65\x72","\x70\x72\x6F\x67\x69\x64\x3A\x44\x58\x49\x6D\x61\x67\x65\x54\x72\x61\x6E\x73\x66\x6F\x72\x6D\x2E\x4D\x69\x63\x72\x6F\x73\x6F\x66\x74\x2E\x41\x6C\x70\x68\x61\x49\x6D\x61\x67\x65\x4C\x6F\x61\x64\x65\x72\x28\x73\x72\x63\x3D\x27","\x2F\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x2E\x67\x69\x66\x27\x2C\x20\x73\x69\x7A\x69\x6E\x67\x4D\x65\x74\x68\x6F\x64\x3D\x27\x73\x63\x61\x6C\x65\x27\x29","\x65\x78\x65\x63\x75\x74\x65","\x73\x70\x61\x6E","\x63\x6F\x6C\x6F\x72","\x62\x6C\x75\x65","\x74\x65\x78\x74\x44\x65\x63\x6F\x72\x61\x74\x69\x6F\x6E","\x75\x6E\x64\x65\x72\x6C\x69\x6E\x65","\x70\x6F\x69\x6E\x74\x65\x72","\x70\x61\x64\x64\x69\x6E\x67\x4C\x65\x66\x74","\x6F\x66\x66\x73\x65\x74\x4C\x65\x66\x74","\x6F\x66\x66\x73\x65\x74\x57\x69\x64\x74\x68","\x73\x63\x72\x6F\x6C\x6C\x4C\x65\x66\x74","\x6C\x65\x66\x74","\x6F\x66\x66\x73\x65\x74\x54\x6F\x70","\x55\x6E\x69\x76\x65\x72\x73\x61\x6C\x58\x50\x43\x6F\x6E\x6E\x65\x63\x74","\x65\x6E\x61\x62\x6C\x65\x50\x72\x69\x76\x69\x6C\x65\x67\x65","\x50\x72\x69\x76\x69\x6C\x65\x67\x65\x4D\x61\x6E\x61\x67\x65\x72","\x73\x65\x63\x75\x72\x69\x74\x79","\x50\x65\x72\x6D\x69\x73\x73\x69\x6F\x6E\x20\x74\x6F\x20\x72\x65\x61\x64\x20\x66\x69\x6C\x65\x20\x64\x65\x6E\x69\x65\x64\x2E","\x6E\x73\x49\x4C\x6F\x63\x61\x6C\x46\x69\x6C\x65","\x69\x6E\x74\x65\x72\x66\x61\x63\x65\x73","\x63\x72\x65\x61\x74\x65\x49\x6E\x73\x74\x61\x6E\x63\x65","\x40\x6D\x6F\x7A\x69\x6C\x6C\x61\x2E\x6F\x72\x67\x2F\x66\x69\x6C\x65\x2F\x6C\x6F\x63\x61\x6C\x3B\x31","\x63\x6C\x61\x73\x73\x65\x73","\x69\x6E\x69\x74\x57\x69\x74\x68\x50\x61\x74\x68","\x65\x78\x69\x73\x74\x73","\x46\x69\x6C\x65\x20\x6E\x6F\x74\x20\x66\x6F\x75\x6E\x64\x2E","\x6E\x73\x49\x46\x69\x6C\x65\x49\x6E\x70\x75\x74\x53\x74\x72\x65\x61\x6D","\x40\x6D\x6F\x7A\x69\x6C\x6C\x61\x2E\x6F\x72\x67\x2F\x6E\x65\x74\x77\x6F\x72\x6B\x2F\x66\x69\x6C\x65\x2D\x69\x6E\x70\x75\x74\x2D\x73\x74\x72\x65\x61\x6D\x3B\x31","\x6E\x73\x49\x53\x63\x72\x69\x70\x74\x61\x62\x6C\x65\x49\x6E\x70\x75\x74\x53\x74\x72\x65\x61\x6D","\x40\x6D\x6F\x7A\x69\x6C\x6C\x61\x2E\x6F\x72\x67\x2F\x73\x63\x72\x69\x70\x74\x61\x62\x6C\x65\x69\x6E\x70\x75\x74\x73\x74\x72\x65\x61\x6D\x3B\x31","\x61\x76\x61\x69\x6C\x61\x62\x6C\x65","\x72\x65\x61\x64","\x53\x63\x72\x69\x70\x74\x69\x6E\x67\x2E\x46\x69\x6C\x65\x53\x79\x73\x74\x65\x6D\x4F\x62\x6A\x65\x63\x74","\x72\x65\x61\x64\x41\x6C\x6C","\x50\x65\x72\x6D\x69\x73\x73\x69\x6F\x6E\x20\x74\x6F\x20\x77\x72\x69\x74\x65\x20\x66\x69\x6C\x65\x20\x64\x65\x6E\x69\x65\x64\x2E","\x63\x72\x65\x61\x74\x65","\x6E\x73\x49\x46\x69\x6C\x65\x4F\x75\x74\x70\x75\x74\x53\x74\x72\x65\x61\x6D","\x40\x6D\x6F\x7A\x69\x6C\x6C\x61\x2E\x6F\x72\x67\x2F\x6E\x65\x74\x77\x6F\x72\x6B\x2F\x66\x69\x6C\x65\x2D\x6F\x75\x74\x70\x75\x74\x2D\x73\x74\x72\x65\x61\x6D\x3B\x31","\x66\x6C\x75\x73\x68","\x69\x66\x72\x61\x6D\x65","\x73\x72\x63","\x63\x6F\x6E\x74\x65\x6E\x74\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x6F\x70\x65\x6E","\x66\x6F\x63\x75\x73","\x50\x65\x72\x6D\x69\x73\x73\x69\x6F\x6E\x20\x74\x6F\x20\x73\x61\x76\x65\x20\x64\x6F\x63\x75\x6D\x65\x6E\x74\x20\x64\x65\x6E\x69\x65\x64\x2E","\x64\x6F\x63\x75\x6D\x65\x6E\x74","\x63\x6F\x6E\x74\x65\x6E\x74\x57\x69\x6E\x64\x6F\x77","\x53\x61\x76\x65\x41\x73","\x65\x78\x65\x63\x43\x6F\x6D\x6D\x61\x6E\x64","\x63\x6C\x69\x70\x62\x6F\x61\x72\x64\x44\x61\x74\x61","\x54\x65\x78\x74","\x73\x65\x74\x44\x61\x74\x61","\x6E\x73\x49\x43\x6C\x69\x70\x62\x6F\x61\x72\x64","\x40\x6D\x6F\x7A\x69\x6C\x6C\x61\x2E\x6F\x72\x67\x2F\x77\x69\x64\x67\x65\x74\x2F\x63\x6C\x69\x70\x62\x6F\x61\x72\x64\x3B\x31","\x6E\x73\x49\x54\x72\x61\x6E\x73\x66\x65\x72\x61\x62\x6C\x65","\x40\x6D\x6F\x7A\x69\x6C\x6C\x61\x2E\x6F\x72\x67\x2F\x77\x69\x64\x67\x65\x74\x2F\x74\x72\x61\x6E\x73\x66\x65\x72\x61\x62\x6C\x65\x3B\x31","\x74\x65\x78\x74\x2F\x75\x6E\x69\x63\x6F\x64\x65","\x61\x64\x64\x44\x61\x74\x61\x46\x6C\x61\x76\x6F\x72","\x6E\x73\x49\x53\x75\x70\x70\x6F\x72\x74\x73\x53\x74\x72\x69\x6E\x67","\x40\x6D\x6F\x7A\x69\x6C\x6C\x61\x2E\x6F\x72\x67\x2F\x73\x75\x70\x70\x6F\x72\x74\x73\x2D\x73\x74\x72\x69\x6E\x67\x3B\x31","\x64\x61\x74\x61","\x73\x65\x74\x54\x72\x61\x6E\x73\x66\x65\x72\x44\x61\x74\x61","\x6B\x47\x6C\x6F\x62\x61\x6C\x43\x6C\x69\x70\x62\x6F\x61\x72\x64","\x47\x45\x54","\x73\x65\x6E\x64","\x73\x69\x6D\x75\x6C\x61\x74\x65","\x6F\x6E\x72\x65\x61\x64\x79\x73\x74\x61\x74\x65\x63\x68\x61\x6E\x67\x65","\x72\x65\x61\x64\x79\x53\x74\x61\x74\x65","\x61\x64\x64\x45\x76\x65\x6E\x74\x4C\x69\x73\x74\x65\x6E\x65\x72","\x20\x3D\x20\x5B\x6E\x75\x6C\x6C\x5D\x0A","\x20\x3D\x3E\x20\x5B\x46\x75\x6E\x63\x74\x69\x6F\x6E\x5D\x0A","\x20\x3D\x3E\x20\x5B","\x5D\x0A","\x20\x3D\x20","\x50\x49","\x61\x62\x73","\x63\x6F\x73","\x73\x69\x6E","\x73\x71\x72\x74","\x61\x74\x61\x6E\x32","\x63\x65\x69\x6C","\x74\x6F\x52\x61\x64\x69\x61\x6E\x73","\x67\x65\x74\x52\x6F\x74\x61\x74\x65\x64\x50\x6F\x69\x6E\x74","\x67\x65\x74\x56\x61\x6C\x75\x65","\x44\x49\x52\x45\x43\x54\x49\x4F\x4E\x5F\x4D\x41\x53\x4B\x5F\x4E\x4F\x4E\x45","\x44\x49\x52\x45\x43\x54\x49\x4F\x4E\x5F\x4D\x41\x53\x4B\x5F\x4E\x4F\x52\x54\x48","\x44\x49\x52\x45\x43\x54\x49\x4F\x4E\x5F\x4D\x41\x53\x4B\x5F\x57\x45\x53\x54","\x44\x49\x52\x45\x43\x54\x49\x4F\x4E\x5F\x4D\x41\x53\x4B\x5F\x53\x4F\x55\x54\x48","\x44\x49\x52\x45\x43\x54\x49\x4F\x4E\x5F\x4D\x41\x53\x4B\x5F\x45\x41\x53\x54","\x61\x62\x73\x6F\x6C\x75\x74\x65\x50\x6F\x69\x6E\x74\x73","\x70\x74\x53\x65\x67\x44\x69\x73\x74\x53\x71","\x76\x69\x65\x77","\x63\x6F\x6E\x74\x61\x69\x6E\x73","\x6F\x66\x66\x73\x65\x74\x50\x61\x72\x65\x6E\x74","\x67\x65\x74\x53\x63\x72\x6F\x6C\x6C\x4F\x72\x69\x67\x69\x6E","\x67\x65\x74\x4F\x66\x66\x73\x65\x74","\x5E\x5B","\x5C\x73","\x5D\x2B","\x67","\x5B","\x5D\x2B\x24","\x72\x74\x72\x69\x6D","\x6C\x74\x72\x69\x6D","\x30\x78","\x30\x58","\x61\x6E\x69\x6D\x61\x74\x65\x43\x68\x61\x6E\x67\x65\x73","\x66\x61\x64\x65\x4F\x75\x74","\x69\x73\x56\x6D\x6C","\x61\x6C\x70\x68\x61\x28\x6F\x70\x61\x63\x69\x74\x79\x3D","\x29","\x6F\x70\x61\x63\x69\x74\x79","\x43\x53\x53\x31\x43\x6F\x6D\x70\x61\x74","\x56\x4D\x4C\x5F\x50\x52\x45\x46\x49\x58","\x3A\x69\x6D\x61\x67\x65","\x62\x6F\x72\x64\x65\x72\x53\x74\x79\x6C\x65","\x69\x6D\x67","\x62\x6F\x72\x64\x65\x72","\x30","\x63\x6F\x6D\x70\x61\x72\x65","\x73\x6F\x72\x74","\x3B","\x69\x6E\x64\x65\x78\x4F\x66\x53\x74\x79\x6C\x65\x6E\x61\x6D\x65","\x62\x65\x67\x69\x6E\x55\x70\x64\x61\x74\x65","\x67\x65\x74\x53\x74\x79\x6C\x65","\x73\x65\x74\x53\x74\x79\x6C\x65","\x65\x6E\x64\x55\x70\x64\x61\x74\x65","\x73\x65\x74\x53\x74\x79\x6C\x65\x46\x6C\x61\x67","\x3D\x30","\x41\x4C\x49\x47\x4E\x5F\x43\x45\x4E\x54\x45\x52","\x41\x4C\x49\x47\x4E\x5F\x52\x49\x47\x48\x54","\x41\x4C\x49\x47\x4E\x5F\x4D\x49\x44\x44\x4C\x45","\x41\x4C\x49\x47\x4E\x5F\x42\x4F\x54\x54\x4F\x4D","\x44\x45\x46\x41\x55\x4C\x54\x5F\x46\x4F\x4E\x54\x53\x49\x5A\x45","\x44\x45\x46\x41\x55\x4C\x54\x5F\x46\x4F\x4E\x54\x46\x41\x4D\x49\x4C\x59","\x64\x69\x76","\x66\x6F\x6E\x74\x46\x61\x6D\x69\x6C\x79","\x66\x6F\x6E\x74\x53\x69\x7A\x65","\x72\x6F\x75\x6E\x64","\x6C\x69\x6E\x65\x48\x65\x69\x67\x68\x74","\x4C\x49\x4E\x45\x5F\x48\x45\x49\x47\x48\x54","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x61\x62\x73\x6F\x6C\x75\x74\x65","\x77\x68\x69\x74\x65\x53\x70\x61\x63\x65","\x6E\x6F\x77\x72\x61\x70","\x64\x69\x73\x70\x6C\x61\x79","\x49\x53\x5F\x51\x55\x49\x52\x4B\x53","\x69\x6E\x6C\x69\x6E\x65","\x69\x6E\x6C\x69\x6E\x65\x2D\x62\x6C\x6F\x63\x6B","\x7A\x6F\x6F\x6D","\x31","\x67\x65\x74\x52\x6F\x6F\x74","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x69\x73\x45\x76\x65\x6E\x74\x73\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x45\x76\x65\x6E\x74\x73\x45\x6E\x61\x62\x6C\x65\x64","\x64\x72\x61\x77\x50\x61\x6E\x65","\x6F\x76\x65\x72\x6C\x61\x79\x50\x61\x6E\x65","\x64\x69\x61\x6C\x65\x63\x74","\x44\x49\x41\x4C\x45\x43\x54\x5F\x53\x56\x47","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74\x4E\x53","\x63\x61\x6E\x76\x61\x73","\x63\x6C\x6F\x6E\x65\x4E\x6F\x64\x65","\x67\x65\x74\x54\x72\x61\x6E\x73\x6C\x61\x74\x65","\x74\x72\x61\x6E\x73\x6C\x61\x74\x65","\x65\x6E\x63\x6F\x64\x65","\x64\x65\x73\x74\x72\x6F\x79","\x50\x41\x47\x45\x5F\x46\x4F\x52\x4D\x41\x54\x5F\x41\x34\x5F\x50\x4F\x52\x54\x52\x41\x49\x54","\x67\x65\x74\x47\x72\x61\x70\x68\x42\x6F\x75\x6E\x64\x73","\x67\x65\x74\x53\x63\x61\x6C\x65","\x66\x6C\x6F\x6F\x72","\x3C\x68\x74\x6D\x6C\x3E\x3C\x68\x65\x61\x64\x3E","\x62\x61\x73\x65","\x3C\x73\x74\x79\x6C\x65\x3E","\x73\x74\x79\x6C\x65\x53\x68\x65\x65\x74\x73","\x3C\x2F\x73\x74\x79\x6C\x65\x3E\x3C\x2F\x68\x65\x61\x64\x3E","\x3C\x62\x6F\x64\x79\x3E","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x3C\x2F\x62\x6F\x64\x79\x3E","\x3C\x68\x74\x6D\x6C\x3E","\x44\x49\x56","\x3C\x68\x74\x6D\x6C","\x3C\x68\x65\x61\x64\x3E","\x67\x65\x74\x4F\x75\x74\x65\x72\x48\x74\x6D\x6C","\x3C\x2F\x68\x65\x61\x64\x3E","\x3C\x2F\x68\x74\x6D\x6C\x3E","\x74\x72\x61\x6E\x73\x66\x6F\x72\x6D","\x74\x72\x61\x6E\x73\x6C\x61\x74\x65\x28","\x2C","\x6F\x77\x6E\x65\x72\x53\x56\x47\x45\x6C\x65\x6D\x65\x6E\x74","\x73\x68\x6F\x77","\x70\x72\x69\x6E\x74","\x36\x33\x36\x70\x78","\x34\x36\x30\x70\x78","\x70\x72\x65","\x26\x6E\x62\x73\x70\x3B","\x3C\x62\x72\x3E","\x50\x6F\x70\x75\x70\x20\x57\x69\x6E\x64\x6F\x77","\x3C\x70\x72\x65\x3E","\x3C\x2F\x70\x72\x65","\x70\x61\x64\x64\x69\x6E\x67","\x32\x30\x70\x78","\x65\x72\x72\x6F\x72\x49\x6D\x61\x67\x65","\x76\x61\x6C\x69\x67\x6E","\x62\x6F\x74\x74\x6F\x6D","\x6D\x69\x64\x64\x6C\x65","\xA0","\x65\x72\x72\x6F\x72\x52\x65\x73\x6F\x75\x72\x63\x65","\x66\x6C\x6F\x61\x74\x3A\x72\x69\x67\x68\x74","\x63\x6C\x6F\x73\x65\x52\x65\x73\x6F\x75\x72\x63\x65","\x64\x72\x61\x67\x4F\x66\x66\x73\x65\x74","\x54\x4F\x4F\x4C\x54\x49\x50\x5F\x56\x45\x52\x54\x49\x43\x41\x4C\x5F\x4F\x46\x46\x53\x45\x54","\x61\x75\x74\x6F\x73\x63\x72\x6F\x6C\x6C","\x73\x65\x74\x47\x75\x69\x64\x65\x73\x45\x6E\x61\x62\x6C\x65\x64","\x68\x69\x67\x68\x6C\x69\x67\x68\x74\x44\x72\x6F\x70\x54\x61\x72\x67\x65\x74\x73","\x67\x65\x74\x44\x72\x6F\x70\x54\x61\x72\x67\x65\x74","\x67\x65\x74\x47\x72\x61\x70\x68\x46\x6F\x72\x45\x76\x65\x6E\x74","\x63\x72\x65\x61\x74\x65\x44\x72\x61\x67\x45\x6C\x65\x6D\x65\x6E\x74","\x63\x72\x65\x61\x74\x65\x50\x72\x65\x76\x69\x65\x77\x45\x6C\x65\x6D\x65\x6E\x74","\x65\x78\x61\x63\x74","\x66\x61\x73\x74\x65\x72","\x66\x61\x73\x74\x65\x73\x74","\x73\x76\x67","\x76\x6D\x6C","\x6D\x69\x78\x65\x64\x48\x74\x6D\x6C","\x70\x72\x65\x66\x65\x72\x48\x74\x6D\x6C","\x73\x74\x72\x69\x63\x74\x48\x74\x6D\x6C","\x68\x74\x74\x70\x3A\x2F\x2F\x77\x77\x77\x2E\x77\x33\x2E\x6F\x72\x67\x2F\x32\x30\x30\x30\x2F\x73\x76\x67","\x68\x74\x74\x70\x3A\x2F\x2F\x77\x77\x77\x2E\x77\x33\x2E\x6F\x72\x67\x2F\x31\x39\x39\x39\x2F\x78\x68\x74\x6D\x6C","\x68\x74\x74\x70\x3A\x2F\x2F\x77\x77\x77\x2E\x77\x33\x2E\x6F\x72\x67\x2F\x31\x39\x39\x39\x2F\x78\x6C\x69\x6E\x6B","\x67\x72\x61\x79","\x23\x30\x30\x46\x46\x30\x30","\x23\x46\x46\x30\x30\x30\x30","\x6D\x6F\x76\x65","\x64\x65\x66\x61\x75\x6C\x74","\x23\x30\x30\x30\x30\x46\x46","\x23\x30\x30\x39\x39\x46\x46","\x62\x6C\x61\x63\x6B","\x79\x65\x6C\x6C\x6F\x77","\x23\x30\x30\x46\x46\x46\x46","\x23\x30\x30\x33\x33\x46\x46","\x41\x72\x69\x61\x6C\x2C\x48\x65\x6C\x76\x65\x74\x69\x63\x61","\x70\x65\x72\x69\x6D\x65\x74\x65\x72","\x73\x6F\x75\x72\x63\x65\x50\x6F\x72\x74","\x74\x61\x72\x67\x65\x74\x50\x6F\x72\x74","\x70\x6F\x72\x74\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74","\x74\x65\x78\x74\x4F\x70\x61\x63\x69\x74\x79","\x6F\x72\x74\x68\x6F\x67\x6F\x6E\x61\x6C","\x65\x78\x69\x74\x58","\x65\x78\x69\x74\x59","\x65\x78\x69\x74\x50\x65\x72\x69\x6D\x65\x74\x65\x72","\x65\x6E\x74\x72\x79\x58","\x65\x6E\x74\x72\x79\x59","\x65\x6E\x74\x72\x79\x50\x65\x72\x69\x6D\x65\x74\x65\x72","\x72\x6F\x74\x61\x74\x69\x6F\x6E","\x66\x69\x6C\x6C\x43\x6F\x6C\x6F\x72","\x73\x77\x69\x6D\x6C\x61\x6E\x65\x46\x69\x6C\x6C\x43\x6F\x6C\x6F\x72","\x67\x72\x61\x64\x69\x65\x6E\x74\x43\x6F\x6C\x6F\x72","\x67\x72\x61\x64\x69\x65\x6E\x74\x44\x69\x72\x65\x63\x74\x69\x6F\x6E","\x73\x74\x72\x6F\x6B\x65\x43\x6F\x6C\x6F\x72","\x73\x65\x70\x61\x72\x61\x74\x6F\x72\x43\x6F\x6C\x6F\x72","\x73\x74\x72\x6F\x6B\x65\x57\x69\x64\x74\x68","\x61\x6C\x69\x67\x6E","\x6C\x61\x62\x65\x6C\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x76\x65\x72\x74\x69\x63\x61\x6C\x4C\x61\x62\x65\x6C\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x69\x6D\x61\x67\x65\x41\x73\x70\x65\x63\x74","\x69\x6D\x61\x67\x65\x41\x6C\x69\x67\x6E","\x69\x6D\x61\x67\x65\x56\x65\x72\x74\x69\x63\x61\x6C\x41\x6C\x69\x67\x6E","\x67\x6C\x61\x73\x73","\x69\x6D\x61\x67\x65","\x69\x6D\x61\x67\x65\x57\x69\x64\x74\x68","\x69\x6D\x61\x67\x65\x48\x65\x69\x67\x68\x74","\x69\x6D\x61\x67\x65\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x69\x6D\x61\x67\x65\x42\x6F\x72\x64\x65\x72","\x66\x6C\x69\x70\x48","\x66\x6C\x69\x70\x56","\x6E\x6F\x4C\x61\x62\x65\x6C","\x6E\x6F\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x6C\x61\x62\x65\x6C\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x43\x6F\x6C\x6F\x72","\x6C\x61\x62\x65\x6C\x42\x6F\x72\x64\x65\x72\x43\x6F\x6C\x6F\x72","\x6C\x61\x62\x65\x6C\x50\x61\x64\x64\x69\x6E\x67","\x69\x6E\x64\x69\x63\x61\x74\x6F\x72\x53\x68\x61\x70\x65","\x69\x6E\x64\x69\x63\x61\x74\x6F\x72\x49\x6D\x61\x67\x65","\x69\x6E\x64\x69\x63\x61\x74\x6F\x72\x43\x6F\x6C\x6F\x72","\x69\x6E\x64\x69\x63\x61\x74\x6F\x72\x53\x74\x72\x6F\x6B\x65\x43\x6F\x6C\x6F\x72","\x69\x6E\x64\x69\x63\x61\x74\x6F\x72\x47\x72\x61\x64\x69\x65\x6E\x74\x43\x6F\x6C\x6F\x72","\x69\x6E\x64\x69\x63\x61\x74\x6F\x72\x53\x70\x61\x63\x69\x6E\x67","\x69\x6E\x64\x69\x63\x61\x74\x6F\x72\x57\x69\x64\x74\x68","\x69\x6E\x64\x69\x63\x61\x74\x6F\x72\x48\x65\x69\x67\x68\x74","\x69\x6E\x64\x69\x63\x61\x74\x6F\x72\x44\x69\x72\x65\x63\x74\x69\x6F\x6E","\x73\x68\x61\x64\x6F\x77","\x73\x65\x67\x6D\x65\x6E\x74","\x65\x6E\x64\x41\x72\x72\x6F\x77","\x73\x74\x61\x72\x74\x41\x72\x72\x6F\x77","\x65\x6E\x64\x53\x69\x7A\x65","\x73\x74\x61\x72\x74\x53\x69\x7A\x65","\x73\x77\x69\x6D\x6C\x61\x6E\x65\x4C\x69\x6E\x65","\x65\x6E\x64\x46\x69\x6C\x6C","\x73\x74\x61\x72\x74\x46\x69\x6C\x6C","\x64\x61\x73\x68\x65\x64","\x64\x61\x73\x68\x50\x61\x74\x74\x65\x72\x6E","\x72\x6F\x75\x6E\x64\x65\x64","\x63\x75\x72\x76\x65\x64","\x61\x72\x63\x53\x69\x7A\x65","\x73\x6D\x6F\x6F\x74\x68","\x73\x6F\x75\x72\x63\x65\x50\x65\x72\x69\x6D\x65\x74\x65\x72\x53\x70\x61\x63\x69\x6E\x67","\x74\x61\x72\x67\x65\x74\x50\x65\x72\x69\x6D\x65\x74\x65\x72\x53\x70\x61\x63\x69\x6E\x67","\x70\x65\x72\x69\x6D\x65\x74\x65\x72\x53\x70\x61\x63\x69\x6E\x67","\x73\x70\x61\x63\x69\x6E\x67","\x73\x70\x61\x63\x69\x6E\x67\x54\x6F\x70","\x73\x70\x61\x63\x69\x6E\x67\x4C\x65\x66\x74","\x73\x70\x61\x63\x69\x6E\x67\x42\x6F\x74\x74\x6F\x6D","\x73\x70\x61\x63\x69\x6E\x67\x52\x69\x67\x68\x74","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C","\x64\x69\x72\x65\x63\x74\x69\x6F\x6E","\x65\x6C\x62\x6F\x77","\x66\x6F\x6E\x74\x43\x6F\x6C\x6F\x72","\x66\x6F\x6E\x74\x53\x74\x79\x6C\x65","\x61\x75\x74\x6F\x73\x69\x7A\x65","\x66\x6F\x6C\x64\x61\x62\x6C\x65","\x65\x64\x69\x74\x61\x62\x6C\x65","\x62\x65\x6E\x64\x61\x62\x6C\x65","\x6D\x6F\x76\x61\x62\x6C\x65","\x72\x65\x73\x69\x7A\x61\x62\x6C\x65","\x63\x6C\x6F\x6E\x65\x61\x62\x6C\x65","\x64\x65\x6C\x65\x74\x61\x62\x6C\x65","\x65\x64\x67\x65\x53\x74\x79\x6C\x65","\x6C\x6F\x6F\x70\x53\x74\x79\x6C\x65","\x72\x6F\x75\x74\x69\x6E\x67\x43\x65\x6E\x74\x65\x72\x58","\x72\x6F\x75\x74\x69\x6E\x67\x43\x65\x6E\x74\x65\x72\x59","\x72\x65\x63\x74\x61\x6E\x67\x6C\x65","\x65\x6C\x6C\x69\x70\x73\x65","\x64\x6F\x75\x62\x6C\x65\x45\x6C\x6C\x69\x70\x73\x65","\x72\x68\x6F\x6D\x62\x75\x73","\x6C\x69\x6E\x65","\x61\x72\x72\x6F\x77","\x6C\x61\x62\x65\x6C","\x63\x79\x6C\x69\x6E\x64\x65\x72","\x73\x77\x69\x6D\x6C\x61\x6E\x65","\x63\x6F\x6E\x6E\x65\x63\x74\x6F\x72","\x61\x63\x74\x6F\x72","\x63\x6C\x6F\x75\x64","\x74\x72\x69\x61\x6E\x67\x6C\x65","\x68\x65\x78\x61\x67\x6F\x6E","\x63\x6C\x61\x73\x73\x69\x63","\x62\x6C\x6F\x63\x6B","\x6F\x76\x61\x6C","\x64\x69\x61\x6D\x6F\x6E\x64","\x64\x69\x61\x6D\x6F\x6E\x64\x54\x68\x69\x6E","\x63\x65\x6E\x74\x65\x72","\x72\x69\x67\x68\x74","\x6E\x6F\x72\x74\x68","\x73\x6F\x75\x74\x68","\x65\x61\x73\x74","\x77\x65\x73\x74","\x76\x65\x72\x74\x69\x63\x61\x6C","\x65\x6C\x62\x6F\x77\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x65\x6E\x74\x69\x74\x79\x52\x65\x6C\x61\x74\x69\x6F\x6E\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x6C\x6F\x6F\x70\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x73\x69\x64\x65\x54\x6F\x53\x69\x64\x65\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x74\x6F\x70\x54\x6F\x42\x6F\x74\x74\x6F\x6D\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x6F\x72\x74\x68\x6F\x67\x6F\x6E\x61\x6C\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x73\x65\x67\x6D\x65\x6E\x74\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x65\x6C\x6C\x69\x70\x73\x65\x50\x65\x72\x69\x6D\x65\x74\x65\x72","\x72\x65\x63\x74\x61\x6E\x67\x6C\x65\x50\x65\x72\x69\x6D\x65\x74\x65\x72","\x72\x68\x6F\x6D\x62\x75\x73\x50\x65\x72\x69\x6D\x65\x74\x65\x72","\x74\x72\x69\x61\x6E\x67\x6C\x65\x50\x65\x72\x69\x6D\x65\x74\x65\x72","\x70\x72\x6F\x70\x65\x72\x74\x69\x65\x73","\x63\x6F\x6E\x73\x75\x6D\x65\x64","\x67\x65\x74\x4E\x61\x6D\x65","\x67\x65\x74\x50\x72\x6F\x70\x65\x72\x74\x69\x65\x73","\x67\x65\x74\x50\x72\x6F\x70\x65\x72\x74\x79","\x69\x73\x43\x6F\x6E\x73\x75\x6D\x65\x64","\x63\x6F\x6E\x73\x75\x6D\x65","\x65\x76\x74","\x73\x74\x61\x74\x65","\x67\x72\x61\x70\x68\x58","\x67\x72\x61\x70\x68\x59","\x67\x65\x74\x45\x76\x65\x6E\x74","\x67\x65\x74\x53\x6F\x75\x72\x63\x65","\x69\x73\x53\x6F\x75\x72\x63\x65","\x67\x65\x74\x58","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x58","\x67\x65\x74\x59","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x59","\x67\x65\x74\x47\x72\x61\x70\x68\x58","\x67\x65\x74\x47\x72\x61\x70\x68\x59","\x67\x65\x74\x43\x65\x6C\x6C","\x69\x73\x50\x6F\x70\x75\x70\x54\x72\x69\x67\x67\x65\x72","\x70\x72\x65\x76\x65\x6E\x74\x44\x65\x66\x61\x75\x6C\x74","\x72\x65\x74\x75\x72\x6E\x56\x61\x6C\x75\x65","\x73\x65\x74\x45\x76\x65\x6E\x74\x53\x6F\x75\x72\x63\x65","\x65\x76\x65\x6E\x74\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x65\x76\x65\x6E\x74\x73\x45\x6E\x61\x62\x6C\x65\x64","\x65\x76\x65\x6E\x74\x53\x6F\x75\x72\x63\x65","\x67\x65\x74\x45\x76\x65\x6E\x74\x53\x6F\x75\x72\x63\x65","\x72\x65\x6D\x6F\x76\x65\x4C\x69\x73\x74\x65\x6E\x65\x72","\x66\x69\x72\x65\x45\x76\x65\x6E\x74","\x6F\x6E","\x66","\x72\x65\x6D\x6F\x76\x65\x45\x76\x65\x6E\x74\x4C\x69\x73\x74\x65\x6E\x65\x72","\x64\x65\x74\x61\x63\x68\x45\x76\x65\x6E\x74","\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E","\x6D\x6F\x75\x73\x65\x6D\x6F\x76\x65","\x6D\x6F\x75\x73\x65\x75\x70","\x49\x53\x5F\x54\x4F\x55\x43\x48","\x74\x6F\x75\x63\x68\x73\x74\x61\x72\x74","\x74\x6F\x75\x63\x68\x6D\x6F\x76\x65","\x74\x6F\x75\x63\x68\x65\x6E\x64","\x66\x69\x72\x65\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x64\x62\x6C\x63\x6C\x69\x63\x6B","\x64\x62\x6C\x43\x6C\x69\x63\x6B","\x72\x65\x6C\x65\x61\x73\x65","\x65\x76\x65\x6E\x74","\x64\x65\x74\x61\x69\x6C","\x77\x68\x65\x65\x6C\x44\x65\x6C\x74\x61","\x6D\x6F\x75\x73\x65\x77\x68\x65\x65\x6C","\x44\x4F\x4D\x4D\x6F\x75\x73\x65\x53\x63\x72\x6F\x6C\x6C","\x63\x6F\x6E\x74\x65\x78\x74\x6D\x65\x6E\x75","\x6F\x6E\x63\x6F\x6E\x74\x65\x78\x74\x6D\x65\x6E\x75","\x72\x65\x74\x75\x72\x6E\x20\x66\x61\x6C\x73\x65\x3B","\x73\x72\x63\x45\x6C\x65\x6D\x65\x6E\x74","\x74\x61\x72\x67\x65\x74","\x69\x73\x52\x69\x67\x68\x74\x4D\x6F\x75\x73\x65\x42\x75\x74\x74\x6F\x6E","\x69\x73\x53\x68\x69\x66\x74\x44\x6F\x77\x6E","\x69\x73\x43\x6F\x6E\x74\x72\x6F\x6C\x44\x6F\x77\x6E","\x73\x68\x69\x66\x74\x4B\x65\x79","\x61\x6C\x74\x4B\x65\x79","\x63\x74\x72\x6C\x4B\x65\x79","\x6D\x65\x74\x61\x4B\x65\x79","\x74\x6F\x75\x63\x68\x65\x73","\x63\x68\x61\x6E\x67\x65\x64\x54\x6F\x75\x63\x68\x65\x73","\x63\x6C\x69\x65\x6E\x74\x58","\x67\x65\x74\x4D\x61\x69\x6E\x45\x76\x65\x6E\x74","\x63\x6C\x69\x65\x6E\x74\x59","\x73\x74\x6F\x70\x50\x72\x6F\x70\x61\x67\x61\x74\x69\x6F\x6E","\x63\x61\x6E\x63\x65\x6C\x42\x75\x62\x62\x6C\x65","\x6D\x6F\x75\x73\x65\x44\x6F\x77\x6E","\x6D\x6F\x75\x73\x65\x4D\x6F\x76\x65","\x6D\x6F\x75\x73\x65\x55\x70","\x61\x63\x74\x69\x76\x61\x74\x65","\x72\x65\x73\x69\x7A\x65\x53\x74\x61\x72\x74","\x72\x65\x73\x69\x7A\x65\x45\x6E\x64","\x6D\x6F\x76\x65\x53\x74\x61\x72\x74","\x6D\x6F\x76\x65\x45\x6E\x64","\x70\x61\x6E\x53\x74\x61\x72\x74","\x70\x61\x6E","\x70\x61\x6E\x45\x6E\x64","\x6D\x69\x6E\x69\x6D\x69\x7A\x65","\x6E\x6F\x72\x6D\x61\x6C\x69\x7A\x65","\x6D\x61\x78\x69\x6D\x69\x7A\x65","\x68\x69\x64\x65","\x72\x65\x66\x72\x65\x73\x68","\x73\x69\x7A\x65","\x73\x65\x6C\x65\x63\x74","\x66\x69\x72\x65\x64","\x72\x65\x63\x65\x69\x76\x65","\x63\x6F\x6E\x6E\x65\x63\x74","\x64\x69\x73\x63\x6F\x6E\x6E\x65\x63\x74","\x73\x75\x73\x70\x65\x6E\x64","\x72\x65\x73\x75\x6D\x65","\x6D\x61\x72\x6B","\x73\x65\x73\x73\x69\x6F\x6E","\x72\x6F\x6F\x74","\x70\x6F\x73\x74","\x73\x61\x76\x65","\x62\x65\x66\x6F\x72\x65\x41\x64\x64\x56\x65\x72\x74\x65\x78","\x61\x64\x64\x56\x65\x72\x74\x65\x78","\x61\x66\x74\x65\x72\x41\x64\x64\x56\x65\x72\x74\x65\x78","\x64\x6F\x6E\x65","\x65\x78\x65\x63\x75\x74\x65\x64","\x73\x74\x61\x72\x74\x45\x64\x69\x74","\x65\x6E\x64\x45\x64\x69\x74","\x62\x65\x66\x6F\x72\x65\x55\x6E\x64\x6F","\x75\x6E\x64\x6F","\x72\x65\x64\x6F","\x63\x68\x61\x6E\x67\x65","\x6E\x6F\x74\x69\x66\x79","\x6C\x61\x79\x6F\x75\x74\x43\x65\x6C\x6C\x73","\x73\x63\x61\x6C\x65\x41\x6E\x64\x54\x72\x61\x6E\x73\x6C\x61\x74\x65","\x75\x70","\x64\x6F\x77\x6E","\x61\x64\x64\x43\x65\x6C\x6C\x73","\x63\x65\x6C\x6C\x73\x41\x64\x64\x65\x64","\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73","\x63\x65\x6C\x6C\x73\x4D\x6F\x76\x65\x64","\x72\x65\x73\x69\x7A\x65\x43\x65\x6C\x6C\x73","\x63\x65\x6C\x6C\x73\x52\x65\x73\x69\x7A\x65\x64","\x74\x6F\x67\x67\x6C\x65\x43\x65\x6C\x6C\x73","\x63\x65\x6C\x6C\x73\x54\x6F\x67\x67\x6C\x65\x64","\x6F\x72\x64\x65\x72\x43\x65\x6C\x6C\x73","\x63\x65\x6C\x6C\x73\x4F\x72\x64\x65\x72\x65\x64","\x72\x65\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73","\x63\x65\x6C\x6C\x73\x52\x65\x6D\x6F\x76\x65\x64","\x67\x72\x6F\x75\x70\x43\x65\x6C\x6C\x73","\x75\x6E\x67\x72\x6F\x75\x70\x43\x65\x6C\x6C\x73","\x72\x65\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73\x46\x72\x6F\x6D\x50\x61\x72\x65\x6E\x74","\x66\x6F\x6C\x64\x43\x65\x6C\x6C\x73","\x63\x65\x6C\x6C\x73\x46\x6F\x6C\x64\x65\x64","\x61\x6C\x69\x67\x6E\x43\x65\x6C\x6C\x73","\x6C\x61\x62\x65\x6C\x43\x68\x61\x6E\x67\x65\x64","\x63\x6F\x6E\x6E\x65\x63\x74\x43\x65\x6C\x6C","\x63\x65\x6C\x6C\x43\x6F\x6E\x6E\x65\x63\x74\x65\x64","\x73\x70\x6C\x69\x74\x45\x64\x67\x65","\x66\x6C\x69\x70\x45\x64\x67\x65","\x73\x74\x61\x72\x74\x45\x64\x69\x74\x69\x6E\x67","\x61\x64\x64\x4F\x76\x65\x72\x6C\x61\x79","\x72\x65\x6D\x6F\x76\x65\x4F\x76\x65\x72\x6C\x61\x79","\x75\x70\x64\x61\x74\x65\x43\x65\x6C\x6C\x53\x69\x7A\x65","\x65\x73\x63\x61\x70\x65","\x64\x6F\x75\x62\x6C\x65\x43\x6C\x69\x63\x6B","\x73\x74\x61\x72\x74","\x72\x65\x73\x65\x74","\x75\x72\x6C","\x70\x61\x72\x61\x6D\x73","\x6D\x65\x74\x68\x6F\x64","\x50\x4F\x53\x54","\x75\x73\x65\x72\x6E\x61\x6D\x65","\x70\x61\x73\x73\x77\x6F\x72\x64","\x62\x69\x6E\x61\x72\x79","\x72\x65\x71\x75\x65\x73\x74","\x69\x73\x42\x69\x6E\x61\x72\x79","\x73\x65\x74\x42\x69\x6E\x61\x72\x79","\x72\x65\x73\x70\x6F\x6E\x73\x65\x54\x65\x78\x74","\x67\x65\x74\x44\x6F\x63\x75\x6D\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x67\x65\x74\x58\x6D\x6C","\x72\x65\x73\x70\x6F\x6E\x73\x65\x58\x4D\x4C","\x70\x61\x72\x73\x65\x58\x6D\x6C","\x67\x65\x74\x53\x74\x61\x74\x75\x73","\x73\x74\x61\x74\x75\x73","\x58\x4D\x4C\x48\x74\x74\x70\x52\x65\x71\x75\x65\x73\x74","\x6F\x76\x65\x72\x72\x69\x64\x65\x4D\x69\x6D\x65\x54\x79\x70\x65","\x74\x65\x78\x74\x2F\x70\x6C\x61\x69\x6E\x3B\x20\x63\x68\x61\x72\x73\x65\x74\x3D\x78\x2D\x75\x73\x65\x72\x2D\x64\x65\x66\x69\x6E\x65\x64","\x4D\x69\x63\x72\x6F\x73\x6F\x66\x74\x2E\x58\x4D\x4C\x48\x54\x54\x50","\x6F\x6E\x72\x65\x61\x64\x79\x73\x74\x61\x74\x65\x63\x68\x61\x61\x6E\x67\x65","\x62\x69\x6E\x64","\x73\x65\x74\x52\x65\x71\x75\x65\x73\x74\x48\x65\x61\x64\x65\x72\x73","\x43\x6F\x6E\x74\x65\x6E\x74\x2D\x54\x79\x70\x65","\x61\x70\x70\x6C\x69\x63\x61\x74\x69\x6F\x6E\x2F\x78\x2D\x77\x77\x77\x2D\x66\x6F\x72\x6D\x2D\x75\x72\x6C\x65\x6E\x63\x6F\x64\x65\x64","\x73\x65\x74\x52\x65\x71\x75\x65\x73\x74\x48\x65\x61\x64\x65\x72","\x6F\x6E\x62\x65\x66\x6F\x72\x65\x75\x6E\x6C\x6F\x61\x64","\x66\x6F\x72\x6D","\x61\x63\x74\x69\x6F\x6E","\x26","\x73\x75\x62\x6D\x69\x74","\x63\x65\x6C\x6C\x73","\x69\x6E\x73\x65\x72\x74\x43\x6F\x75\x6E\x74","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x67\x65\x74\x45\x78\x70\x6F\x72\x74\x61\x62\x6C\x65\x43\x65\x6C\x6C\x73","\x63\x6C\x6F\x6E\x65\x43\x65\x6C\x6C\x73","\x67\x65\x74\x49\x6D\x70\x6F\x72\x74\x61\x62\x6C\x65\x43\x65\x6C\x6C\x73","\x53\x54\x45\x50\x53\x49\x5A\x45","\x67\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x50\x61\x72\x65\x6E\x74","\x69\x6D\x70\x6F\x72\x74\x43\x65\x6C\x6C\x73","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x63\x6F\x6E\x74\x65\x6E\x74","\x69\x6E\x73\x74\x61\x6C\x6C\x4D\x61\x78\x69\x6D\x69\x7A\x65\x48\x61\x6E\x64\x6C\x65\x72","\x69\x6E\x73\x74\x61\x6C\x6C\x4D\x69\x6E\x69\x6D\x69\x7A\x65\x48\x61\x6E\x64\x6C\x65\x72","\x69\x6E\x73\x74\x61\x6C\x6C\x43\x6C\x6F\x73\x65\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x74\x4D\x69\x6E\x69\x6D\x69\x7A\x61\x62\x6C\x65","\x73\x65\x74\x54\x69\x74\x6C\x65","\x69\x6E\x73\x74\x61\x6C\x6C\x4D\x6F\x76\x65\x48\x61\x6E\x64\x6C\x65\x72","\x72\x65\x70\x6C\x61\x63\x65\x43\x68\x69\x6C\x64","\x63\x6C\x6F\x73\x65\x49\x6D\x61\x67\x65","\x2F\x63\x6C\x6F\x73\x65\x2E\x67\x69\x66","\x6D\x69\x6E\x69\x6D\x69\x7A\x65\x49\x6D\x61\x67\x65","\x2F\x6D\x69\x6E\x69\x6D\x69\x7A\x65\x2E\x67\x69\x66","\x6E\x6F\x72\x6D\x61\x6C\x69\x7A\x65\x49\x6D\x61\x67\x65","\x2F\x6E\x6F\x72\x6D\x61\x6C\x69\x7A\x65\x2E\x67\x69\x66","\x6D\x61\x78\x69\x6D\x69\x7A\x65\x49\x6D\x61\x67\x65","\x2F\x6D\x61\x78\x69\x6D\x69\x7A\x65\x2E\x67\x69\x66","\x72\x65\x73\x69\x7A\x65\x49\x6D\x61\x67\x65","\x2F\x72\x65\x73\x69\x7A\x65\x2E\x67\x69\x66","\x6D\x69\x6E\x69\x6D\x75\x6D\x53\x69\x7A\x65","\x74\x69\x74\x6C\x65","\x6D\x78\x57\x69\x6E\x64\x6F\x77","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x54\x69\x74\x6C\x65","\x50\x61\x6E\x65","\x63\x6F\x6E\x74\x65\x6E\x74\x57\x72\x61\x70\x70\x65\x72","\x61\x63\x74\x69\x76\x65\x57\x69\x6E\x64\x6F\x77","\x7A\x49\x6E\x64\x65\x78","\x70\x72\x65\x76\x69\x6F\x75\x73\x57\x69\x6E\x64\x6F\x77","\x66\x69\x74","\x69\x73\x52\x65\x73\x69\x7A\x61\x62\x6C\x65","\x32\x70\x78","\x6E\x77\x2D\x72\x65\x73\x69\x7A\x65","\x73\x65\x74\x53\x69\x7A\x65","\x72\x65\x6D\x6F\x76\x65\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x67\x65\x74\x4D\x69\x6E\x69\x6D\x75\x6D\x53\x69\x7A\x65","\x4D\x69\x6E\x69\x6D\x69\x7A\x65","\x6D\x61\x72\x67\x69\x6E\x52\x69\x67\x68\x74","\x31\x70\x78","\x4E\x6F\x72\x6D\x61\x6C\x69\x7A\x65","\x4D\x61\x78\x69\x6D\x69\x7A\x65","\x6D\x61\x72\x67\x69\x6E\x4C\x65\x66\x74","\x30\x70\x78","\x73\x65\x74\x4C\x6F\x63\x61\x74\x69\x6F\x6E","\x63\x6C\x6F\x73\x65\x49\x6D\x67","\x43\x6C\x6F\x73\x65","\x69\x6E\x73\x65\x72\x74\x42\x65\x66\x6F\x72\x65","\x73\x65\x74\x49\x6D\x61\x67\x65","\x34\x70\x78","\x6D\x61\x72\x67\x69\x6E\x54\x6F\x70","\x2D\x32\x70\x78","\x67\x65\x74\x54\x61\x62\x6C\x65","\x61\x64\x64\x42\x75\x74\x74\x6F\x6E\x73","\x6F\x6B","\x4F\x4B","\x63\x61\x6E\x63\x65\x6C","\x43\x61\x6E\x63\x65\x6C","\x61\x64\x64\x54\x65\x78\x74","\x69\x6E\x70\x75\x74","\x74\x65\x78\x74","\x61\x64\x64\x46\x69\x65\x6C\x64","\x61\x64\x64\x43\x68\x65\x63\x6B\x62\x6F\x78","\x63\x68\x65\x63\x6B\x62\x6F\x78","\x63\x68\x65\x63\x6B\x65\x64","\x61\x64\x64\x54\x65\x78\x74\x61\x72\x65\x61","\x72\x6F\x77\x73","\x61\x64\x64\x43\x6F\x6D\x62\x6F","\x6D\x75\x6C\x74\x69\x70\x6C\x65","\x61\x64\x64\x4F\x70\x74\x69\x6F\x6E","\x6F\x70\x74\x69\x6F\x6E","\x73\x65\x6C\x65\x63\x74\x65\x64","\x72\x65\x73\x69\x7A\x65\x57\x69\x64\x74\x68","\x72\x65\x73\x69\x7A\x65\x48\x65\x69\x67\x68\x74","\x68\x61\x6E\x64\x6C\x69\x6E\x67\x52\x65\x73\x69\x7A\x65","\x67\x65\x74\x44\x6F\x63\x75\x6D\x65\x6E\x74\x57\x69\x64\x74\x68","\x67\x65\x74\x44\x6F\x63\x75\x6D\x65\x6E\x74\x48\x65\x69\x67\x68\x74","\x65\x6C\x65\x6D\x65\x6E\x74","\x64\x72\x6F\x70\x48\x61\x6E\x64\x6C\x65\x72","\x64\x72\x61\x67\x45\x6C\x65\x6D\x65\x6E\x74","\x70\x72\x65\x76\x69\x65\x77\x45\x6C\x65\x6D\x65\x6E\x74","\x65\x6E\x61\x62\x6C\x65\x64","\x63\x75\x72\x72\x65\x6E\x74\x47\x72\x61\x70\x68","\x63\x75\x72\x72\x65\x6E\x74\x44\x72\x6F\x70\x54\x61\x72\x67\x65\x74","\x63\x75\x72\x72\x65\x6E\x74\x50\x6F\x69\x6E\x74","\x63\x75\x72\x72\x65\x6E\x74\x47\x75\x69\x64\x65","\x63\x75\x72\x72\x65\x6E\x74\x48\x69\x67\x68\x6C\x69\x67\x68\x74","\x67\x75\x69\x64\x65\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64","\x64\x72\x61\x67\x45\x6C\x65\x6D\x65\x6E\x74\x5A\x49\x6E\x64\x65\x78","\x64\x72\x61\x67\x45\x6C\x65\x6D\x65\x6E\x74\x4F\x70\x61\x63\x69\x74\x79","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x47\x75\x69\x64\x65\x73\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x47\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x47\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64","\x67\x65\x74\x43\x65\x6C\x6C\x41\x74","\x6D\x6F\x75\x73\x65\x4D\x6F\x76\x65\x48\x61\x6E\x64\x6C\x65\x72","\x73\x74\x61\x72\x74\x44\x72\x61\x67","\x6D\x6F\x75\x73\x65\x55\x70\x48\x61\x6E\x64\x6C\x65\x72","\x73\x74\x6F\x70\x44\x72\x61\x67","\x67\x72\x61\x70\x68\x43\x6F\x6E\x74\x61\x69\x6E\x73\x45\x76\x65\x6E\x74","\x64\x72\x61\x67\x45\x78\x69\x74","\x64\x72\x61\x67\x45\x6E\x74\x65\x72","\x64\x72\x61\x67\x4F\x76\x65\x72","\x64\x72\x6F\x70","\x69\x73\x4D\x6F\x75\x73\x65\x44\x6F\x77\x6E","\x67\x65\x74\x47\x75\x69\x64\x65\x53\x74\x61\x74\x65\x73","\x67\x72\x61\x70\x68\x48\x61\x6E\x64\x6C\x65\x72","\x61\x75\x74\x6F\x53\x63\x72\x6F\x6C\x6C","\x61\x75\x74\x6F\x45\x78\x74\x65\x6E\x64","\x73\x63\x72\x6F\x6C\x6C\x50\x6F\x69\x6E\x74\x54\x6F\x56\x69\x73\x69\x62\x6C\x65","\x69\x73\x44\x72\x6F\x70\x45\x6E\x61\x62\x6C\x65\x64","\x68\x69\x67\x68\x6C\x69\x67\x68\x74","\x33","\x69\x73\x47\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64\x45\x76\x65\x6E\x74","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64\x46\x6F\x72\x45\x76\x65\x6E\x74","\x67\x72\x69\x64\x53\x69\x7A\x65","\x73\x6E\x61\x70","\x70\x72\x65\x76\x69\x65\x77\x4F\x66\x66\x73\x65\x74","\x6E\x6F\x52\x65\x73\x65\x74","\x75\x70\x64\x61\x74\x65\x44\x65\x66\x61\x75\x6C\x74\x4D\x6F\x64\x65","\x61\x64\x64\x49\x74\x65\x6D","\x6D\x78\x54\x6F\x6F\x6C\x62\x61\x72\x4D\x6F\x64\x65","\x6D\x78\x54\x6F\x6F\x6C\x62\x61\x72\x49\x74\x65\x6D","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x43\x6F\x6C\x6F\x72","\x6D\x65\x6E\x75","\x63\x75\x72\x72\x65\x6E\x74\x49\x6D\x67","\x69\x73\x4D\x65\x6E\x75\x53\x68\x6F\x77\x69\x6E\x67","\x68\x69\x64\x65\x4D\x65\x6E\x75","\x66\x61\x63\x74\x6F\x72\x79\x4D\x65\x74\x68\x6F\x64","\x53\x65\x6C\x65\x63\x74\x65\x64","\x6D\x6F\x75\x73\x65\x6F\x75\x74","\x6D\x78\x54\x6F\x6F\x6C\x62\x61\x72\x43\x6F\x6D\x62\x6F\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x6D\x78\x54\x6F\x6F\x6C\x62\x61\x72\x43\x6F\x6D\x62\x6F","\x61\x64\x64\x41\x63\x74\x69\x6F\x6E\x43\x6F\x6D\x62\x6F","\x73\x65\x6C\x65\x63\x74\x65\x64\x49\x6E\x64\x65\x78","\x6F\x70\x74\x69\x6F\x6E\x73","\x66\x75\x6E\x63\x74","\x61\x64\x64\x53\x77\x69\x74\x63\x68\x4D\x6F\x64\x65","\x69\x6E\x69\x74\x69\x61\x6C\x43\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x61\x6C\x74\x49\x63\x6F\x6E","\x73\x65\x6C\x65\x63\x74\x65\x64\x4D\x6F\x64\x65","\x64\x65\x66\x61\x75\x6C\x74\x4D\x6F\x64\x65","\x73\x65\x6C\x65\x63\x74\x4D\x6F\x64\x65","\x61\x64\x64\x4D\x6F\x64\x65","\x64\x65\x66\x61\x75\x6C\x74\x46\x75\x6E\x63\x74\x69\x6F\x6E","\x72\x65\x73\x65\x74\x4D\x6F\x64\x65","\x61\x64\x64\x53\x65\x70\x61\x72\x61\x74\x6F\x72","\x61\x64\x64\x42\x72\x65\x61\x6B","\x61\x64\x64\x4C\x69\x6E\x65","\x68\x72","\x36\x70\x78","\x75\x72\x6C\x49\x6E\x69\x74","\x75\x72\x6C\x50\x6F\x6C\x6C","\x75\x72\x6C\x4E\x6F\x74\x69\x66\x79","\x63\x6F\x64\x65\x63","\x6C\x6F\x6F\x6B\x75\x70","\x65\x64\x69\x74","\x63\x6F\x6E\x6E\x65\x63\x74\x65\x64","\x73\x75\x73\x70\x65\x6E\x64\x65\x64","\x3C\x65\x64\x69\x74\x3E","\x63\x68\x61\x6E\x67\x65\x73","\x75\x6E\x64\x6F\x6E\x65","\x65\x6E\x63\x6F\x64\x65\x43\x68\x61\x6E\x67\x65\x73","\x3C\x2F\x65\x64\x69\x74\x3E","\x6C\x69\x6E\x65\x66\x65\x65\x64","\x65\x73\x63\x61\x70\x65\x50\x6F\x73\x74\x44\x61\x74\x61","\x73\x69\x67\x6E\x69\x66\x69\x63\x61\x6E\x74\x52\x65\x6D\x6F\x74\x65\x43\x68\x61\x6E\x67\x65\x73","\x73\x65\x6E\x74","\x72\x65\x63\x65\x69\x76\x65\x64","\x70\x6F\x6C\x6C\x69\x6E\x67","\x70\x6F\x6C\x6C","\x73\x74\x6F\x70","\x72\x65\x61\x73\x6F\x6E","\x6D\x78\x53\x65\x73\x73\x69\x6F\x6E\x2E\x6E\x6F\x74\x69\x66\x79\x3A\x20","\x20\x78\x6D\x6C\x3D","\x3C\x6D\x65\x73\x73\x61\x67\x65\x3E\x3C\x64\x65\x6C\x74\x61\x3E","\x3C\x2F\x64\x65\x6C\x74\x61\x3E\x3C\x2F\x6D\x65\x73\x73\x61\x67\x65\x3E","\x78\x6D\x6C\x3D","\x69\x73\x56\x61\x6C\x69\x64\x52\x65\x73\x70\x6F\x6E\x73\x65","\x49\x6E\x76\x61\x6C\x69\x64\x20\x72\x65\x73\x70\x6F\x6E\x73\x65\x3A\x20","\x52\x65\x73\x70\x6F\x6E\x73\x65\x20\x6E\x6F\x74\x20\x72\x65\x61\x64\x79","\x54\x72\x61\x6E\x73\x6D\x69\x73\x73\x69\x6F\x6E\x20\x65\x72\x72\x6F\x72","\x3C\x3F\x70\x68\x70","\x6E\x61\x6D\x65\x73\x70\x61\x63\x65","\x70\x72\x65\x66\x69\x78","\x70\x72\x6F\x63\x65\x73\x73\x53\x74\x61\x74\x65","\x64\x65\x6C\x74\x61","\x70\x72\x6F\x63\x65\x73\x73\x44\x65\x6C\x74\x61","\x64\x65\x63\x6F\x64\x65","\x70\x72\x6F\x63\x65\x73\x73\x45\x64\x69\x74","\x64\x65\x63\x6F\x64\x65\x43\x68\x61\x6E\x67\x65\x73","\x63\x72\x65\x61\x74\x65\x55\x6E\x64\x6F\x61\x62\x6C\x65\x45\x64\x69\x74","\x73\x6F\x75\x72\x63\x65","\x64\x65\x63\x6F\x64\x65\x43\x68\x61\x6E\x67\x65","\x6D\x78\x52\x6F\x6F\x74\x43\x68\x61\x6E\x67\x65","\x6D\x78\x43\x68\x69\x6C\x64\x43\x68\x61\x6E\x67\x65","\x70\x61\x72\x65\x6E\x74","\x63\x65\x6C\x6C\x52\x65\x6D\x6F\x76\x65\x64","\x67\x65\x74\x49\x64","\x70\x75\x74\x4F\x62\x6A\x65\x63\x74","\x73\x69\x67\x6E\x69\x66\x69\x63\x61\x6E\x74","\x72\x65\x64\x6F\x6E\x65","\x69\x73\x45\x6D\x70\x74\x79","\x69\x73\x53\x69\x67\x6E\x69\x66\x69\x63\x61\x6E\x74","\x64\x69\x65","\x68\x69\x73\x74\x6F\x72\x79","\x69\x6E\x64\x65\x78\x4F\x66\x4E\x65\x78\x74\x41\x64\x64","\x63\x61\x6E\x55\x6E\x64\x6F","\x63\x61\x6E\x52\x65\x64\x6F","\x75\x6E\x64\x6F\x61\x62\x6C\x65\x45\x64\x69\x74\x48\x61\x70\x70\x65\x6E\x65\x64","\x73\x68\x69\x66\x74","\x64\x61\x74\x61\x3A\x69\x6D\x61\x67\x65","\x69\x73\x52\x65\x6C\x61\x74\x69\x76\x65\x55\x72\x6C","\x70\x72\x6F\x74\x6F\x63\x6F\x6C","\x2F\x2F","\x68\x6F\x73\x74","\x70\x61\x74\x68\x6E\x61\x6D\x65","\x6C\x61\x73\x74\x49\x6E\x64\x65\x78\x4F\x66","\x74\x68\x72\x65\x61\x64","\x61\x63\x74\x69\x76\x65","\x64\x79","\x64\x78","\x74\x30\x79","\x74\x30\x78","\x74\x64\x79","\x74\x64\x78","\x73\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73","\x6D\x6F\x75\x73\x65\x4C\x69\x73\x74\x65\x6E\x65\x72","\x61\x64\x64\x4D\x6F\x75\x73\x65\x4C\x69\x73\x74\x65\x6E\x65\x72","\x68\x61\x73\x53\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73","\x70\x61\x6E\x47\x72\x61\x70\x68","\x70\x61\x6E\x44\x78","\x70\x61\x6E\x44\x79","\x67\x65\x74\x44\x78","\x67\x65\x74\x44\x79","\x64\x65\x6C\x61\x79","\x73\x65\x74\x49\x6E\x74\x65\x72\x76\x61\x6C","\x69\x73\x41\x63\x74\x69\x76\x65","\x70\x61\x6E\x54\x6F","\x68\x61\x6E\x64\x6C\x65\x4D\x6F\x75\x73\x65\x4F\x75\x74","\x64\x61\x6D\x70\x65\x72","\x63\x6C\x65\x61\x72\x49\x6E\x74\x65\x72\x76\x61\x6C","\x73\x65\x74\x54\x72\x61\x6E\x73\x6C\x61\x74\x65","\x72\x65\x6D\x6F\x76\x65\x4D\x6F\x75\x73\x65\x4C\x69\x73\x74\x65\x6E\x65\x72","\x73\x75\x62\x6D\x65\x6E\x75\x49\x6D\x61\x67\x65","\x2F\x73\x75\x62\x6D\x65\x6E\x75\x2E\x67\x69\x66","\x75\x73\x65\x4C\x65\x66\x74\x42\x75\x74\x74\x6F\x6E\x46\x6F\x72\x50\x6F\x70\x75\x70","\x69\x74\x65\x6D\x43\x6F\x75\x6E\x74","\x61\x75\x74\x6F\x45\x78\x70\x61\x6E\x64","\x73\x6D\x61\x72\x74\x53\x65\x70\x61\x72\x61\x74\x6F\x72\x73","\x6C\x61\x62\x65\x6C\x73","\x6D\x78\x50\x6F\x70\x75\x70\x4D\x65\x6E\x75","\x64\x69\x73\x61\x62\x6C\x65\x43\x6F\x6E\x74\x65\x78\x74\x4D\x65\x6E\x75","\x69\x73\x4C\x65\x66\x74\x4D\x6F\x75\x73\x65\x42\x75\x74\x74\x6F\x6E","\x77\x69\x6C\x6C\x41\x64\x64\x53\x65\x70\x61\x72\x61\x74\x6F\x72","\x63\x6F\x6E\x74\x61\x69\x6E\x73\x49\x74\x65\x6D\x73","\x6D\x78\x50\x6F\x70\x75\x70\x4D\x65\x6E\x75\x49\x74\x65\x6D","\x6D\x78\x50\x6F\x70\x75\x70\x4D\x65\x6E\x75\x49\x63\x6F\x6E","\x20\x64\x69\x73\x61\x62\x6C\x65\x64","\x70\x61\x64\x64\x69\x6E\x67\x52\x69\x67\x68\x74","\x74\x65\x78\x74\x41\x6C\x69\x67\x6E","\x63\x72\x65\x61\x74\x65\x53\x75\x62\x6D\x65\x6E\x75","\x65\x76\x65\x6E\x74\x52\x65\x63\x65\x69\x76\x65\x72","\x61\x63\x74\x69\x76\x65\x52\x6F\x77","\x68\x69\x64\x65\x53\x75\x62\x6D\x65\x6E\x75","\x73\x68\x6F\x77\x53\x75\x62\x6D\x65\x6E\x75","\x6D\x78\x50\x6F\x70\x75\x70\x4D\x65\x6E\x75\x49\x74\x65\x6D\x48\x6F\x76\x65\x72","\x30\x20\x30\x20\x30\x20\x30\x70\x78","\x63\x6F\x6C\x53\x70\x61\x6E","\x32","\x73\x68\x6F\x77\x4D\x65\x6E\x75","\x63\x68\x61\x6E\x67\x65\x48\x61\x6E\x64\x6C\x65\x72","\x67\x72\x61\x70\x68\x4D\x6F\x64\x65\x6C\x43\x68\x61\x6E\x67\x65\x64","\x73\x65\x74\x47\x72\x61\x70\x68","\x67\x72\x61\x70\x68","\x61\x75\x74\x6F\x53\x61\x76\x65\x44\x65\x6C\x61\x79","\x61\x75\x74\x6F\x53\x61\x76\x65\x54\x68\x72\x6F\x74\x74\x6C\x65","\x61\x75\x74\x6F\x53\x61\x76\x65\x54\x68\x72\x65\x73\x68\x6F\x6C\x64","\x69\x67\x6E\x6F\x72\x65\x64\x43\x68\x61\x6E\x67\x65\x73","\x6C\x61\x73\x74\x53\x6E\x61\x70\x73\x68\x6F\x74","\x73\x74\x61\x72\x74\x41\x6E\x69\x6D\x61\x74\x69\x6F\x6E","\x75\x70\x64\x61\x74\x65\x41\x6E\x69\x6D\x61\x74\x69\x6F\x6E","\x73\x74\x6F\x70\x41\x6E\x69\x6D\x61\x74\x69\x6F\x6E","\x73\x74\x65\x70\x73","\x65\x61\x73\x65","\x73\x74\x65\x70","\x61\x6E\x69\x6D\x61\x74\x65\x43\x65\x6C\x6C","\x67\x65\x74\x44\x65\x6C\x74\x61","\x69\x73\x56\x65\x72\x74\x65\x78","\x6D\x6F\x76\x65\x53\x74\x61\x74\x65","\x73\x74\x6F\x70\x52\x65\x63\x75\x72\x73\x69\x6F\x6E","\x67\x65\x74\x4F\x72\x69\x67\x69\x6E\x46\x6F\x72\x43\x65\x6C\x6C","\x67\x65\x74\x50\x61\x72\x65\x6E\x74","\x67\x65\x74\x43\x65\x6C\x6C\x47\x65\x6F\x6D\x65\x74\x72\x79","\x69\x6D\x61\x67\x65\x73","\x61\x6C\x74","\x70\x75\x74\x49\x6D\x61\x67\x65","\x67\x65\x74\x49\x6D\x61\x67\x65","\x66\x61\x6C\x6C\x62\x61\x63\x6B","\x69\x6E\x63\x6C\x75\x64\x65\x4F\x76\x65\x72\x6C\x61\x79\x73","\x64\x72\x61\x77\x53\x74\x61\x74\x65","\x64\x72\x61\x77\x43\x65\x6C\x6C\x53\x74\x61\x74\x65","\x76\x69\x73\x69\x74\x53\x74\x61\x74\x65\x73\x52\x65\x63\x75\x72\x73\x69\x76\x65","\x64\x72\x61\x77\x4F\x76\x65\x72\x6C\x61\x79\x73","\x70\x61\x69\x6E\x74","\x72\x65\x73\x74\x6F\x72\x65","\x6F\x76\x65\x72\x6C\x61\x79\x73","\x63\x6F\x6E\x76\x65\x72\x74\x65\x72","\x63\x72\x65\x61\x74\x65\x55\x72\x6C\x43\x6F\x6E\x76\x65\x72\x74\x65\x72","\x73\x74\x61\x74\x65\x73","\x70\x61\x74\x68","\x72\x6F\x74\x61\x74\x65\x48\x74\x6D\x6C","\x6C\x61\x73\x74\x58","\x6C\x61\x73\x74\x59","\x6D\x6F\x76\x65\x4F\x70","\x4D","\x6C\x69\x6E\x65\x4F\x70","\x4C","\x71\x75\x61\x64\x4F\x70","\x51","\x63\x75\x72\x76\x65\x4F\x70","\x43","\x63\x6C\x6F\x73\x65\x4F\x70","\x5A","\x70\x6F\x69\x6E\x74\x65\x72\x45\x76\x65\x6E\x74\x73","\x63\x72\x65\x61\x74\x65\x53\x74\x61\x74\x65","\x33\x20\x33","\x66\x6C\x61\x74","\x6D\x69\x74\x65\x72","\x23\x30\x30\x30\x30\x30\x30","\x53\x48\x41\x44\x4F\x57\x43\x4F\x4C\x4F\x52","\x53\x48\x41\x44\x4F\x57\x5F\x4F\x50\x41\x43\x49\x54\x59","\x53\x48\x41\x44\x4F\x57\x5F\x4F\x46\x46\x53\x45\x54\x5F\x58","\x53\x48\x41\x44\x4F\x57\x5F\x4F\x46\x46\x53\x45\x54\x5F\x59","\x66\x6F\x72\x6D\x61\x74","\x61\x64\x64\x4F\x70","\x72\x6F\x74\x61\x74\x65\x50\x6F\x69\x6E\x74","\x70\x6F\x70","\x73\x65\x74\x41\x6C\x70\x68\x61","\x61\x6C\x70\x68\x61","\x73\x65\x74\x46\x69\x6C\x6C\x43\x6F\x6C\x6F\x72","\x73\x65\x74\x47\x72\x61\x64\x69\x65\x6E\x74","\x66\x69\x6C\x6C\x41\x6C\x70\x68\x61","\x67\x72\x61\x64\x69\x65\x6E\x74\x41\x6C\x70\x68\x61","\x73\x65\x74\x53\x74\x72\x6F\x6B\x65\x43\x6F\x6C\x6F\x72","\x73\x65\x74\x53\x74\x72\x6F\x6B\x65\x57\x69\x64\x74\x68","\x73\x65\x74\x44\x61\x73\x68\x65\x64","\x73\x65\x74\x44\x61\x73\x68\x50\x61\x74\x74\x65\x72\x6E","\x73\x65\x74\x4C\x69\x6E\x65\x43\x61\x70","\x6C\x69\x6E\x65\x43\x61\x70","\x73\x65\x74\x4C\x69\x6E\x65\x4A\x6F\x69\x6E","\x6C\x69\x6E\x65\x4A\x6F\x69\x6E","\x73\x65\x74\x4D\x69\x74\x65\x72\x4C\x69\x6D\x69\x74","\x6D\x69\x74\x65\x72\x4C\x69\x6D\x69\x74","\x73\x65\x74\x46\x6F\x6E\x74\x43\x6F\x6C\x6F\x72","\x73\x65\x74\x46\x6F\x6E\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x43\x6F\x6C\x6F\x72","\x66\x6F\x6E\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x43\x6F\x6C\x6F\x72","\x73\x65\x74\x46\x6F\x6E\x74\x42\x6F\x72\x64\x65\x72\x43\x6F\x6C\x6F\x72","\x66\x6F\x6E\x74\x42\x6F\x72\x64\x65\x72\x43\x6F\x6C\x6F\x72","\x73\x65\x74\x46\x6F\x6E\x74\x53\x69\x7A\x65","\x73\x65\x74\x46\x6F\x6E\x74\x46\x61\x6D\x69\x6C\x79","\x73\x65\x74\x46\x6F\x6E\x74\x53\x74\x79\x6C\x65","\x73\x65\x74\x53\x68\x61\x64\x6F\x77","\x73\x65\x74\x53\x68\x61\x64\x6F\x77\x43\x6F\x6C\x6F\x72","\x73\x68\x61\x64\x6F\x77\x43\x6F\x6C\x6F\x72","\x73\x65\x74\x53\x68\x61\x64\x6F\x77\x41\x6C\x70\x68\x61","\x73\x68\x61\x64\x6F\x77\x41\x6C\x70\x68\x61","\x73\x65\x74\x53\x68\x61\x64\x6F\x77\x4F\x66\x66\x73\x65\x74","\x73\x68\x61\x64\x6F\x77\x44\x78","\x73\x68\x61\x64\x6F\x77\x44\x79","\x62\x65\x67\x69\x6E","\x6D\x6F\x76\x65\x54\x6F","\x6C\x69\x6E\x65\x54\x6F","\x71\x75\x61\x64\x54\x6F","\x63\x75\x72\x76\x65\x54\x6F","\x61\x72\x63\x54\x6F","\x61\x72\x63\x54\x6F\x43\x75\x72\x76\x65\x73","\x65\x6E\x64","\x77\x72\x69\x74\x65\x44\x65\x66\x61\x75\x6C\x74\x73","\x65\x78\x74\x65\x6E\x64","\x74\x65\x78\x74\x45\x6E\x61\x62\x6C\x65\x64","\x63\x6F\x6D\x70\x72\x65\x73\x73\x65\x64","\x66\x6F\x6E\x74\x66\x61\x6D\x69\x6C\x79","\x66\x61\x6D\x69\x6C\x79","\x66\x6F\x6E\x74\x73\x69\x7A\x65","\x73\x68\x61\x64\x6F\x77\x63\x6F\x6C\x6F\x72","\x73\x68\x61\x64\x6F\x77\x61\x6C\x70\x68\x61","\x73\x68\x61\x64\x6F\x77\x6F\x66\x66\x73\x65\x74","\x74\x6F\x46\x69\x78\x65\x64","\x72\x6F\x74\x61\x74\x65","\x74\x68\x65\x74\x61","\x63\x78","\x63\x79","\x66\x69\x6C\x6C\x63\x6F\x6C\x6F\x72","\x67\x72\x61\x64\x69\x65\x6E\x74","\x63\x31","\x63\x32","\x77","\x68","\x61\x6C\x70\x68\x61\x31","\x61\x6C\x70\x68\x61\x32","\x73\x74\x72\x6F\x6B\x65\x63\x6F\x6C\x6F\x72","\x73\x74\x72\x6F\x6B\x65\x77\x69\x64\x74\x68","\x64\x61\x73\x68\x70\x61\x74\x74\x65\x72\x6E","\x70\x61\x74\x74\x65\x72\x6E","\x6C\x69\x6E\x65\x63\x61\x70","\x63\x61\x70","\x6C\x69\x6E\x65\x6A\x6F\x69\x6E","\x6D\x69\x74\x65\x72\x6C\x69\x6D\x69\x74","\x6C\x69\x6D\x69\x74","\x66\x6F\x6E\x74\x63\x6F\x6C\x6F\x72","\x66\x6F\x6E\x74\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x63\x6F\x6C\x6F\x72","\x66\x6F\x6E\x74\x62\x6F\x72\x64\x65\x72\x63\x6F\x6C\x6F\x72","\x66\x6F\x6E\x74\x73\x74\x79\x6C\x65","\x72\x65\x63\x74","\x72\x6F\x75\x6E\x64\x72\x65\x63\x74","\x63\x6F\x6E\x76\x65\x72\x74","\x61\x73\x70\x65\x63\x74","\x71\x75\x61\x64","\x78\x31","\x79\x31","\x78\x32","\x79\x32","\x63\x75\x72\x76\x65","\x78\x33","\x79\x33","\x69\x73\x4E\x6F\x64\x65","\x73\x74\x72","\x77\x72\x61\x70","\x66\x69\x6C\x6C","\x63\x6C\x69\x70","\x73\x74\x72\x6F\x6B\x65","\x66\x69\x6C\x6C\x41\x6E\x64\x53\x74\x72\x6F\x6B\x65","\x66\x69\x6C\x6C\x73\x74\x72\x6F\x6B\x65","\x67\x72\x61\x64\x69\x65\x6E\x74\x73","\x64\x65\x66\x73","\x73\x74\x79\x6C\x65\x45\x6E\x61\x62\x6C\x65\x64","\x63\x72\x65\x61\x74\x65\x53\x74\x79\x6C\x65","\x6D\x61\x74\x63\x68\x48\x74\x6D\x6C\x41\x6C\x69\x67\x6E\x6D\x65\x6E\x74","\x66\x6F\x45\x6E\x61\x62\x6C\x65\x64","\x73\x74\x72\x6F\x6B\x65\x54\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x72\x65\x66\x43\x6F\x75\x6E\x74","\x62\x6C\x6F\x63\x6B\x49\x6D\x61\x67\x65\x50\x6F\x69\x6E\x74\x65\x72\x45\x76\x65\x6E\x74\x73","\x73\x76\x67\x7B\x66\x6F\x6E\x74\x2D\x66\x61\x6D\x69\x6C\x79\x3A","\x3B\x66\x6F\x6E\x74\x2D\x73\x69\x7A\x65\x3A","\x3B\x66\x69\x6C\x6C\x3A\x6E\x6F\x6E\x65\x3B\x73\x74\x72\x6F\x6B\x65\x2D\x6D\x69\x74\x65\x72\x6C\x69\x6D\x69\x74\x3A\x31\x30\x7D","\x4E\x53\x5F\x53\x56\x47","\x78\x6D\x6C\x6E\x73","\x63\x72\x65\x61\x74\x65\x47\x72\x61\x64\x69\x65\x6E\x74\x49\x64","\x44\x49\x52\x45\x43\x54\x49\x4F\x4E\x5F\x53\x4F\x55\x54\x48","\x73","\x44\x49\x52\x45\x43\x54\x49\x4F\x4E\x5F\x45\x41\x53\x54","\x65","\x44\x49\x52\x45\x43\x54\x49\x4F\x4E\x5F\x4E\x4F\x52\x54\x48","\x44\x49\x52\x45\x43\x54\x49\x4F\x4E\x5F\x57\x45\x53\x54","\x6D\x78\x2D\x67\x72\x61\x64\x69\x65\x6E\x74\x2D","\x67\x65\x74\x53\x76\x67\x47\x72\x61\x64\x69\x65\x6E\x74","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74\x42\x79\x49\x64","\x69\x64","\x63\x72\x65\x61\x74\x65\x53\x76\x67\x47\x72\x61\x64\x69\x65\x6E\x74","\x6C\x69\x6E\x65\x61\x72\x47\x72\x61\x64\x69\x65\x6E\x74","\x30\x25","\x3B\x73\x74\x6F\x70\x2D\x6F\x70\x61\x63\x69\x74\x79\x3A","\x6F\x66\x66\x73\x65\x74","\x73\x74\x6F\x70\x2D\x63\x6F\x6C\x6F\x72\x3A","\x61\x64\x64\x4E\x6F\x64\x65","\x64","\x75\x70\x64\x61\x74\x65\x46\x69\x6C\x6C","\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74","\x75\x70\x64\x61\x74\x65\x53\x74\x72\x6F\x6B\x65","\x63\x72\x65\x61\x74\x65\x53\x68\x61\x64\x6F\x77","\x63\x72\x65\x61\x74\x65\x54\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x70\x6F\x69\x6E\x74\x65\x72\x2D\x65\x76\x65\x6E\x74\x73","\x61\x6C\x6C","\x66\x69\x6C\x6C\x2D\x6F\x70\x61\x63\x69\x74\x79","\x75\x72\x6C\x28\x23","\x73\x74\x72\x6F\x6B\x65\x2D\x6F\x70\x61\x63\x69\x74\x79","\x73\x74\x72\x6F\x6B\x65\x2D\x77\x69\x64\x74\x68","\x75\x70\x64\x61\x74\x65\x53\x74\x72\x6F\x6B\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65\x73","\x73\x74\x72\x6F\x6B\x65\x2D\x64\x61\x73\x68\x61\x72\x72\x61\x79","\x63\x72\x65\x61\x74\x65\x44\x61\x73\x68\x50\x61\x74\x74\x65\x72\x6E","\x73\x74\x72\x6F\x6B\x65\x2D\x6C\x69\x6E\x65\x6A\x6F\x69\x6E","\x62\x75\x74\x74","\x73\x74\x72\x6F\x6B\x65\x2D\x6C\x69\x6E\x65\x63\x61\x70","\x73\x74\x72\x6F\x6B\x65\x2D\x6D\x69\x74\x65\x72\x6C\x69\x6D\x69\x74","\x72\x65\x6D\x6F\x76\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x77\x68\x69\x74\x65","\x29\x73\x63\x61\x6C\x65\x28","\x29\x74\x72\x61\x6E\x73\x6C\x61\x74\x65\x28","\x72\x6F\x74\x61\x74\x65\x28","\x72\x6F\x74\x61\x74\x69\x6F\x6E\x43\x78","\x72\x6F\x74\x61\x74\x69\x6F\x6E\x43\x79","\x72\x78","\x72\x79","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65\x4E\x53","\x78\x6C\x69\x6E\x6B\x3A\x68\x72\x65\x66","\x70\x72\x65\x73\x65\x72\x76\x65\x41\x73\x70\x65\x63\x74\x52\x61\x74\x69\x6F","\x73\x63\x61\x6C\x65\x28","\x70\x6F\x69\x6E\x74\x65\x72\x2D\x65\x76\x65\x6E\x74\x73\x3A\x6E\x6F\x6E\x65","\x63\x72\x65\x61\x74\x65\x44\x69\x76","\x64\x69\x73\x70\x6C\x61\x79\x3A\x69\x6E\x6C\x69\x6E\x65\x2D\x62\x6C\x6F\x63\x6B\x3B\x66\x6F\x6E\x74\x2D\x73\x69\x7A\x65\x3A","\x70\x78\x3B\x66\x6F\x6E\x74\x2D\x66\x61\x6D\x69\x6C\x79\x3A","\x3B\x63\x6F\x6C\x6F\x72\x3A","\x3B\x6C\x69\x6E\x65\x2D\x68\x65\x69\x67\x68\x74\x3A","\x70\x78\x3B","\x46\x4F\x4E\x54\x5F\x42\x4F\x4C\x44","\x66\x6F\x6E\x74\x2D\x77\x65\x69\x67\x68\x74\x3A\x62\x6F\x6C\x64\x3B","\x46\x4F\x4E\x54\x5F\x49\x54\x41\x4C\x49\x43","\x66\x6F\x6E\x74\x2D\x73\x74\x79\x6C\x65\x3A\x69\x74\x61\x6C\x69\x63\x3B","\x46\x4F\x4E\x54\x5F\x55\x4E\x44\x45\x52\x4C\x49\x4E\x45","\x66\x6F\x6E\x74\x2D\x64\x65\x63\x6F\x72\x61\x74\x69\x6F\x6E\x3A\x75\x6E\x64\x65\x72\x6C\x69\x6E\x65\x3B","\x74\x65\x78\x74\x2D\x61\x6C\x69\x67\x6E\x3A\x63\x65\x6E\x74\x65\x72\x3B","\x74\x65\x78\x74\x2D\x61\x6C\x69\x67\x6E\x3A\x72\x69\x67\x68\x74\x3B","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x2D\x63\x6F\x6C\x6F\x72\x3A","\x62\x6F\x72\x64\x65\x72\x3A\x31\x70\x78\x20\x73\x6F\x6C\x69\x64\x20","\x26\x61\x6D\x70\x3B\x67\x74\x3B","\x26\x61\x6D\x70\x3B\x6C\x74\x3B","\x3C\x64\x69\x76\x20\x78\x6D\x6C\x6E\x73\x3D\x22\x68\x74\x74\x70\x3A\x2F\x2F\x77\x77\x77\x2E\x77\x33\x2E\x6F\x72\x67\x2F\x31\x39\x39\x39\x2F\x78\x68\x74\x6D\x6C\x22\x20\x73\x74\x79\x6C\x65\x3D\x22\x64\x69\x73\x70\x6C\x61\x79\x3A\x69\x6E\x6C\x69\x6E\x65\x2D\x62\x6C\x6F\x63\x6B\x3B","\x22\x3E","\x3C\x2F\x64\x69\x76\x3E","\x3C\x68\x72\x20\x2F\x3E","\x3C\x62\x72\x20\x2F\x3E","\x3C\x64\x69\x76\x20\x78\x6D\x6C\x6E\x73\x3D\x22\x68\x74\x74\x70\x3A\x2F\x2F\x77\x77\x77\x2E\x77\x33\x2E\x6F\x72\x67\x2F\x31\x39\x39\x39\x2F\x78\x68\x74\x6D\x6C\x22\x20\x73\x74\x79\x6C\x65\x3D\x22","\x68\x74\x6D\x6C","\x76\x65\x72\x74\x69\x63\x61\x6C\x2D\x61\x6C\x69\x67\x6E\x3A\x74\x6F\x70\x3B","\x6F\x76\x65\x72\x66\x6C\x6F\x77\x3A\x68\x69\x64\x64\x65\x6E\x3B","\x6D\x61\x78\x2D\x68\x65\x69\x67\x68\x74\x3A","\x77\x69\x64\x74\x68\x3A","\x77\x68\x69\x74\x65\x2D\x73\x70\x61\x63\x65\x3A\x6E\x6F\x72\x6D\x61\x6C\x3B","\x77\x68\x69\x74\x65\x2D\x73\x70\x61\x63\x65\x3A\x6E\x6F\x77\x72\x61\x70\x3B","\x66\x6F\x72\x65\x69\x67\x6E\x4F\x62\x6A\x65\x63\x74","\x70\x6C\x61\x69\x6E\x54\x65\x78\x74","\x63\x72\x65\x61\x74\x65\x43\x6C\x69\x70","\x6D\x78\x2D\x63\x6C\x69\x70\x2D","\x63\x6C\x69\x70\x50\x61\x74\x68","\x63\x6C\x69\x70\x2D\x70\x61\x74\x68","\x75\x70\x64\x61\x74\x65\x46\x6F\x6E\x74","\x74\x65\x78\x74\x2D\x61\x6E\x63\x68\x6F\x72","\x66\x6F\x6E\x74\x2D\x73\x69\x7A\x65","\x61\x64\x64\x54\x65\x78\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x66\x6F\x6E\x74\x2D\x66\x61\x6D\x69\x6C\x79","\x66\x6F\x6E\x74\x2D\x77\x65\x69\x67\x68\x74","\x62\x6F\x6C\x64","\x66\x6F\x6E\x74\x2D\x73\x74\x79\x6C\x65","\x69\x74\x61\x6C\x69\x63","\x74\x65\x78\x74\x2D\x64\x65\x63\x6F\x72\x61\x74\x69\x6F\x6E","\x67\x65\x74\x42\x42\x6F\x78","\x66\x6F\x6E\x74\x57\x65\x69\x67\x68\x74","\x3C\x62\x72\x2F\x3E","\x6D\x6F\x64","\x74\x72\x61\x6E\x73\x6C\x61\x74\x65\x28\x30\x2E\x35\x2C\x20\x30\x2E\x35\x29","\x6D","\x6C","\x63","\x72\x6F\x74\x61\x74\x65\x64\x48\x74\x6D\x6C\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x76\x6D\x6C\x53\x63\x61\x6C\x65","\x20\x65","\x63\x6F\x6F\x72\x64\x73\x69\x7A\x65","\x73\x74\x72\x6F\x6B\x65\x77\x65\x69\x67\x68\x74","\x73\x74\x72\x6F\x6B\x65\x64","\x63\x72\x65\x61\x74\x65\x53\x74\x72\x6F\x6B\x65","\x63\x72\x65\x61\x74\x65\x46\x69\x6C\x6C","\x63\x72\x65\x61\x74\x65\x54\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x46\x69\x6C\x6C","\x66\x69\x6C\x6C\x65\x64","\x3A\x66\x69\x6C\x6C","\x2F\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x2E\x67\x69\x66","\x74\x69\x6C\x65","\x63\x6F\x6C\x6F\x72\x32","\x66\x6C\x69\x70","\x61\x6E\x67\x6C\x65","\x4F\x46\x46\x49\x43\x45\x5F\x50\x52\x45\x46\x49\x58","\x3A\x6F\x70\x61\x63\x69\x74\x79\x32","\x3A\x73\x74\x72\x6F\x6B\x65","\x65\x6E\x64\x63\x61\x70","\x6A\x6F\x69\x6E\x73\x74\x79\x6C\x65","\x31\x30","\x64\x61\x73\x68\x73\x74\x79\x6C\x65","\x67\x65\x74\x56\x6D\x6C\x44\x61\x73\x68\x53\x74\x79\x6C\x65","\x64\x61\x73\x68","\x30\x20\x32","\x63\x72\x65\x61\x74\x65\x53\x68\x61\x64\x6F\x77\x53\x74\x72\x6F\x6B\x65","\x63\x72\x65\x61\x74\x65\x53\x68\x61\x64\x6F\x77\x46\x69\x6C\x6C","\x3A\x73\x68\x61\x70\x65","\x63\x20","\x63\x72\x65\x61\x74\x65\x52\x65\x63\x74","\x3A\x72\x65\x63\x74","\x3A\x72\x6F\x75\x6E\x64\x72\x65\x63\x74","\x61\x72\x63\x73\x69\x7A\x65","\x3A\x6F\x76\x61\x6C","\x61\x74\x6D\x6F\x73\x74","\x69\x67\x6E\x6F\x72\x65","\x66\x72\x61\x6D\x65","\x31\x38\x30","\x66\x6F\x6E\x74\x44\x65\x63\x6F\x72\x61\x74\x69\x6F\x6E","\x3A\x67\x72\x6F\x75\x70","\x72\x65\x6C\x61\x74\x69\x76\x65","\x67\x65\x74\x41\x6C\x69\x67\x6E\x6D\x65\x6E\x74\x41\x73\x50\x6F\x69\x6E\x74","\x6E\x6F\x72\x6D\x61\x6C","\x6D\x61\x78\x48\x65\x69\x67\x68\x74","\x67\x72\x6F\x75\x70","\x70\x72\x6F\x67\x69\x64\x3A\x44\x58\x49\x6D\x61\x67\x65\x54\x72\x61\x6E\x73\x66\x6F\x72\x6D\x2E\x4D\x69\x63\x72\x6F\x73\x6F\x66\x74\x2E\x4D\x61\x74\x72\x69\x78\x28\x4D\x31\x31\x3D","\x2C\x20\x4D\x31\x32\x3D","\x2C\x20\x4D\x32\x31\x3D","\x2C\x20\x4D\x32\x32\x3D","\x2C\x20\x73\x69\x7A\x69\x6E\x67\x4D\x65\x74\x68\x6F\x64\x3D\x27\x61\x75\x74\x6F\x20\x65\x78\x70\x61\x6E\x64\x27\x29","\x3A\x70\x61\x74\x68","\x74\x65\x78\x74\x70\x61\x74\x68\x6F\x6B","\x6D\x20","\x20\x6C\x20","\x3A\x74\x65\x78\x74\x70\x61\x74\x68","\x76\x2D\x74\x65\x78\x74\x2D\x61\x6C\x69\x67\x6E\x3A","\x73\x74\x72\x69\x6E\x67","\x73\x65\x74\x53\x74\x61\x74\x65\x73","\x67\x75\x69\x64\x65\x58","\x67\x75\x69\x64\x65\x59","\x67\x65\x74\x47\x75\x69\x64\x65\x54\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x63\x72\x65\x61\x74\x65\x47\x75\x69\x64\x65\x53\x68\x61\x70\x65","\x69\x73\x44\x61\x73\x68\x65\x64","\x44\x49\x41\x4C\x45\x43\x54\x5F\x56\x4D\x4C","\x67\x65\x74\x4F\x76\x65\x72\x6C\x61\x79\x50\x61\x6E\x65","\x70\x6F\x69\x6E\x74\x73","\x73\x63\x72\x6F\x6C\x6C\x57\x69\x64\x74\x68","\x64\x65\x73\x63","\x70\x61\x72\x73\x65\x44\x65\x73\x63\x72\x69\x70\x74\x69\x6F\x6E","\x70\x61\x72\x73\x65\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74\x73","\x64\x65\x66\x61\x75\x6C\x74\x4C\x6F\x63\x61\x6C\x69\x7A\x65\x64","\x63\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74\x73","\x77\x30","\x68\x30","\x62\x67\x4E\x6F\x64\x65","\x66\x67\x4E\x6F\x64\x65","\x66\x6F\x72\x65\x67\x72\x6F\x75\x6E\x64","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x76\x61\x72\x69\x61\x62\x6C\x65","\x63\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x73","\x67\x65\x74\x43\x68\x69\x6C\x64\x4E\x6F\x64\x65\x73","\x70\x61\x72\x73\x65\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74","\x65\x76\x61\x6C\x75\x61\x74\x65\x54\x65\x78\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x65\x76\x61\x6C\x75\x61\x74\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x6C\x6F\x63\x61\x6C\x69\x7A\x65\x64","\x65\x76\x61\x6C","\x64\x72\x61\x77\x53\x68\x61\x70\x65","\x64\x72\x61\x77\x43\x68\x69\x6C\x64\x72\x65\x6E","\x63\x6F\x6D\x70\x75\x74\x65\x41\x73\x70\x65\x63\x74","\x69\x6E\x68\x65\x72\x69\x74","\x67\x65\x74\x4E\x75\x6D\x62\x65\x72","\x64\x72\x61\x77\x4E\x6F\x64\x65","\x66\x69\x78\x65\x64","\x61\x72\x63","\x78\x2D\x61\x78\x69\x73\x2D\x72\x6F\x74\x61\x74\x69\x6F\x6E","\x6C\x61\x72\x67\x65\x2D\x61\x72\x63\x2D\x66\x6C\x61\x67","\x73\x77\x65\x65\x70\x2D\x66\x6C\x61\x67","\x52\x45\x43\x54\x41\x4E\x47\x4C\x45\x5F\x52\x4F\x55\x4E\x44\x49\x4E\x47\x5F\x46\x41\x43\x54\x4F\x52","\x61\x6C\x69\x67\x6E\x2D\x73\x68\x61\x70\x65","\x69\x6E\x63\x6C\x75\x64\x65\x2D\x73\x68\x61\x70\x65","\x67\x65\x74\x53\x74\x65\x6E\x63\x69\x6C","\x73\x74\x65\x6E\x63\x69\x6C","\x62\x6F\x75\x6E\x64\x73","\x62\x6F\x75\x6E\x64\x69\x6E\x67\x42\x6F\x78","\x73\x76\x67\x53\x74\x72\x6F\x6B\x65\x54\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x73\x74\x65\x6E\x63\x69\x6C\x50\x6F\x69\x6E\x74\x65\x72\x45\x76\x65\x6E\x74\x73","\x69\x73\x50\x61\x72\x73\x65\x56\x6D\x6C","\x69\x73\x48\x74\x6D\x6C\x41\x6C\x6C\x6F\x77\x65\x64","\x67\x65\x74\x53\x76\x67\x53\x63\x72\x65\x65\x6E\x4F\x66\x66\x73\x65\x74","\x63\x72\x65\x61\x74\x65\x53\x76\x67","\x63\x72\x65\x61\x74\x65\x48\x74\x6D\x6C","\x63\x72\x65\x61\x74\x65\x56\x6D\x6C","\x72\x65\x63\x6F\x6E\x66\x69\x67\x75\x72\x65","\x75\x70\x64\x61\x74\x65\x42\x6F\x75\x6E\x64\x73\x46\x72\x6F\x6D\x50\x6F\x69\x6E\x74\x73","\x63\x68\x65\x63\x6B\x42\x6F\x75\x6E\x64\x73","\x72\x65\x64\x72\x61\x77\x48\x74\x6D\x6C\x53\x68\x61\x70\x65","\x72\x65\x64\x72\x61\x77\x53\x68\x61\x70\x65","\x75\x70\x64\x61\x74\x65\x42\x6F\x75\x6E\x64\x69\x6E\x67\x42\x6F\x78","\x63\x72\x65\x61\x74\x65\x56\x6D\x6C\x47\x72\x6F\x75\x70","\x63\x72\x65\x61\x74\x65\x43\x61\x6E\x76\x61\x73","\x68\x61\x73\x43\x68\x69\x6C\x64\x4E\x6F\x64\x65\x73","\x6C\x61\x73\x74\x43\x68\x69\x6C\x64","\x62\x65\x66\x6F\x72\x65\x65\x6E\x64","\x69\x6E\x73\x65\x72\x74\x41\x64\x6A\x61\x63\x65\x6E\x74\x48\x54\x4D\x4C","\x61\x64\x64\x54\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x46\x69\x6C\x74\x65\x72","\x64\x65\x73\x74\x72\x6F\x79\x43\x61\x6E\x76\x61\x73","\x75\x70\x64\x61\x74\x65\x56\x6D\x6C\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x6D\x78\x52\x65\x66\x43\x6F\x75\x6E\x74","\x6F\x6C\x64\x47\x72\x61\x64\x69\x65\x6E\x74\x73","\x72\x65\x6C\x65\x61\x73\x65\x53\x76\x67\x47\x72\x61\x64\x69\x65\x6E\x74\x73","\x69\x73\x50\x61\x69\x6E\x74\x42\x6F\x75\x6E\x64\x73\x49\x6E\x76\x65\x72\x74\x65\x64","\x75\x70\x64\x61\x74\x65\x54\x72\x61\x6E\x73\x66\x6F\x72\x6D","\x63\x6F\x6E\x66\x69\x67\x75\x72\x65\x43\x61\x6E\x76\x61\x73","\x70\x61\x69\x6E\x74\x53\x74\x65\x6E\x63\x69\x6C\x53\x68\x61\x70\x65","\x70\x61\x69\x6E\x74\x45\x64\x67\x65\x53\x68\x61\x70\x65","\x70\x61\x69\x6E\x74\x56\x65\x72\x74\x65\x78\x53\x68\x61\x70\x65","\x69\x73\x53\x68\x61\x64\x6F\x77","\x67\x65\x74\x47\x72\x61\x64\x69\x65\x6E\x74\x42\x6F\x75\x6E\x64\x73","\x67\x65\x74\x53\x68\x61\x70\x65\x52\x6F\x74\x61\x74\x69\x6F\x6E","\x61\x64\x64\x54\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x52\x65\x63\x74\x61\x6E\x67\x6C\x65","\x73\x65\x74\x54\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x70\x61\x69\x6E\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x70\x61\x69\x6E\x74\x46\x6F\x72\x65\x67\x72\x6F\x75\x6E\x64","\x67\x65\x74\x41\x72\x63\x53\x69\x7A\x65","\x70\x61\x69\x6E\x74\x47\x6C\x61\x73\x73\x45\x66\x66\x65\x63\x74","\x23\x66\x66\x66\x66\x66\x66","\x69\x73\x52\x6F\x75\x6E\x64\x65\x64","\x73\x74\x65\x6E\x63\x69\x6C\x46\x6C\x69\x70\x48","\x73\x74\x65\x6E\x63\x69\x6C\x46\x6C\x69\x70\x56","\x73\x65\x74\x43\x75\x72\x73\x6F\x72","\x67\x65\x74\x43\x75\x72\x73\x6F\x72","\x63\x72\x65\x61\x74\x65\x42\x6F\x75\x6E\x64\x69\x6E\x67\x42\x6F\x78","\x61\x75\x67\x6D\x65\x6E\x74\x42\x6F\x75\x6E\x64\x69\x6E\x67\x42\x6F\x78","\x67\x65\x74\x42\x6F\x75\x6E\x64\x69\x6E\x67\x42\x6F\x78","\x67\x65\x74\x52\x6F\x74\x61\x74\x69\x6F\x6E","\x67\x65\x74\x54\x65\x78\x74\x52\x6F\x74\x61\x74\x69\x6F\x6E","\x76\x65\x72\x74\x69\x63\x61\x6C\x54\x65\x78\x74\x52\x6F\x74\x61\x74\x69\x6F\x6E","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x75\x72\x6C\x28\x27","\x2F\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x2E\x67\x69\x66\x27\x29","\x73\x74\x65\x6E\x63\x69\x6C\x73","\x6D\x61\x72\x6B\x65\x72\x73","\x41\x52\x52\x4F\x57\x5F\x43\x4C\x41\x53\x53\x49\x43","\x41\x52\x52\x4F\x57\x5F\x44\x49\x41\x4D\x4F\x4E\x44","\x61\x64\x64\x4D\x61\x72\x6B\x65\x72","\x72\x65\x64\x72\x61\x77\x50\x61\x74\x68","\x75\x70\x64\x61\x74\x65\x48\x74\x6D\x6C\x42\x6F\x75\x6E\x64\x73","\x75\x70\x64\x61\x74\x65\x48\x74\x6D\x6C\x46\x69\x6C\x74\x65\x72\x73","\x75\x70\x64\x61\x74\x65\x48\x74\x6D\x6C\x43\x6F\x6C\x6F\x72\x73","\x62\x6F\x72\x64\x65\x72\x57\x69\x64\x74\x68","\x62\x6F\x72\x64\x65\x72\x43\x6F\x6C\x6F\x72","\x73\x6F\x6C\x69\x64","\x70\x72\x6F\x67\x69\x64\x3A\x44\x58\x49\x6D\x61\x67\x65\x54\x72\x61\x6E\x73\x66\x6F\x72\x6D\x2E\x4D\x69\x63\x72\x6F\x73\x6F\x66\x74\x2E\x64\x72\x6F\x70\x53\x68\x61\x64\x6F\x77\x20\x28\x4F\x66\x66\x58\x3D\x27","\x27\x2C\x20\x4F\x66\x66\x59\x3D\x27","\x27\x2C\x20\x43\x6F\x6C\x6F\x72\x3D\x27","\x27\x29","\x70\x72\x6F\x67\x69\x64\x3A\x44\x58\x49\x6D\x61\x67\x65\x54\x72\x61\x6E\x73\x66\x6F\x72\x6D\x2E\x4D\x69\x63\x72\x6F\x73\x6F\x66\x74\x2E\x67\x72\x61\x64\x69\x65\x6E\x74\x28\x73\x74\x61\x72\x74\x43\x6F\x6C\x6F\x72\x53\x74\x72\x3D\x27","\x27\x2C\x20\x65\x6E\x64\x43\x6F\x6C\x6F\x72\x53\x74\x72\x3D\x27","\x27\x2C\x20\x67\x72\x61\x64\x69\x65\x6E\x74\x54\x79\x70\x65\x3D\x27","\x70\x61\x69\x6E\x74\x4C\x69\x6E\x65","\x61\x72\x72\x6F\x77\x57\x69\x64\x74\x68","\x41\x52\x52\x4F\x57\x5F\x57\x49\x44\x54\x48","\x41\x52\x52\x4F\x57\x5F\x53\x50\x41\x43\x49\x4E\x47","\x41\x52\x52\x4F\x57\x5F\x53\x49\x5A\x45","\x44\x45\x46\x41\x55\x4C\x54\x5F\x46\x4F\x4E\x54\x53\x54\x59\x4C\x45","\x63\x6C\x69\x70\x70\x65\x64","\x62\x61\x73\x65\x53\x70\x61\x63\x69\x6E\x67\x54\x6F\x70","\x62\x61\x73\x65\x53\x70\x61\x63\x69\x6E\x67\x42\x6F\x74\x74\x6F\x6D","\x62\x61\x73\x65\x53\x70\x61\x63\x69\x6E\x67\x4C\x65\x66\x74","\x62\x61\x73\x65\x53\x70\x61\x63\x69\x6E\x67\x52\x69\x67\x68\x74","\x72\x65\x70\x6C\x61\x63\x65\x4C\x69\x6E\x65\x66\x65\x65\x64\x73","\x69\x67\x6E\x6F\x72\x65\x43\x6C\x69\x70\x70\x65\x64\x53\x74\x72\x69\x6E\x67\x53\x69\x7A\x65","\x69\x67\x6E\x6F\x72\x65\x53\x74\x72\x69\x6E\x67\x53\x69\x7A\x65","\x74\x65\x78\x74\x44\x69\x76","\x75\x70\x64\x61\x74\x65\x53\x69\x7A\x65","\x6D\x61\x72\x67\x69\x6E","\x44\x49\x41\x4C\x45\x43\x54\x5F\x53\x54\x52\x49\x43\x54\x48\x54\x4D\x4C","\x75\x70\x64\x61\x74\x65\x56\x61\x6C\x75\x65","\x75\x70\x64\x61\x74\x65\x48\x74\x6D\x6C\x54\x72\x61\x6E\x73\x66\x6F\x72\x6D","\x75\x70\x64\x61\x74\x65\x48\x74\x6D\x6C\x46\x69\x6C\x74\x65\x72","\x54\x72\x61\x6E\x73\x66\x6F\x72\x6D\x4F\x72\x69\x67\x69\x6E","\x25\x20","\x54\x72\x61\x6E\x73\x66\x6F\x72\x6D","\x25\x2C","\x25\x29\x73\x63\x61\x6C\x65\x28","\x29\x20\x72\x6F\x74\x61\x74\x65\x28","\x64\x65\x67\x29","\x30\x25\x20\x30\x25","\x25\x29","\x31\x70\x78\x20\x73\x6F\x6C\x69\x64\x20","\x3C\x64\x69\x76\x20\x73\x74\x79\x6C\x65\x3D\x22\x7A\x6F\x6F\x6D\x3A\x31\x3B","\x64\x69\x73\x70\x6C\x61\x79\x3A\x69\x6E\x6C\x69\x6E\x65\x2D\x62\x6C\x6F\x63\x6B\x3B\x5F\x64\x69\x73\x70\x6C\x61\x79\x3A\x69\x6E\x6C\x69\x6E\x65\x3B\x70\x61\x64\x64\x69\x6E\x67\x2D\x62\x6F\x74\x74\x6F\x6D\x3A\x31\x70\x78\x3B\x70\x61\x64\x64\x69\x6E\x67\x2D\x72\x69\x67\x68\x74\x3A\x31\x70\x78\x3B\x6C\x69\x6E\x65\x2D\x68\x65\x69\x67\x68\x74\x3A","\x75\x70\x64\x61\x74\x65\x4D\x61\x72\x67\x69\x6E","\x67\x65\x74\x53\x70\x61\x63\x69\x6E\x67","\x70\x72\x65\x73\x65\x72\x76\x65\x49\x6D\x61\x67\x65\x41\x73\x70\x65\x63\x74","\x69\x6D\x61\x67\x65\x46\x6C\x69\x70\x48","\x69\x6D\x61\x67\x65\x46\x6C\x69\x70\x56","\x70\x72\x6F\x67\x69\x64\x3A\x44\x58\x49\x6D\x61\x67\x65\x54\x72\x61\x6E\x73\x66\x6F\x72\x6D\x2E\x4D\x69\x63\x72\x6F\x73\x6F\x66\x74\x2E\x42\x61\x73\x69\x63\x49\x6D\x61\x67\x65\x28\x72\x6F\x74\x61\x74\x69\x6F\x6E\x3D\x32\x29","\x70\x72\x6F\x67\x69\x64\x3A\x44\x58\x49\x6D\x61\x67\x65\x54\x72\x61\x6E\x73\x66\x6F\x72\x6D\x2E\x4D\x69\x63\x72\x6F\x73\x6F\x66\x74\x2E\x42\x61\x73\x69\x63\x49\x6D\x61\x67\x65\x28\x6D\x69\x72\x72\x6F\x72\x3D\x31\x29","\x70\x72\x6F\x67\x69\x64\x3A\x44\x58\x49\x6D\x61\x67\x65\x54\x72\x61\x6E\x73\x66\x6F\x72\x6D\x2E\x4D\x69\x63\x72\x6F\x73\x6F\x66\x74\x2E\x42\x61\x73\x69\x63\x49\x6D\x61\x67\x65\x28\x72\x6F\x74\x61\x74\x69\x6F\x6E\x3D\x32\x2C\x20\x6D\x69\x72\x72\x6F\x72\x3D\x31\x29","\x69\x6D\x61\x67\x65\x53\x69\x7A\x65","\x44\x45\x46\x41\x55\x4C\x54\x5F\x49\x4D\x41\x47\x45\x53\x49\x5A\x45","\x69\x6E\x64\x69\x63\x61\x74\x6F\x72\x53\x69\x7A\x65","\x69\x6E\x64\x69\x63\x61\x74\x6F\x72","\x70\x61\x69\x6E\x74\x49\x6D\x61\x67\x65","\x70\x61\x69\x6E\x74\x49\x6E\x64\x69\x63\x61\x74\x6F\x72","\x67\x65\x74\x49\x6D\x61\x67\x65\x42\x6F\x75\x6E\x64\x73","\x41\x4C\x49\x47\x4E\x5F\x54\x4F\x50","\x67\x65\x74\x49\x6E\x64\x69\x63\x61\x74\x6F\x72\x42\x6F\x75\x6E\x64\x73","\x63\x72\x65\x61\x74\x65\x4D\x61\x72\x6B\x65\x72","\x53\x54\x59\x4C\x45\x5F\x43\x55\x52\x56\x45\x44","\x70\x61\x69\x6E\x74\x43\x75\x72\x76\x65\x64\x4C\x69\x6E\x65","\x53\x54\x59\x4C\x45\x5F\x53\x54\x41\x52\x54\x53\x49\x5A\x45","\x53\x54\x59\x4C\x45\x5F\x45\x4E\x44\x53\x49\x5A\x45","\x53\x54\x59\x4C\x45\x5F\x53\x54\x41\x52\x54\x41\x52\x52\x4F\x57","\x53\x54\x59\x4C\x45\x5F\x45\x4E\x44\x41\x52\x52\x4F\x57","\x53\x54\x59\x4C\x45\x5F\x53\x54\x41\x52\x54\x46\x49\x4C\x4C","\x53\x54\x59\x4C\x45\x5F\x45\x4E\x44\x46\x49\x4C\x4C","\x70\x61\x69\x6E\x74\x52\x6F\x75\x6E\x64\x65\x64\x53\x77\x69\x6D\x6C\x61\x6E\x65","\x70\x61\x69\x6E\x74\x53\x77\x69\x6D\x6C\x61\x6E\x65","\x70\x61\x69\x6E\x74\x53\x65\x70\x61\x72\x61\x74\x6F\x72","\x75\x73\x65\x42\x6F\x75\x6E\x64\x69\x6E\x67\x42\x6F\x78","\x6D\x6F\x76\x65\x43\x65\x6C\x6C","\x67\x65\x74\x47\x72\x61\x70\x68","\x67\x65\x74\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74","\x67\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65","\x74\x72\x61\x76\x65\x72\x73\x65","\x67\x65\x74\x45\x64\x67\x65\x43\x6F\x75\x6E\x74","\x67\x65\x74\x45\x64\x67\x65\x41\x74","\x67\x65\x74\x54\x65\x72\x6D\x69\x6E\x61\x6C","\x67\x65\x74\x56\x69\x73\x69\x62\x6C\x65\x54\x65\x72\x6D\x69\x6E\x61\x6C","\x69\x73\x56\x65\x72\x74\x65\x78\x4D\x6F\x76\x61\x62\x6C\x65","\x69\x73\x43\x65\x6C\x6C\x4D\x6F\x76\x61\x62\x6C\x65","\x69\x73\x56\x65\x72\x74\x65\x78\x49\x67\x6E\x6F\x72\x65\x64","\x69\x73\x43\x65\x6C\x6C\x56\x69\x73\x69\x62\x6C\x65","\x69\x73\x45\x64\x67\x65\x49\x67\x6E\x6F\x72\x65\x64","\x73\x65\x74\x45\x64\x67\x65\x53\x74\x79\x6C\x65\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x73\x65\x74\x4F\x72\x74\x68\x6F\x67\x6F\x6E\x61\x6C\x45\x64\x67\x65","\x67\x65\x74\x50\x61\x72\x65\x6E\x74\x4F\x66\x66\x73\x65\x74","\x69\x73\x41\x6E\x63\x65\x73\x74\x6F\x72","\x67\x65\x74\x47\x65\x6F\x6D\x65\x74\x72\x79","\x73\x65\x74\x45\x64\x67\x65\x50\x6F\x69\x6E\x74\x73","\x73\x65\x74\x52\x65\x6C\x61\x74\x69\x76\x65","\x73\x65\x74\x47\x65\x6F\x6D\x65\x74\x72\x79","\x73\x65\x74\x56\x65\x72\x74\x65\x78\x4C\x6F\x63\x61\x74\x69\x6F\x6E","\x67\x65\x74\x56\x65\x72\x74\x65\x78\x42\x6F\x75\x6E\x64\x73","\x61\x72\x72\x61\x6E\x67\x65\x47\x72\x6F\x75\x70\x73","\x67\x65\x74\x43\x68\x69\x6C\x64\x56\x65\x72\x74\x69\x63\x65\x73","\x67\x65\x74\x42\x6F\x75\x6E\x64\x69\x6E\x67\x42\x6F\x78\x46\x72\x6F\x6D\x47\x65\x6F\x6D\x65\x74\x72\x79","\x69\x73\x53\x77\x69\x6D\x6C\x61\x6E\x65","\x67\x65\x74\x53\x74\x61\x72\x74\x53\x69\x7A\x65","\x78\x30","\x79\x30","\x6B\x65\x65\x70\x46\x69\x72\x73\x74\x4C\x6F\x63\x61\x74\x69\x6F\x6E","\x72\x65\x73\x69\x7A\x65\x50\x61\x72\x65\x6E\x74","\x72\x65\x73\x69\x7A\x65\x4C\x61\x73\x74","\x69\x73\x48\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C","\x67\x65\x74\x49\x6E\x64\x65\x78","\x67\x65\x74\x50\x61\x72\x65\x6E\x74\x53\x69\x7A\x65","\x69\x73\x4C\x61\x79\x65\x72","\x63\x75\x72\x72\x65\x6E\x74\x52\x6F\x6F\x74","\x69\x73\x43\x65\x6C\x6C\x43\x6F\x6C\x6C\x61\x70\x73\x65\x64","\x72\x65\x73\x69\x7A\x65\x56\x65\x72\x74\x69\x63\x65\x73","\x69\x6E\x76\x65\x72\x74","\x67\x72\x6F\x75\x70\x50\x61\x64\x64\x69\x6E\x67","\x70\x61\x72\x65\x6E\x74\x73\x43\x68\x61\x6E\x67\x65\x64","\x6D\x6F\x76\x65\x54\x72\x65\x65","\x6C\x65\x76\x65\x6C\x44\x69\x73\x74\x61\x6E\x63\x65","\x6E\x6F\x64\x65\x44\x69\x73\x74\x61\x6E\x63\x65","\x72\x65\x73\x65\x74\x45\x64\x67\x65\x73","\x70\x72\x65\x66\x48\x6F\x7A\x45\x64\x67\x65\x53\x65\x70","\x70\x72\x65\x66\x56\x65\x72\x74\x45\x64\x67\x65\x4F\x66\x66","\x6D\x69\x6E\x45\x64\x67\x65\x4A\x65\x74\x74\x79","\x63\x68\x61\x6E\x6E\x65\x6C\x42\x75\x66\x66\x65\x72","\x65\x64\x67\x65\x52\x6F\x75\x74\x69\x6E\x67","\x67\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x73","\x66\x69\x6E\x64\x54\x72\x65\x65\x52\x6F\x6F\x74\x73","\x64\x66\x73","\x6C\x61\x79\x6F\x75\x74","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C\x4C\x61\x79\x6F\x75\x74","\x76\x65\x72\x74\x69\x63\x61\x6C\x4C\x61\x79\x6F\x75\x74","\x6D\x6F\x76\x65\x4E\x6F\x64\x65","\x61\x64\x6A\x75\x73\x74\x50\x61\x72\x65\x6E\x74\x73","\x6C\x6F\x63\x61\x6C\x45\x64\x67\x65\x50\x72\x6F\x63\x65\x73\x73\x69\x6E\x67","\x6E\x65\x78\x74","\x63\x72\x65\x61\x74\x65\x4E\x6F\x64\x65","\x61\x74\x74\x61\x63\x68\x50\x61\x72\x65\x6E\x74","\x6C\x61\x79\x6F\x75\x74\x4C\x65\x61\x66","\x6F\x66\x66\x73\x65\x74\x58","\x6F\x66\x66\x73\x65\x74\x59","\x75\x70\x70\x65\x72\x48\x65\x61\x64","\x63\x6F\x6E\x74\x6F\x75\x72","\x63\x72\x65\x61\x74\x65\x4C\x69\x6E\x65","\x6C\x6F\x77\x65\x72\x48\x65\x61\x64","\x75\x70\x70\x65\x72\x54\x61\x69\x6C","\x6C\x6F\x77\x65\x72\x54\x61\x69\x6C","\x6D\x65\x72\x67\x65","\x62\x72\x69\x64\x67\x65","\x73\x6F\x72\x74\x43\x65\x6C\x6C\x73","\x70\x72\x6F\x63\x65\x73\x73\x4E\x6F\x64\x65\x4F\x75\x74\x67\x6F\x69\x6E\x67","\x67\x65\x74\x45\x64\x67\x65\x73\x42\x65\x74\x77\x65\x65\x6E","\x77\x65\x69\x67\x68\x74\x65\x64\x56\x61\x6C\x75\x65","\x6E\x75\x64\x67\x65","\x76\x69\x73\x69\x74\x65\x64","\x72\x61\x6E\x6B\x49\x6E\x64\x65\x78","\x75\x73\x65\x49\x6E\x70\x75\x74\x4F\x72\x69\x67\x69\x6E","\x64\x69\x73\x61\x62\x6C\x65\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x66\x6F\x72\x63\x65\x43\x6F\x6E\x73\x74\x61\x6E\x74","\x66\x6F\x72\x63\x65\x43\x6F\x6E\x73\x74\x61\x6E\x74\x53\x71\x75\x61\x72\x65\x64","\x6D\x69\x6E\x44\x69\x73\x74\x61\x6E\x63\x65\x4C\x69\x6D\x69\x74","\x6D\x61\x78\x44\x69\x73\x74\x61\x6E\x63\x65\x4C\x69\x6D\x69\x74","\x6D\x69\x6E\x44\x69\x73\x74\x61\x6E\x63\x65\x4C\x69\x6D\x69\x74\x53\x71\x75\x61\x72\x65\x64","\x69\x6E\x69\x74\x69\x61\x6C\x54\x65\x6D\x70","\x74\x65\x6D\x70\x65\x72\x61\x74\x75\x72\x65","\x6D\x61\x78\x49\x74\x65\x72\x61\x74\x69\x6F\x6E\x73","\x69\x74\x65\x72\x61\x74\x69\x6F\x6E","\x61\x6C\x6C\x6F\x77\x65\x64\x54\x6F\x52\x75\x6E","\x76\x65\x72\x74\x65\x78\x41\x72\x72\x61\x79","\x67\x65\x74\x42\x6F\x75\x6E\x64\x73","\x69\x6E\x64\x69\x63\x65\x73","\x64\x69\x73\x70\x58","\x64\x69\x73\x70\x59","\x63\x65\x6C\x6C\x4C\x6F\x63\x61\x74\x69\x6F\x6E","\x69\x73\x4D\x6F\x76\x65\x61\x62\x6C\x65","\x6E\x65\x69\x67\x68\x62\x6F\x75\x72\x73","\x72\x61\x64\x69\x75\x73","\x72\x61\x64\x69\x75\x73\x53\x71\x75\x61\x72\x65\x64","\x67\x65\x74\x4F\x70\x70\x6F\x73\x69\x74\x65\x73","\x72\x65\x73\x65\x74\x45\x64\x67\x65","\x63\x61\x6C\x63\x52\x65\x70\x75\x6C\x73\x69\x6F\x6E","\x63\x61\x6C\x63\x41\x74\x74\x72\x61\x63\x74\x69\x6F\x6E","\x63\x61\x6C\x63\x50\x6F\x73\x69\x74\x69\x6F\x6E\x73","\x72\x65\x64\x75\x63\x65\x54\x65\x6D\x70\x65\x72\x61\x74\x75\x72\x65","\x72\x61\x6E\x64\x6F\x6D","\x6D\x6F\x76\x65\x43\x69\x72\x63\x6C\x65","\x67\x65\x74\x52\x61\x64\x69\x75\x73","\x63\x69\x72\x63\x6C\x65","\x66\x69\x6E\x64\x50\x61\x72\x61\x6C\x6C\x65\x6C\x73","\x67\x65\x74\x45\x64\x67\x65\x49\x64","\x72\x6F\x75\x74\x65","\x6C\x61\x79\x6F\x75\x74\x73","\x6D\x61\x73\x74\x65\x72","\x70\x6C\x61\x63\x65\x4C\x61\x62\x65\x6C\x73","\x61\x76\x6F\x69\x64","\x69\x6E\x74\x65\x72\x73\x65\x63\x74\x73","\x74\x65\x6D\x70","\x6D\x61\x78\x52\x61\x6E\x6B","\x6D\x69\x6E\x52\x61\x6E\x6B","\x6E\x65\x78\x74\x4C\x61\x79\x65\x72\x43\x6F\x6E\x6E\x65\x63\x74\x65\x64\x43\x65\x6C\x6C\x73","\x70\x72\x65\x76\x69\x6F\x75\x73\x4C\x61\x79\x65\x72\x43\x6F\x6E\x6E\x65\x63\x74\x65\x64\x43\x65\x6C\x6C\x73","\x67\x65\x74\x4E\x65\x78\x74\x4C\x61\x79\x65\x72\x43\x6F\x6E\x6E\x65\x63\x74\x65\x64\x43\x65\x6C\x6C\x73","\x67\x65\x74\x50\x72\x65\x76\x69\x6F\x75\x73\x4C\x61\x79\x65\x72\x43\x6F\x6E\x6E\x65\x63\x74\x65\x64\x43\x65\x6C\x6C\x73","\x67\x65\x74\x47\x65\x6E\x65\x72\x61\x6C\x50\x75\x72\x70\x6F\x73\x65\x56\x61\x72\x69\x61\x62\x6C\x65","\x73\x65\x74\x47\x65\x6E\x65\x72\x61\x6C\x50\x75\x72\x70\x6F\x73\x65\x56\x61\x72\x69\x61\x62\x6C\x65","\x73\x65\x74\x58","\x73\x65\x74\x59","\x63\x6F\x6E\x6E\x65\x63\x74\x73\x41\x73\x54\x61\x72\x67\x65\x74","\x63\x6F\x6E\x6E\x65\x63\x74\x73\x41\x73\x53\x6F\x75\x72\x63\x65","\x68\x61\x73\x68\x43\x6F\x64\x65","\x67\x65\x74\x52\x61\x6E\x6B\x56\x61\x6C\x75\x65","\x67\x65\x74\x43\x6F\x72\x65\x43\x65\x6C\x6C","\x65\x64\x67\x65\x73","\x69\x73\x52\x65\x76\x65\x72\x73\x65\x64","\x74\x69\x67\x68\x74\x65\x6E\x54\x6F\x53\x6F\x75\x72\x63\x65","\x72\x6F\x6F\x74\x73","\x76\x65\x72\x74\x65\x78\x4D\x61\x70\x70\x65\x72","\x65\x64\x67\x65\x4D\x61\x70\x70\x65\x72","\x53\x4F\x55\x52\x43\x45\x53\x43\x41\x4E\x53\x54\x41\x52\x54\x52\x41\x4E\x4B","\x63\x72\x65\x61\x74\x65\x49\x6E\x74\x65\x72\x6E\x61\x6C\x43\x65\x6C\x6C\x73","\x72\x61\x6E\x6B\x73","\x64\x66\x73\x43\x6F\x75\x6E\x74","\x69\x6E\x69\x74\x69\x61\x6C\x52\x61\x6E\x6B","\x73\x6C\x69\x63\x65","\x66\x69\x78\x52\x61\x6E\x6B\x73","\x65\x78\x74\x65\x6E\x64\x65\x64\x44\x66\x73","\x6E\x65\x73\x74\x65\x64\x42\x65\x73\x74\x52\x61\x6E\x6B\x73","\x63\x75\x72\x72\x65\x6E\x74\x42\x65\x73\x74\x43\x72\x6F\x73\x73\x69\x6E\x67\x73","\x69\x74\x65\x72\x61\x74\x69\x6F\x6E\x73\x57\x69\x74\x68\x6F\x75\x74\x49\x6D\x70\x72\x6F\x76\x65\x6D\x65\x6E\x74","\x6D\x61\x78\x4E\x6F\x49\x6D\x70\x72\x6F\x76\x65\x6D\x65\x6E\x74\x49\x74\x65\x72\x61\x74\x69\x6F\x6E\x73","\x63\x61\x6C\x63\x75\x6C\x61\x74\x65\x43\x72\x6F\x73\x73\x69\x6E\x67\x73","\x77\x65\x69\x67\x68\x74\x65\x64\x4D\x65\x64\x69\x61\x6E","\x74\x72\x61\x6E\x73\x70\x6F\x73\x65","\x63\x61\x6C\x63\x75\x6C\x61\x74\x65\x52\x61\x6E\x6B\x43\x72\x6F\x73\x73\x69\x6E\x67","\x6D\x65\x64\x69\x61\x6E\x52\x61\x6E\x6B","\x6D\x65\x64\x69\x61\x6E\x56\x61\x6C\x75\x65","\x6C\x65\x6E\x74\x68","\x67\x65\x74\x49\x6E\x63\x6F\x6D\x69\x6E\x67\x45\x64\x67\x65\x73","\x69\x6E\x74\x72\x61\x43\x65\x6C\x6C\x53\x70\x61\x63\x69\x6E\x67","\x69\x6E\x74\x65\x72\x52\x61\x6E\x6B\x43\x65\x6C\x6C\x53\x70\x61\x63\x69\x6E\x67","\x6F\x72\x69\x65\x6E\x74\x61\x74\x69\x6F\x6E","\x69\x6E\x69\x74\x69\x61\x6C\x58","\x70\x61\x72\x61\x6C\x6C\x65\x6C\x45\x64\x67\x65\x53\x70\x61\x63\x69\x6E\x67","\x6A\x65\x74\x74\x79\x50\x6F\x73\x69\x74\x69\x6F\x6E\x73","\x6C\x69\x6D\x69\x74\x58","\x63\x75\x72\x72\x65\x6E\x74\x58\x44\x65\x6C\x74\x61","\x77\x69\x64\x65\x73\x74\x52\x61\x6E\x6B","\x72\x61\x6E\x6B\x54\x6F\x70\x59","\x72\x61\x6E\x6B\x42\x6F\x74\x74\x6F\x6D\x59","\x77\x69\x64\x65\x73\x74\x52\x61\x6E\x6B\x56\x61\x6C\x75\x65","\x72\x61\x6E\x6B\x57\x69\x64\x74\x68\x73","\x72\x61\x6E\x6B\x59","\x66\x69\x6E\x65\x54\x75\x6E\x69\x6E\x67","\x50\x4F\x4C\x59\x4C\x49\x4E\x45","\x6E\x65\x78\x74\x4C\x61\x79\x65\x72\x43\x6F\x6E\x6E\x65\x63\x74\x65\x64\x43\x61\x63\x68\x65","\x70\x72\x65\x76\x69\x6F\x75\x73\x4C\x61\x79\x65\x72\x43\x6F\x6E\x6E\x65\x63\x74\x65\x64\x43\x61\x63\x68\x65","\x70\x72\x69\x6E\x74\x53\x74\x61\x74\x75\x73","\x3D\x3D\x3D\x3D\x3D\x3D\x43\x6F\x6F\x72\x64\x20\x61\x73\x73\x69\x67\x6E\x6D\x65\x6E\x74\x20\x64\x65\x62\x75\x67\x3D\x3D\x3D\x3D\x3D\x3D\x3D","\x52\x61\x6E\x6B\x20","\x20\x3A\x20","\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D\x3D","\x69\x6E\x69\x74\x69\x61\x6C\x43\x6F\x6F\x72\x64\x73","\x6D\x69\x6E\x4E\x6F\x64\x65","\x6D\x65\x64\x69\x61\x6E\x50\x6F\x73","\x6D\x69\x6E\x50\x61\x74\x68","\x73\x65\x74\x43\x65\x6C\x6C\x4C\x6F\x63\x61\x74\x69\x6F\x6E\x73","\x6D\x65\x64\x69\x61\x6E\x58\x56\x61\x6C\x75\x65","\x72\x61\x6E\x6B\x4D\x65\x64\x69\x61\x6E\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x63\x61\x6C\x63\x75\x6C\x61\x74\x65\x64\x57\x65\x69\x67\x68\x74\x65\x64\x56\x61\x6C\x75\x65","\x63\x61\x6C\x63\x75\x6C\x61\x74\x65\x57\x69\x64\x65\x73\x74\x52\x61\x6E\x6B","\x72\x61\x6E\x6B\x43\x6F\x6F\x72\x64\x69\x6E\x61\x74\x65\x73","\x65\x64\x67\x65\x2E\x65\x64\x67\x65\x73\x20\x69\x73\x20\x6E\x75\x6C\x6C","\x41\x74\x20\x6C\x65\x61\x73\x74\x20\x6F\x6E\x65\x20\x63\x65\x6C\x6C\x20\x68\x61\x73\x20\x6E\x6F\x20\x62\x6F\x75\x6E\x64\x73","\x72\x65\x70\x6F\x73\x69\x74\x69\x6F\x6E\x56\x61\x6C\x69\x64","\x4D\x41\x58\x5F\x56\x41\x4C\x55\x45","\x4F\x52\x54\x48\x4F\x47\x4F\x4E\x41\x4C","\x43\x55\x52\x56\x45","\x73\x65\x74\x45\x64\x67\x65\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x69\x73\x50\x6F\x72\x74","\x70\x72\x6F\x63\x65\x73\x73\x52\x65\x76\x65\x72\x73\x65\x64\x45\x64\x67\x65","\x64\x65\x74\x65\x72\x6D\x69\x6E\x69\x73\x74\x69\x63","\x6D\x6F\x76\x65\x50\x61\x72\x65\x6E\x74","\x70\x61\x72\x65\x6E\x74\x42\x6F\x72\x64\x65\x72","\x69\x6E\x74\x65\x72\x48\x69\x65\x72\x61\x72\x63\x68\x79\x53\x70\x61\x63\x69\x6E\x67","\x74\x72\x61\x76\x65\x72\x73\x65\x41\x6E\x63\x65\x73\x74\x6F\x72\x73","\x65\x64\x67\x65\x73\x43\x61\x63\x68\x65","\x72\x75\x6E","\x75\x70\x64\x61\x74\x65\x47\x72\x6F\x75\x70\x42\x6F\x75\x6E\x64\x73","\x66\x69\x6E\x64\x52\x6F\x6F\x74\x73","\x63\x6F\x6E\x63\x61\x74","\x69\x73\x56\x61\x6C\x69\x64\x41\x6E\x63\x65\x73\x74\x6F\x72","\x66\x69\x6C\x74\x65\x72\x44\x65\x73\x63\x65\x6E\x64\x61\x6E\x74\x73","\x63\x79\x63\x6C\x65\x53\x74\x61\x67\x65","\x6C\x61\x79\x65\x72\x69\x6E\x67\x53\x74\x61\x67\x65","\x63\x72\x6F\x73\x73\x69\x6E\x67\x53\x74\x61\x67\x65","\x70\x6C\x61\x63\x65\x6D\x65\x6E\x74\x53\x74\x61\x67\x65","\x63\x75\x72\x72\x65\x6E\x74\x45\x64\x69\x74","\x73\x65\x74\x52\x6F\x6F\x74","\x6D\x61\x69\x6E\x74\x61\x69\x6E\x45\x64\x67\x65\x50\x61\x72\x65\x6E\x74","\x63\x72\x65\x61\x74\x65\x49\x64\x73","\x70\x6F\x73\x74\x66\x69\x78","\x6E\x65\x78\x74\x49\x64","\x75\x70\x64\x61\x74\x65\x4C\x65\x76\x65\x6C","\x65\x6E\x64\x69\x6E\x67\x55\x70\x64\x61\x74\x65","\x63\x72\x65\x61\x74\x65\x52\x6F\x6F\x74","\x69\x73\x43\x72\x65\x61\x74\x65\x49\x64\x73","\x73\x65\x74\x43\x72\x65\x61\x74\x65\x49\x64\x73","\x69\x6E\x73\x65\x72\x74","\x66\x69\x6C\x74\x65\x72\x43\x65\x6C\x6C\x73","\x67\x65\x74\x44\x65\x73\x63\x65\x6E\x64\x61\x6E\x74\x73","\x72\x6F\x6F\x74\x43\x68\x61\x6E\x67\x65\x64","\x63\x65\x6C\x6C\x41\x64\x64\x65\x64","\x69\x73\x52\x6F\x6F\x74","\x75\x70\x64\x61\x74\x65\x45\x64\x67\x65\x50\x61\x72\x65\x6E\x74\x73","\x63\x72\x65\x61\x74\x65\x49\x64","\x73\x65\x74\x49\x64","\x69\x73\x4E\x75\x6D\x65\x72\x69\x63","\x75\x70\x64\x61\x74\x65\x45\x64\x67\x65\x50\x61\x72\x65\x6E\x74","\x67\x65\x74\x4E\x65\x61\x72\x65\x73\x74\x43\x6F\x6D\x6D\x6F\x6E\x41\x6E\x63\x65\x73\x74\x6F\x72","\x67\x65\x74\x4F\x72\x69\x67\x69\x6E","\x50\x41\x54\x48\x5F\x53\x45\x50\x41\x52\x41\x54\x4F\x52","\x67\x65\x74\x50\x61\x72\x65\x6E\x74\x50\x61\x74\x68","\x70\x61\x72\x65\x6E\x74\x46\x6F\x72\x43\x65\x6C\x6C\x43\x68\x61\x6E\x67\x65\x64","\x67\x65\x74\x43\x68\x69\x6C\x64\x72\x65\x6E","\x63\x68\x69\x6C\x64\x72\x65\x6E","\x67\x65\x74\x43\x68\x69\x6C\x64\x43\x65\x6C\x6C\x73","\x67\x65\x74\x43\x68\x69\x6C\x64\x45\x64\x67\x65\x73","\x73\x65\x74\x54\x65\x72\x6D\x69\x6E\x61\x6C","\x73\x65\x74\x54\x65\x72\x6D\x69\x6E\x61\x6C\x73","\x74\x65\x72\x6D\x69\x6E\x61\x6C\x46\x6F\x72\x43\x65\x6C\x6C\x43\x68\x61\x6E\x67\x65\x64","\x69\x6E\x73\x65\x72\x74\x45\x64\x67\x65","\x72\x65\x6D\x6F\x76\x65\x45\x64\x67\x65","\x67\x65\x74\x44\x69\x72\x65\x63\x74\x65\x64\x45\x64\x67\x65\x43\x6F\x75\x6E\x74","\x67\x65\x74\x4F\x75\x74\x67\x6F\x69\x6E\x67\x45\x64\x67\x65\x73","\x67\x65\x74\x54\x6F\x70\x6D\x6F\x73\x74\x43\x65\x6C\x6C\x73","\x69\x73\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x73\x65\x74\x56\x61\x6C\x75\x65","\x76\x61\x6C\x75\x65\x46\x6F\x72\x43\x65\x6C\x6C\x43\x68\x61\x6E\x67\x65\x64","\x76\x61\x6C\x75\x65\x43\x68\x61\x6E\x67\x65\x64","\x67\x65\x6F\x6D\x65\x74\x72\x79\x46\x6F\x72\x43\x65\x6C\x6C\x43\x68\x61\x6E\x67\x65\x64","\x73\x74\x79\x6C\x65\x46\x6F\x72\x43\x65\x6C\x6C\x43\x68\x61\x6E\x67\x65\x64","\x69\x73\x43\x6F\x6C\x6C\x61\x70\x73\x65\x64","\x73\x65\x74\x43\x6F\x6C\x6C\x61\x70\x73\x65\x64","\x63\x6F\x6C\x6C\x61\x70\x73\x65\x64\x53\x74\x61\x74\x65\x46\x6F\x72\x43\x65\x6C\x6C\x43\x68\x61\x6E\x67\x65\x64","\x76\x69\x73\x69\x62\x6C\x65\x53\x74\x61\x74\x65\x46\x6F\x72\x43\x65\x6C\x6C\x43\x68\x61\x6E\x67\x65\x64","\x6D\x65\x72\x67\x65\x43\x68\x69\x6C\x64\x72\x65\x6E","\x6D\x65\x72\x67\x65\x43\x68\x69\x6C\x64\x72\x65\x6E\x49\x6D\x70\x6C","\x67\x65\x74\x50\x61\x72\x65\x6E\x74\x73","\x63\x6C\x6F\x6E\x65\x43\x65\x6C\x6C","\x63\x6C\x6F\x6E\x65\x43\x65\x6C\x6C\x49\x6D\x70\x6C","\x72\x65\x73\x74\x6F\x72\x65\x43\x6C\x6F\x6E\x65","\x63\x65\x6C\x6C\x43\x6C\x6F\x6E\x65\x64","\x70\x72\x65\x76\x69\x6F\x75\x73\x49\x6E\x64\x65\x78","\x69\x6E\x64\x65\x78","\x74\x65\x72\x6D\x69\x6E\x61\x6C","\x63\x6F\x6C\x6C\x61\x70\x73\x65\x64","\x61\x74\x74\x72\x69\x62\x75\x74\x65","\x6F\x6E\x49\x6E\x69\x74","\x76\x65\x72\x74\x65\x78","\x65\x64\x67\x65","\x63\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x6D\x78\x54\x72\x61\x6E\x73\x69\x65\x6E\x74","\x69\x64\x20\x76\x61\x6C\x75\x65\x20\x70\x61\x72\x65\x6E\x74\x20\x73\x6F\x75\x72\x63\x65\x20\x74\x61\x72\x67\x65\x74\x20\x63\x68\x69\x6C\x64\x72\x65\x6E\x20\x65\x64\x67\x65\x73","\x73\x65\x74\x56\x65\x72\x74\x65\x78","\x73\x65\x74\x45\x64\x67\x65","\x73\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x73\x65\x74\x50\x61\x72\x65\x6E\x74","\x72\x65\x6D\x6F\x76\x65\x46\x72\x6F\x6D\x50\x61\x72\x65\x6E\x74","\x67\x65\x74\x45\x64\x67\x65\x49\x6E\x64\x65\x78","\x72\x65\x6D\x6F\x76\x65\x46\x72\x6F\x6D\x54\x65\x72\x6D\x69\x6E\x61\x6C","\x63\x6C\x6F\x6E\x65\x56\x61\x6C\x75\x65","\x54\x52\x41\x4E\x53\x4C\x41\x54\x45\x5F\x43\x4F\x4E\x54\x52\x4F\x4C\x5F\x50\x4F\x49\x4E\x54\x53","\x61\x6C\x74\x65\x72\x6E\x61\x74\x65\x42\x6F\x75\x6E\x64\x73","\x73\x6F\x75\x72\x63\x65\x50\x6F\x69\x6E\x74","\x74\x61\x72\x67\x65\x74\x50\x6F\x69\x6E\x74","\x73\x77\x61\x70","\x67\x65\x74\x54\x65\x72\x6D\x69\x6E\x61\x6C\x50\x6F\x69\x6E\x74","\x73\x65\x74\x54\x65\x72\x6D\x69\x6E\x61\x6C\x50\x6F\x69\x6E\x74","\x74\x61\x6E","\x70\x6F\x77","\x69\x6E\x74\x65\x72\x73\x65\x63\x74\x69\x6F\x6E","\x53\x54\x59\x4C\x45\x5F\x44\x49\x52\x45\x43\x54\x49\x4F\x4E","\x70\x61\x67\x65\x53\x63\x61\x6C\x65","\x70\x61\x67\x65\x46\x6F\x72\x6D\x61\x74","\x50\x72\x69\x6E\x74\x65\x72\x2D\x66\x72\x69\x65\x6E\x64\x6C\x79\x20\x76\x65\x72\x73\x69\x6F\x6E","\x70\x61\x67\x65\x53\x65\x6C\x65\x63\x74\x6F\x72","\x61\x75\x74\x6F\x4F\x72\x69\x67\x69\x6E","\x70\x72\x69\x6E\x74\x4F\x76\x65\x72\x6C\x61\x79\x73","\x77\x6E\x64","\x70\x61\x67\x65\x43\x6F\x75\x6E\x74","\x67\x65\x74\x57\x69\x6E\x64\x6F\x77","\x67\x65\x74\x44\x6F\x63\x74\x79\x70\x65","\x3C\x6D\x65\x74\x61\x20\x68\x74\x74\x70\x2D\x65\x71\x75\x69\x76\x3D\x22\x58\x2D\x55\x41\x2D\x43\x6F\x6D\x70\x61\x74\x69\x62\x6C\x65\x22\x20\x63\x6F\x6E\x74\x65\x6E\x74\x3D\x22\x49\x45\x3D\x38\x22\x3E","\x69\x6E\x69\x74\x69\x61\x6C\x69\x7A\x65\x4F\x76\x65\x72\x6C\x61\x79","\x67\x65\x74\x44\x72\x61\x77\x50\x61\x6E\x65","\x77\x72\x69\x74\x65\x48\x65\x61\x64","\x3C\x62\x6F\x64\x79\x20\x63\x6C\x61\x73\x73\x3D\x22\x6D\x78\x50\x61\x67\x65\x22\x3E","\x63\x72\x65\x61\x74\x65\x50\x61\x67\x65\x53\x65\x6C\x65\x63\x74\x6F\x72","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x41\x66\x74\x65\x72","\x61\x6C\x77\x61\x79\x73","\x6D\x78\x50\x61\x67\x65\x42\x72\x65\x61\x6B","\x67\x65\x74\x43\x6F\x76\x65\x72\x50\x61\x67\x65\x73","\x67\x65\x74\x41\x70\x70\x65\x6E\x64\x69\x63\x65\x73","\x61\x64\x64\x47\x72\x61\x70\x68\x46\x72\x61\x67\x6D\x65\x6E\x74","\x72\x65\x6E\x64\x65\x72\x50\x61\x67\x65","\x6D\x78\x50\x61\x67\x65\x2D","\x3C\x74\x69\x74\x6C\x65\x3E","\x3C\x2F\x74\x69\x74\x6C\x65\x3E","\x3C\x73\x74\x79\x6C\x65\x20\x74\x79\x70\x65\x3D\x22\x74\x65\x78\x74\x2F\x63\x73\x73\x22\x3E","\x40\x6D\x65\x64\x69\x61\x20\x70\x72\x69\x6E\x74\x20\x7B","\x20\x20\x74\x61\x62\x6C\x65\x2E\x6D\x78\x50\x61\x67\x65\x53\x65\x6C\x65\x63\x74\x6F\x72\x20\x7B\x20\x64\x69\x73\x70\x6C\x61\x79\x3A\x20\x6E\x6F\x6E\x65\x3B\x20\x7D","\x20\x20\x68\x72\x2E\x6D\x78\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x20\x7B\x20\x64\x69\x73\x70\x6C\x61\x79\x3A\x20\x6E\x6F\x6E\x65\x3B\x20\x7D","\x40\x6D\x65\x64\x69\x61\x20\x73\x63\x72\x65\x65\x6E\x20\x7B","\x20\x20\x74\x61\x62\x6C\x65\x2E\x6D\x78\x50\x61\x67\x65\x53\x65\x6C\x65\x63\x74\x6F\x72\x20\x7B\x20\x70\x6F\x73\x69\x74\x69\x6F\x6E\x3A\x20\x66\x69\x78\x65\x64\x3B\x20\x72\x69\x67\x68\x74\x3A\x20\x31\x30\x70\x78\x3B\x20\x74\x6F\x70\x3A\x20\x31\x30\x70\x78\x3B\x66\x6F\x6E\x74\x2D\x66\x61\x6D\x69\x6C\x79\x3A\x20\x41\x72\x69\x61\x6C\x3B\x20\x66\x6F\x6E\x74\x2D\x73\x69\x7A\x65\x3A\x31\x30\x70\x74\x3B\x20\x62\x6F\x72\x64\x65\x72\x3A\x20\x73\x6F\x6C\x69\x64\x20\x31\x70\x78\x20\x64\x61\x72\x6B\x67\x72\x61\x79\x3B\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x3A\x20\x77\x68\x69\x74\x65\x3B\x20\x62\x6F\x72\x64\x65\x72\x2D\x63\x6F\x6C\x6C\x61\x70\x73\x65\x3A\x63\x6F\x6C\x6C\x61\x70\x73\x65\x3B\x20\x7D","\x20\x20\x74\x61\x62\x6C\x65\x2E\x6D\x78\x50\x61\x67\x65\x53\x65\x6C\x65\x63\x74\x6F\x72\x20\x74\x64\x20\x7B\x20\x62\x6F\x72\x64\x65\x72\x3A\x20\x73\x6F\x6C\x69\x64\x20\x31\x70\x78\x20\x67\x72\x61\x79\x3B\x20\x70\x61\x64\x64\x69\x6E\x67\x3A\x34\x70\x78\x3B\x20\x7D","\x20\x20\x62\x6F\x64\x79\x2E\x6D\x78\x50\x61\x67\x65\x20\x7B\x20\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x3A\x20\x67\x72\x61\x79\x3B\x20\x7D","\x3C\x2F\x73\x74\x79\x6C\x65\x3E","\x6D\x78\x50\x61\x67\x65\x53\x65\x6C\x65\x63\x74\x6F\x72","\x61","\x23\x6D\x78\x50\x61\x67\x65\x2D","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x49\x6E\x73\x69\x64\x65","\x67\x65\x74\x43\x61\x6E\x76\x61\x73","\x67\x65\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x6E\x65","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x6E\x65","\x73\x74\x79\x6C\x65\x73","\x63\x72\x65\x61\x74\x65\x44\x65\x66\x61\x75\x6C\x74\x56\x65\x72\x74\x65\x78\x53\x74\x79\x6C\x65","\x70\x75\x74\x44\x65\x66\x61\x75\x6C\x74\x56\x65\x72\x74\x65\x78\x53\x74\x79\x6C\x65","\x63\x72\x65\x61\x74\x65\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x70\x75\x74\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x53\x54\x59\x4C\x45\x5F\x53\x48\x41\x50\x45","\x53\x48\x41\x50\x45\x5F\x52\x45\x43\x54\x41\x4E\x47\x4C\x45","\x53\x54\x59\x4C\x45\x5F\x50\x45\x52\x49\x4D\x45\x54\x45\x52","\x52\x65\x63\x74\x61\x6E\x67\x6C\x65\x50\x65\x72\x69\x6D\x65\x74\x65\x72","\x53\x54\x59\x4C\x45\x5F\x56\x45\x52\x54\x49\x43\x41\x4C\x5F\x41\x4C\x49\x47\x4E","\x53\x54\x59\x4C\x45\x5F\x41\x4C\x49\x47\x4E","\x53\x54\x59\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x23\x43\x33\x44\x39\x46\x46","\x53\x54\x59\x4C\x45\x5F\x53\x54\x52\x4F\x4B\x45\x43\x4F\x4C\x4F\x52","\x23\x36\x34\x38\x32\x42\x39","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x43\x4F\x4C\x4F\x52","\x23\x37\x37\x34\x34\x30\x30","\x53\x48\x41\x50\x45\x5F\x43\x4F\x4E\x4E\x45\x43\x54\x4F\x52","\x23\x34\x34\x36\x32\x39\x39","\x64\x65\x66\x61\x75\x6C\x74\x56\x65\x72\x74\x65\x78","\x70\x75\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65","\x64\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x67\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x56\x65\x72\x74\x65\x78\x53\x74\x79\x6C\x65","\x67\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x6F\x72\x69\x67\x69\x6E","\x61\x62\x73\x6F\x6C\x75\x74\x65\x4F\x66\x66\x73\x65\x74","\x69\x6E\x76\x61\x6C\x69\x64","\x69\x6E\x76\x61\x6C\x69\x64\x4F\x72\x64\x65\x72","\x6F\x72\x64\x65\x72\x43\x68\x61\x6E\x67\x65\x64","\x76\x69\x73\x69\x62\x6C\x65\x53\x6F\x75\x72\x63\x65\x53\x74\x61\x74\x65","\x76\x69\x73\x69\x62\x6C\x65\x54\x61\x72\x67\x65\x74\x53\x74\x61\x74\x65","\x74\x65\x72\x6D\x69\x6E\x61\x6C\x44\x69\x73\x74\x61\x6E\x63\x65","\x73\x65\x67\x6D\x65\x6E\x74\x73","\x67\x65\x74\x50\x65\x72\x69\x6D\x65\x74\x65\x72\x42\x6F\x75\x6E\x64\x73","\x73\x65\x74\x41\x62\x73\x6F\x6C\x75\x74\x65\x54\x65\x72\x6D\x69\x6E\x61\x6C\x50\x6F\x69\x6E\x74","\x67\x65\x74\x56\x69\x73\x69\x62\x6C\x65\x54\x65\x72\x6D\x69\x6E\x61\x6C\x53\x74\x61\x74\x65","\x73\x65\x74\x56\x69\x73\x69\x62\x6C\x65\x54\x65\x72\x6D\x69\x6E\x61\x6C\x53\x74\x61\x74\x65","\x64\x6F\x6E\x65\x52\x65\x73\x6F\x75\x72\x63\x65","\x75\x70\x64\x61\x74\x69\x6E\x67\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x52\x65\x73\x6F\x75\x72\x63\x65","\x75\x70\x64\x61\x74\x69\x6E\x67\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x73\x69\x6E\x67\x6C\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x69\x73\x53\x69\x6E\x67\x6C\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x73\x65\x74\x53\x69\x6E\x67\x6C\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x69\x73\x53\x65\x6C\x65\x63\x74\x65\x64","\x63\x68\x61\x6E\x67\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x73\x65\x74\x43\x65\x6C\x6C","\x73\x65\x74\x43\x65\x6C\x6C\x73","\x67\x65\x74\x46\x69\x72\x73\x74\x53\x65\x6C\x65\x63\x74\x61\x62\x6C\x65\x43\x65\x6C\x6C","\x69\x73\x43\x65\x6C\x6C\x53\x65\x6C\x65\x63\x74\x61\x62\x6C\x65","\x61\x64\x64\x43\x65\x6C\x6C","\x72\x65\x6D\x6F\x76\x65\x43\x65\x6C\x6C","\x73\x65\x6C\x65\x63\x74\x69\x6F\x6E\x4D\x6F\x64\x65\x6C","\x61\x64\x64\x65\x64","\x72\x65\x6D\x6F\x76\x65\x64","\x6D\x78\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x68\x61\x6E\x67\x65\x2E\x65\x78\x65\x63\x75\x74\x65","\x65\x6E\x74\x65\x72","\x6C\x65\x61\x76\x65","\x65\x64\x69\x74\x69\x6E\x67\x43\x65\x6C\x6C","\x74\x72\x69\x67\x67\x65\x72","\x6D\x6F\x64\x69\x66\x69\x65\x64","\x61\x75\x74\x6F\x53\x69\x7A\x65","\x65\x6D\x70\x74\x79\x4C\x61\x62\x65\x6C\x54\x65\x78\x74","\x74\x65\x78\x74\x4E\x6F\x64\x65","\x6D\x78\x43\x65\x6C\x6C\x45\x64\x69\x74\x6F\x72","\x63\x6F\x6C\x73","\x32\x30","\x34","\x62\x6C\x75\x72","\x66\x6F\x63\x75\x73\x4C\x6F\x73\x74","\x6B\x65\x79\x64\x6F\x77\x6E","\x6B\x65\x79\x43\x6F\x64\x65","\x69\x73\x45\x6E\x74\x65\x72\x53\x74\x6F\x70\x73\x43\x65\x6C\x6C\x45\x64\x69\x74\x69\x6E\x67","\x73\x74\x6F\x70\x45\x64\x69\x74\x69\x6E\x67","\x63\x6C\x65\x61\x72\x4F\x6E\x43\x68\x61\x6E\x67\x65","\x73\x65\x74\x4D\x6F\x64\x69\x66\x69\x65\x64","\x6B\x65\x79\x70\x72\x65\x73\x73","\x69\x73\x4C\x61\x62\x65\x6C\x43\x6C\x69\x70\x70\x65\x64","\x69\x73\x57\x72\x61\x70\x70\x69\x6E\x67","\x41\x4C\x49\x47\x4E\x5F\x4C\x45\x46\x54","\x69\x73\x4D\x6F\x64\x69\x66\x69\x65\x64","\x69\x73\x49\x6E\x76\x6F\x6B\x65\x73\x53\x74\x6F\x70\x43\x65\x6C\x6C\x45\x64\x69\x74\x69\x6E\x67","\x69\x73\x48\x69\x64\x65\x4C\x61\x62\x65\x6C","\x6F\x75\x74\x6C\x69\x6E\x65","\x67\x65\x74\x45\x64\x69\x74\x6F\x72\x42\x6F\x75\x6E\x64\x73","\x67\x65\x74\x49\x6E\x69\x74\x69\x61\x6C\x56\x61\x6C\x75\x65","\x67\x65\x74\x45\x6D\x70\x74\x79\x4C\x61\x62\x65\x6C\x54\x65\x78\x74","\x63\x72\x65\x61\x74\x65\x54\x65\x78\x74\x44\x69\x76","\x67\x65\x74\x43\x75\x72\x72\x65\x6E\x74\x56\x61\x6C\x75\x65","\x67\x65\x74\x45\x64\x69\x74\x69\x6E\x67\x56\x61\x6C\x75\x65","\x53\x54\x59\x4C\x45\x5F\x53\x50\x41\x43\x49\x4E\x47","\x53\x54\x59\x4C\x45\x5F\x53\x50\x41\x43\x49\x4E\x47\x5F\x54\x4F\x50","\x53\x54\x59\x4C\x45\x5F\x53\x50\x41\x43\x49\x4E\x47\x5F\x52\x49\x47\x48\x54","\x53\x54\x59\x4C\x45\x5F\x53\x50\x41\x43\x49\x4E\x47\x5F\x42\x4F\x54\x54\x4F\x4D","\x53\x54\x59\x4C\x45\x5F\x53\x50\x41\x43\x49\x4E\x47\x5F\x4C\x45\x46\x54","\x67\x65\x74\x45\x64\x69\x74\x69\x6E\x67\x43\x65\x6C\x6C","\x64\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65\x53\x68\x61\x70\x65","\x64\x65\x66\x61\x75\x6C\x74\x56\x65\x72\x74\x65\x78\x53\x68\x61\x70\x65","\x64\x65\x66\x61\x75\x6C\x74\x54\x65\x78\x74\x53\x68\x61\x70\x65","\x6C\x65\x67\x61\x63\x79\x43\x6F\x6E\x74\x72\x6F\x6C\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x64\x65\x66\x61\x75\x6C\x74\x53\x68\x61\x70\x65\x73","\x72\x65\x67\x69\x73\x74\x65\x72\x53\x68\x61\x70\x65","\x69\x6E\x69\x74\x69\x61\x6C\x69\x7A\x65","\x63\x72\x65\x61\x74\x65\x53\x68\x61\x70\x65","\x69\x6E\x69\x74\x69\x61\x6C\x69\x7A\x65\x53\x68\x61\x70\x65","\x6F\x72\x64\x65\x72\x65\x64","\x6B\x65\x65\x70\x45\x64\x67\x65\x73\x49\x6E\x46\x6F\x72\x65\x67\x72\x6F\x75\x6E\x64","\x66\x69\x72\x73\x74\x45\x64\x67\x65","\x69\x6E\x73\x65\x72\x74\x53\x74\x61\x74\x65","\x63\x72\x65\x61\x74\x65\x43\x65\x6C\x6C\x4F\x76\x65\x72\x6C\x61\x79\x73","\x69\x6E\x73\x74\x61\x6C\x6C\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x67\x65\x74\x50\x72\x65\x76\x69\x6F\x75\x73\x53\x74\x61\x74\x65\x49\x6E\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x66\x69\x6E\x64\x50\x72\x65\x76\x69\x6F\x75\x73\x53\x74\x61\x74\x65\x49\x6E\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x6F\x72\x64\x65\x72","\x6F\x72\x64\x65\x72\x45\x64\x67\x65","\x6B\x65\x65\x70\x45\x64\x67\x65\x73\x49\x6E\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x67\x65\x74\x53\x68\x61\x70\x65\x43\x6F\x6E\x73\x74\x72\x75\x63\x74\x6F\x72","\x63\x6F\x6E\x66\x69\x67\x75\x72\x65\x53\x68\x61\x70\x65","\x67\x65\x74\x53\x68\x61\x70\x65","\x67\x65\x74\x49\x6E\x64\x69\x63\x61\x74\x6F\x72\x53\x68\x61\x70\x65","\x67\x65\x74\x49\x6E\x64\x69\x63\x61\x74\x6F\x72\x43\x6F\x6C\x6F\x72","\x67\x65\x74\x49\x6E\x64\x69\x63\x61\x74\x6F\x72\x47\x72\x61\x64\x69\x65\x6E\x74\x43\x6F\x6C\x6F\x72","\x53\x54\x59\x4C\x45\x5F\x49\x4E\x44\x49\x43\x41\x54\x4F\x52\x5F\x44\x49\x52\x45\x43\x54\x49\x4F\x4E","\x67\x65\x74\x49\x6E\x64\x69\x63\x61\x74\x6F\x72\x49\x6D\x61\x67\x65","\x70\x6F\x73\x74\x43\x6F\x6E\x66\x69\x67\x75\x72\x65\x53\x68\x61\x70\x65","\x72\x65\x73\x6F\x6C\x76\x65\x43\x6F\x6C\x6F\x72","\x67\x65\x74\x53\x77\x69\x6D\x6C\x61\x6E\x65","\x73\x77\x69\x6D\x6C\x61\x6E\x65\x49\x6E\x64\x69\x63\x61\x74\x6F\x72\x43\x6F\x6C\x6F\x72\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x69\x6E\x64\x69\x63\x61\x74\x65\x64","\x67\x65\x74\x4C\x61\x62\x65\x6C\x56\x61\x6C\x75\x65","\x67\x65\x74\x4C\x61\x62\x65\x6C","\x63\x72\x65\x61\x74\x65\x4C\x61\x62\x65\x6C","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x53\x49\x5A\x45","\x69\x73\x48\x74\x6D\x6C\x4C\x61\x62\x65\x6C","\x67\x65\x74\x56\x65\x72\x74\x69\x63\x61\x6C\x41\x6C\x69\x67\x6E","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x46\x41\x4D\x49\x4C\x59","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x53\x54\x59\x4C\x45","\x53\x54\x59\x4C\x45\x5F\x48\x4F\x52\x49\x5A\x4F\x4E\x54\x41\x4C","\x53\x54\x59\x4C\x45\x5F\x4C\x41\x42\x45\x4C\x5F\x42\x41\x43\x4B\x47\x52\x4F\x55\x4E\x44\x43\x4F\x4C\x4F\x52","\x53\x54\x59\x4C\x45\x5F\x4C\x41\x42\x45\x4C\x5F\x42\x4F\x52\x44\x45\x52\x43\x4F\x4C\x4F\x52","\x53\x54\x59\x4C\x45\x5F\x4F\x56\x45\x52\x46\x4C\x4F\x57","\x53\x54\x59\x4C\x45\x5F\x4C\x41\x42\x45\x4C\x5F\x50\x41\x44\x44\x49\x4E\x47","\x69\x6E\x69\x74\x69\x61\x6C\x69\x7A\x65\x4C\x61\x62\x65\x6C","\x63\x6F\x6E\x76\x65\x72\x74\x50\x6F\x69\x6E\x74","\x69\x73\x4C\x61\x62\x65\x6C\x45\x76\x65\x6E\x74","\x49\x4D\x47","\x4E\x4F\x5F\x46\x4F","\x67\x65\x74\x43\x65\x6C\x6C\x4F\x76\x65\x72\x6C\x61\x79\x73","\x6F\x76\x65\x72\x6C\x61\x79","\x69\x6E\x73\x74\x61\x6C\x6C\x43\x65\x6C\x6C\x4F\x76\x65\x72\x6C\x61\x79\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x69\x73\x45\x64\x69\x74\x69\x6E\x67","\x63\x72\x65\x61\x74\x65\x43\x6F\x6E\x74\x72\x6F\x6C","\x67\x65\x74\x46\x6F\x6C\x64\x69\x6E\x67\x49\x6D\x61\x67\x65","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x63\x6F\x6E\x74\x72\x6F\x6C","\x69\x6E\x69\x74\x43\x6F\x6E\x74\x72\x6F\x6C","\x44\x49\x41\x4C\x45\x43\x54\x5F\x50\x52\x45\x46\x45\x52\x48\x54\x4D\x4C","\x69\x6E\x6E\x65\x72\x4E\x6F\x64\x65","\x69\x73\x53\x68\x61\x70\x65\x45\x76\x65\x6E\x74","\x67\x65\x73\x74\x75\x72\x65\x73\x74\x61\x72\x74","\x6C\x61\x73\x74\x54\x6F\x75\x63\x68\x54\x69\x6D\x65","\x67\x65\x73\x74\x75\x72\x65\x65\x6E\x64","\x67\x65\x73\x74\x75\x72\x65\x45\x6E\x61\x62\x6C\x65\x64","\x68\x61\x6E\x64\x6C\x65\x47\x65\x73\x74\x75\x72\x65","\x72\x65\x64\x72\x61\x77\x4C\x61\x62\x65\x6C","\x67\x65\x74\x4C\x61\x62\x65\x6C\x42\x6F\x75\x6E\x64\x73","\x69\x73\x43\x6C\x69\x70\x70\x69\x6E\x67","\x67\x65\x74\x54\x65\x78\x74\x53\x63\x61\x6C\x65","\x72\x6F\x74\x61\x74\x65\x4C\x61\x62\x65\x6C\x42\x6F\x75\x6E\x64\x73","\x72\x65\x64\x72\x61\x77\x43\x65\x6C\x6C\x4F\x76\x65\x72\x6C\x61\x79\x73","\x72\x65\x64\x72\x61\x77\x43\x6F\x6E\x74\x72\x6F\x6C","\x67\x65\x74\x43\x6F\x6E\x74\x72\x6F\x6C\x42\x6F\x75\x6E\x64\x73","\x65\x71\x75\x61\x6C\x45\x6E\x74\x72\x69\x65\x73","\x65\x71\x75\x61\x6C\x50\x6F\x69\x6E\x74\x73","\x67\x65\x74\x50\x6F\x72\x74\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74\x73","\x67\x65\x74\x52\x6F\x75\x74\x69\x6E\x67\x43\x65\x6E\x74\x65\x72\x59","\x74\x72\x61\x6E\x73\x66\x6F\x72\x6D\x43\x6F\x6E\x74\x72\x6F\x6C\x50\x6F\x69\x6E\x74","\x67\x65\x74\x52\x6F\x75\x74\x69\x6E\x67\x43\x65\x6E\x74\x65\x72\x58","\x53\x54\x59\x4C\x45\x5F\x45\x4C\x42\x4F\x57","\x45\x4C\x42\x4F\x57\x5F\x56\x45\x52\x54\x49\x43\x41\x4C","\x6F\x72\x74\x68\x42\x75\x66\x66\x65\x72","\x44\x49\x52\x45\x43\x54\x49\x4F\x4E\x5F\x4D\x41\x53\x4B\x5F\x41\x4C\x4C","\x6C\x69\x6D\x69\x74\x73","\x76\x65\x72\x74\x65\x78\x53\x65\x70\x65\x72\x61\x74\x69\x6F\x6E\x73","\x72\x65\x76\x65\x72\x73\x65\x50\x6F\x72\x74\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74\x73","\x72\x6F\x75\x74\x65\x50\x61\x74\x74\x65\x72\x6E\x73","\x77\x61\x79\x50\x6F\x69\x6E\x74\x73\x31","\x64\x69\x72\x56\x65\x63\x74\x6F\x72\x73","\x54\x41\x52\x47\x45\x54\x5F\x4D\x41\x53\x4B","\x53\x4F\x55\x52\x43\x45\x5F\x4D\x41\x53\x4B","\x53\x49\x44\x45\x5F\x4D\x41\x53\x4B","\x43\x45\x4E\x54\x45\x52\x5F\x4D\x41\x53\x4B","\x76\x61\x6C\x75\x65\x73","\x70\x75\x74\x56\x61\x6C\x75\x65","\x67\x72\x61\x70\x68\x42\x6F\x75\x6E\x64\x73","\x45\x4D\x50\x54\x59\x5F\x50\x4F\x49\x4E\x54","\x75\x70\x64\x61\x74\x69\x6E\x67\x44\x6F\x63\x75\x6D\x65\x6E\x74\x52\x65\x73\x6F\x75\x72\x63\x65","\x75\x70\x64\x61\x74\x69\x6E\x67\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x61\x6C\x6C\x6F\x77\x45\x76\x61\x6C","\x63\x61\x70\x74\x75\x72\x65\x44\x6F\x63\x75\x6D\x65\x6E\x74\x47\x65\x73\x74\x75\x72\x65","\x6F\x70\x74\x69\x6D\x69\x7A\x65\x56\x6D\x6C\x52\x65\x66\x6C\x6F\x77\x73","\x72\x65\x6E\x64\x65\x72\x69\x6E\x67","\x75\x70\x64\x61\x74\x65\x53\x74\x79\x6C\x65","\x73\x65\x74\x47\x72\x61\x70\x68\x42\x6F\x75\x6E\x64\x73","\x73\x65\x74\x43\x75\x72\x72\x65\x6E\x74\x52\x6F\x6F\x74","\x73\x69\x7A\x65\x44\x69\x64\x43\x68\x61\x6E\x67\x65","\x72\x65\x76\x61\x6C\x69\x64\x61\x74\x65","\x70\x72\x65\x76\x69\x6F\x75\x73\x53\x63\x61\x6C\x65","\x70\x72\x65\x76\x69\x6F\x75\x73\x54\x72\x61\x6E\x73\x6C\x61\x74\x65","\x73\x65\x74\x53\x63\x61\x6C\x65","\x69\x6E\x76\x61\x6C\x69\x64\x61\x74\x65","\x76\x61\x6C\x69\x64\x61\x74\x65","\x72\x65\x6D\x6F\x76\x65\x53\x74\x61\x74\x65","\x6D\x78\x47\x72\x61\x70\x68\x56\x69\x65\x77\x2E\x76\x61\x6C\x69\x64\x61\x74\x65","\x76\x61\x6C\x69\x64\x61\x74\x65\x42\x6F\x75\x6E\x64\x73","\x76\x61\x6C\x69\x64\x61\x74\x65\x50\x6F\x69\x6E\x74\x73","\x76\x61\x6C\x69\x64\x61\x74\x65\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x63\x72\x65\x61\x74\x65\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65\x53\x68\x61\x70\x65","\x67\x65\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x72\x65\x64\x72\x61\x77\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x70\x61\x67\x65\x56\x69\x73\x69\x62\x6C\x65","\x67\x65\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65\x42\x6F\x75\x6E\x64\x73","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65\x53\x68\x61\x70\x65","\x74\x6F\x6F\x6C\x74\x69\x70\x48\x61\x6E\x64\x6C\x65\x72","\x69\x73\x48\x69\x64\x65\x4F\x6E\x48\x6F\x76\x65\x72","\x53\x54\x59\x4C\x45\x5F\x52\x4F\x54\x41\x54\x49\x4F\x4E","\x75\x70\x64\x61\x74\x65\x56\x65\x72\x74\x65\x78\x4C\x61\x62\x65\x6C\x4F\x66\x66\x73\x65\x74","\x67\x65\x74\x43\x68\x69\x6C\x64\x4F\x66\x66\x73\x65\x74\x46\x6F\x72\x43\x65\x6C\x6C","\x75\x70\x64\x61\x74\x65\x46\x69\x78\x65\x64\x54\x65\x72\x6D\x69\x6E\x61\x6C\x50\x6F\x69\x6E\x74\x73","\x75\x70\x64\x61\x74\x65\x50\x6F\x69\x6E\x74\x73","\x75\x70\x64\x61\x74\x65\x46\x6C\x6F\x61\x74\x69\x6E\x67\x54\x65\x72\x6D\x69\x6E\x61\x6C\x50\x6F\x69\x6E\x74\x73","\x75\x70\x64\x61\x74\x65\x45\x64\x67\x65\x42\x6F\x75\x6E\x64\x73","\x75\x70\x64\x61\x74\x65\x45\x64\x67\x65\x4C\x61\x62\x65\x6C\x4F\x66\x66\x73\x65\x74","\x63\x68\x69\x6C\x64\x4D\x6F\x76\x65\x64","\x69\x73\x52\x65\x6E\x64\x65\x72\x69\x6E\x67","\x67\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74","\x75\x70\x64\x61\x74\x65\x46\x69\x78\x65\x64\x54\x65\x72\x6D\x69\x6E\x61\x6C\x50\x6F\x69\x6E\x74","\x67\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x50\x6F\x69\x6E\x74","\x67\x65\x74\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x67\x65\x74\x54\x65\x72\x6D\x69\x6E\x61\x6C\x50\x6F\x72\x74","\x64\x65\x66\x61\x75\x6C\x74\x4C\x6F\x6F\x70\x53\x74\x79\x6C\x65","\x53\x54\x59\x4C\x45\x5F\x45\x44\x47\x45","\x69\x73\x41\x6C\x6C\x6F\x77\x45\x76\x61\x6C","\x75\x70\x64\x61\x74\x65\x46\x6C\x6F\x61\x74\x69\x6E\x67\x54\x65\x72\x6D\x69\x6E\x61\x6C\x50\x6F\x69\x6E\x74","\x67\x65\x74\x4E\x65\x78\x74\x50\x6F\x69\x6E\x74","\x69\x73\x4F\x72\x74\x68\x6F\x67\x6F\x6E\x61\x6C","\x53\x54\x59\x4C\x45\x5F\x50\x45\x52\x49\x4D\x45\x54\x45\x52\x5F\x53\x50\x41\x43\x49\x4E\x47","\x53\x54\x59\x4C\x45\x5F\x53\x4F\x55\x52\x43\x45\x5F\x50\x45\x52\x49\x4D\x45\x54\x45\x52\x5F\x53\x50\x41\x43\x49\x4E\x47","\x53\x54\x59\x4C\x45\x5F\x54\x41\x52\x47\x45\x54\x5F\x50\x45\x52\x49\x4D\x45\x54\x45\x52\x5F\x53\x50\x41\x43\x49\x4E\x47","\x67\x65\x74\x50\x65\x72\x69\x6D\x65\x74\x65\x72\x50\x6F\x69\x6E\x74","\x53\x54\x59\x4C\x45\x5F\x53\x4F\x55\x52\x43\x45\x5F\x50\x4F\x52\x54","\x53\x54\x59\x4C\x45\x5F\x54\x41\x52\x47\x45\x54\x5F\x50\x4F\x52\x54","\x67\x65\x74\x50\x65\x72\x69\x6D\x65\x74\x65\x72\x46\x75\x6E\x63\x74\x69\x6F\x6E","\x53\x54\x59\x4C\x45\x5F\x52\x4F\x55\x54\x49\x4E\x47\x5F\x43\x45\x4E\x54\x45\x52\x5F\x58","\x53\x54\x59\x4C\x45\x5F\x52\x4F\x55\x54\x49\x4E\x47\x5F\x43\x45\x4E\x54\x45\x52\x5F\x59","\x67\x65\x74\x52\x65\x6C\x61\x74\x69\x76\x65\x50\x6F\x69\x6E\x74","\x72\x65\x6C\x61\x74\x69\x76\x65\x43\x63\x77","\x73\x65\x74\x52\x65\x6E\x64\x65\x72\x69\x6E\x67","\x73\x65\x74\x41\x6C\x6C\x6F\x77\x45\x76\x61\x6C","\x67\x65\x74\x53\x74\x61\x74\x65\x73","\x67\x65\x74\x43\x65\x6C\x6C\x53\x74\x61\x74\x65\x73","\x69\x73\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72\x45\x76\x65\x6E\x74","\x69\x73\x53\x63\x72\x6F\x6C\x6C\x45\x76\x65\x6E\x74","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x6D\x6F\x76\x65\x48\x61\x6E\x64\x6C\x65\x72","\x65\x6E\x64\x48\x61\x6E\x64\x6C\x65\x72","\x63\x72\x65\x61\x74\x65\x48\x74\x6D\x6C\x50\x61\x6E\x65","\x75\x70\x64\x61\x74\x65\x48\x74\x6D\x6C\x43\x61\x6E\x76\x61\x73\x53\x69\x7A\x65","\x63\x72\x65\x61\x74\x65\x56\x6D\x6C\x50\x61\x6E\x65","\x63\x6F\x6F\x72\x64\x6F\x72\x69\x67\x69\x6E","\x30\x2C\x30","\x73\x74\x61\x74\x69\x63","\x69\x73\x55\x70","\x67\x65\x74\x54\x72\x61\x6E\x73\x6C\x61\x74\x65\x46\x6F\x72\x52\x6F\x6F\x74","\x55\x50","\x44\x4F\x57\x4E","\x6D\x6F\x75\x73\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x72\x65\x6E\x64\x65\x72\x48\x69\x6E\x74","\x52\x45\x4E\x44\x45\x52\x49\x4E\x47\x5F\x48\x49\x4E\x54\x5F\x45\x58\x41\x43\x54","\x52\x45\x4E\x44\x45\x52\x49\x4E\x47\x5F\x48\x49\x4E\x54\x5F\x46\x41\x53\x54\x45\x53\x54","\x52\x45\x4E\x44\x45\x52\x49\x4E\x47\x5F\x48\x49\x4E\x54\x5F\x46\x41\x53\x54\x45\x52","\x44\x49\x41\x4C\x45\x43\x54\x5F\x4D\x49\x58\x45\x44\x48\x54\x4D\x4C","\x6D\x75\x6C\x74\x69\x70\x6C\x69\x63\x69\x74\x69\x65\x73","\x69\x6D\x61\x67\x65\x42\x75\x6E\x64\x6C\x65\x73","\x63\x72\x65\x61\x74\x65\x43\x65\x6C\x6C\x52\x65\x6E\x64\x65\x72\x65\x72","\x63\x72\x65\x61\x74\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x4D\x6F\x64\x65\x6C","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x4D\x6F\x64\x65\x6C","\x63\x72\x65\x61\x74\x65\x53\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x73\x65\x74\x53\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x63\x72\x65\x61\x74\x65\x47\x72\x61\x70\x68\x56\x69\x65\x77","\x67\x72\x61\x70\x68\x4D\x6F\x64\x65\x6C\x43\x68\x61\x6E\x67\x65\x4C\x69\x73\x74\x65\x6E\x65\x72","\x63\x72\x65\x61\x74\x65\x48\x61\x6E\x64\x6C\x65\x72\x73","\x2F\x72\x65\x73\x6F\x75\x72\x63\x65\x73\x2F\x67\x72\x61\x70\x68","\x45\x4D\x50\x54\x59\x5F\x41\x52\x52\x41\x59","\x63\x65\x6C\x6C\x45\x64\x69\x74\x6F\x72","\x70\x6F\x72\x74\x73\x45\x6E\x61\x62\x6C\x65\x64","\x64\x6F\x75\x62\x6C\x65\x54\x61\x70\x45\x6E\x61\x62\x6C\x65\x64","\x64\x6F\x75\x62\x6C\x65\x54\x61\x70\x54\x69\x6D\x65\x6F\x75\x74","\x64\x6F\x75\x62\x6C\x65\x54\x61\x70\x54\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x6C\x61\x73\x74\x54\x6F\x75\x63\x68\x59","\x74\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x64\x65\x66\x61\x75\x6C\x74\x4F\x76\x65\x72\x6C\x61\x70","\x64\x65\x66\x61\x75\x6C\x74\x50\x61\x72\x65\x6E\x74","\x61\x6C\x74\x65\x72\x6E\x61\x74\x65\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x73\x56\x69\x73\x69\x62\x6C\x65","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x43\x6F\x6C\x6F\x72","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x44\x61\x73\x68\x65\x64","\x6D\x69\x6E\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x44\x69\x73\x74","\x70\x72\x65\x66\x65\x72\x50\x61\x67\x65\x53\x69\x7A\x65","\x65\x73\x63\x61\x70\x65\x45\x6E\x61\x62\x6C\x65\x64","\x69\x6E\x76\x6F\x6B\x65\x73\x53\x74\x6F\x70\x43\x65\x6C\x6C\x45\x64\x69\x74\x69\x6E\x67","\x65\x6E\x74\x65\x72\x53\x74\x6F\x70\x73\x43\x65\x6C\x6C\x45\x64\x69\x74\x69\x6E\x67","\x75\x73\x65\x53\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73\x46\x6F\x72\x50\x61\x6E\x6E\x69\x6E\x67","\x65\x78\x70\x6F\x72\x74\x45\x6E\x61\x62\x6C\x65\x64","\x69\x6D\x70\x6F\x72\x74\x45\x6E\x61\x62\x6C\x65\x64","\x63\x65\x6C\x6C\x73\x4C\x6F\x63\x6B\x65\x64","\x63\x65\x6C\x6C\x73\x43\x6C\x6F\x6E\x65\x61\x62\x6C\x65","\x63\x65\x6C\x6C\x73\x45\x64\x69\x74\x61\x62\x6C\x65","\x63\x65\x6C\x6C\x73\x44\x65\x6C\x65\x74\x61\x62\x6C\x65","\x63\x65\x6C\x6C\x73\x4D\x6F\x76\x61\x62\x6C\x65","\x65\x64\x67\x65\x4C\x61\x62\x65\x6C\x73\x4D\x6F\x76\x61\x62\x6C\x65","\x76\x65\x72\x74\x65\x78\x4C\x61\x62\x65\x6C\x73\x4D\x6F\x76\x61\x62\x6C\x65","\x64\x72\x6F\x70\x45\x6E\x61\x62\x6C\x65\x64","\x73\x70\x6C\x69\x74\x45\x6E\x61\x62\x6C\x65\x64","\x63\x65\x6C\x6C\x73\x52\x65\x73\x69\x7A\x61\x62\x6C\x65","\x63\x65\x6C\x6C\x73\x42\x65\x6E\x64\x61\x62\x6C\x65","\x63\x65\x6C\x6C\x73\x53\x65\x6C\x65\x63\x74\x61\x62\x6C\x65","\x63\x65\x6C\x6C\x73\x44\x69\x73\x63\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x61\x75\x74\x6F\x53\x69\x7A\x65\x43\x65\x6C\x6C\x73","\x74\x69\x6D\x65\x72\x41\x75\x74\x6F\x53\x63\x72\x6F\x6C\x6C","\x61\x6C\x6C\x6F\x77\x41\x75\x74\x6F\x50\x61\x6E\x6E\x69\x6E\x67","\x69\x67\x6E\x6F\x72\x65\x53\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73","\x6D\x61\x78\x69\x6D\x75\x6D\x47\x72\x61\x70\x68\x42\x6F\x75\x6E\x64\x73","\x6D\x69\x6E\x69\x6D\x75\x6D\x47\x72\x61\x70\x68\x53\x69\x7A\x65","\x6D\x69\x6E\x69\x6D\x75\x6D\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72\x53\x69\x7A\x65","\x6D\x61\x78\x69\x6D\x75\x6D\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72\x53\x69\x7A\x65","\x72\x65\x73\x69\x7A\x65\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x61\x6C\x6C\x6F\x77\x4E\x65\x67\x61\x74\x69\x76\x65\x43\x6F\x6F\x72\x64\x69\x6E\x61\x74\x65\x73","\x63\x6F\x6E\x73\x74\x72\x61\x69\x6E\x43\x68\x69\x6C\x64\x72\x65\x6E","\x65\x78\x74\x65\x6E\x64\x50\x61\x72\x65\x6E\x74\x73","\x65\x78\x74\x65\x6E\x64\x50\x61\x72\x65\x6E\x74\x73\x4F\x6E\x41\x64\x64","\x63\x6F\x6C\x6C\x61\x70\x73\x65\x54\x6F\x50\x72\x65\x66\x65\x72\x72\x65\x64\x53\x69\x7A\x65","\x7A\x6F\x6F\x6D\x46\x61\x63\x74\x6F\x72","\x6B\x65\x65\x70\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x56\x69\x73\x69\x62\x6C\x65\x4F\x6E\x5A\x6F\x6F\x6D","\x63\x65\x6E\x74\x65\x72\x5A\x6F\x6F\x6D","\x72\x65\x73\x65\x74\x56\x69\x65\x77\x4F\x6E\x52\x6F\x6F\x74\x43\x68\x61\x6E\x67\x65","\x72\x65\x73\x65\x74\x45\x64\x67\x65\x73\x4F\x6E\x52\x65\x73\x69\x7A\x65","\x72\x65\x73\x65\x74\x45\x64\x67\x65\x73\x4F\x6E\x4D\x6F\x76\x65","\x72\x65\x73\x65\x74\x45\x64\x67\x65\x73\x4F\x6E\x43\x6F\x6E\x6E\x65\x63\x74","\x61\x6C\x6C\x6F\x77\x4C\x6F\x6F\x70\x73","\x4C\x6F\x6F\x70","\x6D\x75\x6C\x74\x69\x67\x72\x61\x70\x68","\x63\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65\x45\x64\x67\x65\x73","\x61\x6C\x6C\x6F\x77\x44\x61\x6E\x67\x6C\x69\x6E\x67\x45\x64\x67\x65\x73","\x63\x6C\x6F\x6E\x65\x49\x6E\x76\x61\x6C\x69\x64\x45\x64\x67\x65\x73","\x64\x69\x73\x63\x6F\x6E\x6E\x65\x63\x74\x4F\x6E\x4D\x6F\x76\x65","\x6C\x61\x62\x65\x6C\x73\x56\x69\x73\x69\x62\x6C\x65","\x68\x74\x6D\x6C\x4C\x61\x62\x65\x6C\x73","\x73\x77\x69\x6D\x6C\x61\x6E\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6E\x61\x62\x6C\x65\x64","\x73\x77\x69\x6D\x6C\x61\x6E\x65\x4E\x65\x73\x74\x69\x6E\x67","\x6D\x69\x6E\x46\x69\x74\x53\x63\x61\x6C\x65","\x6D\x61\x78\x46\x69\x74\x53\x63\x61\x6C\x65","\x63\x6F\x6C\x6C\x61\x70\x73\x65\x64\x49\x6D\x61\x67\x65","\x2F\x63\x6F\x6C\x6C\x61\x70\x73\x65\x64\x2E\x67\x69\x66","\x65\x78\x70\x61\x6E\x64\x65\x64\x49\x6D\x61\x67\x65","\x2F\x65\x78\x70\x61\x6E\x64\x65\x64\x2E\x67\x69\x66","\x77\x61\x72\x6E\x69\x6E\x67\x49\x6D\x61\x67\x65","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68\x2F\x69\x6D\x61\x67\x65\x73\x2F\x77\x61\x72\x6E\x69\x6E\x67\x2E\x67\x69\x66","\x61\x6C\x72\x65\x61\x64\x79\x43\x6F\x6E\x6E\x65\x63\x74\x65\x64\x52\x65\x73\x6F\x75\x72\x63\x65","\x61\x6C\x72\x65\x61\x64\x79\x43\x6F\x6E\x6E\x65\x63\x74\x65\x64","\x63\x6F\x6E\x74\x61\x69\x6E\x73\x56\x61\x6C\x69\x64\x61\x74\x69\x6F\x6E\x45\x72\x72\x6F\x72\x73\x52\x65\x73\x6F\x75\x72\x63\x65","\x63\x6F\x6E\x74\x61\x69\x6E\x73\x56\x61\x6C\x69\x64\x61\x74\x69\x6F\x6E\x45\x72\x72\x6F\x72\x73","\x63\x6F\x6C\x6C\x61\x70\x73\x65\x45\x78\x70\x61\x6E\x64\x52\x65\x73\x6F\x75\x72\x63\x65","\x63\x6F\x6C\x6C\x61\x70\x73\x65\x2D\x65\x78\x70\x61\x6E\x64","\x63\x72\x65\x61\x74\x65\x43\x65\x6C\x6C\x45\x64\x69\x74\x6F\x72","\x75\x6E\x6C\x6F\x61\x64","\x73\x65\x6C\x65\x63\x74\x73\x74\x61\x72\x74","\x3C\x76\x3A\x67\x72\x6F\x75\x70\x20\x73\x74\x79\x6C\x65\x3D\x22\x44\x49\x53\x50\x4C\x41\x59\x3A\x20\x6E\x6F\x6E\x65\x3B\x22\x3E\x3C\x2F\x76\x3A\x67\x72\x6F\x75\x70\x3E","\x70\x61\x6E\x6E\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73\x48\x61\x6E\x64\x6C\x65\x72","\x63\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x53\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x4D\x6F\x64\x65\x6C","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73\x46\x6F\x72\x43\x68\x61\x6E\x67\x65\x73","\x70\x72\x6F\x63\x65\x73\x73\x43\x68\x61\x6E\x67\x65","\x67\x65\x74\x52\x65\x6D\x6F\x76\x65\x64\x43\x65\x6C\x6C\x73\x46\x6F\x72\x43\x68\x61\x6E\x67\x65\x73","\x72\x65\x6D\x6F\x76\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x63\x6C\x65\x61\x72\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x72\x65\x6D\x6F\x76\x65\x53\x74\x61\x74\x65\x46\x6F\x72\x43\x65\x6C\x6C","\x68\x6F\x6D\x65","\x61\x64\x64\x43\x65\x6C\x6C\x4F\x76\x65\x72\x6C\x61\x79","\x72\x65\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x4F\x76\x65\x72\x6C\x61\x79","\x72\x65\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x4F\x76\x65\x72\x6C\x61\x79\x73","\x63\x6C\x65\x61\x72\x43\x65\x6C\x6C\x4F\x76\x65\x72\x6C\x61\x79\x73","\x73\x65\x74\x43\x65\x6C\x6C\x57\x61\x72\x6E\x69\x6E\x67","\x3C\x66\x6F\x6E\x74\x20\x63\x6F\x6C\x6F\x72\x3D\x72\x65\x64\x3E","\x3C\x2F\x66\x6F\x6E\x74\x3E","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x73\x74\x61\x72\x74\x45\x64\x69\x74\x69\x6E\x67\x41\x74\x43\x65\x6C\x6C","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x69\x73\x43\x65\x6C\x6C\x45\x64\x69\x74\x61\x62\x6C\x65","\x63\x6F\x6E\x76\x65\x72\x74\x56\x61\x6C\x75\x65\x54\x6F\x53\x74\x72\x69\x6E\x67","\x69\x73\x41\x75\x74\x6F\x53\x69\x7A\x65\x43\x65\x6C\x6C","\x63\x65\x6C\x6C\x4C\x61\x62\x65\x6C\x43\x68\x61\x6E\x67\x65\x64","\x63\x65\x6C\x6C\x53\x69\x7A\x65\x55\x70\x64\x61\x74\x65\x64","\x68\x61\x6E\x64\x6C\x65\x72","\x73\x65\x6C\x65\x63\x74\x43\x65\x6C\x6C\x46\x6F\x72\x45\x76\x65\x6E\x74","\x69\x73\x53\x77\x69\x6D\x6C\x61\x6E\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6E\x61\x62\x6C\x65\x64","\x67\x65\x74\x53\x77\x69\x6D\x6C\x61\x6E\x65\x41\x74","\x69\x73\x54\x6F\x67\x67\x6C\x65\x45\x76\x65\x6E\x74","\x70\x61\x6E\x6E\x69\x6E\x67\x4D\x61\x6E\x61\x67\x65\x72","\x63\x72\x65\x61\x74\x65\x50\x61\x6E\x6E\x69\x6E\x67\x4D\x61\x6E\x61\x67\x65\x72","\x67\x65\x74\x42\x6F\x72\x64\x65\x72\x53\x69\x7A\x65\x73","\x74\x68\x69\x6E","\x6D\x65\x64\x69\x75\x6D","\x74\x68\x69\x63\x6B","\x62\x6F\x72\x64\x65\x72\x4C\x65\x66\x74\x57\x69\x64\x74\x68","\x62\x6F\x72\x64\x65\x72\x54\x6F\x70\x57\x69\x64\x74\x68","\x70\x61\x64\x64\x69\x6E\x67\x54\x6F\x70","\x62\x6F\x72\x64\x65\x72\x52\x69\x67\x68\x74\x57\x69\x64\x74\x68","\x62\x6F\x72\x64\x65\x72\x42\x6F\x74\x74\x6F\x6D\x57\x69\x64\x74\x68","\x70\x61\x64\x64\x69\x6E\x67\x42\x6F\x74\x74\x6F\x6D","\x67\x65\x74\x50\x72\x65\x66\x65\x72\x72\x65\x64\x50\x61\x67\x65\x53\x69\x7A\x65","\x67\x65\x74\x42\x6F\x72\x64\x65\x72","\x64\x6F\x52\x65\x73\x69\x7A\x65\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x6D\x69\x6E\x57\x69\x64\x74\x68","\x6D\x69\x6E\x48\x65\x69\x67\x68\x74","\x75\x70\x64\x61\x74\x65\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x73","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x73","\x76\x65\x72\x74\x69\x63\x61\x6C\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x73","\x70\x6F\x73\x74\x50\x72\x6F\x63\x65\x73\x73\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65","\x53\x54\x59\x4C\x45\x5F\x49\x4D\x41\x47\x45","\x67\x65\x74\x49\x6D\x61\x67\x65\x46\x72\x6F\x6D\x42\x75\x6E\x64\x6C\x65\x73","\x64\x61\x74\x61\x3A\x69\x6D\x61\x67\x65\x2F","\x3B\x62\x61\x73\x65\x36\x34\x2C","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65","\x74\x6F\x67\x67\x6C\x65\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65","\x74\x6F\x67\x67\x6C\x65\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x74\x6F\x67\x67\x6C\x65\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x46\x6C\x61\x67\x73","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x46\x6C\x61\x67\x73","\x61\x64\x64\x49\x6D\x61\x67\x65\x42\x75\x6E\x64\x6C\x65","\x72\x65\x6D\x6F\x76\x65\x49\x6D\x61\x67\x65\x42\x75\x6E\x64\x6C\x65","\x62\x61\x63\x6B","\x67\x65\x74\x43\x65\x6C\x6C\x73\x46\x6F\x72\x47\x72\x6F\x75\x70","\x63\x72\x65\x61\x74\x65\x47\x72\x6F\x75\x70\x43\x65\x6C\x6C","\x67\x65\x74\x42\x6F\x75\x6E\x64\x73\x46\x6F\x72\x47\x72\x6F\x75\x70","\x61\x64\x64\x41\x6C\x6C\x45\x64\x67\x65\x73","\x67\x65\x74\x45\x64\x67\x65\x56\x61\x6C\x69\x64\x61\x74\x69\x6F\x6E\x45\x72\x72\x6F\x72","\x69\x6E\x73\x65\x72\x74\x56\x65\x72\x74\x65\x78","\x63\x72\x65\x61\x74\x65\x56\x65\x72\x74\x65\x78","\x63\x72\x65\x61\x74\x65\x45\x64\x67\x65","\x61\x64\x64\x45\x64\x67\x65","\x69\x73\x41\x6C\x6C\x6F\x77\x4E\x65\x67\x61\x74\x69\x76\x65\x43\x6F\x6F\x72\x64\x69\x6E\x61\x74\x65\x73","\x69\x73\x45\x78\x74\x65\x6E\x64\x50\x61\x72\x65\x6E\x74\x73\x4F\x6E\x41\x64\x64","\x69\x73\x45\x78\x74\x65\x6E\x64\x50\x61\x72\x65\x6E\x74","\x65\x78\x74\x65\x6E\x64\x50\x61\x72\x65\x6E\x74","\x63\x6F\x6E\x73\x74\x72\x61\x69\x6E\x43\x68\x69\x6C\x64","\x67\x65\x74\x44\x65\x6C\x65\x74\x61\x62\x6C\x65\x43\x65\x6C\x6C\x73","\x69\x6E\x63\x6C\x75\x64\x65\x45\x64\x67\x65\x73","\x6E\x65\x77\x45\x64\x67\x65","\x67\x65\x74\x46\x6F\x6C\x64\x61\x62\x6C\x65\x43\x65\x6C\x6C\x73","\x63\x6F\x6C\x6C\x61\x70\x73\x65","\x72\x65\x63\x75\x72\x73\x65","\x69\x73\x43\x65\x6C\x6C\x46\x6F\x6C\x64\x61\x62\x6C\x65","\x73\x77\x61\x70\x42\x6F\x75\x6E\x64\x73","\x75\x70\x64\x61\x74\x65\x41\x6C\x74\x65\x72\x6E\x61\x74\x65\x42\x6F\x75\x6E\x64\x73","\x67\x65\x74\x50\x72\x65\x66\x65\x72\x72\x65\x64\x53\x69\x7A\x65\x46\x6F\x72\x43\x65\x6C\x6C","\x67\x65\x74\x41\x6C\x6C\x45\x64\x67\x65\x73","\x69\x67\x6E\x6F\x72\x65\x43\x68\x69\x6C\x64\x72\x65\x6E","\x53\x48\x41\x50\x45\x5F\x4C\x41\x42\x45\x4C","\x53\x54\x59\x4C\x45\x5F\x49\x4D\x41\x47\x45\x5F\x57\x49\x44\x54\x48","\x53\x54\x59\x4C\x45\x5F\x49\x4D\x41\x47\x45\x5F\x48\x45\x49\x47\x48\x54","\x67\x65\x74\x53\x69\x7A\x65\x46\x6F\x72\x53\x74\x72\x69\x6E\x67","\x72\x65\x73\x69\x7A\x65\x43\x65\x6C\x6C","\x69\x73\x43\x6C\x6F\x6E\x65\x49\x6E\x76\x61\x6C\x69\x64\x45\x64\x67\x65\x73","\x73\x65\x74\x41\x6C\x6C\x6F\x77\x4E\x65\x67\x61\x74\x69\x76\x65\x43\x6F\x6F\x72\x64\x69\x6E\x61\x74\x65\x73","\x69\x73\x44\x69\x73\x63\x6F\x6E\x6E\x65\x63\x74\x4F\x6E\x4D\x6F\x76\x65","\x69\x73\x41\x6C\x6C\x6F\x77\x44\x61\x6E\x67\x6C\x69\x6E\x67\x45\x64\x67\x65\x73","\x64\x69\x73\x63\x6F\x6E\x6E\x65\x63\x74\x47\x72\x61\x70\x68","\x74\x72\x61\x6E\x73\x6C\x61\x74\x65\x43\x65\x6C\x6C","\x67\x65\x74\x43\x65\x6C\x6C\x43\x6F\x6E\x74\x61\x69\x6E\x6D\x65\x6E\x74\x41\x72\x65\x61","\x67\x65\x74\x43\x75\x72\x72\x65\x6E\x74\x52\x6F\x6F\x74","\x67\x65\x74\x4D\x61\x78\x69\x6D\x75\x6D\x47\x72\x61\x70\x68\x42\x6F\x75\x6E\x64\x73","\x69\x73\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x43\x68\x69\x6C\x64","\x67\x65\x74\x4F\x76\x65\x72\x6C\x61\x70","\x67\x65\x74\x41\x6C\x6C\x43\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74\x73","\x53\x54\x59\x4C\x45\x5F\x45\x58\x49\x54\x5F\x58","\x53\x54\x59\x4C\x45\x5F\x45\x4E\x54\x52\x59\x5F\x58","\x53\x54\x59\x4C\x45\x5F\x45\x58\x49\x54\x5F\x59","\x53\x54\x59\x4C\x45\x5F\x45\x4E\x54\x52\x59\x5F\x59","\x53\x54\x59\x4C\x45\x5F\x45\x58\x49\x54\x5F\x50\x45\x52\x49\x4D\x45\x54\x45\x52","\x53\x54\x59\x4C\x45\x5F\x45\x4E\x54\x52\x59\x5F\x50\x45\x52\x49\x4D\x45\x54\x45\x52","\x73\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74","\x70\x6F\x69\x6E\x74","\x53\x54\x59\x4C\x45\x5F\x46\x4C\x49\x50\x48","\x53\x54\x59\x4C\x45\x5F\x46\x4C\x49\x50\x56","\x69\x73\x50\x6F\x72\x74\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x65\x74\x54\x65\x72\x6D\x69\x6E\x61\x6C\x46\x6F\x72\x50\x6F\x72\x74","\x69\x73\x43\x65\x6C\x6C\x44\x69\x73\x63\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x65\x6E\x74\x65\x72\x47\x72\x6F\x75\x70","\x69\x73\x56\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x65\x78\x69\x74\x47\x72\x6F\x75\x70","\x67\x65\x74\x43\x65\x6C\x6C\x42\x6F\x75\x6E\x64\x73","\x73\x68\x69\x66\x74\x50\x72\x65\x76\x69\x65\x77\x31","\x73\x68\x69\x66\x74\x50\x72\x65\x76\x69\x65\x77\x32","\x7A\x6F\x6F\x6D\x49\x6E","\x7A\x6F\x6F\x6D\x4F\x75\x74","\x7A\x6F\x6F\x6D\x41\x63\x74\x75\x61\x6C","\x7A\x6F\x6F\x6D\x54\x6F","\x73\x63\x72\x6F\x6C\x6C\x52\x65\x63\x74\x54\x6F\x56\x69\x73\x69\x62\x6C\x65","\x7A\x6F\x6F\x6D\x54\x6F\x52\x65\x63\x74","\x73\x63\x72\x6F\x6C\x6C\x43\x65\x6C\x6C\x54\x6F\x56\x69\x73\x69\x62\x6C\x65","\x69\x73\x43\x65\x6C\x6C\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x53\x54\x59\x4C\x45\x5F\x4F\x52\x54\x48\x4F\x47\x4F\x4E\x41\x4C","\x53\x65\x67\x6D\x65\x6E\x74\x43\x6F\x6E\x6E\x65\x63\x74\x6F\x72","\x45\x6C\x62\x6F\x77\x43\x6F\x6E\x6E\x65\x63\x74\x6F\x72","\x53\x69\x64\x65\x54\x6F\x53\x69\x64\x65","\x54\x6F\x70\x54\x6F\x42\x6F\x74\x74\x6F\x6D","\x45\x6E\x74\x69\x74\x79\x52\x65\x6C\x61\x74\x69\x6F\x6E","\x4F\x72\x74\x68\x43\x6F\x6E\x6E\x65\x63\x74\x6F\x72","\x69\x73\x4C\x6F\x6F\x70","\x69\x73\x43\x6C\x6F\x6E\x65\x45\x76\x65\x6E\x74","\x49\x53\x5F\x4D\x41\x43","\x69\x73\x4D\x65\x74\x61\x44\x6F\x77\x6E","\x69\x73\x41\x6C\x74\x44\x6F\x77\x6E","\x69\x73\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x65\x64\x45\x76\x65\x6E\x74","\x69\x73\x46\x6F\x72\x63\x65\x4D\x61\x72\x71\x75\x65\x65\x45\x76\x65\x6E\x74","\x76\x61\x6C\x69\x64\x61\x74\x69\x6F\x6E\x41\x6C\x65\x72\x74","\x69\x73\x45\x64\x67\x65\x56\x61\x6C\x69\x64","\x69\x73\x56\x61\x6C\x69\x64\x43\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E","\x63\x68\x65\x63\x6B","\x76\x61\x6C\x69\x64\x61\x74\x65\x45\x64\x67\x65","\x76\x61\x6C\x69\x64\x61\x74\x65\x47\x72\x61\x70\x68","\x67\x65\x74\x43\x65\x6C\x6C\x56\x61\x6C\x69\x64\x61\x74\x69\x6F\x6E\x45\x72\x72\x6F\x72","\x76\x61\x6C\x69\x64\x61\x74\x65\x43\x65\x6C\x6C","\x61\x74\x74\x72","\x63\x6F\x75\x6E\x74\x45\x72\x72\x6F\x72","\x73\x65\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x74\x6F\x53\x74\x72\x69\x6E\x67","\x69\x73\x48\x74\x6D\x6C\x4C\x61\x62\x65\x6C\x73","\x73\x65\x74\x48\x74\x6D\x6C\x4C\x61\x62\x65\x6C\x73","\x53\x54\x59\x4C\x45\x5F\x57\x48\x49\x54\x45\x5F\x53\x50\x41\x43\x45","\x67\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70","\x67\x65\x74\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x46\x6F\x72\x4E\x6F\x64\x65","\x67\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x46\x6F\x72\x43\x65\x6C\x6C","\x67\x65\x74\x43\x75\x72\x73\x6F\x72\x46\x6F\x72\x43\x65\x6C\x6C","\x53\x54\x59\x4C\x45\x5F\x49\x4E\x44\x49\x43\x41\x54\x4F\x52\x5F\x43\x4F\x4C\x4F\x52","\x53\x54\x59\x4C\x45\x5F\x49\x4E\x44\x49\x43\x41\x54\x4F\x52\x5F\x47\x52\x41\x44\x49\x45\x4E\x54\x43\x4F\x4C\x4F\x52","\x53\x54\x59\x4C\x45\x5F\x49\x4E\x44\x49\x43\x41\x54\x4F\x52\x5F\x53\x48\x41\x50\x45","\x53\x54\x59\x4C\x45\x5F\x49\x4E\x44\x49\x43\x41\x54\x4F\x52\x5F\x49\x4D\x41\x47\x45","\x73\x65\x74\x42\x6F\x72\x64\x65\x72","\x53\x48\x41\x50\x45\x5F\x53\x57\x49\x4D\x4C\x41\x4E\x45","\x69\x73\x52\x65\x73\x69\x7A\x65\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x73\x65\x74\x52\x65\x73\x69\x7A\x65\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x69\x73\x45\x73\x63\x61\x70\x65\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x45\x73\x63\x61\x70\x65\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x49\x6E\x76\x6F\x6B\x65\x73\x53\x74\x6F\x70\x43\x65\x6C\x6C\x45\x64\x69\x74\x69\x6E\x67","\x73\x65\x74\x45\x6E\x74\x65\x72\x53\x74\x6F\x70\x73\x43\x65\x6C\x6C\x45\x64\x69\x74\x69\x6E\x67","\x69\x73\x43\x65\x6C\x6C\x4C\x6F\x63\x6B\x65\x64","\x69\x73\x43\x65\x6C\x6C\x73\x4C\x6F\x63\x6B\x65\x64","\x73\x65\x74\x43\x65\x6C\x6C\x73\x4C\x6F\x63\x6B\x65\x64","\x67\x65\x74\x43\x6C\x6F\x6E\x65\x61\x62\x6C\x65\x43\x65\x6C\x6C\x73","\x69\x73\x43\x65\x6C\x6C\x43\x6C\x6F\x6E\x65\x61\x62\x6C\x65","\x69\x73\x43\x65\x6C\x6C\x73\x43\x6C\x6F\x6E\x65\x61\x62\x6C\x65","\x53\x54\x59\x4C\x45\x5F\x43\x4C\x4F\x4E\x45\x41\x42\x4C\x45","\x73\x65\x74\x43\x65\x6C\x6C\x73\x43\x6C\x6F\x6E\x65\x61\x62\x6C\x65","\x63\x61\x6E\x45\x78\x70\x6F\x72\x74\x43\x65\x6C\x6C","\x63\x61\x6E\x49\x6D\x70\x6F\x72\x74\x43\x65\x6C\x6C","\x69\x73\x43\x65\x6C\x6C\x73\x53\x65\x6C\x65\x63\x74\x61\x62\x6C\x65","\x73\x65\x74\x43\x65\x6C\x6C\x73\x53\x65\x6C\x65\x63\x74\x61\x62\x6C\x65","\x69\x73\x43\x65\x6C\x6C\x44\x65\x6C\x65\x74\x61\x62\x6C\x65","\x69\x73\x43\x65\x6C\x6C\x73\x44\x65\x6C\x65\x74\x61\x62\x6C\x65","\x53\x54\x59\x4C\x45\x5F\x44\x45\x4C\x45\x54\x41\x42\x4C\x45","\x73\x65\x74\x43\x65\x6C\x6C\x73\x44\x65\x6C\x65\x74\x61\x62\x6C\x65","\x69\x73\x4C\x61\x62\x65\x6C\x4D\x6F\x76\x61\x62\x6C\x65","\x67\x65\x74\x4D\x6F\x76\x61\x62\x6C\x65\x43\x65\x6C\x6C\x73","\x69\x73\x43\x65\x6C\x6C\x73\x4D\x6F\x76\x61\x62\x6C\x65","\x53\x54\x59\x4C\x45\x5F\x4D\x4F\x56\x41\x42\x4C\x45","\x73\x65\x74\x43\x65\x6C\x6C\x73\x4D\x6F\x76\x61\x62\x6C\x65","\x73\x65\x74\x50\x6F\x72\x74\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x65\x74\x47\x72\x69\x64\x53\x69\x7A\x65","\x73\x65\x74\x47\x72\x69\x64\x53\x69\x7A\x65","\x67\x65\x74\x54\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x73\x65\x74\x54\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x69\x73\x56\x65\x72\x74\x65\x78\x4C\x61\x62\x65\x6C\x73\x4D\x6F\x76\x61\x62\x6C\x65","\x73\x65\x74\x56\x65\x72\x74\x65\x78\x4C\x61\x62\x65\x6C\x73\x4D\x6F\x76\x61\x62\x6C\x65","\x69\x73\x45\x64\x67\x65\x4C\x61\x62\x65\x6C\x73\x4D\x6F\x76\x61\x62\x6C\x65","\x73\x65\x74\x45\x64\x67\x65\x4C\x61\x62\x65\x6C\x73\x4D\x6F\x76\x61\x62\x6C\x65","\x69\x73\x53\x77\x69\x6D\x6C\x61\x6E\x65\x4E\x65\x73\x74\x69\x6E\x67","\x73\x65\x74\x53\x77\x69\x6D\x6C\x61\x6E\x65\x4E\x65\x73\x74\x69\x6E\x67","\x73\x65\x74\x53\x77\x69\x6D\x6C\x61\x6E\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x4D\x75\x6C\x74\x69\x67\x72\x61\x70\x68","\x73\x65\x74\x4D\x75\x6C\x74\x69\x67\x72\x61\x70\x68","\x69\x73\x41\x6C\x6C\x6F\x77\x4C\x6F\x6F\x70\x73","\x73\x65\x74\x41\x6C\x6C\x6F\x77\x44\x61\x6E\x67\x6C\x69\x6E\x67\x45\x64\x67\x65\x73","\x73\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65\x45\x64\x67\x65\x73","\x69\x73\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65\x45\x64\x67\x65\x73","\x73\x65\x74\x43\x6C\x6F\x6E\x65\x49\x6E\x76\x61\x6C\x69\x64\x45\x64\x67\x65\x73","\x73\x65\x74\x41\x6C\x6C\x6F\x77\x4C\x6F\x6F\x70\x73","\x73\x65\x74\x44\x69\x73\x63\x6F\x6E\x6E\x65\x63\x74\x4F\x6E\x4D\x6F\x76\x65","\x73\x65\x74\x44\x72\x6F\x70\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x53\x70\x6C\x69\x74\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x53\x70\x6C\x69\x74\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x43\x65\x6C\x6C\x52\x65\x73\x69\x7A\x61\x62\x6C\x65","\x69\x73\x43\x65\x6C\x6C\x73\x52\x65\x73\x69\x7A\x61\x62\x6C\x65","\x53\x54\x59\x4C\x45\x5F\x52\x45\x53\x49\x5A\x41\x42\x4C\x45","\x73\x65\x74\x43\x65\x6C\x6C\x73\x52\x65\x73\x69\x7A\x61\x62\x6C\x65","\x69\x73\x54\x65\x72\x6D\x69\x6E\x61\x6C\x50\x6F\x69\x6E\x74\x4D\x6F\x76\x61\x62\x6C\x65","\x69\x73\x43\x65\x6C\x6C\x42\x65\x6E\x64\x61\x62\x6C\x65","\x69\x73\x43\x65\x6C\x6C\x73\x42\x65\x6E\x64\x61\x62\x6C\x65","\x53\x54\x59\x4C\x45\x5F\x42\x45\x4E\x44\x41\x42\x4C\x45","\x73\x65\x74\x43\x65\x6C\x6C\x73\x42\x65\x6E\x64\x61\x62\x6C\x65","\x69\x73\x43\x65\x6C\x6C\x73\x45\x64\x69\x74\x61\x62\x6C\x65","\x53\x54\x59\x4C\x45\x5F\x45\x44\x49\x54\x41\x42\x4C\x45","\x73\x65\x74\x43\x65\x6C\x6C\x73\x45\x64\x69\x74\x61\x62\x6C\x65","\x69\x73\x43\x65\x6C\x6C\x73\x44\x69\x73\x63\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x73\x65\x74\x43\x65\x6C\x6C\x73\x44\x69\x73\x63\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x69\x73\x56\x61\x6C\x69\x64\x53\x6F\x75\x72\x63\x65","\x69\x73\x56\x61\x6C\x69\x64\x54\x61\x72\x67\x65\x74","\x73\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x73\x65\x74\x50\x61\x6E\x6E\x69\x6E\x67","\x69\x73\x41\x75\x74\x6F\x53\x69\x7A\x65\x43\x65\x6C\x6C\x73","\x53\x54\x59\x4C\x45\x5F\x41\x55\x54\x4F\x53\x49\x5A\x45","\x73\x65\x74\x41\x75\x74\x6F\x53\x69\x7A\x65\x43\x65\x6C\x6C\x73","\x69\x73\x45\x78\x74\x65\x6E\x64\x50\x61\x72\x65\x6E\x74\x73","\x73\x65\x74\x45\x78\x74\x65\x6E\x64\x50\x61\x72\x65\x6E\x74\x73","\x73\x65\x74\x45\x78\x74\x65\x6E\x64\x50\x61\x72\x65\x6E\x74\x73\x4F\x6E\x41\x64\x64","\x69\x73\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x43\x68\x69\x6C\x64\x72\x65\x6E","\x73\x65\x74\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x43\x68\x69\x6C\x64\x72\x65\x6E","\x69\x73\x41\x6C\x6C\x6F\x77\x4F\x76\x65\x72\x6C\x61\x70\x50\x61\x72\x65\x6E\x74","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4C\x44\x41\x42\x4C\x45","\x69\x73\x56\x61\x6C\x69\x64\x44\x72\x6F\x70\x54\x61\x72\x67\x65\x74","\x69\x73\x53\x70\x6C\x69\x74\x54\x61\x72\x67\x65\x74","\x73\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x50\x61\x72\x65\x6E\x74","\x68\x69\x74\x73\x53\x77\x69\x6D\x6C\x61\x6E\x65\x43\x6F\x6E\x74\x65\x6E\x74","\x67\x65\x74\x50\x6F\x69\x6E\x74\x46\x6F\x72\x45\x76\x65\x6E\x74","\x67\x65\x74\x43\x65\x6C\x6C\x73","\x67\x65\x74\x43\x65\x6C\x6C\x73\x42\x65\x79\x6F\x6E\x64","\x69\x73\x43\x65\x6C\x6C\x53\x65\x6C\x65\x63\x74\x65\x64","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6D\x70\x74\x79","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x6F\x75\x6E\x74","\x61\x64\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x61\x64\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x72\x65\x6D\x6F\x76\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x73\x65\x6C\x65\x63\x74\x52\x65\x67\x69\x6F\x6E","\x73\x65\x6C\x65\x63\x74\x43\x65\x6C\x6C\x73\x46\x6F\x72\x45\x76\x65\x6E\x74","\x73\x65\x6C\x65\x63\x74\x4E\x65\x78\x74\x43\x65\x6C\x6C","\x73\x65\x6C\x65\x63\x74\x43\x65\x6C\x6C","\x73\x65\x6C\x65\x63\x74\x50\x72\x65\x76\x69\x6F\x75\x73\x43\x65\x6C\x6C","\x73\x65\x6C\x65\x63\x74\x50\x61\x72\x65\x6E\x74\x43\x65\x6C\x6C","\x73\x65\x6C\x65\x63\x74\x43\x68\x69\x6C\x64\x43\x65\x6C\x6C","\x73\x65\x6C\x65\x63\x74\x41\x6C\x6C","\x73\x65\x6C\x65\x63\x74\x56\x65\x72\x74\x69\x63\x65\x73","\x73\x65\x6C\x65\x63\x74\x43\x65\x6C\x6C\x73","\x73\x65\x6C\x65\x63\x74\x45\x64\x67\x65\x73","\x63\x72\x65\x61\x74\x65\x48\x61\x6E\x64\x6C\x65\x72","\x75\x70\x64\x61\x74\x65\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74","\x4D\x4F\x55\x53\x45\x5F\x44\x4F\x57\x4E","\x6C\x61\x73\x74\x54\x6F\x75\x63\x68\x58","\x6C\x61\x73\x74\x4D\x6F\x75\x73\x65\x58","\x6C\x61\x73\x74\x4D\x6F\x75\x73\x65\x59","\x4D\x4F\x55\x53\x45\x5F\x55\x50","\x4D\x4F\x55\x53\x45\x5F\x4D\x4F\x56\x45","\x64\x65\x73\x74\x72\x6F\x79\x65\x64","\x74\x6F\x6F\x6C\x74\x69\x70","\x68\x65\x6C\x70","\x67\x72\x61\x70\x68\x52\x65\x6E\x64\x65\x72\x48\x69\x6E\x74","\x73\x68\x6F\x77\x56\x69\x65\x77\x70\x6F\x72\x74","\x73\x69\x7A\x65\x72\x53\x69\x7A\x65","\x75\x70\x64\x61\x74\x65\x4F\x6E\x50\x61\x6E","\x73\x69\x7A\x65\x72\x49\x6D\x61\x67\x65","\x73\x68\x61\x70\x65\x2D\x72\x65\x6E\x64\x65\x72\x69\x6E\x67","\x6F\x70\x74\x69\x6D\x69\x7A\x65\x53\x70\x65\x65\x64","\x69\x6D\x61\x67\x65\x2D\x72\x65\x6E\x64\x65\x72\x69\x6E\x67","\x75\x70\x64\x61\x74\x65\x48\x61\x6E\x64\x6C\x65\x72","\x75\x70\x64\x61\x74\x65","\x70\x61\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x72\x65\x66\x72\x65\x73\x68\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x6C\x65\x63\x74\x69\x6F\x6E\x42\x6F\x72\x64\x65\x72","\x72\x65\x64\x69\x72\x65\x63\x74\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74\x73","\x73\x69\x7A\x65\x72","\x63\x72\x65\x61\x74\x65\x53\x69\x7A\x65\x72","\x73\x65\x74\x5A\x6F\x6F\x6D\x45\x6E\x61\x62\x6C\x65\x64","\x67\x65\x74\x53\x6F\x75\x72\x63\x65\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72\x53\x69\x7A\x65","\x67\x65\x74\x4F\x75\x74\x6C\x69\x6E\x65\x4F\x66\x66\x73\x65\x74","\x73\x74\x61\x72\x74\x58","\x73\x74\x61\x72\x74\x59","\x64\x78\x30","\x64\x79\x30","\x6E","\x76\x61\x6C\x69\x64\x4E\x65\x69\x67\x68\x62\x6F\x72\x73","\x74\x79\x70\x65\x45\x72\x72\x6F\x72","\x76\x61\x6C\x69\x64\x4E\x65\x69\x67\x68\x62\x6F\x72\x73\x41\x6C\x6C\x6F\x77\x65\x64","\x63\x68\x65\x63\x6B\x54\x65\x72\x6D\x69\x6E\x61\x6C","\x63\x68\x65\x63\x6B\x4E\x65\x69\x67\x68\x62\x6F\x72\x73","\x63\x68\x65\x63\x6B\x54\x79\x70\x65","\x75\x6E\x64\x6F\x48\x61\x6E\x64\x6C\x65\x72","\x62\x75\x62\x62\x6C\x69\x6E\x67","\x69\x73\x42\x75\x62\x62\x6C\x69\x6E\x67","\x73\x65\x74\x42\x75\x62\x62\x6C\x69\x6E\x67","\x67\x65\x74\x4C\x61\x79\x6F\x75\x74","\x67\x65\x74\x43\x65\x6C\x6C\x73\x46\x6F\x72\x43\x68\x61\x6E\x67\x65\x73","\x67\x65\x74\x43\x65\x6C\x6C\x73\x46\x6F\x72\x43\x68\x61\x6E\x67\x65","\x65\x78\x65\x63\x75\x74\x65\x4C\x61\x79\x6F\x75\x74","\x72\x65\x73\x69\x7A\x65\x48\x61\x6E\x64\x6C\x65\x72","\x66\x6F\x6C\x64\x48\x61\x6E\x64\x6C\x65\x72","\x73\x68\x69\x66\x74\x52\x69\x67\x68\x74\x77\x61\x72\x64\x73","\x73\x68\x69\x66\x74\x44\x6F\x77\x6E\x77\x61\x72\x64\x73","\x69\x73\x43\x65\x6C\x6C\x49\x67\x6E\x6F\x72\x65\x64","\x69\x73\x43\x65\x6C\x6C\x53\x68\x69\x66\x74\x61\x62\x6C\x65","\x69\x73\x53\x68\x69\x66\x74\x52\x69\x67\x68\x74\x77\x61\x72\x64\x73","\x73\x65\x74\x53\x68\x69\x66\x74\x52\x69\x67\x68\x74\x77\x61\x72\x64\x73","\x69\x73\x53\x68\x69\x66\x74\x44\x6F\x77\x6E\x77\x61\x72\x64\x73","\x73\x65\x74\x53\x68\x69\x66\x74\x44\x6F\x77\x6E\x77\x61\x72\x64\x73","\x63\x65\x6C\x6C\x52\x65\x73\x69\x7A\x65\x64","\x67\x65\x74\x43\x65\x6C\x6C\x73\x54\x6F\x53\x68\x69\x66\x74","\x73\x68\x69\x66\x74\x43\x65\x6C\x6C","\x61\x64\x64\x45\x6E\x61\x62\x6C\x65\x64","\x72\x65\x73\x69\x7A\x65\x45\x6E\x61\x62\x6C\x65\x64","\x61\x64\x64\x48\x61\x6E\x64\x6C\x65\x72","\x69\x73\x41\x64\x64\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x52\x65\x73\x69\x7A\x65\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x48\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C","\x73\x65\x74\x41\x64\x64\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x52\x65\x73\x69\x7A\x65\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x53\x77\x69\x6D\x6C\x61\x6E\x65\x49\x67\x6E\x6F\x72\x65\x64","\x69\x73\x43\x65\x6C\x6C\x48\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C","\x73\x77\x69\x6D\x6C\x61\x6E\x65\x41\x64\x64\x65\x64","\x72\x65\x73\x69\x7A\x65\x53\x77\x69\x6D\x6C\x61\x6E\x65","\x6F\x6C\x64\x42\x6F\x75\x6E\x64\x73","\x6F\x6C\x64\x53\x74\x61\x74\x65\x73","\x6F\x6C\x64\x53\x63\x61\x6C\x65","\x64\x65\x6C\x74\x61\x73","\x63\x6F\x75\x6E\x74","\x58","\x59","\x61\x64\x64\x45\x64\x67\x65\x73","\x72\x65\x73\x6F\x6C\x76\x65","\x74\x72\x61\x6E\x73\x6C\x61\x74\x65\x53\x74\x61\x74\x65","\x72\x65\x76\x61\x6C\x69\x64\x61\x74\x65\x53\x74\x61\x74\x65","\x75\x70\x64\x61\x74\x65\x50\x72\x65\x76\x69\x65\x77\x53\x68\x61\x70\x65","\x6D\x61\x78\x43\x65\x6C\x6C\x73","\x68\x69\x67\x68\x6C\x69\x67\x68\x74\x45\x6E\x61\x62\x6C\x65\x64","\x63\x6C\x6F\x6E\x65\x45\x6E\x61\x62\x6C\x65\x64","\x6D\x6F\x76\x65\x45\x6E\x61\x62\x6C\x65\x64","\x67\x75\x69\x64\x65","\x63\x75\x72\x72\x65\x6E\x74\x44\x78","\x63\x75\x72\x72\x65\x6E\x74\x44\x79","\x75\x70\x64\x61\x74\x65\x43\x75\x72\x73\x6F\x72","\x73\x65\x6C\x65\x63\x74\x45\x6E\x61\x62\x6C\x65\x64","\x63\x6F\x6E\x6E\x65\x63\x74\x4F\x6E\x44\x72\x6F\x70","\x73\x63\x72\x6F\x6C\x6C\x4F\x6E\x4D\x6F\x76\x65","\x70\x72\x65\x76\x69\x65\x77\x43\x6F\x6C\x6F\x72","\x68\x74\x6D\x6C\x50\x72\x65\x76\x69\x65\x77","\x73\x63\x61\x6C\x65\x47\x72\x69\x64","\x72\x6F\x74\x61\x74\x69\x6F\x6E\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x43\x6C\x6F\x6E\x65\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x43\x6C\x6F\x6E\x65\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x4D\x6F\x76\x65\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x4D\x6F\x76\x65\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x53\x65\x6C\x65\x63\x74\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x52\x65\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73\x46\x72\x6F\x6D\x50\x61\x72\x65\x6E\x74","\x73\x65\x74\x52\x65\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73\x46\x72\x6F\x6D\x50\x61\x72\x65\x6E\x74","\x67\x65\x74\x49\x6E\x69\x74\x69\x61\x6C\x43\x65\x6C\x6C\x46\x6F\x72\x45\x76\x65\x6E\x74","\x69\x73\x44\x65\x6C\x61\x79\x65\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x64\x65\x6C\x61\x79\x65\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x63\x65\x6C\x6C\x57\x61\x73\x43\x6C\x69\x63\x6B\x65\x64","\x53\x45\x4C\x45\x43\x54","\x66\x69\x72\x73\x74","\x67\x65\x74\x50\x72\x65\x76\x69\x65\x77\x42\x6F\x75\x6E\x64\x73","\x63\x72\x65\x61\x74\x65\x50\x72\x65\x76\x69\x65\x77\x53\x68\x61\x70\x65","\x70\x42\x6F\x75\x6E\x64\x73","\x75\x73\x65\x47\x75\x69\x64\x65\x73\x46\x6F\x72\x45\x76\x65\x6E\x74","\x73\x65\x74\x48\x69\x67\x68\x6C\x69\x67\x68\x74\x43\x6F\x6C\x6F\x72","\x56\x41\x4C\x49\x44\x5F\x43\x4F\x4C\x4F\x52","\x49\x4E\x56\x41\x4C\x49\x44\x5F\x43\x4F\x4E\x4E\x45\x43\x54\x5F\x54\x41\x52\x47\x45\x54\x5F\x43\x4F\x4C\x4F\x52","\x43\x55\x52\x53\x4F\x52\x5F\x4D\x4F\x56\x41\x42\x4C\x45\x5F\x45\x44\x47\x45","\x43\x55\x52\x53\x4F\x52\x5F\x4D\x4F\x56\x41\x42\x4C\x45\x5F\x56\x45\x52\x54\x45\x58","\x73\x65\x6C\x65\x63\x74\x44\x65\x6C\x61\x79\x65\x64","\x64\x65\x73\x74\x72\x6F\x79\x53\x68\x61\x70\x65\x73","\x67\x75\x69\x64\x65\x73","\x73\x68\x6F\x75\x6C\x64\x52\x65\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73\x46\x72\x6F\x6D\x50\x61\x72\x65\x6E\x74","\x74\x72\x69\x67\x67\x65\x72\x58","\x74\x72\x69\x67\x67\x65\x72\x59","\x75\x73\x65\x50\x6F\x70\x75\x70\x54\x72\x69\x67\x67\x65\x72","\x75\x73\x65\x4C\x65\x66\x74\x42\x75\x74\x74\x6F\x6E\x46\x6F\x72\x50\x61\x6E\x6E\x69\x6E\x67","\x73\x65\x6C\x65\x63\x74\x4F\x6E\x50\x6F\x70\x75\x70","\x63\x6C\x65\x61\x72\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x4F\x6E\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x69\x67\x6E\x6F\x72\x65\x43\x65\x6C\x6C","\x70\x72\x65\x76\x69\x65\x77\x45\x6E\x61\x62\x6C\x65\x64","\x75\x73\x65\x47\x72\x69\x64","\x69\x73\x50\x61\x6E\x6E\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x50\x61\x6E\x6E\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x50\x61\x6E\x6E\x69\x6E\x67\x54\x72\x69\x67\x67\x65\x72","\x70\x6F\x70\x75\x70\x54\x72\x69\x67\x67\x65\x72","\x70\x61\x6E\x6E\x69\x6E\x67\x54\x72\x69\x67\x67\x65\x72","\x63\x6F\x6E\x73\x75\x6D\x65\x50\x61\x6E\x6E\x69\x6E\x67\x54\x72\x69\x67\x67\x65\x72","\x67\x65\x74\x43\x65\x6C\x6C\x46\x6F\x72\x50\x6F\x70\x75\x70\x45\x76\x65\x6E\x74","\x76\x61\x6C\x69\x64\x43\x6F\x6C\x6F\x72","\x44\x45\x46\x41\x55\x4C\x54\x5F\x56\x41\x4C\x49\x44\x5F\x43\x4F\x4C\x4F\x52","\x69\x6E\x76\x61\x6C\x69\x64\x43\x6F\x6C\x6F\x72","\x44\x45\x46\x41\x55\x4C\x54\x5F\x49\x4E\x56\x41\x4C\x49\x44\x5F\x43\x4F\x4C\x4F\x52","\x68\x6F\x74\x73\x70\x6F\x74","\x44\x45\x46\x41\x55\x4C\x54\x5F\x48\x4F\x54\x53\x50\x4F\x54","\x68\x6F\x74\x73\x70\x6F\x74\x45\x6E\x61\x62\x6C\x65\x64","\x63\x75\x72\x72\x65\x6E\x74\x43\x6F\x6C\x6F\x72","\x76\x61\x6C\x69\x64\x53\x74\x61\x74\x65","\x6D\x61\x72\x6B\x65\x64\x53\x74\x61\x74\x65","\x73\x65\x74\x48\x6F\x74\x73\x70\x6F\x74","\x67\x65\x74\x48\x6F\x74\x73\x70\x6F\x74","\x73\x65\x74\x48\x6F\x74\x73\x70\x6F\x74\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x48\x6F\x74\x73\x70\x6F\x74\x45\x6E\x61\x62\x6C\x65\x64","\x68\x61\x73\x56\x61\x6C\x69\x64\x53\x74\x61\x74\x65","\x67\x65\x74\x56\x61\x6C\x69\x64\x53\x74\x61\x74\x65","\x67\x65\x74\x4D\x61\x72\x6B\x65\x64\x53\x74\x61\x74\x65","\x75\x6E\x6D\x61\x72\x6B","\x70\x72\x6F\x63\x65\x73\x73","\x69\x73\x56\x61\x6C\x69\x64\x53\x74\x61\x74\x65","\x67\x65\x74\x4D\x61\x72\x6B\x65\x72\x43\x6F\x6C\x6F\x72","\x6D\x61\x72\x6B\x43\x65\x6C\x6C","\x67\x65\x74\x53\x74\x61\x74\x65\x54\x6F\x4D\x61\x72\x6B","\x69\x6E\x74\x65\x72\x73\x65\x63\x74\x73\x48\x6F\x74\x73\x70\x6F\x74","\x72\x65\x73\x65\x74\x48\x61\x6E\x64\x6C\x65\x72","\x68\x61\x6E\x64\x6C\x65\x72\x73","\x6D\x61\x78\x48\x61\x6E\x64\x6C\x65\x72\x73","\x6D\x6F\x76\x65\x49\x63\x6F\x6E\x46\x72\x6F\x6E\x74","\x6D\x6F\x76\x65\x49\x63\x6F\x6E\x42\x61\x63\x6B","\x63\x6F\x6E\x6E\x65\x63\x74\x49\x6D\x61\x67\x65","\x74\x61\x72\x67\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x49\x6D\x61\x67\x65","\x63\x72\x65\x61\x74\x65\x54\x61\x72\x67\x65\x74","\x6D\x61\x72\x6B\x65\x72","\x63\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74\x48\x61\x6E\x64\x6C\x65\x72","\x77\x61\x79\x70\x6F\x69\x6E\x74\x73\x45\x6E\x61\x62\x6C\x65\x64","\x74\x61\x70\x41\x6E\x64\x48\x6F\x6C\x64\x45\x6E\x61\x62\x6C\x65\x64","\x74\x61\x70\x41\x6E\x64\x48\x6F\x6C\x64\x44\x65\x6C\x61\x79","\x74\x61\x70\x41\x6E\x64\x48\x6F\x6C\x64\x49\x6E\x50\x72\x6F\x67\x72\x65\x73\x73","\x74\x61\x70\x41\x6E\x64\x48\x6F\x6C\x64\x56\x61\x6C\x69\x64","\x74\x61\x70\x41\x6E\x64\x48\x6F\x6C\x64\x54\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x69\x6E\x69\x74\x69\x61\x6C\x54\x6F\x75\x63\x68\x58","\x69\x6E\x69\x74\x69\x61\x6C\x54\x6F\x75\x63\x68\x59","\x69\x67\x6E\x6F\x72\x65\x4D\x6F\x75\x73\x65\x44\x6F\x77\x6E","\x63\x6F\x6E\x6E\x65\x63\x74\x49\x63\x6F\x6E\x4F\x66\x66\x73\x65\x74","\x65\x64\x67\x65\x53\x74\x61\x74\x65","\x64\x72\x69\x6C\x6C\x48\x61\x6E\x64\x6C\x65\x72","\x6D\x6F\x75\x73\x65\x44\x6F\x77\x6E\x43\x6F\x75\x6E\x74\x65\x72","\x6D\x6F\x76\x65\x50\x72\x65\x76\x69\x65\x77\x41\x77\x61\x79","\x69\x73\x43\x72\x65\x61\x74\x65\x54\x61\x72\x67\x65\x74","\x73\x65\x74\x43\x72\x65\x61\x74\x65\x54\x61\x72\x67\x65\x74","\x69\x63\x6F\x6E\x53\x74\x61\x74\x65","\x69\x63\x6F\x6E\x73","\x72\x65\x64\x72\x61\x77\x49\x63\x6F\x6E\x73","\x64\x65\x73\x74\x72\x6F\x79\x49\x63\x6F\x6E\x73","\x69\x73\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65\x43\x65\x6C\x6C","\x69\x73\x43\x6F\x6E\x6E\x65\x63\x74\x69\x6E\x67","\x76\x61\x6C\x69\x64\x61\x74\x65\x43\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E","\x63\x72\x65\x61\x74\x65\x45\x64\x67\x65\x53\x74\x61\x74\x65","\x67\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x49\x6D\x61\x67\x65","\x69\x73\x4D\x6F\x76\x65\x49\x63\x6F\x6E\x54\x6F\x46\x72\x6F\x6E\x74\x46\x6F\x72\x53\x74\x61\x74\x65","\x63\x72\x65\x61\x74\x65\x49\x63\x6F\x6E\x73","\x43\x55\x52\x53\x4F\x52\x5F\x43\x4F\x4E\x4E\x45\x43\x54","\x63\x75\x72\x72\x65\x6E\x74\x53\x74\x61\x74\x65","\x69\x63\x6F\x6E","\x67\x65\x74\x49\x63\x6F\x6E\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x69\x73\x53\x74\x61\x72\x74\x45\x76\x65\x6E\x74","\x63\x75\x72\x72\x65\x6E\x74\x46\x6F\x63\x75\x73","\x63\x75\x72\x72\x65\x6E\x74\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74","\x73\x6F\x75\x72\x63\x65\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74","\x77\x61\x79\x70\x6F\x69\x6E\x74\x73","\x74\x61\x70\x41\x6E\x64\x48\x6F\x6C\x64\x54\x68\x72\x65\x61\x64","\x63\x6C\x65\x61\x72\x54\x69\x6D\x65\x6F\x75\x74","\x74\x61\x70\x41\x6E\x64\x48\x6F\x6C\x64","\x73\x65\x6C\x65\x63\x74\x65\x64\x49\x63\x6F\x6E","\x69\x73\x49\x6D\x6D\x65\x64\x69\x61\x74\x65\x43\x6F\x6E\x6E\x65\x63\x74\x53\x6F\x75\x72\x63\x65","\x75\x70\x64\x61\x74\x65\x43\x75\x72\x72\x65\x6E\x74\x53\x74\x61\x74\x65","\x63\x6F\x6E\x76\x65\x72\x74\x57\x61\x79\x70\x6F\x69\x6E\x74","\x67\x65\x74\x54\x61\x72\x67\x65\x74\x50\x65\x72\x69\x6D\x65\x74\x65\x72\x50\x6F\x69\x6E\x74","\x67\x65\x74\x53\x6F\x75\x72\x63\x65\x50\x65\x72\x69\x6D\x65\x74\x65\x72\x50\x6F\x69\x6E\x74","\x64\x72\x61\x77\x50\x72\x65\x76\x69\x65\x77","\x75\x70\x64\x61\x74\x65\x49\x63\x6F\x6E\x73","\x69\x73\x53\x74\x6F\x70\x45\x76\x65\x6E\x74","\x61\x64\x64\x57\x61\x79\x70\x6F\x69\x6E\x74\x46\x6F\x72\x45\x76\x65\x6E\x74","\x67\x65\x74\x45\x64\x67\x65\x57\x69\x64\x74\x68","\x67\x65\x74\x45\x64\x67\x65\x43\x6F\x6C\x6F\x72","\x49\x4E\x56\x41\x4C\x49\x44\x5F\x43\x4F\x4C\x4F\x52","\x63\x72\x65\x61\x74\x65\x54\x61\x72\x67\x65\x74\x56\x65\x72\x74\x65\x78","\x67\x65\x74\x41\x6C\x69\x67\x6E\x6D\x65\x6E\x74\x54\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x70\x6F\x69\x6E\x74\x49\x6D\x61\x67\x65","\x2F\x70\x6F\x69\x6E\x74\x2E\x67\x69\x66","\x68\x69\x67\x68\x6C\x69\x67\x68\x74\x43\x6F\x6C\x6F\x72","\x66\x6F\x63\x75\x73\x49\x63\x6F\x6E\x73","\x66\x6F\x63\x75\x73\x48\x69\x67\x68\x6C\x69\x67\x68\x74","\x66\x6F\x63\x75\x73\x50\x6F\x69\x6E\x74\x73","\x63\x75\x72\x72\x65\x6E\x74\x46\x6F\x63\x75\x73\x41\x72\x65\x61","\x67\x65\x74\x49\x6D\x61\x67\x65\x46\x6F\x72\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74","\x69\x73\x45\x76\x65\x6E\x74\x49\x67\x6E\x6F\x72\x65\x64","\x72\x65\x70\x61\x69\x6E\x74","\x64\x65\x66\x61\x75\x6C\x74\x4F\x70\x61\x63\x69\x74\x79","\x73\x68\x61\x72\x65\x64\x44\x69\x76","\x63\x75\x72\x72\x65\x6E\x74\x58","\x63\x75\x72\x72\x65\x6E\x74\x59","\x64\x72\x61\x67\x48\x61\x6E\x64\x6C\x65\x72","\x6D\x78\x52\x75\x62\x62\x65\x72\x62\x61\x6E\x64","\x73\x69\x6E\x67\x6C\x65\x53\x69\x7A\x65\x72","\x61\x6C\x6C\x6F\x77\x48\x61\x6E\x64\x6C\x65\x42\x6F\x75\x6E\x64\x73\x43\x68\x65\x63\x6B","\x68\x61\x6E\x64\x6C\x65\x49\x6D\x61\x67\x65","\x72\x6F\x74\x61\x74\x69\x6F\x6E\x52\x61\x73\x74\x65\x72","\x73\x65\x6C\x65\x63\x74\x69\x6F\x6E\x42\x6F\x75\x6E\x64\x73","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x42\x6F\x75\x6E\x64\x73","\x63\x72\x65\x61\x74\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x53\x68\x61\x70\x65","\x73\x69\x7A\x65\x72\x73","\x6E\x2D\x72\x65\x73\x69\x7A\x65","\x6E\x65\x2D\x72\x65\x73\x69\x7A\x65","\x77\x2D\x72\x65\x73\x69\x7A\x65","\x65\x2D\x72\x65\x73\x69\x7A\x65","\x73\x77\x2D\x72\x65\x73\x69\x7A\x65","\x73\x2D\x72\x65\x73\x69\x7A\x65","\x73\x65\x2D\x72\x65\x73\x69\x7A\x65","\x6C\x61\x62\x65\x6C\x53\x68\x61\x70\x65","\x72\x6F\x74\x61\x74\x69\x6F\x6E\x53\x68\x61\x70\x65","\x48\x41\x4E\x44\x4C\x45\x5F\x53\x49\x5A\x45","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x6F\x6C\x6F\x72","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x53\x74\x72\x6F\x6B\x65\x57\x69\x64\x74\x68","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x44\x61\x73\x68\x65\x64","\x56\x45\x52\x54\x45\x58\x5F\x53\x45\x4C\x45\x43\x54\x49\x4F\x4E\x5F\x43\x4F\x4C\x4F\x52","\x56\x45\x52\x54\x45\x58\x5F\x53\x45\x4C\x45\x43\x54\x49\x4F\x4E\x5F\x53\x54\x52\x4F\x4B\x45\x57\x49\x44\x54\x48","\x56\x45\x52\x54\x45\x58\x5F\x53\x45\x4C\x45\x43\x54\x49\x4F\x4E\x5F\x44\x41\x53\x48\x45\x44","\x63\x72\x65\x61\x74\x65\x53\x69\x7A\x65\x72\x53\x68\x61\x70\x65","\x69\x73\x53\x69\x7A\x65\x72\x56\x69\x73\x69\x62\x6C\x65","\x52\x4F\x54\x41\x54\x49\x4F\x4E\x5F\x48\x41\x4E\x44\x4C\x45","\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x6D\x6F\x76\x65\x53\x69\x7A\x65\x72\x54\x6F","\x67\x65\x74\x48\x61\x6E\x64\x6C\x65\x46\x6F\x72\x45\x76\x65\x6E\x74","\x4C\x41\x42\x45\x4C\x5F\x48\x41\x4E\x44\x4C\x45","\x70\x72\x65\x76\x69\x65\x77","\x63\x75\x72\x72\x65\x6E\x74\x41\x6C\x70\x68\x61","\x61\x74\x61\x6E","\x75\x6E\x69\x6F\x6E","\x72\x6F\x74\x61\x74\x65\x43\x65\x6C\x6C","\x6D\x6F\x76\x65\x43\x68\x69\x6C\x64\x72\x65\x6E","\x62\x65\x6E\x64\x73","\x72\x65\x6D\x6F\x76\x65\x45\x6E\x61\x62\x6C\x65\x64","\x73\x6E\x61\x70\x54\x6F\x54\x65\x72\x6D\x69\x6E\x61\x6C\x73","\x61\x62\x73\x70\x6F\x69\x6E\x74\x73","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x50\x6F\x69\x6E\x74\x73","\x69\x73\x41\x64\x64\x50\x6F\x69\x6E\x74\x45\x76\x65\x6E\x74","\x61\x64\x64\x50\x6F\x69\x6E\x74","\x63\x72\x65\x61\x74\x65\x42\x65\x6E\x64\x73","\x69\x6E\x69\x74\x42\x65\x6E\x64","\x43\x55\x52\x53\x4F\x52\x5F\x4C\x41\x42\x45\x4C\x5F\x48\x41\x4E\x44\x4C\x45","\x69\x73\x52\x65\x6D\x6F\x76\x65\x50\x6F\x69\x6E\x74\x45\x76\x65\x6E\x74","\x45\x44\x47\x45\x5F\x53\x45\x4C\x45\x43\x54\x49\x4F\x4E\x5F\x43\x4F\x4C\x4F\x52","\x45\x44\x47\x45\x5F\x53\x45\x4C\x45\x43\x54\x49\x4F\x4E\x5F\x53\x54\x52\x4F\x4B\x45\x57\x49\x44\x54\x48","\x45\x44\x47\x45\x5F\x53\x45\x4C\x45\x43\x54\x49\x4F\x4E\x5F\x44\x41\x53\x48\x45\x44","\x69\x73\x48\x61\x6E\x64\x6C\x65\x56\x69\x73\x69\x62\x6C\x65","\x63\x72\x65\x61\x74\x65\x48\x61\x6E\x64\x6C\x65\x53\x68\x61\x70\x65","\x69\x73\x48\x61\x6E\x64\x6C\x65\x45\x6E\x61\x62\x6C\x65\x64","\x43\x55\x52\x53\x4F\x52\x5F\x42\x45\x4E\x44\x5F\x48\x41\x4E\x44\x4C\x45","\x72\x65\x6D\x6F\x76\x65\x50\x6F\x69\x6E\x74","\x69\x73\x54\x61\x72\x67\x65\x74","\x69\x73\x4C\x61\x62\x65\x6C","\x63\x6C\x6F\x6E\x65\x50\x72\x65\x76\x69\x65\x77\x53\x74\x61\x74\x65","\x67\x65\x74\x53\x6E\x61\x70\x54\x6F\x54\x65\x72\x6D\x69\x6E\x61\x6C\x54\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x67\x65\x74\x50\x72\x65\x76\x69\x65\x77\x54\x65\x72\x6D\x69\x6E\x61\x6C\x53\x74\x61\x74\x65","\x67\x65\x74\x50\x72\x65\x76\x69\x65\x77\x50\x6F\x69\x6E\x74\x73","\x75\x70\x64\x61\x74\x65\x50\x72\x65\x76\x69\x65\x77\x53\x74\x61\x74\x65","\x73\x65\x74\x50\x72\x65\x76\x69\x65\x77\x43\x6F\x6C\x6F\x72","\x6D\x6F\x76\x65\x4C\x61\x62\x65\x6C","\x63\x68\x61\x6E\x67\x65\x54\x65\x72\x6D\x69\x6E\x61\x6C\x50\x6F\x69\x6E\x74","\x63\x68\x61\x6E\x67\x65\x50\x6F\x69\x6E\x74\x73","\x61\x64\x64\x50\x6F\x69\x6E\x74\x41\x74","\x66\x69\x6E\x64\x4E\x65\x61\x72\x65\x73\x74\x53\x65\x67\x6D\x65\x6E\x74","\x67\x65\x74\x48\x61\x6E\x64\x6C\x65\x46\x69\x6C\x6C\x43\x6F\x6C\x6F\x72","\x4C\x4F\x43\x4B\x45\x44\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x43\x4F\x4E\x4E\x45\x43\x54\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x4C\x41\x42\x45\x4C\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x53\x49\x5A\x45","\x72\x65\x64\x72\x61\x77\x49\x6E\x6E\x65\x72\x42\x65\x6E\x64\x73","\x66\x6C\x69\x70\x45\x6E\x61\x62\x6C\x65\x64","\x64\x6F\x75\x62\x6C\x65\x43\x6C\x69\x63\x6B\x4F\x72\x69\x65\x6E\x74\x61\x74\x69\x6F\x6E\x52\x65\x73\x6F\x75\x72\x63\x65","\x64\x6F\x75\x62\x6C\x65\x43\x6C\x69\x63\x6B\x4F\x72\x69\x65\x6E\x74\x61\x74\x69\x6F\x6E","\x63\x72\x65\x61\x74\x65\x56\x69\x72\x74\x75\x61\x6C\x42\x65\x6E\x64","\x67\x65\x74\x43\x75\x72\x73\x6F\x72\x46\x6F\x72\x42\x65\x6E\x64","\x45\x44\x47\x45\x53\x54\x59\x4C\x45\x5F\x54\x4F\x50\x54\x4F\x42\x4F\x54\x54\x4F\x4D","\x45\x44\x47\x45\x53\x54\x59\x4C\x45\x5F\x45\x4C\x42\x4F\x57","\x72\x6F\x77\x2D\x72\x65\x73\x69\x7A\x65","\x63\x6F\x6C\x2D\x72\x65\x73\x69\x7A\x65","\x6E\x6F\x72\x6D\x61\x6C\x4B\x65\x79\x73","\x73\x68\x69\x66\x74\x4B\x65\x79\x73","\x63\x6F\x6E\x74\x72\x6F\x6C\x4B\x65\x79\x73","\x63\x6F\x6E\x74\x72\x6F\x6C\x53\x68\x69\x66\x74\x4B\x65\x79\x73","\x6B\x65\x79\x44\x6F\x77\x6E","\x62\x69\x6E\x64\x4B\x65\x79","\x62\x69\x6E\x64\x53\x68\x69\x66\x74\x4B\x65\x79","\x62\x69\x6E\x64\x43\x6F\x6E\x74\x72\x6F\x6C\x4B\x65\x79","\x62\x69\x6E\x64\x43\x6F\x6E\x74\x72\x6F\x6C\x53\x68\x69\x66\x74\x4B\x65\x79","\x67\x65\x74\x46\x75\x6E\x63\x74\x69\x6F\x6E","\x69\x73\x47\x72\x61\x70\x68\x45\x76\x65\x6E\x74","\x68\x69\x64\x65\x4F\x6E\x48\x6F\x76\x65\x72","\x73\x65\x74\x48\x69\x64\x65\x4F\x6E\x48\x6F\x76\x65\x72","\x6D\x78\x54\x6F\x6F\x6C\x74\x69\x70","\x68\x69\x64\x65\x54\x6F\x6F\x6C\x74\x69\x70","\x73\x74\x61\x74\x65\x53\x6F\x75\x72\x63\x65","\x72\x65\x73\x65\x74\x54\x69\x6D\x65\x72","\x48\x49\x47\x48\x4C\x49\x47\x48\x54\x5F\x53\x54\x52\x4F\x4B\x45\x57\x49\x44\x54\x48","\x72\x65\x70\x61\x69\x6E\x74\x48\x61\x6E\x64\x6C\x65\x72","\x6B\x65\x65\x70\x4F\x6E\x54\x6F\x70","\x64\x72\x61\x77\x48\x69\x67\x68\x6C\x69\x67\x68\x74","\x65\x64\x69\x74\x6F\x72","\x68\x69\x64\x65\x50\x72\x6F\x70\x65\x72\x74\x69\x65\x73","\x62\x69\x6E\x64\x41\x63\x74\x69\x6F\x6E","\x63\x6F\x6E\x66\x69\x67","\x63\x72\x65\x61\x74\x65\x4D\x65\x6E\x75","\x63\x72\x65\x61\x74\x65\x43\x6F\x6E\x64\x69\x74\x69\x6F\x6E\x73","\x61\x64\x64\x49\x74\x65\x6D\x73","\x69\x66","\x61\x73","\x69\x63\x6F\x6E\x43\x6C\x73","\x61\x64\x64\x41\x63\x74\x69\x6F\x6E","\x73\x65\x70\x61\x72\x61\x74\x6F\x72","\x6E\x6F\x63\x65\x6C\x6C","\x6E\x63\x65\x6C\x6C\x73","\x6E\x6F\x74\x52\x6F\x6F\x74","\x6E\x6F\x6E\x45\x6D\x70\x74\x79","\x65\x78\x70\x61\x6E\x64\x61\x62\x6C\x65","\x63\x6F\x6C\x6C\x61\x70\x73\x61\x62\x6C\x65","\x76\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x65\x6D\x70\x74\x79\x56\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x63\x6F\x6E\x64\x69\x74\x69\x6F\x6E","\x74\x6F\x6F\x6C\x62\x61\x72","\x69\x6E\x73\x65\x72\x74\x46\x75\x6E\x63\x74\x69\x6F\x6E","\x2F\x73\x65\x70\x61\x72\x61\x74\x6F\x72\x2E\x67\x69\x66","\x61\x64\x64\x41\x63\x74\x69\x6F\x6E\x4F\x70\x74\x69\x6F\x6E","\x73\x65\x74\x4D\x6F\x64\x65","\x61\x64\x64\x50\x72\x6F\x74\x6F\x74\x79\x70\x65","\x69\x6E\x73\x74\x61\x6C\x6C\x44\x72\x6F\x70\x48\x61\x6E\x64\x6C\x65\x72","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C\x46\x6C\x6F\x77","\x6D\x61\x6B\x65\x44\x72\x61\x67\x67\x61\x62\x6C\x65","\x61\x63\x74\x69\x6F\x6E\x73","\x61\x64\x64\x41\x63\x74\x69\x6F\x6E\x73","\x63\x79\x63\x6C\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65\x56\x61\x6C\x75\x65\x73","\x70\x6F\x70\x75\x70\x48\x61\x6E\x64\x6C\x65\x72","\x75\x6E\x64\x6F\x4D\x61\x6E\x61\x67\x65\x72","\x63\x72\x65\x61\x74\x65\x47\x72\x61\x70\x68","\x63\x72\x65\x61\x74\x65\x54\x6F\x6F\x6C\x62\x61\x72","\x6B\x65\x79\x48\x61\x6E\x64\x6C\x65\x72","\x63\x6F\x6E\x66\x69\x67\x75\x72\x65","\x63\x79\x63\x6C\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65\x4E\x61\x6D\x65","\x49\x53\x5F\x4C\x4F\x43\x41\x4C","\x63\x72\x65\x61\x74\x65\x53\x65\x73\x73\x69\x6F\x6E","\x2F\x72\x65\x73\x6F\x75\x72\x63\x65\x73\x2F\x65\x64\x69\x74\x6F\x72","\x61\x73\x6B\x5A\x6F\x6F\x6D\x52\x65\x73\x6F\x75\x72\x63\x65","\x61\x73\x6B\x5A\x6F\x6F\x6D","\x6C\x61\x73\x74\x53\x61\x76\x65\x64\x52\x65\x73\x6F\x75\x72\x63\x65","\x6C\x61\x73\x74\x53\x61\x76\x65\x64","\x63\x75\x72\x72\x65\x6E\x74\x46\x69\x6C\x65\x52\x65\x73\x6F\x75\x72\x63\x65","\x63\x75\x72\x72\x65\x6E\x74\x46\x69\x6C\x65","\x70\x72\x6F\x70\x65\x72\x74\x69\x65\x73\x52\x65\x73\x6F\x75\x72\x63\x65","\x74\x61\x73\x6B\x73\x52\x65\x73\x6F\x75\x72\x63\x65","\x74\x61\x73\x6B\x73","\x68\x65\x6C\x70\x52\x65\x73\x6F\x75\x72\x63\x65","\x6F\x75\x74\x6C\x69\x6E\x65\x52\x65\x73\x6F\x75\x72\x63\x65","\x64\x62\x6C\x43\x6C\x69\x63\x6B\x41\x63\x74\x69\x6F\x6E","\x73\x77\x69\x6D\x6C\x61\x6E\x65\x52\x65\x71\x75\x69\x72\x65\x64","\x66\x6F\x72\x63\x65\x64\x49\x6E\x73\x65\x72\x74\x69\x6E\x67","\x74\x65\x6D\x70\x6C\x61\x74\x65\x73","\x64\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x64\x65\x66\x61\x75\x6C\x74\x47\x72\x6F\x75\x70","\x67\x72\x6F\x75\x70\x42\x6F\x72\x64\x65\x72\x53\x69\x7A\x65","\x66\x69\x6C\x65\x6E\x61\x6D\x65","\x70\x6F\x73\x74\x50\x61\x72\x61\x6D\x65\x74\x65\x72\x4E\x61\x6D\x65","\x75\x72\x6C\x50\x6F\x73\x74","\x75\x72\x6C\x49\x6D\x61\x67\x65","\x6C\x61\x79\x6F\x75\x74\x44\x69\x61\x67\x72\x61\x6D","\x73\x77\x69\x6D\x6C\x61\x6E\x65\x53\x70\x61\x63\x69\x6E\x67","\x6D\x61\x69\x6E\x74\x61\x69\x6E\x53\x77\x69\x6D\x6C\x61\x6E\x65\x73","\x6C\x61\x79\x6F\x75\x74\x53\x77\x69\x6D\x6C\x61\x6E\x65\x73","\x63\x79\x63\x6C\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65\x49\x6E\x64\x65\x78","\x74\x61\x73\x6B\x73\x57\x69\x6E\x64\x6F\x77\x49\x6D\x61\x67\x65","\x74\x61\x73\x6B\x73\x54\x6F\x70","\x68\x65\x6C\x70\x57\x69\x6E\x64\x6F\x77\x49\x6D\x61\x67\x65","\x75\x72\x6C\x48\x65\x6C\x70","\x68\x65\x6C\x70\x57\x69\x64\x74\x68","\x68\x65\x6C\x70\x48\x65\x69\x67\x68\x74","\x70\x72\x6F\x70\x65\x72\x74\x69\x65\x73\x57\x69\x64\x74\x68","\x70\x72\x6F\x70\x65\x72\x74\x69\x65\x73\x48\x65\x69\x67\x68\x74","\x6D\x6F\x76\x65\x50\x72\x6F\x70\x65\x72\x74\x69\x65\x73\x44\x69\x61\x6C\x6F\x67","\x76\x61\x6C\x69\x64\x61\x74\x69\x6E\x67","\x65\x78\x70\x6F\x72\x74\x49\x6D\x61\x67\x65","\x67\x65\x74\x55\x72\x6C\x49\x6D\x61\x67\x65","\x67\x65\x74\x56\x69\x65\x77\x58\x6D\x6C","\x5F\x62\x6C\x61\x6E\x6B","\x63\x75\x74","\x70\x61\x73\x74\x65","\x64\x65\x6C\x65\x74\x65","\x75\x6E\x67\x72\x6F\x75\x70","\x61\x63\x74\x75\x61\x6C\x53\x69\x7A\x65","\x73\x68\x6F\x77\x50\x72\x6F\x70\x65\x72\x74\x69\x65\x73","\x73\x65\x6C\x65\x63\x74\x4E\x6F\x6E\x65","\x74\x6F\x42\x61\x63\x6B","\x74\x6F\x46\x72\x6F\x6E\x74","\x73\x65\x6C\x65\x63\x74\x50\x72\x65\x76\x69\x6F\x75\x73","\x73\x65\x6C\x65\x63\x74\x4E\x65\x78\x74","\x73\x65\x6C\x65\x63\x74\x50\x61\x72\x65\x6E\x74","\x73\x65\x6C\x65\x63\x74\x43\x68\x69\x6C\x64","\x63\x6F\x6C\x6C\x61\x70\x73\x65\x41\x6C\x6C","\x65\x78\x70\x61\x6E\x64","\x65\x78\x70\x61\x6E\x64\x41\x6C\x6C","\x61\x6C\x69\x67\x6E\x43\x65\x6C\x6C\x73\x4C\x65\x66\x74","\x61\x6C\x69\x67\x6E\x43\x65\x6C\x6C\x73\x43\x65\x6E\x74\x65\x72","\x61\x6C\x69\x67\x6E\x43\x65\x6C\x6C\x73\x52\x69\x67\x68\x74","\x61\x6C\x69\x67\x6E\x43\x65\x6C\x6C\x73\x54\x6F\x70","\x61\x6C\x69\x67\x6E\x43\x65\x6C\x6C\x73\x4D\x69\x64\x64\x6C\x65","\x61\x6C\x69\x67\x6E\x43\x65\x6C\x6C\x73\x42\x6F\x74\x74\x6F\x6D","\x61\x6C\x69\x67\x6E\x46\x6F\x6E\x74\x4C\x65\x66\x74","\x61\x6C\x69\x67\x6E\x46\x6F\x6E\x74\x43\x65\x6E\x74\x65\x72","\x61\x6C\x69\x67\x6E\x46\x6F\x6E\x74\x52\x69\x67\x68\x74","\x61\x6C\x69\x67\x6E\x46\x6F\x6E\x74\x54\x6F\x70","\x61\x6C\x69\x67\x6E\x46\x6F\x6E\x74\x4D\x69\x64\x64\x6C\x65","\x61\x6C\x69\x67\x6E\x46\x6F\x6E\x74\x42\x6F\x74\x74\x6F\x6D","\x70\x72\x6F\x6D\x70\x74","\x74\x6F\x67\x67\x6C\x65\x54\x61\x73\x6B\x73","\x73\x68\x6F\x77\x54\x61\x73\x6B\x73","\x74\x6F\x67\x67\x6C\x65\x48\x65\x6C\x70","\x73\x68\x6F\x77\x48\x65\x6C\x70","\x74\x6F\x67\x67\x6C\x65\x4F\x75\x74\x6C\x69\x6E\x65","\x73\x68\x6F\x77\x4F\x75\x74\x6C\x69\x6E\x65","\x74\x6F\x67\x67\x6C\x65\x43\x6F\x6E\x73\x6F\x6C\x65","\x72\x65\x73\x65\x74\x48\x69\x73\x74\x6F\x72\x79","\x72\x65\x73\x65\x74\x46\x69\x72\x73\x74\x54\x69\x6D\x65","\x63\x6F\x6F\x6B\x69\x65","\x6D\x78\x67\x72\x61\x70\x68\x3D\x73\x65\x65\x6E\x3B\x20\x65\x78\x70\x69\x72\x65\x73\x3D\x46\x72\x69\x2C\x20\x32\x37\x20\x4A\x75\x6C\x20\x32\x30\x30\x31\x20\x30\x32\x3A\x34\x37\x3A\x31\x31\x20\x55\x54\x43\x3B\x20\x70\x61\x74\x68\x3D\x2F","\x43\x61\x6E\x6E\x6F\x74\x20\x65\x78\x65\x63\x75\x74\x65\x20","\x3A\x20","\x43\x61\x6E\x6E\x6F\x74\x20\x66\x69\x6E\x64\x20\x61\x63\x74\x69\x6F\x6E\x20","\x61\x64\x64\x54\x65\x6D\x70\x6C\x61\x74\x65","\x67\x65\x74\x54\x65\x6D\x70\x6C\x61\x74\x65","\x69\x6E\x73\x74\x61\x6C\x6C\x44\x62\x6C\x43\x6C\x69\x63\x6B\x48\x61\x6E\x64\x6C\x65\x72","\x69\x6E\x73\x74\x61\x6C\x6C\x55\x6E\x64\x6F\x48\x61\x6E\x64\x6C\x65\x72","\x69\x6E\x73\x74\x61\x6C\x6C\x44\x72\x69\x6C\x6C\x48\x61\x6E\x64\x6C\x65\x72","\x69\x6E\x73\x74\x61\x6C\x6C\x43\x68\x61\x6E\x67\x65\x48\x61\x6E\x64\x6C\x65\x72","\x69\x6E\x73\x74\x61\x6C\x6C\x49\x6E\x73\x65\x72\x74\x48\x61\x6E\x64\x6C\x65\x72","\x63\x72\x65\x61\x74\x65\x50\x6F\x70\x75\x70\x4D\x65\x6E\x75","\x63\x72\x65\x61\x74\x65\x53\x77\x69\x6D\x6C\x61\x6E\x65\x4D\x61\x6E\x61\x67\x65\x72","\x63\x72\x65\x61\x74\x65\x4C\x61\x79\x6F\x75\x74\x4D\x61\x6E\x61\x67\x65\x72","\x73\x77\x69\x6D\x6C\x61\x6E\x65\x4C\x61\x79\x6F\x75\x74","\x63\x72\x65\x61\x74\x65\x53\x77\x69\x6D\x6C\x61\x6E\x65\x4C\x61\x79\x6F\x75\x74","\x64\x69\x61\x67\x72\x61\x6D\x4C\x61\x79\x6F\x75\x74","\x63\x72\x65\x61\x74\x65\x44\x69\x61\x67\x72\x61\x6D\x4C\x61\x79\x6F\x75\x74","\x73\x65\x74\x47\x72\x61\x70\x68\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x72\x75\x62\x62\x65\x72\x62\x61\x6E\x64","\x73\x65\x74\x54\x6F\x6F\x6C\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x73\x65\x74\x53\x74\x61\x74\x75\x73\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x74\x6F\x4C\x6F\x63\x61\x6C\x65\x53\x74\x72\x69\x6E\x67","\x73\x65\x74\x53\x74\x61\x74\x75\x73","\x73\x65\x74\x54\x69\x74\x6C\x65\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x74\x54\x69\x74\x6C\x65","\x74\x72\x65\x65\x4C\x61\x79\x6F\x75\x74","\x20\x3E\x20","\x67\x65\x74\x52\x6F\x6F\x74\x54\x69\x74\x6C\x65","\x63\x72\x65\x61\x74\x65\x47\x72\x6F\x75\x70","\x72\x65\x61\x64\x47\x72\x61\x70\x68\x4D\x6F\x64\x65\x6C","\x67\x65\x74\x55\x72\x6C\x50\x6F\x73\x74","\x77\x72\x69\x74\x65\x47\x72\x61\x70\x68\x4D\x6F\x64\x65\x6C","\x70\x6F\x73\x74\x44\x69\x61\x67\x72\x61\x6D","\x73\x77\x61\x70\x53\x74\x79\x6C\x65\x73","\x63\x72\x65\x61\x74\x65\x50\x72\x6F\x70\x65\x72\x74\x69\x65\x73","\x69\x73\x50\x72\x6F\x70\x65\x72\x74\x69\x65\x73\x56\x69\x73\x69\x62\x6C\x65","\x72\x65\x61\x64\x6F\x6E\x6C\x79","\x49\x44","\x53\x74\x79\x6C\x65","\x63\x72\x65\x61\x74\x65\x54\x61\x73\x6B\x73","\x72\x65\x66\x72\x65\x73\x68\x54\x61\x73\x6B\x73","\x66\x72\x61\x6D\x65\x42\x6F\x72\x64\x65\x72","\x63\x6F\x6E\x73\x75\x6D\x65\x43\x79\x63\x6C\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x79\x63\x6C\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x69\x73\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x65\x64\x4D\x6F\x76\x69\x6E\x67","\x63\x6F\x64\x65\x63\x73","\x74\x65\x6D\x70\x6C\x61\x74\x65","\x61\x64\x64\x41\x6C\x69\x61\x73","\x61\x6C\x69\x61\x73\x65\x73","\x72\x65\x67\x69\x73\x74\x65\x72","\x65\x6E\x63\x6F\x64\x65\x44\x65\x66\x61\x75\x6C\x74\x73","\x67\x65\x74\x4F\x62\x6A\x65\x63\x74","\x72\x65\x66\x65\x72\x65\x6E\x63\x65","\x67\x65\x74\x43\x6F\x64\x65\x63","\x69\x6D\x70\x6F\x72\x74\x4E\x6F\x64\x65","\x6D\x78\x43\x6F\x64\x65\x63\x2E\x65\x6E\x63\x6F\x64\x65\x3A\x20\x4E\x6F\x20\x63\x6F\x64\x65\x63\x20\x66\x6F\x72\x20","\x43\x61\x6E\x6E\x6F\x74\x20\x64\x65\x63\x6F\x64\x65\x20","\x65\x6E\x63\x6F\x64\x65\x43\x65\x6C\x6C","\x69\x73\x43\x65\x6C\x6C\x43\x6F\x64\x65\x63","\x64\x65\x63\x6F\x64\x65\x43\x65\x6C\x6C","\x69\x6E\x73\x65\x72\x74\x49\x6E\x74\x6F\x47\x72\x61\x70\x68","\x65\x78\x63\x6C\x75\x64\x65","\x69\x64\x72\x65\x66\x73","\x6D\x61\x70\x70\x69\x6E\x67","\x72\x65\x76\x65\x72\x73\x65","\x63\x6C\x6F\x6E\x65\x54\x65\x6D\x70\x6C\x61\x74\x65","\x67\x65\x74\x46\x69\x65\x6C\x64\x4E\x61\x6D\x65","\x67\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65\x4E\x61\x6D\x65","\x69\x73\x45\x78\x63\x6C\x75\x64\x65\x64","\x69\x73\x52\x65\x66\x65\x72\x65\x6E\x63\x65","\x62\x65\x66\x6F\x72\x65\x45\x6E\x63\x6F\x64\x65","\x65\x6E\x63\x6F\x64\x65\x4F\x62\x6A\x65\x63\x74","\x61\x66\x74\x65\x72\x45\x6E\x63\x6F\x64\x65","\x65\x6E\x63\x6F\x64\x65\x56\x61\x6C\x75\x65","\x6D\x78\x4F\x62\x6A\x65\x63\x74\x43\x6F\x64\x65\x63\x2E\x65\x6E\x63\x6F\x64\x65\x3A\x20\x4E\x6F\x20\x49\x44\x20\x66\x6F\x72\x20","\x77\x72\x69\x74\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x77\x72\x69\x74\x65\x50\x72\x69\x6D\x69\x74\x69\x76\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x77\x72\x69\x74\x65\x43\x6F\x6D\x70\x6C\x65\x78\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x6F\x6E\x76\x65\x72\x74\x56\x61\x6C\x75\x65\x54\x6F\x58\x6D\x6C","\x6D\x78\x4F\x62\x6A\x65\x63\x74\x43\x6F\x64\x65\x63\x2E\x65\x6E\x63\x6F\x64\x65\x3A\x20\x4E\x6F\x20\x6E\x6F\x64\x65\x20\x66\x6F\x72\x20","\x63\x6F\x6E\x76\x65\x72\x74\x56\x61\x6C\x75\x65\x46\x72\x6F\x6D\x58\x6D\x6C","\x62\x65\x66\x6F\x72\x65\x44\x65\x63\x6F\x64\x65","\x64\x65\x63\x6F\x64\x65\x4E\x6F\x64\x65","\x61\x66\x74\x65\x72\x44\x65\x63\x6F\x64\x65","\x64\x65\x63\x6F\x64\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65\x73","\x64\x65\x63\x6F\x64\x65\x43\x68\x69\x6C\x64\x72\x65\x6E","\x64\x65\x63\x6F\x64\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x6D\x78\x4F\x62\x6A\x65\x63\x74\x43\x6F\x64\x65\x63\x2E\x64\x65\x63\x6F\x64\x65\x3A\x20\x4E\x6F\x20\x6F\x62\x6A\x65\x63\x74\x20\x66\x6F\x72\x20","\x70\x72\x6F\x63\x65\x73\x73\x49\x6E\x63\x6C\x75\x64\x65","\x64\x65\x63\x6F\x64\x65\x43\x68\x69\x6C\x64","\x67\x65\x74\x46\x69\x65\x6C\x64\x54\x65\x6D\x70\x6C\x61\x74\x65","\x61\x64\x64\x4F\x62\x6A\x65\x63\x74\x56\x61\x6C\x75\x65","\x69\x6E\x63\x6C\x75\x64\x65","\x72\x65\x6D\x6F\x76\x65\x57\x68\x69\x74\x65\x73\x70\x61\x63\x65","\x64\x65\x63\x6F\x64\x65\x52\x6F\x6F\x74","\x67\x72\x61\x70\x68\x4C\x69\x73\x74\x65\x6E\x65\x72\x73\x20\x65\x76\x65\x6E\x74\x4C\x69\x73\x74\x65\x6E\x65\x72\x73\x20\x76\x69\x65\x77\x20\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72\x20\x63\x65\x6C\x6C\x52\x65\x6E\x64\x65\x72\x65\x72\x20\x65\x64\x69\x74\x6F\x72\x20\x73\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x6C\x61\x79\x65\x72","\x67\x65\x74\x53\x74\x72\x69\x6E\x67\x56\x61\x6C\x75\x65","\x6D\x78\x53\x74\x79\x6C\x65\x73\x68\x65\x65\x74\x43\x6F\x64\x65\x63\x2E\x64\x65\x63\x6F\x64\x65\x3A\x20\x73\x74\x79\x6C\x65\x73\x68\x65\x65\x74\x20","\x20\x6E\x6F\x74\x20\x66\x6F\x75\x6E\x64\x20\x74\x6F\x20\x65\x78\x74\x65\x6E\x64","\x70\x72\x65\x73\x73\x65\x64\x49\x63\x6F\x6E","\x6D\x6F\x64\x65","\x74\x6F\x67\x67\x6C\x65","\x2D\x2D\x2D","\x63\x65\x6C\x6C\x53\x74\x79\x6C\x65","\x54\x65\x6D\x70\x6C\x61\x74\x65\x20","\x20\x6E\x6F\x74\x20\x66\x6F\x75\x6E\x64","\x6D\x6F\x64\x69\x66\x69\x65\x64\x20\x6C\x61\x73\x74\x53\x6E\x61\x70\x73\x68\x6F\x74\x20\x69\x67\x6E\x6F\x72\x65\x64\x43\x68\x61\x6E\x67\x65\x73\x20\x75\x6E\x64\x6F\x4D\x61\x6E\x61\x67\x65\x72\x20\x67\x72\x61\x70\x68\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72\x20\x74\x6F\x6F\x6C\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x41\x72\x72\x61\x79","\x64\x65\x63\x6F\x64\x65\x54\x65\x6D\x70\x6C\x61\x74\x65\x73","\x75\x69","\x64\x65\x63\x6F\x64\x65\x55\x69","\x73\x65\x74\x4D\x61\x70\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x72\x65\x73\x6F\x75\x72\x63\x65","\x62\x61\x73\x65\x6E\x61\x6D\x65"];var mxClient={VERSION:_0xb337[0],IS_IE:0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[1]),IS_IE6:0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[4]),IS_QUIRKS:0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[1])&&(null==document[_0xb337[5]]||5==document[_0xb337[5]]),VML_PREFIX:_0xb337[6],OFFICE_PREFIX:_0xb337[7],IS_NS:0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[8])&&0>navigator[_0xb337[3]][_0xb337[2]](_0xb337[1]),IS_OP:0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[9]),IS_OT:0>navigator[_0xb337[3]][_0xb337[2]](_0xb337[10])&&0>navigator[_0xb337[3]][_0xb337[2]](_0xb337[11])&&0>navigator[_0xb337[3]][_0xb337[2]](_0xb337[12])&&0>navigator[_0xb337[3]][_0xb337[2]](_0xb337[13])&&0>navigator[_0xb337[3]][_0xb337[2]](_0xb337[14])&&0>navigator[_0xb337[3]][_0xb337[2]](_0xb337[15]),IS_SF:0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[16])&&0>navigator[_0xb337[3]][_0xb337[2]](_0xb337[17]),IS_GC:0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[17]),IS_MT:0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[18])&&0>navigator[_0xb337[3]][_0xb337[2]](_0xb337[19])&&0>navigator[_0xb337[3]][_0xb337[2]](_0xb337[20])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[21])&&0>navigator[_0xb337[3]][_0xb337[2]](_0xb337[22])&&0>navigator[_0xb337[3]][_0xb337[2]](_0xb337[23])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[24])&&0>navigator[_0xb337[3]][_0xb337[2]](_0xb337[25])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[26])&&0>navigator[_0xb337[3]][_0xb337[2]](_0xb337[27]),IS_SVG:0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[18])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[21])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[28])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[26])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[29])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[30])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[16])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[31])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[9]),NO_FO:0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[19])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[22])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[20])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[23])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[25])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[27])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[32])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[33])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[9])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[1])||0<=navigator[_0xb337[3]][_0xb337[2]](_0xb337[34]),IS_VML:_0xb337[35]==navigator[_0xb337[37]][_0xb337[36]](),IS_MAC:0document[_0xb337[45]][_0xb337[44]][_0xb337[2]](_0xb337[43])&&0>document[_0xb337[45]][_0xb337[44]][_0xb337[2]](_0xb337[46]),isBrowserSupported:function(){return mxClient[_0xb337[47]]||mxClient[_0xb337[48]]},link:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx4=_0xbfbfx4||document;if(mxClient[_0xb337[49]]){_0xbfbfx4[_0xb337[53]](_0xb337[50]+_0xbfbfx2+_0xb337[51]+_0xbfbfx3+_0xb337[52])}else {var _0xbfbfx5=_0xbfbfx4[_0xb337[55]](_0xb337[54]);_0xbfbfx5[_0xb337[57]](_0xb337[56],_0xbfbfx2);_0xbfbfx5[_0xb337[57]](_0xb337[44],_0xbfbfx3);_0xbfbfx5[_0xb337[57]](_0xb337[58],_0xb337[59]);_0xbfbfx5[_0xb337[57]](_0xb337[60],_0xb337[61]);_0xbfbfx4[_0xb337[64]](_0xb337[63])[0][_0xb337[62]](_0xbfbfx5)}},include:function(_0xbfbfx2){document[_0xb337[53]](_0xb337[65]+_0xbfbfx2+_0xb337[66])},dispose:function(){for(var _0xbfbfx2=0;_0xbfbfx2_0xbfbfx5&&_0xbfbfx12?(_0xbfbfx5++,window[_0xb337[261]](_0xbfbfx9,_0xbfbfxa)):null!=_0xbfbfx4&&_0xbfbfx4()},_0xbfbfxa=30;_0xbfbfx9()},cascadeOpacity:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){for(var _0xbfbfx5=_0xbfbfx2[_0xb337[251]][_0xb337[262]](_0xbfbfx3),_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx5;_0xbfbfx9++){var _0xbfbfxa=_0xbfbfx2[_0xb337[251]][_0xb337[263]](_0xbfbfx3,_0xbfbfx9),_0xbfbfx12=_0xbfbfx2[_0xb337[249]]()[_0xb337[248]](_0xbfbfxa);null!=_0xbfbfx12&&(mxUtils[_0xb337[254]](_0xbfbfx12[_0xb337[253]][_0xb337[252]],_0xbfbfx4),mxEffects[_0xb337[260]](_0xbfbfx2,_0xbfbfxa,_0xbfbfx4))};_0xbfbfx3=_0xbfbfx2[_0xb337[251]][_0xb337[264]](_0xbfbfx3);if(null!=_0xbfbfx3){for(_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx3[_0xb337[67]];_0xbfbfx9++){_0xbfbfx5=_0xbfbfx2[_0xb337[249]]()[_0xb337[248]](_0xbfbfx3[_0xbfbfx9]),null!=_0xbfbfx5&&mxUtils[_0xb337[254]](_0xbfbfx5[_0xb337[253]][_0xb337[252]],_0xbfbfx4)}}},fadeOut:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){_0xbfbfx5=_0xbfbfx5||40;_0xbfbfx9=_0xbfbfx9||30;var _0xbfbfx12=_0xbfbfx3||100;mxUtils[_0xb337[254]](_0xbfbfx2,_0xbfbfx12);if(_0xbfbfxa||null==_0xbfbfxa){var _0xbfbfx13=function(){_0xbfbfx12=Math[_0xb337[160]](_0xbfbfx12-_0xbfbfx5,0);mxUtils[_0xb337[254]](_0xbfbfx2,_0xbfbfx12);0<_0xbfbfx12?window[_0xb337[261]](_0xbfbfx13,_0xbfbfx9):(_0xbfbfx2[_0xb337[124]][_0xb337[187]]=_0xb337[188],_0xbfbfx4&&_0xbfbfx2[_0xb337[265]]&&_0xbfbfx2[_0xb337[265]][_0xb337[266]](_0xbfbfx2))};window[_0xb337[261]](_0xbfbfx13,_0xbfbfx9)}else {_0xbfbfx2[_0xb337[124]][_0xb337[187]]=_0xb337[188],_0xbfbfx4&&_0xbfbfx2[_0xb337[265]]&&_0xbfbfx2[_0xb337[265]][_0xb337[266]](_0xbfbfx2)}}},mxUtils={errorResource:_0xb337[130]!=mxClient[_0xb337[90]]?_0xb337[267]:_0xb337[110],closeResource:_0xb337[130]!=mxClient[_0xb337[90]]?_0xb337[268]:_0xb337[110],errorImage:mxClient[_0xb337[88]]+_0xb337[269],removeCursors:function(_0xbfbfx2){null!=_0xbfbfx2[_0xb337[124]]&&(_0xbfbfx2[_0xb337[124]][_0xb337[270]]=_0xb337[110]);_0xbfbfx2=_0xbfbfx2[_0xb337[271]];if(null!=_0xbfbfx2){for(var _0xbfbfx3=_0xbfbfx2[_0xb337[67]],_0xbfbfx4=0;_0xbfbfx4<_0xbfbfx3;_0xbfbfx4+=1){mxUtils[_0xb337[272]](_0xbfbfx2[_0xbfbfx4])}}},getCurrentStyle:function(){return mxClient[_0xb337[80]]?function(_0xbfbfx2){return null!=_0xbfbfx2?_0xbfbfx2[_0xb337[273]]:null}:function(_0xbfbfx2){return null!=_0xbfbfx2?window[_0xb337[274]](_0xbfbfx2,_0xb337[110]):null}}(),hasScrollbars:function(_0xbfbfx2){_0xbfbfx2=mxUtils[_0xb337[275]](_0xbfbfx2);return null!=_0xbfbfx2&&(_0xb337[276]==_0xbfbfx2[_0xb337[277]]||_0xb337[278]==_0xbfbfx2[_0xb337[277]])},bind:function(_0xbfbfx2,_0xbfbfx3){return function(){return _0xbfbfx3[_0xb337[183]](_0xbfbfx2,arguments)}},eval:function(_0xbfbfx2){var _0xbfbfx3=null;if(0<=_0xbfbfx2[_0xb337[2]](_0xb337[279])){try{eval(_0xb337[280]+_0xbfbfx2),_0xbfbfx3=_mxJavaScriptExpression,_mxJavaScriptExpression=null}catch(c){mxLog[_0xb337[283]](c[_0xb337[281]]+_0xb337[282]+_0xbfbfx2)}}else {try{_0xbfbfx3=eval(_0xbfbfx2)}catch(d){mxLog[_0xb337[283]](d[_0xb337[281]]+_0xb337[282]+_0xbfbfx2)}};return _0xbfbfx3},findNode:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=_0xbfbfx2[_0xb337[284]](_0xbfbfx3);if(null!=_0xbfbfx5&&_0xbfbfx5==_0xbfbfx4){return _0xbfbfx2};for(_0xbfbfx2=_0xbfbfx2[_0xb337[285]];null!=_0xbfbfx2;){_0xbfbfx5=mxUtils[_0xb337[286]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4);if(null!=_0xbfbfx5){return _0xbfbfx5};_0xbfbfx2=_0xbfbfx2[_0xb337[287]]};return null},findNodeByAttribute:function(){return 9<=document[_0xb337[5]]?function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=null;if(null!=_0xbfbfx2){if(_0xbfbfx2[_0xb337[288]]==mxConstants[_0xb337[289]]&&_0xbfbfx2[_0xb337[284]](_0xbfbfx3)==_0xbfbfx4){_0xbfbfx5=_0xbfbfx2}else {for(_0xbfbfx2=_0xbfbfx2[_0xb337[285]];null!=_0xbfbfx2&&null==_0xbfbfx5;){_0xbfbfx5=mxUtils[_0xb337[290]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4),_0xbfbfx2=_0xbfbfx2[_0xb337[287]]}}};return _0xbfbfx5}:mxClient[_0xb337[80]]?function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){return null==_0xbfbfx2?null:_0xbfbfx2[_0xb337[295]][_0xb337[294]](_0xb337[291]+_0xbfbfx3+_0xb337[292]+_0xbfbfx4+_0xb337[293])}:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){return null==_0xbfbfx2?null:_0xbfbfx2[_0xb337[295]][_0xb337[297]](_0xb337[291]+_0xbfbfx3+_0xb337[292]+_0xbfbfx4+_0xb337[293],_0xbfbfx2[_0xb337[295]],null,XPathResult.ANY_TYPE,null)[_0xb337[296]]()}}(),getFunctionName:function(_0xbfbfx2){var _0xbfbfx3=null;if(null!=_0xbfbfx2){if(null!=_0xbfbfx2[_0xb337[298]]){_0xbfbfx3=_0xbfbfx2[_0xb337[298]]}else {_0xbfbfx2=_0xbfbfx2.toString();for(_0xbfbfx3=9;_0xb337[185]==_0xbfbfx2[_0xb337[225]](_0xbfbfx3);){_0xbfbfx3++};var _0xbfbfx4=_0xbfbfx2[_0xb337[2]](_0xb337[299],_0xbfbfx3),_0xbfbfx3=_0xbfbfx2[_0xb337[85]](_0xbfbfx3,_0xbfbfx4)}};return _0xbfbfx3},indexOf:function(_0xbfbfx2,_0xbfbfx3){if(null!=_0xbfbfx2&&null!=_0xbfbfx3){for(var _0xbfbfx4=0;_0xbfbfx4<_0xbfbfx2[_0xb337[67]];_0xbfbfx4++){if(_0xbfbfx2[_0xbfbfx4]==_0xbfbfx3){return _0xbfbfx4}}};return -1},remove:function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=null;if(_0xb337[194]== typeof _0xbfbfx3){for(var _0xbfbfx5=mxUtils[_0xb337[2]](_0xbfbfx3,_0xbfbfx2);0<=_0xbfbfx5;){_0xbfbfx3[_0xb337[300]](_0xbfbfx5,1),_0xbfbfx4=_0xbfbfx2,_0xbfbfx5=mxUtils[_0xb337[2]](_0xbfbfx3,_0xbfbfx2)}};for(var _0xbfbfx9 in _0xbfbfx3){_0xbfbfx3[_0xbfbfx9]==_0xbfbfx2&&( delete _0xbfbfx3[_0xbfbfx9],_0xbfbfx4=_0xbfbfx2)};return _0xbfbfx4},isNode:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){return null!=_0xbfbfx2&&!isNaN(_0xbfbfx2[_0xb337[288]])&&(null==_0xbfbfx3||_0xbfbfx2[_0xb337[301]][_0xb337[216]]()==_0xbfbfx3[_0xb337[216]]())?null==_0xbfbfx4||_0xbfbfx2[_0xb337[284]](_0xbfbfx4)==_0xbfbfx5:!1},getChildNodes:function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx3=_0xbfbfx3||mxConstants[_0xb337[289]];for(var _0xbfbfx4=[],_0xbfbfx5=_0xbfbfx2[_0xb337[285]];null!=_0xbfbfx5;){_0xbfbfx5[_0xb337[288]]==_0xbfbfx3&&_0xbfbfx4[_0xb337[207]](_0xbfbfx5),_0xbfbfx5=_0xbfbfx5[_0xb337[287]]};return _0xbfbfx4},createXmlDocument:function(){var _0xbfbfx2=null;document[_0xb337[302]]&&document[_0xb337[302]][_0xb337[303]]?_0xbfbfx2=document[_0xb337[302]][_0xb337[303]](_0xb337[110],_0xb337[110],null):window[_0xb337[304]]&&(_0xbfbfx2= new ActiveXObject(_0xb337[305]));return _0xbfbfx2},parseXml:function(){return mxClient[_0xb337[80]]&&(_0xb337[82]=== typeof document[_0xb337[5]]||9>document[_0xb337[5]])?function(_0xbfbfx2){var _0xbfbfx3=mxUtils[_0xb337[306]]();_0xbfbfx3[_0xb337[307]]=_0xb337[308];_0xbfbfx3[_0xb337[309]](_0xbfbfx2);return _0xbfbfx3}:function(_0xbfbfx2){return ( new DOMParser)[_0xb337[311]](_0xbfbfx2,_0xb337[310])}}(),clearSelection:function(){if(document[_0xb337[312]]){return function(){document[_0xb337[312]][_0xb337[313]]()}};if(window[_0xb337[314]]){return function(){window[_0xb337[314]]()[_0xb337[315]]()}}}(),getPrettyXml:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=[];if(null!=_0xbfbfx2){if(_0xbfbfx3=_0xbfbfx3||_0xb337[316],_0xbfbfx4=_0xbfbfx4||_0xb337[110],_0xbfbfx2[_0xb337[288]]==mxConstants[_0xb337[317]]){_0xbfbfx5[_0xb337[207]](_0xbfbfx2[_0xb337[318]])}else {_0xbfbfx5[_0xb337[207]](_0xbfbfx4+_0xb337[319]+_0xbfbfx2[_0xb337[301]]);var _0xbfbfx9=_0xbfbfx2[_0xb337[320]];if(null!=_0xbfbfx9){for(var _0xbfbfxa=0;_0xbfbfxa<_0xbfbfx9[_0xb337[67]];_0xbfbfxa++){var _0xbfbfx12=mxUtils[_0xb337[321]](_0xbfbfx9[_0xbfbfxa][_0xb337[318]]);_0xbfbfx5[_0xb337[207]](_0xb337[185]+_0xbfbfx9[_0xbfbfxa][_0xb337[301]]+_0xb337[322]+_0xbfbfx12+_0xb337[323])}};_0xbfbfx9=_0xbfbfx2[_0xb337[285]];if(null!=_0xbfbfx9){for(_0xbfbfx5[_0xb337[207]](_0xb337[324]);null!=_0xbfbfx9;){_0xbfbfx5[_0xb337[207]](mxUtils[_0xb337[325]](_0xbfbfx9,_0xbfbfx3,_0xbfbfx4+_0xbfbfx3)),_0xbfbfx9=_0xbfbfx9[_0xb337[287]]};_0xbfbfx5[_0xb337[207]](_0xbfbfx4+_0xb337[326]+_0xbfbfx2[_0xb337[301]]+_0xb337[324])}else {_0xbfbfx5[_0xb337[207]](_0xb337[327])}}};return _0xbfbfx5[_0xb337[234]](_0xb337[110])},removeWhitespace:function(_0xbfbfx2,_0xbfbfx3){for(var _0xbfbfx4=_0xbfbfx3?_0xbfbfx2[_0xb337[328]]:_0xbfbfx2[_0xb337[287]];null!=_0xbfbfx4&&_0xbfbfx4[_0xb337[288]]==mxConstants[_0xb337[317]];){var _0xbfbfx5=_0xbfbfx3?_0xbfbfx4[_0xb337[328]]:_0xbfbfx4[_0xb337[287]],_0xbfbfx9=mxUtils[_0xb337[329]](_0xbfbfx4);0==mxUtils[_0xb337[330]](_0xbfbfx9)[_0xb337[67]]&&_0xbfbfx4[_0xb337[265]][_0xb337[266]](_0xbfbfx4);_0xbfbfx4=_0xbfbfx5}},htmlEntities:function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx2=(_0xbfbfx2||_0xb337[110])[_0xb337[230]](/&/g,_0xb337[331]);_0xbfbfx2=_0xbfbfx2[_0xb337[230]](/"/g,_0xb337[332]);_0xbfbfx2=_0xbfbfx2[_0xb337[230]](/\'/g,_0xb337[333]);_0xbfbfx2=_0xbfbfx2[_0xb337[230]](//g,_0xb337[335]);if(null==_0xbfbfx3||_0xbfbfx3){_0xbfbfx2=_0xbfbfx2[_0xb337[230]](/\n/g,_0xb337[336])};return _0xbfbfx2},isVml:function(_0xbfbfx2){return null!=_0xbfbfx2&&_0xb337[97]==_0xbfbfx2[_0xb337[337]]},getXml:function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=_0xb337[110];null!=_0xbfbfx2&&(_0xbfbfx4=_0xbfbfx2[_0xb337[338]],_0xbfbfx4=null==_0xbfbfx4?_0xbfbfx2[_0xb337[339]]?_0xbfbfx2[_0xb337[339]]:( new XMLSerializer)[_0xb337[340]](_0xbfbfx2):_0xbfbfx4[_0xb337[230]](/\r\n\t[\t]*/g,_0xb337[110])[_0xb337[230]](/>\r\n/g,_0xb337[341])[_0xb337[230]](/\r\n/g,_0xb337[192]));return _0xbfbfx4=_0xbfbfx4[_0xb337[230]](/\n/g,_0xbfbfx3||_0xb337[336])},getTextContent:function(_0xbfbfx2){var _0xbfbfx3=_0xb337[110];null!=_0xbfbfx2&&(null!=_0xbfbfx2[_0xb337[285]]&&(_0xbfbfx2=_0xbfbfx2[_0xb337[285]]),_0xbfbfx3=_0xbfbfx2[_0xb337[318]]||_0xb337[110]);return _0xbfbfx3},getInnerHtml:function(){return mxClient[_0xb337[80]]?function(_0xbfbfx2){return null!=_0xbfbfx2?_0xbfbfx2[_0xb337[339]]:_0xb337[110]}:function(_0xbfbfx2){return null!=_0xbfbfx2?( new XMLSerializer)[_0xb337[340]](_0xbfbfx2):_0xb337[110]}}(),getOuterHtml:function(){return mxClient[_0xb337[80]]?function(_0xbfbfx2){if(null!=_0xbfbfx2){if(null!=_0xbfbfx2[_0xb337[342]]){return _0xbfbfx2[_0xb337[342]]};var _0xbfbfx3=[];_0xbfbfx3[_0xb337[207]](_0xb337[319]+_0xbfbfx2[_0xb337[301]]);var _0xbfbfx4=_0xbfbfx2[_0xb337[320]];if(null!=_0xbfbfx4){for(var _0xbfbfx5=0;_0xbfbfx5<_0xbfbfx4[_0xb337[67]];_0xbfbfx5++){var _0xbfbfx9=_0xbfbfx4[_0xbfbfx5][_0xb337[318]];null!=_0xbfbfx9&&0<_0xbfbfx9[_0xb337[67]]&&(_0xbfbfx3[_0xb337[207]](_0xb337[185]),_0xbfbfx3[_0xb337[207]](_0xbfbfx4[_0xbfbfx5][_0xb337[301]]),_0xbfbfx3[_0xb337[207]](_0xb337[322]),_0xbfbfx3[_0xb337[207]](_0xbfbfx9),_0xbfbfx3[_0xb337[207]](_0xb337[323]))}};0==_0xbfbfx2[_0xb337[339]][_0xb337[67]]?_0xbfbfx3[_0xb337[207]](_0xb337[343]):(_0xbfbfx3[_0xb337[207]](_0xb337[341]),_0xbfbfx3[_0xb337[207]](_0xbfbfx2[_0xb337[339]]),_0xbfbfx3[_0xb337[207]](_0xb337[326]+_0xbfbfx2[_0xb337[301]]+_0xb337[341]));return _0xbfbfx3[_0xb337[234]](_0xb337[110])};return _0xb337[110]}:function(_0xbfbfx2){return null!=_0xbfbfx2?( new XMLSerializer)[_0xb337[340]](_0xbfbfx2):_0xb337[110]}}(),write:function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=_0xbfbfx2[_0xb337[295]][_0xb337[344]](_0xbfbfx3);null!=_0xbfbfx2&&_0xbfbfx2[_0xb337[62]](_0xbfbfx4);return _0xbfbfx4},writeln:function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=_0xbfbfx2[_0xb337[295]][_0xb337[344]](_0xbfbfx3);null!=_0xbfbfx2&&(_0xbfbfx2[_0xb337[62]](_0xbfbfx4),_0xbfbfx2[_0xb337[62]](document[_0xb337[55]](_0xb337[345])));return _0xbfbfx4},br:function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx3=_0xbfbfx3||1;for(var _0xbfbfx4=null,_0xbfbfx5=0;_0xbfbfx5<_0xbfbfx3;_0xbfbfx5++){null!=_0xbfbfx2&&(_0xbfbfx4=_0xbfbfx2[_0xb337[295]][_0xb337[55]](_0xb337[345]),_0xbfbfx2[_0xb337[62]](_0xbfbfx4))};return _0xbfbfx4},button:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx4=null!=_0xbfbfx4?_0xbfbfx4:document;_0xbfbfx4=_0xbfbfx4[_0xb337[55]](_0xb337[172]);mxUtils[_0xb337[53]](_0xbfbfx4,_0xbfbfx2);mxEvent[_0xb337[169]](_0xbfbfx4,_0xb337[173],function(_0xbfbfx2){_0xbfbfx3(_0xbfbfx2)});return _0xbfbfx4},para:function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=document[_0xb337[55]](_0xb337[346]);mxUtils[_0xb337[53]](_0xbfbfx4,_0xbfbfx3);null!=_0xbfbfx2&&_0xbfbfx2[_0xb337[62]](_0xbfbfx4);return _0xbfbfx4},addTransparentBackgroundFilter:function(_0xbfbfx2){_0xbfbfx2[_0xb337[124]][_0xb337[347]]+=_0xb337[348]+mxClient[_0xb337[88]]+_0xb337[349]},linkAction:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){return mxUtils[_0xb337[54]](_0xbfbfx2,_0xbfbfx3,function(){_0xbfbfx4[_0xb337[350]](_0xbfbfx5)},_0xbfbfx9)},linkInvoke:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){return mxUtils[_0xb337[54]](_0xbfbfx2,_0xbfbfx3,function(){_0xbfbfx4[_0xbfbfx5](_0xbfbfx9)},_0xbfbfxa)},link:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){var _0xbfbfx9=document[_0xb337[55]](_0xb337[351]);_0xbfbfx9[_0xb337[124]][_0xb337[352]]=_0xb337[353];_0xbfbfx9[_0xb337[124]][_0xb337[354]]=_0xb337[355];_0xbfbfx9[_0xb337[124]][_0xb337[270]]=_0xb337[356];null!=_0xbfbfx5&&(_0xbfbfx9[_0xb337[124]][_0xb337[357]]=_0xbfbfx5+_0xb337[168]);mxEvent[_0xb337[169]](_0xbfbfx9,_0xb337[173],_0xbfbfx4);mxUtils[_0xb337[53]](_0xbfbfx9,_0xbfbfx3);null!=_0xbfbfx2&&_0xbfbfx2[_0xb337[62]](_0xbfbfx9);return _0xbfbfx9},fit:function(_0xbfbfx2){var _0xbfbfx3=parseInt(_0xbfbfx2[_0xb337[358]]),_0xbfbfx4=parseInt(_0xbfbfx2[_0xb337[359]]),_0xbfbfx5=document[_0xb337[112]],_0xbfbfx9=document[_0xb337[158]],_0xbfbfxa=(_0xbfbfx5[_0xb337[360]]||_0xbfbfx9[_0xb337[360]])+(_0xbfbfx5[_0xb337[159]]||_0xbfbfx9[_0xb337[159]]);_0xbfbfx3+_0xbfbfx4>_0xbfbfxa&&(_0xbfbfx2[_0xb337[124]][_0xb337[361]]=Math[_0xb337[160]](_0xbfbfx5[_0xb337[360]]||_0xbfbfx9[_0xb337[360]],_0xbfbfxa-_0xbfbfx4)+_0xb337[168]);_0xbfbfx3=parseInt(_0xbfbfx2[_0xb337[362]]);_0xbfbfx4=parseInt(_0xbfbfx2[_0xb337[167]]);_0xbfbfxa=(_0xbfbfx5[_0xb337[190]]||_0xbfbfx9[_0xb337[190]])+Math[_0xb337[160]](_0xbfbfx5[_0xb337[157]]||0,_0xbfbfx9[_0xb337[157]]);_0xbfbfx3+_0xbfbfx4>_0xbfbfxa&&(_0xbfbfx2[_0xb337[124]][_0xb337[125]]=Math[_0xb337[160]](_0xbfbfx5[_0xb337[190]]||_0xbfbfx9[_0xb337[190]],_0xbfbfxa-_0xbfbfx4)+_0xb337[168])},open:function(_0xbfbfx2){if(mxClient[_0xb337[133]]){try{netscape[_0xb337[366]][_0xb337[365]][_0xb337[364]](_0xb337[363])}catch(b){return mxUtils[_0xb337[150]](_0xb337[367]),_0xb337[110]};var _0xbfbfx4=Components[_0xb337[372]][_0xb337[371]][_0xb337[370]](Components[_0xb337[369]][_0xb337[368]]);_0xbfbfx4[_0xb337[373]](_0xbfbfx2);if(!_0xbfbfx4[_0xb337[374]]()){return mxUtils[_0xb337[150]](_0xb337[375]),_0xb337[110]};_0xbfbfx2=Components[_0xb337[372]][_0xb337[377]][_0xb337[370]](Components[_0xb337[369]][_0xb337[376]]);_0xbfbfx2[_0xb337[176]](_0xbfbfx4,1,4,null);_0xbfbfx4=Components[_0xb337[372]][_0xb337[379]][_0xb337[370]](Components[_0xb337[369]][_0xb337[378]]);_0xbfbfx4[_0xb337[176]](_0xbfbfx2);return _0xbfbfx4[_0xb337[381]](_0xbfbfx4[_0xb337[380]]())};_0xbfbfx4=( new ActiveXObject(_0xb337[382])).OpenTextFile(_0xbfbfx2,1);_0xbfbfx2=_0xbfbfx4[_0xb337[383]]();_0xbfbfx4[_0xb337[268]]();return _0xbfbfx2},save:function(_0xbfbfx2,_0xbfbfx3){if(mxClient[_0xb337[133]]){try{netscape[_0xb337[366]][_0xb337[365]][_0xb337[364]](_0xb337[363])}catch(c){mxUtils[_0xb337[150]](_0xb337[384]);return};var _0xbfbfx5=Components[_0xb337[372]][_0xb337[371]][_0xb337[370]](Components[_0xb337[369]][_0xb337[368]]);_0xbfbfx5[_0xb337[373]](_0xbfbfx2);_0xbfbfx5[_0xb337[374]]()||_0xbfbfx5[_0xb337[385]](0,420);var _0xbfbfx9=Components[_0xb337[372]][_0xb337[387]][_0xb337[370]](Components[_0xb337[369]][_0xb337[386]]);_0xbfbfx9[_0xb337[176]](_0xbfbfx5,34,4,null);_0xbfbfx9[_0xb337[53]](_0xbfbfx3,_0xbfbfx3[_0xb337[67]]);_0xbfbfx9[_0xb337[388]]();_0xbfbfx9[_0xb337[268]]()}else {_0xbfbfx5=( new ActiveXObject(_0xb337[382])).CreateTextFile(_0xbfbfx2,!0),_0xbfbfx5.Write(_0xbfbfx3),_0xbfbfx5.Close()}},saveAs:function(_0xbfbfx2){var _0xbfbfx3=document[_0xb337[55]](_0xb337[389]);_0xbfbfx3[_0xb337[57]](_0xb337[390],_0xb337[110]);_0xbfbfx3[_0xb337[124]][_0xb337[187]]=_0xb337[188];document[_0xb337[112]][_0xb337[62]](_0xbfbfx3);try{if(mxClient[_0xb337[133]]){var _0xbfbfx4=_0xbfbfx3[_0xb337[391]];_0xbfbfx4[_0xb337[392]]();_0xbfbfx4[_0xb337[53]](_0xbfbfx2);_0xbfbfx4[_0xb337[268]]();try{netscape[_0xb337[366]][_0xb337[365]][_0xb337[364]](_0xb337[363]),_0xbfbfx3[_0xb337[393]](),saveDocument(_0xbfbfx4)}catch(d){mxUtils[_0xb337[150]](_0xb337[394])}}else {_0xbfbfx4=_0xbfbfx3[_0xb337[396]][_0xb337[395]],_0xbfbfx4[_0xb337[53]](_0xbfbfx2),_0xbfbfx4[_0xb337[398]](_0xb337[397],!1,document[_0xb337[45]])}}finally{document[_0xb337[112]][_0xb337[266]](_0xbfbfx3)}},copy:function(_0xbfbfx2){if(window[_0xb337[399]]){window[_0xb337[399]][_0xb337[401]](_0xb337[400],_0xbfbfx2)}else {netscape[_0xb337[366]][_0xb337[365]][_0xb337[364]](_0xb337[363]);var _0xbfbfx3=Components[_0xb337[372]][_0xb337[403]][_0xb337[370]](Components[_0xb337[369]][_0xb337[402]]);if(_0xbfbfx3){var _0xbfbfx4=Components[_0xb337[372]][_0xb337[405]][_0xb337[370]](Components[_0xb337[369]][_0xb337[404]]);if(_0xbfbfx4){_0xbfbfx4[_0xb337[407]](_0xb337[406]);var _0xbfbfx5=Components[_0xb337[372]][_0xb337[409]][_0xb337[370]](Components[_0xb337[369]][_0xb337[408]]);_0xbfbfx5[_0xb337[410]]=_0xbfbfx2;_0xbfbfx4[_0xb337[411]](_0xb337[406],_0xbfbfx5,2*_0xbfbfx2[_0xb337[67]]);_0xbfbfx3[_0xb337[401]](_0xbfbfx4,null,Components[_0xb337[369]][_0xb337[402]][_0xb337[412]])}}}},load:function(_0xbfbfx2){_0xbfbfx2= new mxXmlRequest(_0xbfbfx2,null,_0xb337[413],!1);_0xbfbfx2[_0xb337[414]]();return _0xbfbfx2},get:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){return ( new mxXmlRequest(_0xbfbfx2,null,_0xb337[413]))[_0xb337[414]](_0xbfbfx3,_0xbfbfx4)},post:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){return ( new mxXmlRequest(_0xbfbfx2,_0xbfbfx3))[_0xb337[414]](_0xbfbfx4,_0xbfbfx5)},submit:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){return ( new mxXmlRequest(_0xbfbfx2,_0xbfbfx3))[_0xb337[415]](_0xbfbfx4,_0xbfbfx5)},loadInto:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){mxClient[_0xb337[80]]?_0xbfbfx3[_0xb337[416]]=function(){4==_0xbfbfx3[_0xb337[417]]&&_0xbfbfx4()}:_0xbfbfx3[_0xb337[418]](_0xb337[219],_0xbfbfx4,!1);_0xbfbfx3[_0xb337[219]](_0xbfbfx2)},getValue:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx2=null!=_0xbfbfx2?_0xbfbfx2[_0xbfbfx3]:null;null==_0xbfbfx2&&(_0xbfbfx2=_0xbfbfx4);return _0xbfbfx2},getNumber:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx2=null!=_0xbfbfx2?_0xbfbfx2[_0xbfbfx3]:null;null==_0xbfbfx2&&(_0xbfbfx2=_0xbfbfx4||0);return Number(_0xbfbfx2)},getColor:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx2=null!=_0xbfbfx2?_0xbfbfx2[_0xbfbfx3]:null;null==_0xbfbfx2?_0xbfbfx2=_0xbfbfx4:_0xbfbfx2==mxConstants[_0xb337[217]]&&(_0xbfbfx2=null);return _0xbfbfx2},clone:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx4=null!=_0xbfbfx4?_0xbfbfx4:!1;var _0xbfbfx5=null;if(null!=_0xbfbfx2&&_0xb337[279]== typeof _0xbfbfx2[_0xb337[196]]){var _0xbfbfx5= new _0xbfbfx2[_0xb337[196]],_0xbfbfx9;for(_0xbfbfx9 in _0xbfbfx2){if(_0xbfbfx9!=mxObjectIdentity[_0xb337[195]]&&(null==_0xbfbfx3||0>mxUtils[_0xb337[2]](_0xbfbfx3,_0xbfbfx9))){_0xbfbfx5[_0xbfbfx9]=!_0xbfbfx4&&_0xb337[194]== typeof _0xbfbfx2[_0xbfbfx9]?mxUtils[_0xb337[238]](_0xbfbfx2[_0xbfbfx9]):_0xbfbfx2[_0xbfbfx9]}}};return _0xbfbfx5},equalPoints:function(_0xbfbfx2,_0xbfbfx3){if(null==_0xbfbfx2&&null!=_0xbfbfx3||null!=_0xbfbfx2&&null==_0xbfbfx3||null!=_0xbfbfx2&&null!=_0xbfbfx3&&_0xbfbfx2[_0xb337[67]]!=_0xbfbfx3[_0xb337[67]]){return !1};if(null!=_0xbfbfx2&&null!=_0xbfbfx3){for(var _0xbfbfx4=0;_0xbfbfx4<_0xbfbfx2[_0xb337[67]];_0xbfbfx4++){if(_0xbfbfx2[_0xbfbfx4]==_0xbfbfx3[_0xbfbfx4]||null!=_0xbfbfx2[_0xbfbfx4]&&!_0xbfbfx2[_0xbfbfx4][_0xb337[237]](_0xbfbfx3[_0xbfbfx4])){return !1}}};return !0},equalEntries:function(_0xbfbfx2,_0xbfbfx3){if(null==_0xbfbfx2&&null!=_0xbfbfx3||null!=_0xbfbfx2&&null==_0xbfbfx3||null!=_0xbfbfx2&&null!=_0xbfbfx3&&_0xbfbfx2[_0xb337[67]]!=_0xbfbfx3[_0xb337[67]]){return !1};if(null!=_0xbfbfx2&&null!=_0xbfbfx3){for(var _0xbfbfx4 in _0xbfbfx2){if(_0xbfbfx2[_0xbfbfx4]!=_0xbfbfx3[_0xbfbfx4]){return !1}}};return !0},extend:function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=function(){};_0xbfbfx4[_0xb337[202]]=_0xbfbfx3[_0xb337[202]];_0xbfbfx2[_0xb337[202]]= new _0xbfbfx4;_0xbfbfx2[_0xb337[202]][_0xb337[196]]=_0xbfbfx2},toString:function(_0xbfbfx2){var _0xbfbfx3=_0xb337[110],_0xbfbfx4;for(_0xbfbfx4 in _0xbfbfx2){try{if(null==_0xbfbfx2[_0xbfbfx4]){_0xbfbfx3+=_0xbfbfx4+_0xb337[419]}else {if(_0xb337[279]== typeof _0xbfbfx2[_0xbfbfx4]){_0xbfbfx3+=_0xbfbfx4+_0xb337[420]}else {if(_0xb337[194]== typeof _0xbfbfx2[_0xbfbfx4]){var _0xbfbfx5=mxUtils[_0xb337[197]](_0xbfbfx2[_0xbfbfx4][_0xb337[196]]),_0xbfbfx3=_0xbfbfx3+(_0xbfbfx4+_0xb337[421]+_0xbfbfx5+_0xb337[422])}else {_0xbfbfx3+=_0xbfbfx4+_0xb337[423]+_0xbfbfx2[_0xbfbfx4]+_0xb337[192]}}}}catch(e){_0xbfbfx3+=_0xbfbfx4+_0xb337[226]+e[_0xb337[281]]}};return _0xbfbfx3},toRadians:function(_0xbfbfx2){return Math[_0xb337[424]]*_0xbfbfx2/180},arcToCurves:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12,_0xbfbfx13,_0xbfbfx14){_0xbfbfx13-=_0xbfbfx2;_0xbfbfx14-=_0xbfbfx3;if(0===_0xbfbfx4||0===_0xbfbfx5){return _0xbfbfx18};_0xbfbfx4=Math[_0xb337[425]](_0xbfbfx4);_0xbfbfx5=Math[_0xb337[425]](_0xbfbfx5);var _0xbfbfx15=-_0xbfbfx13/2,_0xbfbfx16=-_0xbfbfx14/2,_0xbfbfx17=Math[_0xb337[426]](_0xbfbfx9*Math[_0xb337[424]]/180),_0xbfbfx18=Math[_0xb337[427]](_0xbfbfx9*Math[_0xb337[424]]/180);_0xbfbfx9=_0xbfbfx17*_0xbfbfx15+_0xbfbfx18*_0xbfbfx16;var _0xbfbfx15=-1*_0xbfbfx18*_0xbfbfx15+_0xbfbfx17*_0xbfbfx16,_0xbfbfx16=_0xbfbfx9*_0xbfbfx9,_0xbfbfx19=_0xbfbfx15*_0xbfbfx15,_0xbfbfx1a=_0xbfbfx4*_0xbfbfx4,_0xbfbfx1b=_0xbfbfx5*_0xbfbfx5,_0xbfbfx1c=_0xbfbfx16/_0xbfbfx1a+_0xbfbfx19/_0xbfbfx1b;1<_0xbfbfx1c?(_0xbfbfx4*=Math[_0xb337[428]](_0xbfbfx1c),_0xbfbfx5*=Math[_0xb337[428]](_0xbfbfx1c),_0xbfbfxa=0):(_0xbfbfx1c=1,_0xbfbfxa===_0xbfbfx12&&(_0xbfbfx1c= -1),_0xbfbfxa=_0xbfbfx1c*Math[_0xb337[428]]((_0xbfbfx1a*_0xbfbfx1b-_0xbfbfx1a*_0xbfbfx19-_0xbfbfx1b*_0xbfbfx16)/(_0xbfbfx1a*_0xbfbfx19+_0xbfbfx1b*_0xbfbfx16)));_0xbfbfx16=_0xbfbfxa*_0xbfbfx4*_0xbfbfx15/_0xbfbfx5;_0xbfbfx19=-1*_0xbfbfxa*_0xbfbfx5*_0xbfbfx9/_0xbfbfx4;_0xbfbfx13=_0xbfbfx17*_0xbfbfx16-_0xbfbfx18*_0xbfbfx19+_0xbfbfx13/2;_0xbfbfx14=_0xbfbfx18*_0xbfbfx16+_0xbfbfx17*_0xbfbfx19+_0xbfbfx14/2;_0xbfbfx1a=Math[_0xb337[429]]((_0xbfbfx15-_0xbfbfx19)/_0xbfbfx5,(_0xbfbfx9-_0xbfbfx16)/_0xbfbfx4)-Math[_0xb337[429]](0,1);_0xbfbfxa=0<=_0xbfbfx1a?_0xbfbfx1a:2*Math[_0xb337[424]]+_0xbfbfx1a;_0xbfbfx1a=Math[_0xb337[429]]((-_0xbfbfx15-_0xbfbfx19)/_0xbfbfx5,(-_0xbfbfx9-_0xbfbfx16)/_0xbfbfx4)-Math[_0xb337[429]]((_0xbfbfx15-_0xbfbfx19)/_0xbfbfx5,(_0xbfbfx9-_0xbfbfx16)/_0xbfbfx4);_0xbfbfx9=0<=_0xbfbfx1a?_0xbfbfx1a:2*Math[_0xb337[424]]+_0xbfbfx1a;0==_0xbfbfx12&&0<_0xbfbfx9?_0xbfbfx9-=2*Math[_0xb337[424]]:0!=_0xbfbfx12&&0>_0xbfbfx9&&(_0xbfbfx9+=2*Math[_0xb337[424]]);_0xbfbfx12=2*_0xbfbfx9/Math[_0xb337[424]];_0xbfbfx12=Math[_0xb337[430]](0>_0xbfbfx12?-1*_0xbfbfx12:_0xbfbfx12);_0xbfbfx9/=_0xbfbfx12;_0xbfbfx15=8/3*Math[_0xb337[427]](_0xbfbfx9/4)*Math[_0xb337[427]](_0xbfbfx9/4)/Math[_0xb337[427]](_0xbfbfx9/2);_0xbfbfx16=_0xbfbfx17*_0xbfbfx4;_0xbfbfx17*=_0xbfbfx5;_0xbfbfx4*=_0xbfbfx18;_0xbfbfx5*=_0xbfbfx18;for(var _0xbfbfx1d=Math[_0xb337[426]](_0xbfbfxa),_0xbfbfx1e=Math[_0xb337[427]](_0xbfbfxa),_0xbfbfx19=-_0xbfbfx15*(_0xbfbfx16*_0xbfbfx1e+_0xbfbfx5*_0xbfbfx1d),_0xbfbfx1a=-_0xbfbfx15*(_0xbfbfx4*_0xbfbfx1e-_0xbfbfx17*_0xbfbfx1d),_0xbfbfx1c=_0xbfbfx1b=0,_0xbfbfx18=[],_0xbfbfx1f=0;_0xbfbfx1f<_0xbfbfx12;++_0xbfbfx1f){_0xbfbfxa+=_0xbfbfx9;var _0xbfbfx1d=Math[_0xb337[426]](_0xbfbfxa),_0xbfbfx1e=Math[_0xb337[427]](_0xbfbfxa),_0xbfbfx1b=_0xbfbfx16*_0xbfbfx1d-_0xbfbfx5*_0xbfbfx1e+_0xbfbfx13,_0xbfbfx1c=_0xbfbfx4*_0xbfbfx1d+_0xbfbfx17*_0xbfbfx1e+_0xbfbfx14,_0xbfbfx20=-_0xbfbfx15*(_0xbfbfx16*_0xbfbfx1e+_0xbfbfx5*_0xbfbfx1d),_0xbfbfx1d=-_0xbfbfx15*(_0xbfbfx4*_0xbfbfx1e-_0xbfbfx17*_0xbfbfx1d),_0xbfbfx1e=6*_0xbfbfx1f;_0xbfbfx18[_0xbfbfx1e]=Number(_0xbfbfx19+_0xbfbfx2);_0xbfbfx18[_0xbfbfx1e+1]=Number(_0xbfbfx1a+_0xbfbfx3);_0xbfbfx18[_0xbfbfx1e+2]=Number(_0xbfbfx1b-_0xbfbfx20+_0xbfbfx2);_0xbfbfx18[_0xbfbfx1e+3]=Number(_0xbfbfx1c-_0xbfbfx1d+_0xbfbfx3);_0xbfbfx18[_0xbfbfx1e+4]=Number(_0xbfbfx1b+_0xbfbfx2);_0xbfbfx18[_0xbfbfx1e+5]=Number(_0xbfbfx1c+_0xbfbfx3);_0xbfbfx19=_0xbfbfx1b+_0xbfbfx20;_0xbfbfx1a=_0xbfbfx1c+_0xbfbfx1d};return _0xbfbfx18},getBoundingBox:function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=null;if(null!=_0xbfbfx2&&null!=_0xbfbfx3&&0!=_0xbfbfx3){var _0xbfbfx5=mxUtils[_0xb337[431]](_0xbfbfx3),_0xbfbfx4=Math[_0xb337[426]](_0xbfbfx5),_0xbfbfx9=Math[_0xb337[427]](_0xbfbfx5),_0xbfbfxa= new mxPoint(_0xbfbfx2[_0xb337[235]]+_0xbfbfx2[_0xb337[117]]/2,_0xbfbfx2[_0xb337[236]]+_0xbfbfx2[_0xb337[119]]/2),_0xbfbfx12= new mxPoint(_0xbfbfx2[_0xb337[235]],_0xbfbfx2[_0xb337[236]]),_0xbfbfx5= new mxPoint(_0xbfbfx2[_0xb337[235]]+_0xbfbfx2[_0xb337[117]],_0xbfbfx2[_0xb337[236]]),_0xbfbfx13= new mxPoint(_0xbfbfx5[_0xb337[235]],_0xbfbfx2[_0xb337[236]]+_0xbfbfx2[_0xb337[119]]),_0xbfbfx14= new mxPoint(_0xbfbfx2[_0xb337[235]],_0xbfbfx13[_0xb337[236]]),_0xbfbfx12=mxUtils[_0xb337[432]](_0xbfbfx12,_0xbfbfx4,_0xbfbfx9,_0xbfbfxa),_0xbfbfx5=mxUtils[_0xb337[432]](_0xbfbfx5,_0xbfbfx4,_0xbfbfx9,_0xbfbfxa),_0xbfbfx13=mxUtils[_0xb337[432]](_0xbfbfx13,_0xbfbfx4,_0xbfbfx9,_0xbfbfxa),_0xbfbfx14=mxUtils[_0xb337[432]](_0xbfbfx14,_0xbfbfx4,_0xbfbfx9,_0xbfbfxa),_0xbfbfx4= new mxRectangle(_0xbfbfx12[_0xb337[235]],_0xbfbfx12[_0xb337[236]],0,0);_0xbfbfx4[_0xb337[99]]( new mxRectangle(_0xbfbfx5[_0xb337[235]],_0xbfbfx5[_0xb337[236]],0,0));_0xbfbfx4[_0xb337[99]]( new mxRectangle(_0xbfbfx13[_0xb337[235]],_0xbfbfx13[_0xb337[236]],0,0));_0xbfbfx4[_0xb337[99]]( new mxRectangle(_0xbfbfx14[_0xb337[235]],_0xbfbfx14[_0xb337[236]],0,0))};return _0xbfbfx4},getRotatedPoint:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){_0xbfbfx5=null!=_0xbfbfx5?_0xbfbfx5: new mxPoint;var _0xbfbfx9=_0xbfbfx2[_0xb337[235]]-_0xbfbfx5[_0xb337[235]];_0xbfbfx2=_0xbfbfx2[_0xb337[236]]-_0xbfbfx5[_0xb337[236]];return new mxPoint(_0xbfbfx9*_0xbfbfx3-_0xbfbfx2*_0xbfbfx4+_0xbfbfx5[_0xb337[235]],_0xbfbfx2*_0xbfbfx3+_0xbfbfx9*_0xbfbfx4+_0xbfbfx5[_0xb337[236]])},getPortConstraints:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){_0xbfbfx2=mxUtils[_0xb337[433]](_0xbfbfx2[_0xb337[124]],mxConstants.STYLE_PORT_CONSTRAINT,null);if(null==_0xbfbfx2){return _0xbfbfx5};_0xbfbfx5=_0xbfbfx2.toString();_0xbfbfx2=mxConstants[_0xb337[434]];0<=_0xbfbfx5[_0xb337[2]](mxConstants.DIRECTION_NORTH)&&(_0xbfbfx2|=mxConstants[_0xb337[435]]);0<=_0xbfbfx5[_0xb337[2]](mxConstants.DIRECTION_WEST)&&(_0xbfbfx2|=mxConstants[_0xb337[436]]);0<=_0xbfbfx5[_0xb337[2]](mxConstants.DIRECTION_SOUTH)&&(_0xbfbfx2|=mxConstants[_0xb337[437]]);0<=_0xbfbfx5[_0xb337[2]](mxConstants.DIRECTION_EAST)&&(_0xbfbfx2|=mxConstants[_0xb337[438]]);return _0xbfbfx2},reversePortConstraints:function(_0xbfbfx2){var _0xbfbfx3=0,_0xbfbfx3=(_0xbfbfx2&mxConstants[_0xb337[436]])<<3,_0xbfbfx3=_0xbfbfx3|(_0xbfbfx2&mxConstants[_0xb337[435]])<<1,_0xbfbfx3=_0xbfbfx3|(_0xbfbfx2&mxConstants[_0xb337[437]])>>1;return _0xbfbfx3|=(_0xbfbfx2&mxConstants[_0xb337[438]])>>3},findNearestSegment:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=-1;if(0<_0xbfbfx2[_0xb337[439]][_0xb337[67]]){for(var _0xbfbfx9=_0xbfbfx2[_0xb337[439]][0],_0xbfbfxa=null,_0xbfbfx12=1;_0xbfbfx12<_0xbfbfx2[_0xb337[439]][_0xb337[67]];_0xbfbfx12++){var _0xbfbfx13=_0xbfbfx2[_0xb337[439]][_0xbfbfx12],_0xbfbfx9=mxUtils[_0xb337[440]](_0xbfbfx9[_0xb337[235]],_0xbfbfx9[_0xb337[236]],_0xbfbfx13[_0xb337[235]],_0xbfbfx13[_0xb337[236]],_0xbfbfx3,_0xbfbfx4);if(null==_0xbfbfxa||_0xbfbfx9<_0xbfbfxa){_0xbfbfxa=_0xbfbfx9,_0xbfbfx5=_0xbfbfx12-1};_0xbfbfx9=_0xbfbfx13}};return _0xbfbfx5},rectangleIntersectsSegment:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=_0xbfbfx2[_0xb337[236]],_0xbfbfx9=_0xbfbfx2[_0xb337[235]],_0xbfbfxa=_0xbfbfx5+_0xbfbfx2[_0xb337[119]],_0xbfbfx12=_0xbfbfx9+_0xbfbfx2[_0xb337[117]];_0xbfbfx2=_0xbfbfx3[_0xb337[235]];var _0xbfbfx13=_0xbfbfx4[_0xb337[235]];_0xbfbfx3[_0xb337[235]]>_0xbfbfx4[_0xb337[235]]&&(_0xbfbfx2=_0xbfbfx4[_0xb337[235]],_0xbfbfx13=_0xbfbfx3[_0xb337[235]]);_0xbfbfx13>_0xbfbfx12&&(_0xbfbfx13=_0xbfbfx12);_0xbfbfx2<_0xbfbfx9&&(_0xbfbfx2=_0xbfbfx9);if(_0xbfbfx2>_0xbfbfx13){return !1};var _0xbfbfx9=_0xbfbfx3[_0xb337[236]],_0xbfbfx12=_0xbfbfx4[_0xb337[236]],_0xbfbfx14=_0xbfbfx4[_0xb337[235]]-_0xbfbfx3[_0xb337[235]];1E-7_0xbfbfx12&&(_0xbfbfx3=_0xbfbfx12,_0xbfbfx12=_0xbfbfx9,_0xbfbfx9=_0xbfbfx3);_0xbfbfx12>_0xbfbfxa&&(_0xbfbfx12=_0xbfbfxa);_0xbfbfx9<_0xbfbfx5&&(_0xbfbfx9=_0xbfbfx5);return _0xbfbfx9>_0xbfbfx12?!1:!0},contains:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){return _0xbfbfx2[_0xb337[235]]<=_0xbfbfx3&&_0xbfbfx2[_0xb337[235]]+_0xbfbfx2[_0xb337[117]]>=_0xbfbfx3&&_0xbfbfx2[_0xb337[236]]<=_0xbfbfx4&&_0xbfbfx2[_0xb337[236]]+_0xbfbfx2[_0xb337[119]]>=_0xbfbfx4},intersects:function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=_0xbfbfx2[_0xb337[117]],_0xbfbfx5=_0xbfbfx2[_0xb337[119]],_0xbfbfx9=_0xbfbfx3[_0xb337[117]],_0xbfbfxa=_0xbfbfx3[_0xb337[119]];if(0>=_0xbfbfx9||0>=_0xbfbfxa||0>=_0xbfbfx4||0>=_0xbfbfx5){return !1};var _0xbfbfx12=_0xbfbfx2[_0xb337[235]],_0xbfbfx13=_0xbfbfx2[_0xb337[236]],_0xbfbfx14=_0xbfbfx3[_0xb337[235]],_0xbfbfx15=_0xbfbfx3[_0xb337[236]],_0xbfbfx9=_0xbfbfx9+_0xbfbfx14,_0xbfbfxa=_0xbfbfxa+_0xbfbfx15,_0xbfbfx4=_0xbfbfx4+_0xbfbfx12,_0xbfbfx5=_0xbfbfx5+_0xbfbfx13;return (_0xbfbfx9<_0xbfbfx14||_0xbfbfx9>_0xbfbfx12)&&(_0xbfbfxa<_0xbfbfx15||_0xbfbfxa>_0xbfbfx13)&&(_0xbfbfx4<_0xbfbfx12||_0xbfbfx4>_0xbfbfx14)&&(_0xbfbfx5<_0xbfbfx13||_0xbfbfx5>_0xbfbfx15)},intersectsHotspot:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){_0xbfbfx5=null!=_0xbfbfx5?_0xbfbfx5:1;_0xbfbfx9=null!=_0xbfbfx9?_0xbfbfx9:0;_0xbfbfxa=null!=_0xbfbfxa?_0xbfbfxa:0;if(0<_0xbfbfx5){var _0xbfbfx12=_0xbfbfx2[_0xb337[241]](),_0xbfbfx13=_0xbfbfx2[_0xb337[242]](),_0xbfbfx14=_0xbfbfx2[_0xb337[117]],_0xbfbfx15=_0xbfbfx2[_0xb337[119]],_0xbfbfx16=mxUtils[_0xb337[433]](_0xbfbfx2[_0xb337[124]],mxConstants.STYLE_STARTSIZE)*_0xbfbfx2[_0xb337[441]][_0xb337[255]];0<_0xbfbfx16&&(mxUtils[_0xb337[433]](_0xbfbfx2[_0xb337[124]],mxConstants.STYLE_HORIZONTAL,!0)?(_0xbfbfx13=_0xbfbfx2[_0xb337[236]]+_0xbfbfx16/2,_0xbfbfx15=_0xbfbfx16):(_0xbfbfx12=_0xbfbfx2[_0xb337[235]]+_0xbfbfx16/2,_0xbfbfx14=_0xbfbfx16));_0xbfbfx14=Math[_0xb337[160]](_0xbfbfx9,_0xbfbfx14*_0xbfbfx5);_0xbfbfx15=Math[_0xb337[160]](_0xbfbfx9,_0xbfbfx15*_0xbfbfx5);0<_0xbfbfxa&&(_0xbfbfx14=Math[_0xb337[243]](_0xbfbfx14,_0xbfbfxa),_0xbfbfx15=Math[_0xb337[243]](_0xbfbfx15,_0xbfbfxa));_0xbfbfx5= new mxRectangle(_0xbfbfx12-_0xbfbfx14/2,_0xbfbfx13-_0xbfbfx15/2,_0xbfbfx14,_0xbfbfx15);_0xbfbfx12=mxUtils[_0xb337[431]](mxUtils[_0xb337[433]](_0xbfbfx2[_0xb337[124]],mxConstants.STYLE_ROTATION)||0);0!=_0xbfbfx12&&(_0xbfbfx9=Math[_0xb337[426]](-_0xbfbfx12),_0xbfbfxa=Math[_0xb337[427]](-_0xbfbfx12),_0xbfbfx12= new mxPoint(_0xbfbfx2[_0xb337[241]](),_0xbfbfx2[_0xb337[242]]()),_0xbfbfx2=mxUtils[_0xb337[432]]( new mxPoint(_0xbfbfx3,_0xbfbfx4),_0xbfbfx9,_0xbfbfxa,_0xbfbfx12),_0xbfbfx3=_0xbfbfx2[_0xb337[235]],_0xbfbfx4=_0xbfbfx2[_0xb337[236]]);return mxUtils[_0xb337[442]](_0xbfbfx5,_0xbfbfx3,_0xbfbfx4)};return !0},getOffset:function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=0,_0xbfbfx5=0;if(null!=_0xbfbfx3&&_0xbfbfx3){var _0xbfbfx9=document[_0xb337[112]],_0xbfbfxa=document[_0xb337[158]],_0xbfbfx4=_0xbfbfx4+(_0xbfbfx9[_0xb337[360]]||_0xbfbfxa[_0xb337[360]]),_0xbfbfx5=_0xbfbfx5+(_0xbfbfx9[_0xb337[190]]||_0xbfbfxa[_0xb337[190]])};for(;_0xbfbfx2[_0xb337[443]];){_0xbfbfx4+=_0xbfbfx2[_0xb337[358]],_0xbfbfx5+=_0xbfbfx2[_0xb337[362]],_0xbfbfx2=_0xbfbfx2[_0xb337[443]]};return new mxPoint(_0xbfbfx4,_0xbfbfx5)},getScrollOrigin:function(_0xbfbfx2){for(var _0xbfbfx3=document[_0xb337[112]],_0xbfbfx4=document[_0xb337[158]],_0xbfbfx5= new mxPoint(_0xbfbfx3[_0xb337[360]]||_0xbfbfx4[_0xb337[360]],_0xbfbfx3[_0xb337[190]]||_0xbfbfx4[_0xb337[190]]);null!=_0xbfbfx2&&_0xbfbfx2!=_0xbfbfx3&&_0xbfbfx2!=_0xbfbfx4;){!isNaN(_0xbfbfx2[_0xb337[360]])&&!isNaN(_0xbfbfx2[_0xb337[190]])&&(_0xbfbfx5[_0xb337[235]]+=_0xbfbfx2[_0xb337[360]],_0xbfbfx5[_0xb337[236]]+=_0xbfbfx2[_0xb337[190]]),_0xbfbfx2=_0xbfbfx2[_0xb337[265]]};return _0xbfbfx5},convertPoint:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=mxUtils[_0xb337[444]](_0xbfbfx2);_0xbfbfx2=mxUtils[_0xb337[445]](_0xbfbfx2);_0xbfbfx2[_0xb337[235]]-=_0xbfbfx5[_0xb337[235]];_0xbfbfx2[_0xb337[236]]-=_0xbfbfx5[_0xb337[236]];return new mxPoint(_0xbfbfx3-_0xbfbfx2[_0xb337[235]],_0xbfbfx4-_0xbfbfx2[_0xb337[236]])},ltrim:function(_0xbfbfx2,_0xbfbfx3){return _0xbfbfx2[_0xb337[230]](RegExp(_0xb337[446]+(_0xbfbfx3||_0xb337[447])+_0xb337[448],_0xb337[449]),_0xb337[110])},rtrim:function(_0xbfbfx2,_0xbfbfx3){return _0xbfbfx2[_0xb337[230]](RegExp(_0xb337[450]+(_0xbfbfx3||_0xb337[447])+_0xb337[451],_0xb337[449]),_0xb337[110])},trim:function(_0xbfbfx2,_0xbfbfx3){return mxUtils[_0xb337[453]](mxUtils[_0xb337[452]](_0xbfbfx2,_0xbfbfx3),_0xbfbfx3)},isNumeric:function(_0xbfbfx2){return null!=_0xbfbfx2&&(null==_0xbfbfx2[_0xb337[67]]||0<_0xbfbfx2[_0xb337[67]]&&0>_0xbfbfx2[_0xb337[2]](_0xb337[454])&&0>_0xbfbfx2[_0xb337[2]](_0xb337[455]))&&!isNaN(_0xbfbfx2)},mod:function(_0xbfbfx2,_0xbfbfx3){return (_0xbfbfx2%_0xbfbfx3+_0xbfbfx3)%_0xbfbfx3},intersection:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12,_0xbfbfx13){var _0xbfbfx14=(_0xbfbfx13-_0xbfbfxa)*(_0xbfbfx4-_0xbfbfx2)-(_0xbfbfx12-_0xbfbfx9)*(_0xbfbfx5-_0xbfbfx3);_0xbfbfx12=((_0xbfbfx12-_0xbfbfx9)*(_0xbfbfx3-_0xbfbfxa)-(_0xbfbfx13-_0xbfbfxa)*(_0xbfbfx2-_0xbfbfx9))/_0xbfbfx14;_0xbfbfx9=((_0xbfbfx4-_0xbfbfx2)*(_0xbfbfx3-_0xbfbfxa)-(_0xbfbfx5-_0xbfbfx3)*(_0xbfbfx2-_0xbfbfx9))/_0xbfbfx14;return 0<=_0xbfbfx12&&1>=_0xbfbfx12&&0<=_0xbfbfx9&&1>=_0xbfbfx9? new mxPoint(_0xbfbfx2+_0xbfbfx12*(_0xbfbfx4-_0xbfbfx2),_0xbfbfx3+_0xbfbfx12*(_0xbfbfx5-_0xbfbfx3)):null},ptSegDistSq:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){_0xbfbfx4-=_0xbfbfx2;_0xbfbfx5-=_0xbfbfx3;_0xbfbfx9-=_0xbfbfx2;_0xbfbfxa-=_0xbfbfx3;0>=_0xbfbfx9*_0xbfbfx4+_0xbfbfxa*_0xbfbfx5?_0xbfbfx4=0:(_0xbfbfx9=_0xbfbfx4-_0xbfbfx9,_0xbfbfxa=_0xbfbfx5-_0xbfbfxa,_0xbfbfx2=_0xbfbfx9*_0xbfbfx4+_0xbfbfxa*_0xbfbfx5,_0xbfbfx4=0>=_0xbfbfx2?0:_0xbfbfx2*_0xbfbfx2/(_0xbfbfx4*_0xbfbfx4+_0xbfbfx5*_0xbfbfx5));_0xbfbfx9=_0xbfbfx9*_0xbfbfx9+_0xbfbfxa*_0xbfbfxa-_0xbfbfx4;0>_0xbfbfx9&&(_0xbfbfx9=0);return _0xbfbfx9},relativeCcw:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){_0xbfbfx4-=_0xbfbfx2;_0xbfbfx5-=_0xbfbfx3;_0xbfbfx9-=_0xbfbfx2;_0xbfbfxa-=_0xbfbfx3;_0xbfbfx2=_0xbfbfx9*_0xbfbfx5-_0xbfbfxa*_0xbfbfx4;0==_0xbfbfx2&&(_0xbfbfx2=_0xbfbfx9*_0xbfbfx4+_0xbfbfxa*_0xbfbfx5,0<_0xbfbfx2&&(_0xbfbfx2=(_0xbfbfx9-_0xbfbfx4)*_0xbfbfx4+(_0xbfbfxa-_0xbfbfx5)*_0xbfbfx5,0>_0xbfbfx2&&(_0xbfbfx2=0)));return 0>_0xbfbfx2?-1:0<_0xbfbfx2?1:0},animateChanges:function(_0xbfbfx2,_0xbfbfx3){mxEffects[_0xb337[456]][_0xb337[183]](this,arguments)},cascadeOpacity:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){mxEffects[_0xb337[260]][_0xb337[183]](this,arguments)},fadeOut:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){mxEffects[_0xb337[457]][_0xb337[183]](this,arguments)},setOpacity:function(_0xbfbfx2,_0xbfbfx3){mxUtils[_0xb337[458]](_0xbfbfx2)?_0xbfbfx2[_0xb337[124]][_0xb337[347]]=100<=_0xbfbfx3?null:_0xb337[459]+_0xbfbfx3/5+_0xb337[460]:mxClient[_0xb337[80]]&&(_0xb337[82]=== typeof document[_0xb337[5]]||9>document[_0xb337[5]])?_0xbfbfx2[_0xb337[124]][_0xb337[347]]=100<=_0xbfbfx3?null:_0xb337[459]+_0xbfbfx3+_0xb337[460]:_0xbfbfx2[_0xb337[124]][_0xb337[461]]=_0xbfbfx3/100},createImage:function(_0xbfbfx2){var _0xbfbfx3=null;mxClient[_0xb337[49]]&&_0xb337[462]!=document[_0xb337[135]]?(_0xbfbfx3=document[_0xb337[55]](mxClient[_0xb337[463]]+_0xb337[464]),_0xbfbfx3[_0xb337[57]](_0xb337[390],_0xbfbfx2),_0xbfbfx3[_0xb337[124]][_0xb337[465]]=_0xb337[130]):(_0xbfbfx3=document[_0xb337[55]](_0xb337[466]),_0xbfbfx3[_0xb337[57]](_0xb337[390],_0xbfbfx2),_0xbfbfx3[_0xb337[57]](_0xb337[467],_0xb337[468]));return _0xbfbfx3},sortCells:function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx3=null!=_0xbfbfx3?_0xbfbfx3:!0;var _0xbfbfx4= new mxDictionary;_0xbfbfx2[_0xb337[470]](function(_0xbfbfx2,_0xbfbfx9){var _0xbfbfxa=_0xbfbfx4[_0xb337[203]](_0xbfbfx2);null==_0xbfbfxa&&(_0xbfbfxa=mxCellPath[_0xb337[385]](_0xbfbfx2)[_0xb337[224]](mxCellPath.PATH_SEPARATOR),_0xbfbfx4[_0xb337[204]](_0xbfbfx2,_0xbfbfxa));var _0xbfbfx12=_0xbfbfx4[_0xb337[203]](_0xbfbfx9);null==_0xbfbfx12&&(_0xbfbfx12=mxCellPath[_0xb337[385]](_0xbfbfx9)[_0xb337[224]](mxCellPath.PATH_SEPARATOR),_0xbfbfx4[_0xb337[204]](_0xbfbfx9,_0xbfbfx12));_0xbfbfxa=mxCellPath[_0xb337[469]](_0xbfbfxa,_0xbfbfx12);return 0==_0xbfbfxa?0:0<_0xbfbfxa==_0xbfbfx3?1:-1});return _0xbfbfx2},getStylename:function(_0xbfbfx2){return null!=_0xbfbfx2&&(_0xbfbfx2=_0xbfbfx2[_0xb337[224]](_0xb337[471])[0],0>_0xbfbfx2[_0xb337[2]](_0xb337[226]))?_0xbfbfx2:_0xb337[110]},getStylenames:function(_0xbfbfx2){var _0xbfbfx3=[];if(null!=_0xbfbfx2){_0xbfbfx2=_0xbfbfx2[_0xb337[224]](_0xb337[471]);for(var _0xbfbfx4=0;_0xbfbfx4<_0xbfbfx2[_0xb337[67]];_0xbfbfx4++){0>_0xbfbfx2[_0xbfbfx4][_0xb337[2]](_0xb337[226])&&_0xbfbfx3[_0xb337[207]](_0xbfbfx2[_0xbfbfx4])}};return _0xbfbfx3},indexOfStylename:function(_0xbfbfx2,_0xbfbfx3){if(null!=_0xbfbfx2&&null!=_0xbfbfx3){for(var _0xbfbfx4=_0xbfbfx2[_0xb337[224]](_0xb337[471]),_0xbfbfx5=0,_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx4[_0xb337[67]];_0xbfbfx9++){if(_0xbfbfx4[_0xbfbfx9]==_0xbfbfx3){return _0xbfbfx5};_0xbfbfx5+=_0xbfbfx4[_0xbfbfx9][_0xb337[67]]+1}};return -1},addStylename:function(_0xbfbfx2,_0xbfbfx3){0>mxUtils[_0xb337[472]](_0xbfbfx2,_0xbfbfx3)&&(null==_0xbfbfx2?_0xbfbfx2=_0xb337[110]:0<_0xbfbfx2[_0xb337[67]]&&_0xb337[471]!=_0xbfbfx2[_0xb337[225]](_0xbfbfx2[_0xb337[67]]-1)&&(_0xbfbfx2+=_0xb337[471]),_0xbfbfx2+=_0xbfbfx3);return _0xbfbfx2},removeStylename:function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=[];if(null!=_0xbfbfx2){for(var _0xbfbfx5=_0xbfbfx2[_0xb337[224]](_0xb337[471]),_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx5[_0xb337[67]];_0xbfbfx9++){_0xbfbfx5[_0xbfbfx9]!=_0xbfbfx3&&_0xbfbfx4[_0xb337[207]](_0xbfbfx5[_0xbfbfx9])}};return _0xbfbfx4[_0xb337[234]](_0xb337[471])},removeAllStylenames:function(_0xbfbfx2){var _0xbfbfx3=[];if(null!=_0xbfbfx2){_0xbfbfx2=_0xbfbfx2[_0xb337[224]](_0xb337[471]);for(var _0xbfbfx4=0;_0xbfbfx4<_0xbfbfx2[_0xb337[67]];_0xbfbfx4++){0<=_0xbfbfx2[_0xbfbfx4][_0xb337[2]](_0xb337[226])&&_0xbfbfx3[_0xb337[207]](_0xbfbfx2[_0xbfbfx4])}};return _0xbfbfx3[_0xb337[234]](_0xb337[471])},setCellStyles:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){if(null!=_0xbfbfx3&&0<_0xbfbfx3[_0xb337[67]]){_0xbfbfx2[_0xb337[473]]();try{for(var _0xbfbfx9=0;_0xbfbfx9<_0xbfbfx3[_0xb337[67]];_0xbfbfx9++){if(null!=_0xbfbfx3[_0xbfbfx9]){var _0xbfbfxa=mxUtils[_0xb337[475]](_0xbfbfx2[_0xb337[474]](_0xbfbfx3[_0xbfbfx9]),_0xbfbfx4,_0xbfbfx5);_0xbfbfx2[_0xb337[475]](_0xbfbfx3[_0xbfbfx9],_0xbfbfxa)}}}finally{_0xbfbfx2[_0xb337[476]]()}}},setStyle:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=null!=_0xbfbfx4&&(_0xb337[82]== typeof _0xbfbfx4[_0xb337[67]]||0<_0xbfbfx4[_0xb337[67]]);if(null==_0xbfbfx2||0==_0xbfbfx2[_0xb337[67]]){_0xbfbfx5&&(_0xbfbfx2=_0xbfbfx3+_0xb337[226]+_0xbfbfx4)}else {var _0xbfbfx9=_0xbfbfx2[_0xb337[2]](_0xbfbfx3+_0xb337[226]);0>_0xbfbfx9?_0xbfbfx5&&(_0xbfbfx5=_0xb337[471]==_0xbfbfx2[_0xb337[225]](_0xbfbfx2[_0xb337[67]]-1)?_0xb337[110]:_0xb337[471],_0xbfbfx2=_0xbfbfx2+_0xbfbfx5+_0xbfbfx3+_0xb337[226]+_0xbfbfx4):(_0xbfbfx3=_0xbfbfx5?_0xbfbfx3+_0xb337[226]+_0xbfbfx4:_0xb337[110],_0xbfbfx4=_0xbfbfx2[_0xb337[2]](_0xb337[471],_0xbfbfx9),_0xbfbfx5||_0xbfbfx4++,_0xbfbfx2=_0xbfbfx2[_0xb337[85]](0,_0xbfbfx9)+_0xbfbfx3+(_0xbfbfx4>_0xbfbfx9?_0xbfbfx2[_0xb337[85]](_0xbfbfx4):_0xb337[110]))};return _0xbfbfx2},setCellStyleFlags:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){if(null!=_0xbfbfx3&&0<_0xbfbfx3[_0xb337[67]]){_0xbfbfx2[_0xb337[473]]();try{for(var _0xbfbfxa=0;_0xbfbfxa<_0xbfbfx3[_0xb337[67]];_0xbfbfxa++){if(null!=_0xbfbfx3[_0xbfbfxa]){var _0xbfbfx12=mxUtils[_0xb337[477]](_0xbfbfx2[_0xb337[474]](_0xbfbfx3[_0xbfbfxa]),_0xbfbfx4,_0xbfbfx5,_0xbfbfx9);_0xbfbfx2[_0xb337[475]](_0xbfbfx3[_0xbfbfxa],_0xbfbfx12)}}}finally{_0xbfbfx2[_0xb337[476]]()}}},setStyleFlag:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){if(null==_0xbfbfx2||0==_0xbfbfx2[_0xb337[67]]){_0xbfbfx2=_0xbfbfx5||null==_0xbfbfx5?_0xbfbfx3+_0xb337[226]+_0xbfbfx4:_0xbfbfx3+_0xb337[478]}else {var _0xbfbfx9=_0xbfbfx2[_0xb337[2]](_0xbfbfx3+_0xb337[226]);if(0>_0xbfbfx9){_0xbfbfx9=_0xb337[471]==_0xbfbfx2[_0xb337[225]](_0xbfbfx2[_0xb337[67]]-1)?_0xb337[110]:_0xb337[471],_0xbfbfx2=_0xbfbfx5||null==_0xbfbfx5?_0xbfbfx2+_0xbfbfx9+_0xbfbfx3+_0xb337[226]+_0xbfbfx4:_0xbfbfx2+_0xbfbfx9+_0xbfbfx3+_0xb337[478]}else {var _0xbfbfxa=_0xbfbfx2[_0xb337[2]](_0xb337[471],_0xbfbfx9),_0xbfbfx12=_0xb337[110],_0xbfbfx12=0>_0xbfbfxa?_0xbfbfx2[_0xb337[85]](_0xbfbfx9+_0xbfbfx3[_0xb337[67]]+1):_0xbfbfx2[_0xb337[85]](_0xbfbfx9+_0xbfbfx3[_0xb337[67]]+1,_0xbfbfxa),_0xbfbfx12=null==_0xbfbfx5?parseInt(_0xbfbfx12)^_0xbfbfx4:_0xbfbfx5?parseInt(_0xbfbfx12)|_0xbfbfx4:parseInt(_0xbfbfx12)& ~_0xbfbfx4;_0xbfbfx2=_0xbfbfx2[_0xb337[85]](0,_0xbfbfx9)+_0xbfbfx3+_0xb337[226]+_0xbfbfx12+(0<=_0xbfbfxa?_0xbfbfx2[_0xb337[85]](_0xbfbfxa):_0xb337[110])}};return _0xbfbfx2},getAlignmentAsPoint:function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=0,_0xbfbfx5=0;_0xbfbfx2==mxConstants[_0xb337[479]]?_0xbfbfx4= -0.5:_0xbfbfx2==mxConstants[_0xb337[480]]&&(_0xbfbfx4= -1);_0xbfbfx3==mxConstants[_0xb337[481]]?_0xbfbfx5= -0.5:_0xbfbfx3==mxConstants[_0xb337[482]]&&(_0xbfbfx5= -1);return new mxPoint(_0xbfbfx4,_0xbfbfx5)},getSizeForString:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx3=null!=_0xbfbfx3?_0xbfbfx3:mxConstants[_0xb337[483]];_0xbfbfx4=null!=_0xbfbfx4?_0xbfbfx4:mxConstants[_0xb337[484]];var _0xbfbfx5=document[_0xb337[55]](_0xb337[485]);_0xbfbfx5[_0xb337[124]][_0xb337[486]]=_0xbfbfx4;_0xbfbfx5[_0xb337[124]][_0xb337[487]]=Math[_0xb337[488]](_0xbfbfx3)+_0xb337[168];_0xbfbfx5[_0xb337[124]][_0xb337[489]]=Math[_0xb337[488]](_0xbfbfx3*mxConstants[_0xb337[490]])+_0xb337[168];_0xbfbfx5[_0xb337[124]][_0xb337[491]]=_0xb337[492];_0xbfbfx5[_0xb337[124]][_0xb337[493]]=_0xb337[494];_0xbfbfx5[_0xb337[124]][_0xb337[187]]=_0xb337[188];_0xbfbfx5[_0xb337[124]][_0xb337[495]]=mxClient[_0xb337[496]]?_0xb337[497]:_0xb337[498];_0xbfbfx5[_0xb337[124]][_0xb337[499]]=_0xb337[500];_0xbfbfx5[_0xb337[339]]=_0xbfbfx2;document[_0xb337[112]][_0xb337[62]](_0xbfbfx5);_0xbfbfx2= new mxRectangle(0,0,_0xbfbfx5[_0xb337[359]],_0xbfbfx5[_0xb337[167]]);document[_0xb337[112]][_0xb337[266]](_0xbfbfx5);return _0xbfbfx2},getViewXml:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xbfbfx5=null!=_0xbfbfx5?_0xbfbfx5:0;_0xbfbfx9=null!=_0xbfbfx9?_0xbfbfx9:0;_0xbfbfx3=null!=_0xbfbfx3?_0xbfbfx3:1;null==_0xbfbfx4&&(_0xbfbfx4=[_0xbfbfx2[_0xb337[502]]()[_0xb337[501]]()]);var _0xbfbfxa=_0xbfbfx2[_0xb337[249]](),_0xbfbfx12=null,_0xbfbfx13=_0xbfbfxa[_0xb337[503]]();_0xbfbfxa[_0xb337[504]](!1);var _0xbfbfx14=_0xbfbfxa[_0xb337[505]],_0xbfbfx15=_0xbfbfxa[_0xb337[506]];_0xbfbfx2[_0xb337[507]]==mxConstants[_0xb337[508]]?(_0xbfbfxa[_0xb337[505]]=document[_0xb337[509]](mxConstants.NS_SVG,_0xb337[449]),_0xbfbfxa[_0xb337[510]][_0xb337[62]](_0xbfbfxa[_0xb337[505]]),_0xbfbfxa[_0xb337[506]]=document[_0xb337[509]](mxConstants.NS_SVG,_0xb337[449])):(_0xbfbfxa[_0xb337[505]]=_0xbfbfxa[_0xb337[505]][_0xb337[511]](!1),_0xbfbfxa[_0xb337[510]][_0xb337[62]](_0xbfbfxa[_0xb337[505]]),_0xbfbfxa[_0xb337[506]]=_0xbfbfxa[_0xb337[506]][_0xb337[511]](!1));_0xbfbfxa[_0xb337[510]][_0xb337[62]](_0xbfbfxa[_0xb337[506]]);var _0xbfbfx16=_0xbfbfxa[_0xb337[512]]();_0xbfbfxa[_0xb337[513]]= new mxPoint(_0xbfbfx5,_0xbfbfx9);_0xbfbfx3= new mxTemporaryCellStates(_0xbfbfx2[_0xb337[249]](),_0xbfbfx3,_0xbfbfx4);try{_0xbfbfx12=( new mxCodec)[_0xb337[514]](_0xbfbfx2[_0xb337[249]]())}finally{_0xbfbfx3[_0xb337[515]](),_0xbfbfxa[_0xb337[513]]=_0xbfbfx16,_0xbfbfxa[_0xb337[510]][_0xb337[266]](_0xbfbfxa[_0xb337[505]]),_0xbfbfxa[_0xb337[510]][_0xb337[266]](_0xbfbfxa[_0xb337[506]]),_0xbfbfxa[_0xb337[505]]=_0xbfbfx14,_0xbfbfxa[_0xb337[506]]=_0xbfbfx15,_0xbfbfxa[_0xb337[504]](_0xbfbfx13)};return _0xbfbfx12},getScaleForPageCount:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){if(1>_0xbfbfx2){return 1};_0xbfbfx4=null!=_0xbfbfx4?_0xbfbfx4:mxConstants[_0xb337[516]];_0xbfbfx5=null!=_0xbfbfx5?_0xbfbfx5:0;var _0xbfbfx9=_0xbfbfx4[_0xb337[117]]-2*_0xbfbfx5;_0xbfbfx4=_0xbfbfx4[_0xb337[119]]-2*_0xbfbfx5;_0xbfbfx5=_0xbfbfx3[_0xb337[517]]()[_0xb337[238]]();_0xbfbfx3=_0xbfbfx3[_0xb337[249]]()[_0xb337[518]]();_0xbfbfx5[_0xb337[117]]/=_0xbfbfx3;_0xbfbfx5[_0xb337[119]]/=_0xbfbfx3;_0xbfbfx3=_0xbfbfx5[_0xb337[117]];_0xbfbfx4=_0xbfbfx3/_0xbfbfx5[_0xb337[119]]/(_0xbfbfx9/_0xbfbfx4);_0xbfbfx5=Math[_0xb337[428]](_0xbfbfx2);var _0xbfbfxa=Math[_0xb337[428]](_0xbfbfx4);_0xbfbfx4=_0xbfbfx5*_0xbfbfxa;_0xbfbfx5/=_0xbfbfxa;if(1>_0xbfbfx4&&_0xbfbfx5>_0xbfbfx2){var _0xbfbfx12=_0xbfbfx5/_0xbfbfx2;_0xbfbfx5=_0xbfbfx2;_0xbfbfx4/=_0xbfbfx12};1>_0xbfbfx5&&_0xbfbfx4>_0xbfbfx2&&(_0xbfbfx12=_0xbfbfx4/_0xbfbfx2,_0xbfbfx4=_0xbfbfx2,_0xbfbfx5/=_0xbfbfx12);_0xbfbfx12=Math[_0xb337[430]](_0xbfbfx4)*Math[_0xb337[430]](_0xbfbfx5);for(_0xbfbfxa=0;_0xbfbfx12>_0xbfbfx2;){var _0xbfbfx12=Math[_0xb337[519]](_0xbfbfx4)/_0xbfbfx4,_0xbfbfx13=Math[_0xb337[519]](_0xbfbfx5)/_0xbfbfx5;1==_0xbfbfx12&&(_0xbfbfx12=Math[_0xb337[519]](_0xbfbfx4-1)/_0xbfbfx4);1==_0xbfbfx13&&(_0xbfbfx13=Math[_0xb337[519]](_0xbfbfx5-1)/_0xbfbfx5);_0xbfbfx12=_0xbfbfx12>_0xbfbfx13?_0xbfbfx12:_0xbfbfx13;_0xbfbfx4*=_0xbfbfx12;_0xbfbfx5*=_0xbfbfx12;_0xbfbfx12=Math[_0xb337[430]](_0xbfbfx4)*Math[_0xb337[430]](_0xbfbfx5);_0xbfbfxa++;if(10<_0xbfbfxa){break}};return 0.99999*(_0xbfbfx9*_0xbfbfx4/_0xbfbfx3)},show:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){_0xbfbfx4=null!=_0xbfbfx4?_0xbfbfx4:0;_0xbfbfx5=null!=_0xbfbfx5?_0xbfbfx5:0;null==_0xbfbfx3?_0xbfbfx3=window[_0xb337[392]]()[_0xb337[395]]:_0xbfbfx3[_0xb337[392]]();var _0xbfbfx9=_0xbfbfx2[_0xb337[517]]();_0xbfbfx4=-_0xbfbfx9[_0xb337[235]]+_0xbfbfx4;_0xbfbfx5=-_0xbfbfx9[_0xb337[236]]+_0xbfbfx5;if(mxClient[_0xb337[80]]){for(var _0xbfbfx9=_0xb337[520],_0xbfbfxa=document[_0xb337[64]](_0xb337[521]),_0xbfbfx12=0;_0xbfbfx12<_0xbfbfxa[_0xb337[67]];_0xbfbfx12++){_0xbfbfx9+=_0xbfbfxa[_0xbfbfx12][_0xb337[342]]};_0xbfbfx9+=_0xb337[522];for(_0xbfbfx12=0;_0xbfbfx12document[_0xb337[5]])?function(_0xbfbfx2){mxEvent[_0xb337[169]](_0xbfbfx2,_0xb337[768],function(){return !1})}:function(_0xbfbfx2){_0xbfbfx2[_0xb337[57]](_0xb337[769],_0xb337[770])}}(),getSource:function(_0xbfbfx2){return null!=_0xbfbfx2[_0xb337[771]]?_0xbfbfx2[_0xb337[771]]:_0xbfbfx2[_0xb337[772]]},isConsumed:function(_0xbfbfx2){return null!=_0xbfbfx2[_0xb337[721]]&&_0xbfbfx2[_0xb337[721]]},isLeftMouseButton:function(_0xbfbfx2){return _0xbfbfx2[_0xb337[172]]==(mxClient[_0xb337[80]]&&(_0xb337[82]=== typeof document[_0xb337[5]]||9>document[_0xb337[5]])?1:0)},isRightMouseButton:function(_0xbfbfx2){return 2==_0xbfbfx2[_0xb337[172]]},isPopupTrigger:function(_0xbfbfx2){return mxEvent[_0xb337[773]](_0xbfbfx2)||mxEvent[_0xb337[774]](_0xbfbfx2)&&!mxEvent[_0xb337[775]](_0xbfbfx2)},isShiftDown:function(_0xbfbfx2){return null!=_0xbfbfx2?_0xbfbfx2[_0xb337[776]]:!1},isAltDown:function(_0xbfbfx2){return null!=_0xbfbfx2?_0xbfbfx2[_0xb337[777]]:!1},isControlDown:function(_0xbfbfx2){return null!=_0xbfbfx2?_0xbfbfx2[_0xb337[778]]:!1},isMetaDown:function(_0xbfbfx2){return null!=_0xbfbfx2?_0xbfbfx2[_0xb337[779]]:!1},getMainEvent:function(_0xbfbfx2){(_0xb337[755]==_0xbfbfx2[_0xb337[60]]||_0xb337[756]==_0xbfbfx2[_0xb337[60]])&&null!=_0xbfbfx2[_0xb337[780]]&&null!=_0xbfbfx2[_0xb337[780]][0]?_0xbfbfx2=_0xbfbfx2[_0xb337[780]][0]:_0xb337[757]==_0xbfbfx2[_0xb337[60]]&&(null!=_0xbfbfx2[_0xb337[781]]&&null!=_0xbfbfx2[_0xb337[781]][0])&&(_0xbfbfx2=_0xbfbfx2[_0xb337[781]][0]);return _0xbfbfx2},getClientX:function(_0xbfbfx2){return mxEvent[_0xb337[783]](_0xbfbfx2)[_0xb337[782]]},getClientY:function(_0xbfbfx2){return mxEvent[_0xb337[783]](_0xbfbfx2)[_0xb337[784]]},consume:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx4=null!=_0xbfbfx4?_0xbfbfx4:!0;if(null!=_0xbfbfx3?_0xbfbfx3:1){_0xbfbfx2[_0xb337[738]]?(_0xbfbfx4&&_0xbfbfx2[_0xb337[785]](),_0xbfbfx2[_0xb337[738]]()):_0xbfbfx4&&(_0xbfbfx2[_0xb337[786]]= !0)};_0xbfbfx2[_0xb337[721]]= !0;_0xbfbfx2[_0xb337[739]]= !1},LABEL_HANDLE:-1,ROTATION_HANDLE:-2,MOUSE_DOWN:_0xb337[787],MOUSE_MOVE:_0xb337[788],MOUSE_UP:_0xb337[789],ACTIVATE:_0xb337[790],RESIZE_START:_0xb337[791],RESIZE:_0xb337[129],RESIZE_END:_0xb337[792],MOVE_START:_0xb337[793],MOVE:_0xb337[582],MOVE_END:_0xb337[794],PAN_START:_0xb337[795],PAN:_0xb337[796],PAN_END:_0xb337[797],MINIMIZE:_0xb337[798],NORMALIZE:_0xb337[799],MAXIMIZE:_0xb337[800],HIDE:_0xb337[801],SHOW:_0xb337[539],CLOSE:_0xb337[268],DESTROY:_0xb337[515],REFRESH:_0xb337[802],SIZE:_0xb337[803],SELECT:_0xb337[804],FIRED:_0xb337[805],GET:_0xb337[203],RECEIVE:_0xb337[806],CONNECT:_0xb337[807],DISCONNECT:_0xb337[808],SUSPEND:_0xb337[809],RESUME:_0xb337[810],MARK:_0xb337[811],SESSION:_0xb337[812],ROOT:_0xb337[813],POST:_0xb337[814],OPEN:_0xb337[392],SAVE:_0xb337[815],BEFORE_ADD_VERTEX:_0xb337[816],ADD_VERTEX:_0xb337[817],AFTER_ADD_VERTEX:_0xb337[818],DONE:_0xb337[819],EXECUTE:_0xb337[350],EXECUTED:_0xb337[820],BEGIN_UPDATE:_0xb337[473],START_EDIT:_0xb337[821],END_UPDATE:_0xb337[476],END_EDIT:_0xb337[822],BEFORE_UNDO:_0xb337[823],UNDO:_0xb337[824],REDO:_0xb337[825],CHANGE:_0xb337[826],NOTIFY:_0xb337[827],LAYOUT_CELLS:_0xb337[828],CLICK:_0xb337[173],SCALE:_0xb337[255],TRANSLATE:_0xb337[513],SCALE_AND_TRANSLATE:_0xb337[829],UP:_0xb337[830],DOWN:_0xb337[831],ADD:_0xb337[99],REMOVE:_0xb337[205],CLEAR:_0xb337[200],ADD_CELLS:_0xb337[832],CELLS_ADDED:_0xb337[833],MOVE_CELLS:_0xb337[834],CELLS_MOVED:_0xb337[835],RESIZE_CELLS:_0xb337[836],CELLS_RESIZED:_0xb337[837],TOGGLE_CELLS:_0xb337[838],CELLS_TOGGLED:_0xb337[839],ORDER_CELLS:_0xb337[840],CELLS_ORDERED:_0xb337[841],REMOVE_CELLS:_0xb337[842],CELLS_REMOVED:_0xb337[843],GROUP_CELLS:_0xb337[844],UNGROUP_CELLS:_0xb337[845],REMOVE_CELLS_FROM_PARENT:_0xb337[846],FOLD_CELLS:_0xb337[847],CELLS_FOLDED:_0xb337[848],ALIGN_CELLS:_0xb337[849],LABEL_CHANGED:_0xb337[850],CONNECT_CELL:_0xb337[851],CELL_CONNECTED:_0xb337[852],SPLIT_EDGE:_0xb337[853],FLIP_EDGE:_0xb337[854],START_EDITING:_0xb337[855],ADD_OVERLAY:_0xb337[856],REMOVE_OVERLAY:_0xb337[857],UPDATE_CELL_SIZE:_0xb337[858],ESCAPE:_0xb337[859],CLICK:_0xb337[173],DOUBLE_CLICK:_0xb337[860],START:_0xb337[861],RESET:_0xb337[862]};function mxXmlRequest(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){this[_0xb337[863]]=_0xbfbfx2;this[_0xb337[864]]=_0xbfbfx3;this[_0xb337[865]]=_0xbfbfx4||_0xb337[866];this[_0xb337[307]]=null!=_0xbfbfx5?_0xbfbfx5:!0;this[_0xb337[867]]=_0xbfbfx9;this[_0xb337[868]]=_0xbfbfxa}mxXmlRequest[_0xb337[202]][_0xb337[863]]=null;mxXmlRequest[_0xb337[202]][_0xb337[864]]=null;mxXmlRequest[_0xb337[202]][_0xb337[865]]=null;mxXmlRequest[_0xb337[202]][_0xb337[307]]=null;mxXmlRequest[_0xb337[202]][_0xb337[869]]= !1;mxXmlRequest[_0xb337[202]][_0xb337[867]]=null;mxXmlRequest[_0xb337[202]][_0xb337[868]]=null;mxXmlRequest[_0xb337[202]][_0xb337[870]]=null;mxXmlRequest[_0xb337[202]][_0xb337[871]]=function(){return this[_0xb337[869]]};mxXmlRequest[_0xb337[202]][_0xb337[872]]=function(_0xbfbfx2){this[_0xb337[869]]=_0xbfbfx2};mxXmlRequest[_0xb337[202]][_0xb337[221]]=function(){return this[_0xb337[870]][_0xb337[873]]};mxXmlRequest[_0xb337[202]][_0xb337[220]]=function(){return 4==this[_0xb337[870]][_0xb337[417]]};mxXmlRequest[_0xb337[202]][_0xb337[874]]=function(){var _0xbfbfx2=this[_0xb337[875]]();return null!=_0xbfbfx2?_0xbfbfx2[_0xb337[158]]:null};mxXmlRequest[_0xb337[202]][_0xb337[875]]=function(){var _0xbfbfx2=this[_0xb337[870]][_0xb337[876]];if(9<=document[_0xb337[5]]||null==_0xbfbfx2||null==_0xbfbfx2[_0xb337[158]]){_0xbfbfx2=mxUtils[_0xb337[877]](this[_0xb337[870]][_0xb337[873]])};return _0xbfbfx2};mxXmlRequest[_0xb337[202]][_0xb337[221]]=function(){return this[_0xb337[870]][_0xb337[873]]};mxXmlRequest[_0xb337[202]][_0xb337[878]]=function(){return this[_0xb337[870]][_0xb337[879]]};mxXmlRequest[_0xb337[202]][_0xb337[385]]=function(){if(window[_0xb337[880]]){return function(){var _0xbfbfx2= new XMLHttpRequest;this[_0xb337[871]]()&&_0xbfbfx2[_0xb337[881]]&&_0xbfbfx2[_0xb337[881]](_0xb337[882]);return _0xbfbfx2}};if(_0xb337[82]!= typeof ActiveXObject){return function(){return new ActiveXObject(_0xb337[883])}}}();mxXmlRequest[_0xb337[202]][_0xb337[414]]=function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[870]]=this[_0xb337[385]]();null!=this[_0xb337[870]]&&(null!=_0xbfbfx2&&(this[_0xb337[870]][_0xb337[416]]=mxUtils[_0xb337[885]](this,function(){this[_0xb337[220]]()&&(_0xbfbfx2(this),this[_0xb337[884]]=null)})),this[_0xb337[870]][_0xb337[392]](this[_0xb337[865]],this[_0xb337[863]],this[_0xb337[307]],this[_0xb337[867]],this[_0xb337[868]]),this[_0xb337[886]](this[_0xb337[870]],this[_0xb337[864]]),this[_0xb337[870]][_0xb337[414]](this[_0xb337[864]]))};mxXmlRequest[_0xb337[202]][_0xb337[886]]=function(_0xbfbfx2,_0xbfbfx3){null!=_0xbfbfx3&&_0xbfbfx2[_0xb337[889]](_0xb337[887],_0xb337[888])};mxXmlRequest[_0xb337[202]][_0xb337[415]]=function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx2=_0xbfbfx2||document;var _0xbfbfx4=null;_0xbfbfx2==document&&(_0xbfbfx4=window[_0xb337[890]],window[_0xb337[890]]=null);var _0xbfbfx5=_0xbfbfx2[_0xb337[55]](_0xb337[891]);_0xbfbfx5[_0xb337[57]](_0xb337[865],this[_0xb337[865]]);_0xbfbfx5[_0xb337[57]](_0xb337[892],this[_0xb337[863]]);null!=_0xbfbfx3&&_0xbfbfx5[_0xb337[57]](_0xb337[772],_0xbfbfx3);_0xbfbfx5[_0xb337[124]][_0xb337[495]]=_0xb337[130];_0xbfbfx5[_0xb337[124]][_0xb337[187]]=_0xb337[188];for(var _0xbfbfx9=0navigator[_0xb337[3]][_0xb337[2]](_0xb337[186])&&(this[_0xb337[929]][_0xb337[124]][_0xb337[277]]=_0xbfbfx2?_0xb337[278]:_0xb337[188])};mxWindow[_0xb337[202]][_0xb337[790]]=function(){if(mxWindow[_0xb337[930]]!=this){var _0xbfbfx2=mxUtils[_0xb337[275]](this[_0xb337[166]]()),_0xbfbfx2=null!=_0xbfbfx2?_0xbfbfx2[_0xb337[931]]:3;if(mxWindow[_0xb337[930]]){var _0xbfbfx3=mxWindow[_0xb337[930]][_0xb337[166]]();null!=_0xbfbfx3&&null!=_0xbfbfx3[_0xb337[124]]&&(_0xbfbfx3[_0xb337[124]][_0xb337[931]]=_0xbfbfx2)};_0xbfbfx3=mxWindow[_0xb337[930]];this[_0xb337[166]]()[_0xb337[124]][_0xb337[931]]=parseInt(_0xbfbfx2)+1;mxWindow[_0xb337[930]]=this;this[_0xb337[746]]( new mxEventObject(mxEvent.ACTIVATE,_0xb337[932],_0xbfbfx3))}};mxWindow[_0xb337[202]][_0xb337[166]]=function(){return this[_0xb337[485]]};mxWindow[_0xb337[202]][_0xb337[933]]=function(){mxUtils[_0xb337[933]](this[_0xb337[485]])};mxWindow[_0xb337[202]][_0xb337[934]]=function(){return null!=this[_0xb337[129]]?_0xb337[130]!=this[_0xb337[129]][_0xb337[124]][_0xb337[495]]:!1};mxWindow[_0xb337[202]][_0xb337[163]]=function(_0xbfbfx2){_0xbfbfx2?null==this[_0xb337[129]]?(this[_0xb337[129]]=document[_0xb337[55]](_0xb337[466]),this[_0xb337[129]][_0xb337[124]][_0xb337[491]]=_0xb337[492],this[_0xb337[129]][_0xb337[124]][_0xb337[553]]=_0xb337[935],this[_0xb337[129]][_0xb337[124]][_0xb337[699]]=_0xb337[935],this[_0xb337[129]][_0xb337[57]](_0xb337[390],mxClient[_0xb337[88]]+_0xb337[922]),this[_0xb337[129]][_0xb337[124]][_0xb337[270]]=_0xb337[936],mxEvent[_0xb337[759]](this[_0xb337[129]],mxUtils[_0xb337[885]](this,function(_0xbfbfx2){this[_0xb337[790]]();var _0xbfbfx4=mxEvent[_0xb337[731]](_0xbfbfx2),_0xbfbfx5=mxEvent[_0xb337[733]](_0xbfbfx2),_0xbfbfx9=this[_0xb337[485]][_0xb337[359]],_0xbfbfxa=this[_0xb337[485]][_0xb337[167]],_0xbfbfx12=mxUtils[_0xb337[885]](this,function(_0xbfbfx2){var _0xbfbfx3=mxEvent[_0xb337[731]](_0xbfbfx2)-_0xbfbfx4,_0xbfbfx12=mxEvent[_0xb337[733]](_0xbfbfx2)-_0xbfbfx5;this[_0xb337[937]](_0xbfbfx9+_0xbfbfx3,_0xbfbfxa+_0xbfbfx12);this[_0xb337[746]]( new mxEventObject(mxEvent.RESIZE,_0xb337[763],_0xbfbfx2));mxEvent[_0xb337[722]](_0xbfbfx2)}),_0xbfbfx13=mxUtils[_0xb337[885]](this,function(_0xbfbfx2){mxEvent[_0xb337[938]](document,null,_0xbfbfx12,_0xbfbfx13);this[_0xb337[746]]( new mxEventObject(mxEvent.RESIZE_END,_0xb337[763],_0xbfbfx2));mxEvent[_0xb337[722]](_0xbfbfx2)});mxEvent[_0xb337[759]](document,null,_0xbfbfx12,_0xbfbfx13);this[_0xb337[746]]( new mxEventObject(mxEvent.RESIZE_START,_0xb337[763],_0xbfbfx2));mxEvent[_0xb337[722]](_0xbfbfx2)}),null,null),this[_0xb337[485]][_0xb337[62]](this[_0xb337[129]])):this[_0xb337[129]][_0xb337[124]][_0xb337[495]]=_0xb337[497]:null!=this[_0xb337[129]]&&(this[_0xb337[129]][_0xb337[124]][_0xb337[495]]=_0xb337[130])};mxWindow[_0xb337[202]][_0xb337[937]]=function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx2=Math[_0xb337[160]](this[_0xb337[923]][_0xb337[117]],_0xbfbfx2);_0xbfbfx3=Math[_0xb337[160]](this[_0xb337[923]][_0xb337[119]],_0xbfbfx3);mxClient[_0xb337[80]]||(this[_0xb337[485]][_0xb337[124]][_0xb337[117]]=_0xbfbfx2+_0xb337[168],this[_0xb337[485]][_0xb337[124]][_0xb337[119]]=_0xbfbfx3+_0xb337[168]);this[_0xb337[116]][_0xb337[124]][_0xb337[117]]=_0xbfbfx2+_0xb337[168];this[_0xb337[116]][_0xb337[124]][_0xb337[119]]=_0xbfbfx3+_0xb337[168];mxClient[_0xb337[80]]||(this[_0xb337[929]][_0xb337[124]][_0xb337[119]]=this[_0xb337[485]][_0xb337[167]]-this[_0xb337[924]][_0xb337[167]]-2+_0xb337[168])};mxWindow[_0xb337[202]][_0xb337[909]]=function(_0xbfbfx2){this[_0xb337[798]][_0xb337[124]][_0xb337[495]]=_0xbfbfx2?_0xb337[110]:_0xb337[130]};mxWindow[_0xb337[202]][_0xb337[939]]=function(){return new mxRectangle(0,0,0,this[_0xb337[924]][_0xb337[167]])};mxWindow[_0xb337[202]][_0xb337[907]]=function(){this[_0xb337[798]]=document[_0xb337[55]](_0xb337[466]);this[_0xb337[798]][_0xb337[57]](_0xb337[390],this[_0xb337[915]]);this[_0xb337[798]][_0xb337[57]](_0xb337[611],_0xb337[699]);this[_0xb337[798]][_0xb337[57]](_0xb337[924],_0xb337[940]);this[_0xb337[798]][_0xb337[124]][_0xb337[270]]=_0xb337[356];this[_0xb337[798]][_0xb337[124]][_0xb337[941]]=_0xb337[942];this[_0xb337[798]][_0xb337[124]][_0xb337[495]]=_0xb337[130];this[_0xb337[924]][_0xb337[62]](this[_0xb337[798]]);var _0xbfbfx2=!1,_0xbfbfx3=null,_0xbfbfx4=null,_0xbfbfx5=mxUtils[_0xb337[885]](this,function(_0xbfbfx5){this[_0xb337[790]]();if(_0xbfbfx2){_0xbfbfx2= !1,this[_0xb337[798]][_0xb337[57]](_0xb337[390],this[_0xb337[915]]),this[_0xb337[798]][_0xb337[57]](_0xb337[924],_0xb337[940]),this[_0xb337[929]][_0xb337[124]][_0xb337[495]]=_0xb337[110],this[_0xb337[800]][_0xb337[124]][_0xb337[495]]=_0xbfbfx3,mxClient[_0xb337[80]]||(this[_0xb337[485]][_0xb337[124]][_0xb337[119]]=_0xbfbfx4),this[_0xb337[116]][_0xb337[124]][_0xb337[119]]=_0xbfbfx4,null!=this[_0xb337[129]]&&(this[_0xb337[129]][_0xb337[124]][_0xb337[187]]=_0xb337[110]),this[_0xb337[746]]( new mxEventObject(mxEvent.NORMALIZE,_0xb337[763],_0xbfbfx5))}else {_0xbfbfx2= !0;this[_0xb337[798]][_0xb337[57]](_0xb337[390],this[_0xb337[917]]);this[_0xb337[798]][_0xb337[57]](_0xb337[924],_0xb337[943]);this[_0xb337[929]][_0xb337[124]][_0xb337[495]]=_0xb337[130];_0xbfbfx3=this[_0xb337[800]][_0xb337[124]][_0xb337[495]];this[_0xb337[800]][_0xb337[124]][_0xb337[495]]=_0xb337[130];_0xbfbfx4=this[_0xb337[116]][_0xb337[124]][_0xb337[119]];var _0xbfbfxa=this[_0xb337[939]]();0<_0xbfbfxa[_0xb337[119]]&&(mxClient[_0xb337[80]]||(this[_0xb337[485]][_0xb337[124]][_0xb337[119]]=_0xbfbfxa[_0xb337[119]]+_0xb337[168]),this[_0xb337[116]][_0xb337[124]][_0xb337[119]]=_0xbfbfxa[_0xb337[119]]+_0xb337[168]);0<_0xbfbfxa[_0xb337[117]]&&(mxClient[_0xb337[80]]||(this[_0xb337[485]][_0xb337[124]][_0xb337[117]]=_0xbfbfxa[_0xb337[117]]+_0xb337[168]),this[_0xb337[116]][_0xb337[124]][_0xb337[117]]=_0xbfbfxa[_0xb337[117]]+_0xb337[168]);null!=this[_0xb337[129]]&&(this[_0xb337[129]][_0xb337[124]][_0xb337[187]]=_0xb337[188]);this[_0xb337[746]]( new mxEventObject(mxEvent.MINIMIZE,_0xb337[763],_0xbfbfx5))};mxEvent[_0xb337[722]](_0xbfbfx5)});mxEvent[_0xb337[169]](this[_0xb337[798]],_0xb337[751],_0xbfbfx5);mxClient[_0xb337[754]]&&mxEvent[_0xb337[169]](this[_0xb337[798]],_0xb337[755],_0xbfbfx5)};mxWindow[_0xb337[202]][_0xb337[161]]=function(_0xbfbfx2){this[_0xb337[800]][_0xb337[124]][_0xb337[495]]=_0xbfbfx2?_0xb337[110]:_0xb337[130]};mxWindow[_0xb337[202]][_0xb337[906]]=function(){this[_0xb337[800]]=document[_0xb337[55]](_0xb337[466]);this[_0xb337[800]][_0xb337[57]](_0xb337[390],this[_0xb337[919]]);this[_0xb337[800]][_0xb337[57]](_0xb337[611],_0xb337[699]);this[_0xb337[800]][_0xb337[57]](_0xb337[924],_0xb337[944]);this[_0xb337[800]][_0xb337[124]][_0xb337[270]]=_0xb337[583];this[_0xb337[800]][_0xb337[124]][_0xb337[945]]=_0xb337[942];this[_0xb337[800]][_0xb337[124]][_0xb337[270]]=_0xb337[356];this[_0xb337[800]][_0xb337[124]][_0xb337[495]]=_0xb337[130];this[_0xb337[924]][_0xb337[62]](this[_0xb337[800]]);var _0xbfbfx2=!1,_0xbfbfx3=null,_0xbfbfx4=null,_0xbfbfx5=null,_0xbfbfx9=null,_0xbfbfxa=mxUtils[_0xb337[885]](this,function(_0xbfbfxa){this[_0xb337[790]]();if(_0xb337[130]!=this[_0xb337[800]][_0xb337[124]][_0xb337[495]]){if(_0xbfbfx2){_0xbfbfx2= !1;this[_0xb337[800]][_0xb337[57]](_0xb337[390],this[_0xb337[919]]);this[_0xb337[800]][_0xb337[57]](_0xb337[924],_0xb337[944]);this[_0xb337[929]][_0xb337[124]][_0xb337[495]]=_0xb337[110];this[_0xb337[798]][_0xb337[124]][_0xb337[187]]=_0xb337[110];this[_0xb337[485]][_0xb337[124]][_0xb337[361]]=_0xbfbfx3+_0xb337[168];this[_0xb337[485]][_0xb337[124]][_0xb337[125]]=_0xbfbfx4+_0xb337[168];if(!mxClient[_0xb337[80]]&&(this[_0xb337[485]][_0xb337[124]][_0xb337[119]]=_0xbfbfx5,this[_0xb337[485]][_0xb337[124]][_0xb337[117]]=_0xbfbfx9,_0xbfbfx13=mxUtils[_0xb337[275]](this[_0xb337[929]]),_0xb337[278]==_0xbfbfx13[_0xb337[277]]||null!=this[_0xb337[129]])){this[_0xb337[929]][_0xb337[124]][_0xb337[119]]=this[_0xb337[485]][_0xb337[167]]-this[_0xb337[924]][_0xb337[167]]-2+_0xb337[168]};this[_0xb337[116]][_0xb337[124]][_0xb337[119]]=_0xbfbfx5;this[_0xb337[116]][_0xb337[124]][_0xb337[117]]=_0xbfbfx9;null!=this[_0xb337[129]]&&(this[_0xb337[129]][_0xb337[124]][_0xb337[187]]=_0xb337[110]);this[_0xb337[746]]( new mxEventObject(mxEvent.NORMALIZE,_0xb337[763],_0xbfbfxa))}else {_0xbfbfx2= !0;this[_0xb337[800]][_0xb337[57]](_0xb337[390],this[_0xb337[917]]);this[_0xb337[800]][_0xb337[57]](_0xb337[924],_0xb337[943]);this[_0xb337[929]][_0xb337[124]][_0xb337[495]]=_0xb337[110];this[_0xb337[798]][_0xb337[124]][_0xb337[187]]=_0xb337[188];_0xbfbfx3=parseInt(this[_0xb337[485]][_0xb337[124]][_0xb337[361]]);_0xbfbfx4=parseInt(this[_0xb337[485]][_0xb337[124]][_0xb337[125]]);_0xbfbfx5=this[_0xb337[116]][_0xb337[124]][_0xb337[119]];_0xbfbfx9=this[_0xb337[116]][_0xb337[124]][_0xb337[117]];this[_0xb337[485]][_0xb337[124]][_0xb337[361]]=_0xb337[946];this[_0xb337[485]][_0xb337[124]][_0xb337[125]]=_0xb337[946];mxClient[_0xb337[80]]||(this[_0xb337[485]][_0xb337[124]][_0xb337[119]]=document[_0xb337[112]][_0xb337[157]]-2+_0xb337[168],this[_0xb337[485]][_0xb337[124]][_0xb337[117]]=document[_0xb337[112]][_0xb337[159]]-2+_0xb337[168]);this[_0xb337[116]][_0xb337[124]][_0xb337[117]]=document[_0xb337[112]][_0xb337[159]]-2+_0xb337[168];this[_0xb337[116]][_0xb337[124]][_0xb337[119]]=document[_0xb337[112]][_0xb337[157]]-2+_0xb337[168];null!=this[_0xb337[129]]&&(this[_0xb337[129]][_0xb337[124]][_0xb337[187]]=_0xb337[188]);if(!mxClient[_0xb337[80]]){var _0xbfbfx13=mxUtils[_0xb337[275]](this[_0xb337[929]]);if(_0xb337[278]==_0xbfbfx13[_0xb337[277]]||null!=this[_0xb337[129]]){this[_0xb337[929]][_0xb337[124]][_0xb337[119]]=this[_0xb337[485]][_0xb337[167]]-this[_0xb337[924]][_0xb337[167]]-2+_0xb337[168]}};this[_0xb337[746]]( new mxEventObject(mxEvent.MAXIMIZE,_0xb337[763],_0xbfbfxa))};mxEvent[_0xb337[722]](_0xbfbfxa)}});mxEvent[_0xb337[759]](this[_0xb337[800]],_0xbfbfxa);mxEvent[_0xb337[169]](this[_0xb337[924]],_0xb337[760],_0xbfbfxa)};mxWindow[_0xb337[202]][_0xb337[911]]=function(){this[_0xb337[924]][_0xb337[124]][_0xb337[270]]=_0xb337[582];mxEvent[_0xb337[759]](this[_0xb337[924]],mxUtils[_0xb337[885]](this,function(_0xbfbfx2){var _0xbfbfx3=mxEvent[_0xb337[731]](_0xbfbfx2),_0xbfbfx4=mxEvent[_0xb337[733]](_0xbfbfx2),_0xbfbfx5=this[_0xb337[730]](),_0xbfbfx9=this[_0xb337[732]](),_0xbfbfxa=mxUtils[_0xb337[885]](this,function(_0xbfbfx2){var _0xbfbfxa=mxEvent[_0xb337[731]](_0xbfbfx2)-_0xbfbfx3,_0xbfbfx12=mxEvent[_0xb337[733]](_0xbfbfx2)-_0xbfbfx4;this[_0xb337[947]](_0xbfbfx5+_0xbfbfxa,_0xbfbfx9+_0xbfbfx12);this[_0xb337[746]]( new mxEventObject(mxEvent.MOVE,_0xb337[763],_0xbfbfx2));mxEvent[_0xb337[722]](_0xbfbfx2)}),_0xbfbfx12=mxUtils[_0xb337[885]](this,function(_0xbfbfx2){mxEvent[_0xb337[938]](document,null,_0xbfbfxa,_0xbfbfx12);this[_0xb337[746]]( new mxEventObject(mxEvent.MOVE_END,_0xb337[763],_0xbfbfx2));mxEvent[_0xb337[722]](_0xbfbfx2)});mxEvent[_0xb337[759]](document,null,_0xbfbfxa,_0xbfbfx12);this[_0xb337[746]]( new mxEventObject(mxEvent.MOVE_START,_0xb337[763],_0xbfbfx2));mxEvent[_0xb337[722]](_0xbfbfx2)}))};mxWindow[_0xb337[202]][_0xb337[947]]=function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[485]][_0xb337[124]][_0xb337[361]]=_0xbfbfx2+_0xb337[168];this[_0xb337[485]][_0xb337[124]][_0xb337[125]]=_0xbfbfx3+_0xb337[168]};mxWindow[_0xb337[202]][_0xb337[730]]=function(){return parseInt(this[_0xb337[485]][_0xb337[124]][_0xb337[361]])};mxWindow[_0xb337[202]][_0xb337[732]]=function(){return parseInt(this[_0xb337[485]][_0xb337[124]][_0xb337[125]])};mxWindow[_0xb337[202]][_0xb337[908]]=function(){this[_0xb337[948]]=document[_0xb337[55]](_0xb337[466]);this[_0xb337[948]][_0xb337[57]](_0xb337[390],this[_0xb337[913]]);this[_0xb337[948]][_0xb337[57]](_0xb337[611],_0xb337[699]);this[_0xb337[948]][_0xb337[57]](_0xb337[924],_0xb337[949]);this[_0xb337[948]][_0xb337[124]][_0xb337[945]]=_0xb337[935];this[_0xb337[948]][_0xb337[124]][_0xb337[270]]=_0xb337[356];this[_0xb337[948]][_0xb337[124]][_0xb337[495]]=_0xb337[130];this[_0xb337[924]][_0xb337[950]](this[_0xb337[948]],this[_0xb337[924]][_0xb337[285]]);mxEvent[_0xb337[759]](this[_0xb337[948]],mxUtils[_0xb337[885]](this,function(_0xbfbfx2){this[_0xb337[746]]( new mxEventObject(mxEvent.CLOSE,_0xb337[763],_0xbfbfx2));this[_0xb337[165]]?this[_0xb337[515]]():this[_0xb337[175]](!1);mxEvent[_0xb337[722]](_0xbfbfx2)}))};mxWindow[_0xb337[202]][_0xb337[951]]=function(_0xbfbfx2){this[_0xb337[618]]=document[_0xb337[55]](_0xb337[466]);this[_0xb337[618]][_0xb337[57]](_0xb337[390],_0xbfbfx2);this[_0xb337[618]][_0xb337[57]](_0xb337[611],_0xb337[361]);this[_0xb337[618]][_0xb337[124]][_0xb337[941]]=_0xb337[952];this[_0xb337[618]][_0xb337[124]][_0xb337[945]]=_0xb337[946];this[_0xb337[618]][_0xb337[124]][_0xb337[953]]=_0xb337[954];this[_0xb337[924]][_0xb337[950]](this[_0xb337[618]],this[_0xb337[924]][_0xb337[285]])};mxWindow[_0xb337[202]][_0xb337[164]]=function(_0xbfbfx2){this[_0xb337[948]][_0xb337[124]][_0xb337[495]]=_0xbfbfx2?_0xb337[110]:_0xb337[130]};mxWindow[_0xb337[202]][_0xb337[174]]=function(){return null!=this[_0xb337[485]]?_0xb337[188]!=this[_0xb337[485]][_0xb337[124]][_0xb337[187]]:!1};mxWindow[_0xb337[202]][_0xb337[175]]=function(_0xbfbfx2){null!=this[_0xb337[485]]&&this[_0xb337[174]]()!=_0xbfbfx2&&(_0xbfbfx2?this[_0xb337[539]]():this[_0xb337[801]]())};mxWindow[_0xb337[202]][_0xb337[539]]=function(){this[_0xb337[485]][_0xb337[124]][_0xb337[187]]=_0xb337[110];this[_0xb337[790]]();var _0xbfbfx2=mxUtils[_0xb337[275]](this[_0xb337[929]]);if(!mxClient[_0xb337[80]]&&(_0xb337[278]==_0xbfbfx2[_0xb337[277]]||null!=this[_0xb337[129]])){this[_0xb337[929]][_0xb337[124]][_0xb337[119]]=this[_0xb337[485]][_0xb337[167]]-this[_0xb337[924]][_0xb337[167]]-2+_0xb337[168]};this[_0xb337[746]]( new mxEventObject(mxEvent.SHOW))};mxWindow[_0xb337[202]][_0xb337[801]]=function(){this[_0xb337[485]][_0xb337[124]][_0xb337[187]]=_0xb337[188];this[_0xb337[746]]( new mxEventObject(mxEvent.HIDE))};mxWindow[_0xb337[202]][_0xb337[515]]=function(){this[_0xb337[746]]( new mxEventObject(mxEvent.DESTROY));null!=this[_0xb337[485]]&&(mxEvent[_0xb337[762]](this[_0xb337[485]]),this[_0xb337[485]][_0xb337[265]][_0xb337[266]](this[_0xb337[485]]),this[_0xb337[485]]=null);this[_0xb337[929]]=this[_0xb337[905]]=this[_0xb337[924]]=null};function mxForm(_0xbfbfx2){this[_0xb337[116]]=document[_0xb337[55]](_0xb337[116]);this[_0xb337[116]][_0xb337[926]]=_0xbfbfx2;this[_0xb337[112]]=document[_0xb337[55]](_0xb337[120]);this[_0xb337[116]][_0xb337[62]](this[_0xb337[112]])}mxForm[_0xb337[202]][_0xb337[116]]=null;mxForm[_0xb337[202]][_0xb337[112]]= !1;mxForm[_0xb337[202]][_0xb337[955]]=function(){return this[_0xb337[116]]};mxForm[_0xb337[202]][_0xb337[956]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=document[_0xb337[55]](_0xb337[121]),_0xbfbfx5=document[_0xb337[55]](_0xb337[122]);_0xbfbfx4[_0xb337[62]](_0xbfbfx5);var _0xbfbfx5=document[_0xb337[55]](_0xb337[122]),_0xbfbfx9=document[_0xb337[55]](_0xb337[172]);mxUtils[_0xb337[53]](_0xbfbfx9,mxResources[_0xb337[203]](_0xb337[957])||_0xb337[958]);_0xbfbfx5[_0xb337[62]](_0xbfbfx9);mxEvent[_0xb337[169]](_0xbfbfx9,_0xb337[173],function(){_0xbfbfx2()});_0xbfbfx9=document[_0xb337[55]](_0xb337[172]);mxUtils[_0xb337[53]](_0xbfbfx9,mxResources[_0xb337[203]](_0xb337[959])||_0xb337[960]);_0xbfbfx5[_0xb337[62]](_0xbfbfx9);mxEvent[_0xb337[169]](_0xbfbfx9,_0xb337[173],function(){_0xbfbfx3()});_0xbfbfx4[_0xb337[62]](_0xbfbfx5);this[_0xb337[112]][_0xb337[62]](_0xbfbfx4)};mxForm[_0xb337[202]][_0xb337[961]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=document[_0xb337[55]](_0xb337[962]);_0xbfbfx4[_0xb337[57]](_0xb337[60],_0xb337[963]);_0xbfbfx4[_0xb337[131]]=_0xbfbfx3;return this[_0xb337[964]](_0xbfbfx2,_0xbfbfx4)};mxForm[_0xb337[202]][_0xb337[965]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=document[_0xb337[55]](_0xb337[962]);_0xbfbfx4[_0xb337[57]](_0xb337[60],_0xb337[966]);this[_0xb337[964]](_0xbfbfx2,_0xbfbfx4);_0xbfbfx3&&(_0xbfbfx4[_0xb337[967]]= !0);return _0xbfbfx4};mxForm[_0xb337[202]][_0xb337[968]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=document[_0xb337[55]](_0xb337[126]);mxClient[_0xb337[133]]&&_0xbfbfx4--;_0xbfbfx5[_0xb337[57]](_0xb337[969],_0xbfbfx4||2);_0xbfbfx5[_0xb337[131]]=_0xbfbfx3;return this[_0xb337[964]](_0xbfbfx2,_0xbfbfx5)};mxForm[_0xb337[202]][_0xb337[970]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=document[_0xb337[55]](_0xb337[804]);null!=_0xbfbfx4&&_0xbfbfx5[_0xb337[57]](_0xb337[803],_0xbfbfx4);_0xbfbfx3&&_0xbfbfx5[_0xb337[57]](_0xb337[971],_0xb337[128]);return this[_0xb337[964]](_0xbfbfx2,_0xbfbfx5)};mxForm[_0xb337[202]][_0xb337[972]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){var _0xbfbfx9=document[_0xb337[55]](_0xb337[973]);mxUtils[_0xb337[171]](_0xbfbfx9,_0xbfbfx3);_0xbfbfx9[_0xb337[57]](_0xb337[131],_0xbfbfx4);_0xbfbfx5&&_0xbfbfx9[_0xb337[57]](_0xb337[974],_0xbfbfx5);_0xbfbfx2[_0xb337[62]](_0xbfbfx9)};mxForm[_0xb337[202]][_0xb337[964]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=document[_0xb337[55]](_0xb337[121]),_0xbfbfx5=document[_0xb337[55]](_0xb337[122]);mxUtils[_0xb337[53]](_0xbfbfx5,_0xbfbfx2);_0xbfbfx4[_0xb337[62]](_0xbfbfx5);_0xbfbfx5=document[_0xb337[55]](_0xb337[122]);_0xbfbfx5[_0xb337[62]](_0xbfbfx3);_0xbfbfx4[_0xb337[62]](_0xbfbfx5);this[_0xb337[112]][_0xb337[62]](_0xbfbfx4);return _0xbfbfx3};function mxImage(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){this[_0xb337[390]]=_0xbfbfx2;this[_0xb337[117]]=_0xbfbfx3;this[_0xb337[119]]=_0xbfbfx4}mxImage[_0xb337[202]][_0xb337[390]]=null;mxImage[_0xb337[202]][_0xb337[117]]=null;mxImage[_0xb337[202]][_0xb337[119]]=null;function mxDivResizer(_0xbfbfx2,_0xbfbfx3){if(_0xb337[485]==_0xbfbfx2[_0xb337[301]][_0xb337[216]]()){null==_0xbfbfx3&&(_0xbfbfx3=window);this[_0xb337[485]]=_0xbfbfx2;var _0xbfbfx4=mxUtils[_0xb337[275]](_0xbfbfx2);null!=_0xbfbfx4&&(this[_0xb337[975]]=_0xb337[278]==_0xbfbfx4[_0xb337[117]],this[_0xb337[976]]=_0xb337[278]==_0xbfbfx4[_0xb337[119]]);mxEvent[_0xb337[169]](_0xbfbfx3,_0xb337[129],mxUtils[_0xb337[885]](this,function(_0xbfbfx2){this[_0xb337[977]]||(this[_0xb337[977]]= !0,this[_0xb337[129]](),this[_0xb337[977]]= !1)}));this[_0xb337[129]]()}}mxDivResizer[_0xb337[202]][_0xb337[975]]= !0;mxDivResizer[_0xb337[202]][_0xb337[976]]= !0;mxDivResizer[_0xb337[202]][_0xb337[977]]= !1;mxDivResizer[_0xb337[202]][_0xb337[129]]=function(){var _0xbfbfx2=this[_0xb337[978]](),_0xbfbfx3=this[_0xb337[979]](),_0xbfbfx4=parseInt(this[_0xb337[485]][_0xb337[124]][_0xb337[361]]),_0xbfbfx5=parseInt(this[_0xb337[485]][_0xb337[124]][_0xb337[699]]),_0xbfbfx9=parseInt(this[_0xb337[485]][_0xb337[124]][_0xb337[125]]),_0xbfbfxa=parseInt(this[_0xb337[485]][_0xb337[124]][_0xb337[553]]);this[_0xb337[975]]&&(!isNaN(_0xbfbfx4)&&!isNaN(_0xbfbfx5)&&0<=_0xbfbfx4&&0<=_0xbfbfx5&&0<_0xbfbfx2-_0xbfbfx5-_0xbfbfx4)&&(this[_0xb337[485]][_0xb337[124]][_0xb337[117]]=_0xbfbfx2-_0xbfbfx5-_0xbfbfx4+_0xb337[168]);this[_0xb337[976]]&&(!isNaN(_0xbfbfx9)&&!isNaN(_0xbfbfxa)&&0<=_0xbfbfx9&&0<=_0xbfbfxa&&0<_0xbfbfx3-_0xbfbfx9-_0xbfbfxa)&&(this[_0xb337[485]][_0xb337[124]][_0xb337[119]]=_0xbfbfx3-_0xbfbfx9-_0xbfbfxa+_0xb337[168])};mxDivResizer[_0xb337[202]][_0xb337[978]]=function(){return document[_0xb337[112]][_0xb337[159]]};mxDivResizer[_0xb337[202]][_0xb337[979]]=function(){return document[_0xb337[112]][_0xb337[157]]};function mxDragSource(_0xbfbfx2,_0xbfbfx3){this[_0xb337[980]]=_0xbfbfx2;this[_0xb337[981]]=_0xbfbfx3;mxEvent[_0xb337[759]](_0xbfbfx2,mxUtils[_0xb337[885]](this,this[_0xb337[787]]))}mxDragSource[_0xb337[202]][_0xb337[980]]=null;mxDragSource[_0xb337[202]][_0xb337[981]]=null;mxDragSource[_0xb337[202]][_0xb337[559]]=null;mxDragSource[_0xb337[202]][_0xb337[982]]=null;mxDragSource[_0xb337[202]][_0xb337[983]]=null;mxDragSource[_0xb337[202]][_0xb337[984]]= !0;mxDragSource[_0xb337[202]][_0xb337[985]]=null;mxDragSource[_0xb337[202]][_0xb337[986]]=null;mxDragSource[_0xb337[202]][_0xb337[987]]=null;mxDragSource[_0xb337[202]][_0xb337[988]]=null;mxDragSource[_0xb337[202]][_0xb337[989]]=null;mxDragSource[_0xb337[202]][_0xb337[561]]= !0;mxDragSource[_0xb337[202]][_0xb337[990]]= !0;mxDragSource[_0xb337[202]][_0xb337[991]]= !0;mxDragSource[_0xb337[202]][_0xb337[563]]= !0;mxDragSource[_0xb337[202]][_0xb337[992]]=100;mxDragSource[_0xb337[202]][_0xb337[993]]=70;mxDragSource[_0xb337[202]][_0xb337[994]]=function(){return this[_0xb337[984]]};mxDragSource[_0xb337[202]][_0xb337[995]]=function(_0xbfbfx2){this[_0xb337[984]]=_0xbfbfx2};mxDragSource[_0xb337[202]][_0xb337[996]]=function(){return this[_0xb337[990]]};mxDragSource[_0xb337[202]][_0xb337[562]]=function(_0xbfbfx2){this[_0xb337[990]]=_0xbfbfx2};mxDragSource[_0xb337[202]][_0xb337[997]]=function(){return this[_0xb337[991]]};mxDragSource[_0xb337[202]][_0xb337[998]]=function(_0xbfbfx2){this[_0xb337[991]]=_0xbfbfx2};mxDragSource[_0xb337[202]][_0xb337[565]]=function(_0xbfbfx2){return null};mxDragSource[_0xb337[202]][_0xb337[564]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){return _0xbfbfx2[_0xb337[999]](_0xbfbfx3,_0xbfbfx4)};mxDragSource[_0xb337[202]][_0xb337[566]]=function(_0xbfbfx2){return this[_0xb337[980]][_0xb337[511]](!0)};mxDragSource[_0xb337[202]][_0xb337[567]]=function(_0xbfbfx2){return null};mxDragSource[_0xb337[202]][_0xb337[787]]=function(_0xbfbfx2){this[_0xb337[984]]&&(!mxEvent[_0xb337[721]](_0xbfbfx2)&&null==this[_0xb337[1000]])&&(this[_0xb337[1001]](_0xbfbfx2),this[_0xb337[1000]]=mxUtils[_0xb337[885]](this,this[_0xb337[788]]),this[_0xb337[1002]]=mxUtils[_0xb337[885]](this,this[_0xb337[789]]),mxEvent[_0xb337[759]](document,null,this[_0xb337[1000]],this[_0xb337[1002]]),mxEvent[_0xb337[722]](_0xbfbfx2,!0,!1))};mxDragSource[_0xb337[202]][_0xb337[1001]]=function(_0xbfbfx2){this[_0xb337[982]]=this[_0xb337[566]](_0xbfbfx2);this[_0xb337[982]][_0xb337[124]][_0xb337[491]]=_0xb337[492];this[_0xb337[982]][_0xb337[124]][_0xb337[931]]=this[_0xb337[992]];mxUtils[_0xb337[254]](this[_0xb337[982]],this[_0xb337[993]])};mxDragSource[_0xb337[202]][_0xb337[1003]]=function(_0xbfbfx2){null!=this[_0xb337[982]]&&(null!=this[_0xb337[982]][_0xb337[265]]&&this[_0xb337[982]][_0xb337[265]][_0xb337[266]](this[_0xb337[982]]),this[_0xb337[982]]=null)};mxDragSource[_0xb337[202]][_0xb337[1004]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=mxEvent[_0xb337[731]](_0xbfbfx3),_0xbfbfx5=mxEvent[_0xb337[733]](_0xbfbfx3),_0xbfbfx9=mxUtils[_0xb337[445]](_0xbfbfx2[_0xb337[526]]),_0xbfbfxa=mxUtils[_0xb337[444]]();return _0xbfbfx4>=_0xbfbfx9[_0xb337[235]]-_0xbfbfxa[_0xb337[235]]&&_0xbfbfx5>=_0xbfbfx9[_0xb337[236]]-_0xbfbfxa[_0xb337[236]]&&_0xbfbfx4<=_0xbfbfx9[_0xb337[235]]-_0xbfbfxa[_0xb337[235]]+_0xbfbfx2[_0xb337[526]][_0xb337[359]]&&_0xbfbfx5<=_0xbfbfx9[_0xb337[236]]-_0xbfbfxa[_0xb337[236]]+_0xbfbfx2[_0xb337[526]][_0xb337[167]]};mxDragSource[_0xb337[202]][_0xb337[788]]=function(_0xbfbfx2){var _0xbfbfx3=this[_0xb337[565]](_0xbfbfx2);null!=_0xbfbfx3&&!this[_0xb337[1004]](_0xbfbfx3,_0xbfbfx2)&&(_0xbfbfx3=null);_0xbfbfx3!=this[_0xb337[985]]&&(null!=this[_0xb337[985]]&&this[_0xb337[1005]](this[_0xb337[985]]),this[_0xb337[985]]=_0xbfbfx3,null!=this[_0xb337[985]]&&this[_0xb337[1006]](this[_0xb337[985]]));null!=this[_0xb337[985]]&&this[_0xb337[1007]](this[_0xb337[985]],_0xbfbfx2);if(null!=this[_0xb337[982]]&&(null==this[_0xb337[983]]||_0xb337[189]!=this[_0xb337[983]][_0xb337[124]][_0xb337[187]])){var _0xbfbfx3=mxEvent[_0xb337[731]](_0xbfbfx2),_0xbfbfx4=mxEvent[_0xb337[733]](_0xbfbfx2);null==this[_0xb337[982]][_0xb337[265]]&&document[_0xb337[112]][_0xb337[62]](this[_0xb337[982]]);this[_0xb337[982]][_0xb337[124]][_0xb337[187]]=_0xb337[189];null!=this[_0xb337[559]]&&(_0xbfbfx3+=this[_0xb337[559]][_0xb337[235]],_0xbfbfx4+=this[_0xb337[559]][_0xb337[236]]);_0xbfbfx3+=document[_0xb337[112]][_0xb337[360]]||document[_0xb337[158]][_0xb337[360]];_0xbfbfx4+=document[_0xb337[112]][_0xb337[190]]||document[_0xb337[158]][_0xb337[190]];this[_0xb337[982]][_0xb337[124]][_0xb337[361]]=_0xbfbfx3+_0xb337[168];this[_0xb337[982]][_0xb337[124]][_0xb337[125]]=_0xbfbfx4+_0xb337[168]}else {null!=this[_0xb337[982]]&&(this[_0xb337[982]][_0xb337[124]][_0xb337[187]]=_0xb337[188])};mxEvent[_0xb337[722]](_0xbfbfx2)};mxDragSource[_0xb337[202]][_0xb337[789]]=function(_0xbfbfx2){if(null!=this[_0xb337[985]]){if(null!=this[_0xb337[987]]&&(null==this[_0xb337[983]]||_0xb337[188]!=this[_0xb337[983]][_0xb337[124]][_0xb337[187]])){var _0xbfbfx3=this[_0xb337[985]][_0xb337[441]][_0xb337[255]],_0xbfbfx4=this[_0xb337[985]][_0xb337[441]][_0xb337[513]];this[_0xb337[1008]](this[_0xb337[985]],_0xbfbfx2,this[_0xb337[986]],this[_0xb337[987]][_0xb337[235]]/_0xbfbfx3-_0xbfbfx4[_0xb337[235]],this[_0xb337[987]][_0xb337[236]]/_0xbfbfx3-_0xbfbfx4[_0xb337[236]])};this[_0xb337[1005]](this[_0xb337[985]])};this[_0xb337[1003]](_0xbfbfx2);mxEvent[_0xb337[938]](document,null,this[_0xb337[1000]],this[_0xb337[1002]]);this[_0xb337[985]]=this[_0xb337[1002]]=this[_0xb337[1000]]=null;mxEvent[_0xb337[722]](_0xbfbfx2)};mxDragSource[_0xb337[202]][_0xb337[1006]]=function(_0xbfbfx2){_0xbfbfx2[_0xb337[1009]]= !0;this[_0xb337[983]]=this[_0xb337[567]](_0xbfbfx2);this[_0xb337[996]]()&&null!=this[_0xb337[983]]&&(this[_0xb337[988]]= new mxGuide(_0xbfbfx2,_0xbfbfx2[_0xb337[1011]][_0xb337[1010]]()));this[_0xb337[563]]&&(this[_0xb337[989]]= new mxCellHighlight(_0xbfbfx2,mxConstants.DROP_TARGET_COLOR))};mxDragSource[_0xb337[202]][_0xb337[1005]]=function(_0xbfbfx2){this[_0xb337[987]]=this[_0xb337[986]]=null;_0xbfbfx2[_0xb337[1009]]= !1;null!=this[_0xb337[983]]&&(null!=this[_0xb337[983]][_0xb337[265]]&&this[_0xb337[983]][_0xb337[265]][_0xb337[266]](this[_0xb337[983]]),this[_0xb337[983]]=null);null!=this[_0xb337[988]]&&(this[_0xb337[988]][_0xb337[515]](),this[_0xb337[988]]=null);null!=this[_0xb337[989]]&&(this[_0xb337[989]][_0xb337[515]](),this[_0xb337[989]]=null)};mxDragSource[_0xb337[202]][_0xb337[1007]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=mxUtils[_0xb337[445]](_0xbfbfx2[_0xb337[526]]),_0xbfbfx5=mxUtils[_0xb337[444]](_0xbfbfx2[_0xb337[526]]),_0xbfbfx9=mxEvent[_0xb337[731]](_0xbfbfx3)-_0xbfbfx4[_0xb337[235]]+_0xbfbfx5[_0xb337[235]],_0xbfbfx4=mxEvent[_0xb337[733]](_0xbfbfx3)-_0xbfbfx4[_0xb337[236]]+_0xbfbfx5[_0xb337[236]];_0xbfbfx2[_0xb337[1012]]&&(null==this[_0xb337[561]]||this[_0xb337[561]])&&_0xbfbfx2[_0xb337[1014]](_0xbfbfx9,_0xbfbfx4,_0xbfbfx2[_0xb337[1013]]);null!=this[_0xb337[989]]&&_0xbfbfx2[_0xb337[1015]]()&&(this[_0xb337[986]]=this[_0xb337[564]](_0xbfbfx2,_0xbfbfx9,_0xbfbfx4),_0xbfbfx5=_0xbfbfx2[_0xb337[249]]()[_0xb337[248]](this[_0xb337[986]]),this[_0xb337[989]][_0xb337[1016]](_0xbfbfx5));if(null!=this[_0xb337[983]]){null==this[_0xb337[983]][_0xb337[265]]&&(_0xbfbfx2[_0xb337[526]][_0xb337[62]](this[_0xb337[983]]),this[_0xb337[983]][_0xb337[124]][_0xb337[931]]=_0xb337[1017],this[_0xb337[983]][_0xb337[124]][_0xb337[491]]=_0xb337[492]);var _0xbfbfx5=this[_0xb337[997]]()&&_0xbfbfx2[_0xb337[1018]](_0xbfbfx3),_0xbfbfxa=!0;if(null!=this[_0xb337[988]]&&this[_0xb337[988]][_0xb337[1019]](_0xbfbfx3)){var _0xbfbfxa=parseInt(this[_0xb337[983]][_0xb337[124]][_0xb337[117]]),_0xbfbfx12=parseInt(this[_0xb337[983]][_0xb337[124]][_0xb337[119]]),_0xbfbfxa= new mxRectangle(0,0,_0xbfbfxa,_0xbfbfx12),_0xbfbfx4= new mxPoint(_0xbfbfx9,_0xbfbfx4),_0xbfbfx4=this[_0xb337[988]][_0xb337[582]](_0xbfbfxa,_0xbfbfx4,_0xbfbfx5),_0xbfbfxa=!1,_0xbfbfx9=_0xbfbfx4[_0xb337[235]],_0xbfbfx4=_0xbfbfx4[_0xb337[236]]}else {if(_0xbfbfx5){var _0xbfbfx5=_0xbfbfx2[_0xb337[441]][_0xb337[255]],_0xbfbfx12=_0xbfbfx2[_0xb337[441]][_0xb337[513]],_0xbfbfx13=_0xbfbfx2[_0xb337[1020]]/2,_0xbfbfx9=(_0xbfbfx2[_0xb337[1021]](_0xbfbfx9/_0xbfbfx5-_0xbfbfx12[_0xb337[235]]-_0xbfbfx13)+_0xbfbfx12[_0xb337[235]])*_0xbfbfx5,_0xbfbfx4=(_0xbfbfx2[_0xb337[1021]](_0xbfbfx4/_0xbfbfx5-_0xbfbfx12[_0xb337[236]]-_0xbfbfx13)+_0xbfbfx12[_0xb337[236]])*_0xbfbfx5}};null!=this[_0xb337[988]]&&_0xbfbfxa&&this[_0xb337[988]][_0xb337[801]]();null!=this[_0xb337[1022]]&&(_0xbfbfx9+=this[_0xb337[1022]][_0xb337[235]],_0xbfbfx4+=this[_0xb337[1022]][_0xb337[236]]);this[_0xb337[983]][_0xb337[124]][_0xb337[361]]=Math[_0xb337[488]](_0xbfbfx9)+_0xb337[168];this[_0xb337[983]][_0xb337[124]][_0xb337[125]]=Math[_0xb337[488]](_0xbfbfx4)+_0xb337[168];this[_0xb337[983]][_0xb337[124]][_0xb337[187]]=_0xb337[189]};this[_0xb337[987]]= new mxPoint(_0xbfbfx9,_0xbfbfx4)};mxDragSource[_0xb337[202]][_0xb337[1008]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){this[_0xb337[981]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9);_0xbfbfx2[_0xb337[526]][_0xb337[393]]()};function mxToolbar(_0xbfbfx2){this[_0xb337[526]]=_0xbfbfx2}mxToolbar[_0xb337[202]]= new mxEventSource;mxToolbar[_0xb337[202]][_0xb337[196]]=mxToolbar;mxToolbar[_0xb337[202]][_0xb337[526]]=null;mxToolbar[_0xb337[202]][_0xb337[984]]= !0;mxToolbar[_0xb337[202]][_0xb337[1023]]= !1;mxToolbar[_0xb337[202]][_0xb337[1024]]= !0;mxToolbar[_0xb337[202]][_0xb337[1025]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){var _0xbfbfx12=document[_0xb337[55]](null!=_0xbfbfx3?_0xb337[466]:_0xb337[172]),_0xbfbfx13=_0xbfbfx9||(null!=_0xbfbfxa?_0xb337[1026]:_0xb337[1027]);_0xbfbfx12[_0xb337[926]]=_0xbfbfx13;_0xbfbfx12[_0xb337[57]](_0xb337[390],_0xbfbfx3);null!=_0xbfbfx2&&(null!=_0xbfbfx3?_0xbfbfx12[_0xb337[57]](_0xb337[924],_0xbfbfx2):mxUtils[_0xb337[53]](_0xbfbfx12,_0xbfbfx2));this[_0xb337[526]][_0xb337[62]](_0xbfbfx12);null!=_0xbfbfx4&&(mxEvent[_0xb337[169]](_0xbfbfx12,_0xb337[173],_0xbfbfx4),mxClient[_0xb337[754]]&&mxEvent[_0xb337[169]](_0xbfbfx12,_0xb337[757],_0xbfbfx4));_0xbfbfx2=mxUtils[_0xb337[885]](this,function(_0xbfbfx2){null!=_0xbfbfx5?_0xbfbfx12[_0xb337[57]](_0xb337[390],_0xbfbfx3):_0xbfbfx12[_0xb337[124]][_0xb337[1028]]=_0xb337[110]});mxEvent[_0xb337[759]](_0xbfbfx12,mxUtils[_0xb337[885]](this,function(_0xbfbfx2){null!=_0xbfbfx5?_0xbfbfx12[_0xb337[57]](_0xb337[390],_0xbfbfx5):_0xbfbfx12[_0xb337[124]][_0xb337[1028]]=_0xb337[579];if(null!=_0xbfbfxa){null==this[_0xb337[1029]]&&(this[_0xb337[1029]]= new mxPopupMenu,this[_0xb337[1029]][_0xb337[176]]());var _0xbfbfx3=this[_0xb337[1030]];this[_0xb337[1029]][_0xb337[1031]]()&&this[_0xb337[1029]][_0xb337[1032]]();_0xbfbfx3!=_0xbfbfx12&&(this[_0xb337[1030]]=_0xbfbfx12,this[_0xb337[1029]][_0xb337[1033]]=_0xbfbfxa,_0xbfbfx3= new mxPoint(_0xbfbfx12[_0xb337[358]],_0xbfbfx12[_0xb337[362]]+_0xbfbfx12[_0xb337[167]]),this[_0xb337[1029]][_0xb337[152]](_0xbfbfx3[_0xb337[235]],_0xbfbfx3[_0xb337[236]],null,_0xbfbfx2),this[_0xb337[1029]][_0xb337[1031]]()&&(_0xbfbfx12[_0xb337[926]]=_0xbfbfx13+_0xb337[1034],this[_0xb337[1029]][_0xb337[1032]]=function(){mxPopupMenu[_0xb337[202]][_0xb337[1032]][_0xb337[183]](this);_0xbfbfx12[_0xb337[926]]=_0xbfbfx13;this[_0xb337[1030]]=null}))}}),null,_0xbfbfx2);mxEvent[_0xb337[169]](_0xbfbfx12,_0xb337[1035],_0xbfbfx2);return _0xbfbfx12};mxToolbar[_0xb337[202]][_0xb337[970]]=function(_0xbfbfx2){var _0xbfbfx3=document[_0xb337[55]](_0xb337[485]);_0xbfbfx3[_0xb337[124]][_0xb337[495]]=_0xb337[497];_0xbfbfx3[_0xb337[926]]=_0xb337[1036];var _0xbfbfx4=document[_0xb337[55]](_0xb337[804]);_0xbfbfx4[_0xb337[926]]=_0xbfbfx2||_0xb337[1037];_0xbfbfx3[_0xb337[62]](_0xbfbfx4);this[_0xb337[526]][_0xb337[62]](_0xbfbfx3);return _0xbfbfx4};mxToolbar[_0xb337[202]][_0xb337[1038]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=document[_0xb337[55]](_0xb337[804]);_0xbfbfx4[_0xb337[926]]=_0xbfbfx3||_0xb337[1037];this[_0xb337[972]](_0xbfbfx4,_0xbfbfx2,null);mxEvent[_0xb337[169]](_0xbfbfx4,_0xb337[826],function(_0xbfbfx2){var _0xbfbfx3=_0xbfbfx4[_0xb337[1040]][_0xbfbfx4[_0xb337[1039]]];_0xbfbfx4[_0xb337[1039]]=0;null!=_0xbfbfx3[_0xb337[1041]]&&_0xbfbfx3[_0xb337[1041]](_0xbfbfx2)});this[_0xb337[526]][_0xb337[62]](_0xbfbfx4);return _0xbfbfx4};mxToolbar[_0xb337[202]][_0xb337[972]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=document[_0xb337[55]](_0xb337[973]);mxUtils[_0xb337[171]](_0xbfbfx5,_0xbfbfx3);_0xb337[279]== typeof _0xbfbfx4?_0xbfbfx5[_0xb337[1041]]=_0xbfbfx4:_0xbfbfx5[_0xb337[57]](_0xb337[131],_0xbfbfx4);_0xbfbfx2[_0xb337[62]](_0xbfbfx5);return _0xbfbfx5};mxToolbar[_0xb337[202]][_0xb337[1042]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){var _0xbfbfxa=document[_0xb337[55]](_0xb337[466]);_0xbfbfxa[_0xb337[1043]]=_0xbfbfx9||_0xb337[1026];_0xbfbfxa[_0xb337[926]]=_0xbfbfxa[_0xb337[1043]];_0xbfbfxa[_0xb337[57]](_0xb337[390],_0xbfbfx3);_0xbfbfxa[_0xb337[1044]]=_0xbfbfx5;null!=_0xbfbfx2&&_0xbfbfxa[_0xb337[57]](_0xb337[924],_0xbfbfx2);mxEvent[_0xb337[169]](_0xbfbfxa,_0xb337[173],mxUtils[_0xb337[885]](this,function(_0xbfbfx2){_0xbfbfx2=this[_0xb337[1045]][_0xb337[1044]];null!=_0xbfbfx2?(this[_0xb337[1045]][_0xb337[1044]]=this[_0xb337[1045]][_0xb337[284]](_0xb337[390]),this[_0xb337[1045]][_0xb337[57]](_0xb337[390],_0xbfbfx2)):this[_0xb337[1045]][_0xb337[926]]=this[_0xb337[1045]][_0xb337[1043]];this[_0xb337[1024]]&&(this[_0xb337[1046]]=_0xbfbfxa);this[_0xb337[1045]]=_0xbfbfxa;_0xbfbfx2=_0xbfbfxa[_0xb337[1044]];null!=_0xbfbfx2?(_0xbfbfxa[_0xb337[1044]]=_0xbfbfxa[_0xb337[284]](_0xb337[390]),_0xbfbfxa[_0xb337[57]](_0xb337[390],_0xbfbfx2)):_0xbfbfxa[_0xb337[926]]=_0xbfbfxa[_0xb337[1043]]+_0xb337[1034];this[_0xb337[746]]( new mxEventObject(mxEvent.SELECT));_0xbfbfx4()}));this[_0xb337[526]][_0xb337[62]](_0xbfbfxa);null==this[_0xb337[1046]]&&(this[_0xb337[1046]]=_0xbfbfxa,this[_0xb337[1047]](_0xbfbfxa),_0xbfbfx4());return _0xbfbfxa};mxToolbar[_0xb337[202]][_0xb337[1048]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){_0xbfbfxa=null!=_0xbfbfxa?_0xbfbfxa:!0;var _0xbfbfx12=document[_0xb337[55]](null!=_0xbfbfx3?_0xb337[466]:_0xb337[172]);_0xbfbfx12[_0xb337[1043]]=_0xbfbfx9||_0xb337[1026];_0xbfbfx12[_0xb337[926]]=_0xbfbfx12[_0xb337[1043]];_0xbfbfx12[_0xb337[57]](_0xb337[390],_0xbfbfx3);_0xbfbfx12[_0xb337[1044]]=_0xbfbfx5;null!=_0xbfbfx2&&_0xbfbfx12[_0xb337[57]](_0xb337[924],_0xbfbfx2);this[_0xb337[984]]&&_0xbfbfxa&&(mxEvent[_0xb337[169]](_0xbfbfx12,_0xb337[173],mxUtils[_0xb337[885]](this,function(_0xbfbfx2){this[_0xb337[1047]](_0xbfbfx12,_0xbfbfx4);this[_0xb337[1023]]= !1})),mxEvent[_0xb337[169]](_0xbfbfx12,_0xb337[760],mxUtils[_0xb337[885]](this,function(_0xbfbfx2){this[_0xb337[1047]](_0xbfbfx12,_0xbfbfx4);this[_0xb337[1023]]= !0})),null==this[_0xb337[1046]]&&(this[_0xb337[1046]]=_0xbfbfx12,this[_0xb337[1049]]=_0xbfbfx4,this[_0xb337[1047]](_0xbfbfx12,_0xbfbfx4)));this[_0xb337[526]][_0xb337[62]](_0xbfbfx12);return _0xbfbfx12};mxToolbar[_0xb337[202]][_0xb337[1047]]=function(_0xbfbfx2,_0xbfbfx3){if(this[_0xb337[1045]]!=_0xbfbfx2){if(null!=this[_0xb337[1045]]){var _0xbfbfx4=this[_0xb337[1045]][_0xb337[1044]];null!=_0xbfbfx4?(this[_0xb337[1045]][_0xb337[1044]]=this[_0xb337[1045]][_0xb337[284]](_0xb337[390]),this[_0xb337[1045]][_0xb337[57]](_0xb337[390],_0xbfbfx4)):this[_0xb337[1045]][_0xb337[926]]=this[_0xb337[1045]][_0xb337[1043]]};this[_0xb337[1045]]=_0xbfbfx2;_0xbfbfx4=this[_0xb337[1045]][_0xb337[1044]];null!=_0xbfbfx4?(this[_0xb337[1045]][_0xb337[1044]]=this[_0xb337[1045]][_0xb337[284]](_0xb337[390]),this[_0xb337[1045]][_0xb337[57]](_0xb337[390],_0xbfbfx4)):this[_0xb337[1045]][_0xb337[926]]=this[_0xb337[1045]][_0xb337[1043]]+_0xb337[1034];this[_0xb337[746]]( new mxEventObject(mxEvent.SELECT,_0xb337[279],_0xbfbfx3))}};mxToolbar[_0xb337[202]][_0xb337[1050]]=function(_0xbfbfx2){(_0xbfbfx2||!this[_0xb337[1023]])&&this[_0xb337[1045]]!=this[_0xb337[1046]]&&this[_0xb337[1047]](this[_0xb337[1046]],this[_0xb337[1049]])};mxToolbar[_0xb337[202]][_0xb337[1051]]=function(_0xbfbfx2){return this[_0xb337[1025]](null,_0xbfbfx2,null)};mxToolbar[_0xb337[202]][_0xb337[1052]]=function(){mxUtils[_0xb337[345]](this[_0xb337[526]])};mxToolbar[_0xb337[202]][_0xb337[1053]]=function(){var _0xbfbfx2=document[_0xb337[55]](_0xb337[1054]);_0xbfbfx2[_0xb337[124]][_0xb337[941]]=_0xb337[1055];_0xbfbfx2[_0xb337[57]](_0xb337[803],_0xb337[500]);this[_0xb337[526]][_0xb337[62]](_0xbfbfx2)};mxToolbar[_0xb337[202]][_0xb337[515]]=function(){mxEvent[_0xb337[762]](this[_0xb337[526]]);this[_0xb337[1045]]=this[_0xb337[1049]]=this[_0xb337[1046]]=this[_0xb337[526]]=null;null!=this[_0xb337[1029]]&&this[_0xb337[1029]][_0xb337[515]]()};function mxSession(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){this[_0xb337[251]]=_0xbfbfx2;this[_0xb337[1056]]=_0xbfbfx3;this[_0xb337[1057]]=_0xbfbfx4;this[_0xb337[1058]]=_0xbfbfx5;null!=_0xbfbfx2&&(this[_0xb337[1059]]= new mxCodec,this[_0xb337[1059]][_0xb337[1060]]=function(_0xbfbfx3){return _0xbfbfx2[_0xb337[736]](_0xbfbfx3)});_0xbfbfx2[_0xb337[169]](mxEvent.NOTIFY,mxUtils[_0xb337[885]](this,function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=_0xbfbfx3[_0xb337[720]](_0xb337[1061]);(null!=_0xbfbfx4&&this[_0xb337[143]]||this[_0xb337[1062]]&&!this[_0xb337[1063]])&&this[_0xb337[827]](_0xb337[1064]+this[_0xb337[1067]](_0xbfbfx4[_0xb337[1065]],_0xbfbfx4[_0xb337[1066]])+_0xb337[1068])}))}mxSession[_0xb337[202]]= new mxEventSource;mxSession[_0xb337[202]][_0xb337[196]]=mxSession;mxSession[_0xb337[202]][_0xb337[251]]=null;mxSession[_0xb337[202]][_0xb337[1056]]=null;mxSession[_0xb337[202]][_0xb337[1057]]=null;mxSession[_0xb337[202]][_0xb337[1058]]=null;mxSession[_0xb337[202]][_0xb337[1059]]=null;mxSession[_0xb337[202]][_0xb337[1069]]=_0xb337[336];mxSession[_0xb337[202]][_0xb337[1070]]= !0;mxSession[_0xb337[202]][_0xb337[1071]]= !0;mxSession[_0xb337[202]][_0xb337[1072]]=0;mxSession[_0xb337[202]][_0xb337[1073]]=0;mxSession[_0xb337[202]][_0xb337[143]]= !1;mxSession[_0xb337[202]][_0xb337[1062]]= !1;mxSession[_0xb337[202]][_0xb337[1063]]= !1;mxSession[_0xb337[202]][_0xb337[1074]]= !1;mxSession[_0xb337[202]][_0xb337[861]]=function(){this[_0xb337[143]]?(this[_0xb337[1062]]= !0,this[_0xb337[746]]( new mxEventObject(mxEvent.CONNECT))):this[_0xb337[1062]]||this[_0xb337[203]](this[_0xb337[1056]],mxUtils[_0xb337[885]](this,function(_0xbfbfx2){this[_0xb337[1062]]= !0;this[_0xb337[746]]( new mxEventObject(mxEvent.CONNECT));this[_0xb337[1075]]()}))};mxSession[_0xb337[202]][_0xb337[809]]=function(){this[_0xb337[1062]]&&!this[_0xb337[1063]]&&(this[_0xb337[1063]]= !0,this[_0xb337[746]]( new mxEventObject(mxEvent.SUSPEND)))};mxSession[_0xb337[202]][_0xb337[810]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){this[_0xb337[1062]]&&this[_0xb337[1063]]&&(this[_0xb337[1063]]= !1,this[_0xb337[746]]( new mxEventObject(mxEvent.RESUME)),this[_0xb337[1074]]||this[_0xb337[1075]]())};mxSession[_0xb337[202]][_0xb337[1076]]=function(_0xbfbfx2){this[_0xb337[1062]]&&(this[_0xb337[1062]]= !1);this[_0xb337[746]]( new mxEventObject(mxEvent.DISCONNECT,_0xb337[1077],_0xbfbfx2))};mxSession[_0xb337[202]][_0xb337[1075]]=function(){this[_0xb337[1062]]&&!this[_0xb337[1063]]&&null!=this[_0xb337[1057]]?(this[_0xb337[1074]]= !0,this[_0xb337[203]](this[_0xb337[1057]],mxUtils[_0xb337[885]](this,function(){this[_0xb337[1075]]()}))):this[_0xb337[1074]]= !1};mxSession[_0xb337[202]][_0xb337[827]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){null!=_0xbfbfx2&&0<_0xbfbfx2[_0xb337[67]]&&(null!=this[_0xb337[1058]]&&(this[_0xb337[143]]?(mxLog[_0xb337[539]](),mxLog[_0xb337[143]](_0xb337[1078]+this[_0xb337[1058]]+_0xb337[1079]+_0xbfbfx2)):(_0xbfbfx2=_0xb337[1080]+_0xbfbfx2+_0xb337[1081],this[_0xb337[1070]]&&(_0xbfbfx2=encodeURIComponent(_0xbfbfx2)),mxUtils[_0xb337[814]](this[_0xb337[1058]],_0xb337[1082]+_0xbfbfx2,_0xbfbfx3,_0xbfbfx4))),this[_0xb337[1072]]+=_0xbfbfx2[_0xb337[67]],this[_0xb337[746]]( new mxEventObject(mxEvent.NOTIFY,_0xb337[863],this[_0xb337[1058]],_0xb337[338],_0xbfbfx2)))};mxSession[_0xb337[202]][_0xb337[203]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){if(_0xb337[82]!= typeof mxUtils){var _0xbfbfx5=mxUtils[_0xb337[885]](this,function(_0xbfbfx2){null!=_0xbfbfx4?_0xbfbfx4(_0xbfbfx2):this[_0xb337[1076]](_0xbfbfx2)});mxUtils[_0xb337[203]](_0xbfbfx2,mxUtils[_0xb337[885]](this,function(_0xbfbfx4){if(_0xb337[82]!= typeof mxUtils){if(_0xbfbfx4[_0xb337[220]]()&&404!=_0xbfbfx4[_0xb337[878]]()){if(this[_0xb337[1073]]+=_0xbfbfx4[_0xb337[221]]()[_0xb337[67]],this[_0xb337[746]]( new mxEventObject(mxEvent.GET,_0xb337[863],_0xbfbfx2,_0xb337[870],_0xbfbfx4)),this[_0xb337[1083]](_0xbfbfx4)){if(0<_0xbfbfx4[_0xb337[221]]()[_0xb337[67]]){var _0xbfbfxa=_0xbfbfx4[_0xb337[874]]();null==_0xbfbfxa?_0xbfbfx5(_0xb337[1084]+_0xbfbfx4[_0xb337[221]]()):this[_0xb337[806]](_0xbfbfxa)};null!=_0xbfbfx3&&_0xbfbfx3(_0xbfbfx4)}}else {_0xbfbfx5(_0xb337[1085])}}}),function(_0xbfbfx2){_0xbfbfx5(_0xb337[1086])})}};mxSession[_0xb337[202]][_0xb337[1083]]=function(_0xbfbfx2){return 0>_0xbfbfx2[_0xb337[221]]()[_0xb337[2]](_0xb337[1087])};mxSession[_0xb337[202]][_0xb337[1067]]=function(_0xbfbfx2,_0xbfbfx3){for(var _0xbfbfx4=_0xb337[110],_0xbfbfx5=_0xbfbfx3?-1:1,_0xbfbfx9=_0xbfbfx3?_0xbfbfx2[_0xb337[67]]-1:0;0<=_0xbfbfx9&&_0xbfbfx9<_0xbfbfx2[_0xb337[67]];_0xbfbfx9+=_0xbfbfx5){var _0xbfbfxa=this[_0xb337[1059]][_0xb337[514]](_0xbfbfx2[_0xbfbfx9]),_0xbfbfx4=_0xbfbfx4+mxUtils[_0xb337[875]](_0xbfbfxa,this[_0xb337[1069]])};return _0xbfbfx4};mxSession[_0xb337[202]][_0xb337[806]]=function(_0xbfbfx2){if(null!=_0xbfbfx2&&_0xbfbfx2[_0xb337[288]]==mxConstants[_0xb337[289]]){var _0xbfbfx3=_0xbfbfx2[_0xb337[284]](_0xb337[1088]);null!=_0xbfbfx3&&(this[_0xb337[251]][_0xb337[1089]]=_0xbfbfx3+_0xb337[213]);for(_0xbfbfx3=_0xbfbfx2[_0xb337[285]];null!=_0xbfbfx3;){var _0xbfbfx4=_0xbfbfx3[_0xb337[301]][_0xb337[216]]();_0xb337[724]==_0xbfbfx4?this[_0xb337[1090]](_0xbfbfx3):_0xb337[1091]==_0xbfbfx4&&this[_0xb337[1092]](_0xbfbfx3);_0xbfbfx3=_0xbfbfx3[_0xb337[287]]};this[_0xb337[746]]( new mxEventObject(mxEvent.RECEIVE,_0xb337[252],_0xbfbfx2))}};mxSession[_0xb337[202]][_0xb337[1090]]=function(_0xbfbfx2){( new mxCodec(_0xbfbfx2[_0xb337[295]]))[_0xb337[1093]](_0xbfbfx2[_0xb337[285]],this[_0xb337[251]])};mxSession[_0xb337[202]][_0xb337[1092]]=function(_0xbfbfx2){for(_0xbfbfx2=_0xbfbfx2[_0xb337[285]];null!=_0xbfbfx2;){_0xb337[1061]==_0xbfbfx2[_0xb337[301]]&&this[_0xb337[1094]](_0xbfbfx2),_0xbfbfx2=_0xbfbfx2[_0xb337[287]]}};mxSession[_0xb337[202]][_0xb337[1094]]=function(_0xbfbfx2){_0xbfbfx2=this[_0xb337[1095]](_0xbfbfx2);if(0<_0xbfbfx2[_0xb337[67]]){var _0xbfbfx3=this[_0xb337[1096]](_0xbfbfx2);this[_0xb337[251]][_0xb337[746]]( new mxEventObject(mxEvent.CHANGE,_0xb337[1061],_0xbfbfx3,_0xb337[1065],_0xbfbfx2));this[_0xb337[251]][_0xb337[746]]( new mxEventObject(mxEvent.UNDO,_0xb337[1061],_0xbfbfx3));this[_0xb337[746]]( new mxEventObject(mxEvent.FIRED,_0xb337[1061],_0xbfbfx3))}};mxSession[_0xb337[202]][_0xb337[1096]]=function(_0xbfbfx2){var _0xbfbfx3= new mxUndoableEdit(this[_0xb337[251]],this[_0xb337[1071]]);_0xbfbfx3[_0xb337[1065]]=_0xbfbfx2;_0xbfbfx3[_0xb337[827]]=function(){_0xbfbfx3[_0xb337[1097]][_0xb337[746]]( new mxEventObject(mxEvent.CHANGE,_0xb337[1061],_0xbfbfx3,_0xb337[1065],_0xbfbfx3[_0xb337[1065]]));_0xbfbfx3[_0xb337[1097]][_0xb337[746]]( new mxEventObject(mxEvent.NOTIFY,_0xb337[1061],_0xbfbfx3,_0xb337[1065],_0xbfbfx3[_0xb337[1065]]))};return _0xbfbfx3};mxSession[_0xb337[202]][_0xb337[1095]]=function(_0xbfbfx2){this[_0xb337[1059]][_0xb337[395]]=_0xbfbfx2[_0xb337[295]];var _0xbfbfx3=[];for(_0xbfbfx2=_0xbfbfx2[_0xb337[285]];null!=_0xbfbfx2;){var _0xbfbfx4=this[_0xb337[1098]](_0xbfbfx2);null!=_0xbfbfx4&&_0xbfbfx3[_0xb337[207]](_0xbfbfx4);_0xbfbfx2=_0xbfbfx2[_0xb337[287]]};return _0xbfbfx3};mxSession[_0xb337[202]][_0xb337[1098]]=function(_0xbfbfx2){var _0xbfbfx3=null;_0xbfbfx2[_0xb337[288]]==mxConstants[_0xb337[289]]&&(_0xbfbfx3=_0xb337[1099]==_0xbfbfx2[_0xb337[301]]?( new mxCodec(_0xbfbfx2[_0xb337[295]]))[_0xb337[1093]](_0xbfbfx2):this[_0xb337[1059]][_0xb337[1093]](_0xbfbfx2),null!=_0xbfbfx3&&(_0xbfbfx3[_0xb337[251]]=this[_0xb337[251]],_0xbfbfx3[_0xb337[350]](),_0xb337[1100]==_0xbfbfx2[_0xb337[301]]&&null==_0xbfbfx3[_0xb337[1101]]&&this[_0xb337[1102]](_0xbfbfx3[_0xb337[247]])));return _0xbfbfx3};mxSession[_0xb337[202]][_0xb337[1102]]=function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[1059]][_0xb337[1104]](_0xbfbfx2[_0xb337[1103]](),_0xbfbfx2);for(var _0xbfbfx4=this[_0xb337[251]][_0xb337[262]](_0xbfbfx2),_0xbfbfx5=0;_0xbfbfx5<_0xbfbfx4;_0xbfbfx5++){this[_0xb337[1102]](this[_0xb337[251]][_0xb337[263]](_0xbfbfx2,_0xbfbfx5))}};function mxUndoableEdit(_0xbfbfx2,_0xbfbfx3){this[_0xb337[1097]]=_0xbfbfx2;this[_0xb337[1065]]=[];this[_0xb337[1105]]=null!=_0xbfbfx3?_0xbfbfx3:!0}mxUndoableEdit[_0xb337[202]][_0xb337[1097]]=null;mxUndoableEdit[_0xb337[202]][_0xb337[1065]]=null;mxUndoableEdit[_0xb337[202]][_0xb337[1105]]=null;mxUndoableEdit[_0xb337[202]][_0xb337[1066]]= !1;mxUndoableEdit[_0xb337[202]][_0xb337[1106]]= !1;mxUndoableEdit[_0xb337[202]][_0xb337[1107]]=function(){return 0==this[_0xb337[1065]][_0xb337[67]]};mxUndoableEdit[_0xb337[202]][_0xb337[1108]]=function(){return this[_0xb337[1105]]};mxUndoableEdit[_0xb337[202]][_0xb337[99]]=function(_0xbfbfx2){this[_0xb337[1065]][_0xb337[207]](_0xbfbfx2)};mxUndoableEdit[_0xb337[202]][_0xb337[827]]=function(){};mxUndoableEdit[_0xb337[202]][_0xb337[1109]]=function(){};mxUndoableEdit[_0xb337[202]][_0xb337[824]]=function(){if(!this[_0xb337[1066]]){this[_0xb337[1097]][_0xb337[746]]( new mxEventObject(mxEvent.START_EDIT));for(var _0xbfbfx2=this[_0xb337[1065]][_0xb337[67]]-1;0<=_0xbfbfx2;_0xbfbfx2--){var _0xbfbfx3=this[_0xb337[1065]][_0xbfbfx2];null!=_0xbfbfx3[_0xb337[350]]?_0xbfbfx3[_0xb337[350]]():null!=_0xbfbfx3[_0xb337[824]]&&_0xbfbfx3[_0xb337[824]]();this[_0xb337[1097]][_0xb337[746]]( new mxEventObject(mxEvent.EXECUTED,_0xb337[826],_0xbfbfx3))};this[_0xb337[1066]]= !0;this[_0xb337[1106]]= !1;this[_0xb337[1097]][_0xb337[746]]( new mxEventObject(mxEvent.END_EDIT))};this[_0xb337[827]]()};mxUndoableEdit[_0xb337[202]][_0xb337[825]]=function(){if(!this[_0xb337[1106]]){this[_0xb337[1097]][_0xb337[746]]( new mxEventObject(mxEvent.START_EDIT));for(var _0xbfbfx2=this[_0xb337[1065]][_0xb337[67]],_0xbfbfx3=0;_0xbfbfx3<_0xbfbfx2;_0xbfbfx3++){var _0xbfbfx4=this[_0xb337[1065]][_0xbfbfx3];null!=_0xbfbfx4[_0xb337[350]]?_0xbfbfx4[_0xb337[350]]():null!=_0xbfbfx4[_0xb337[825]]&&_0xbfbfx4[_0xb337[825]]();this[_0xb337[1097]][_0xb337[746]]( new mxEventObject(mxEvent.EXECUTED,_0xb337[826],_0xbfbfx4))};this[_0xb337[1066]]= !1;this[_0xb337[1106]]= !0;this[_0xb337[1097]][_0xb337[746]]( new mxEventObject(mxEvent.END_EDIT))};this[_0xb337[827]]()};function mxUndoManager(_0xbfbfx2){this[_0xb337[803]]=null!=_0xbfbfx2?_0xbfbfx2:100;this[_0xb337[200]]()}mxUndoManager[_0xb337[202]]= new mxEventSource;mxUndoManager[_0xb337[202]][_0xb337[196]]=mxUndoManager;mxUndoManager[_0xb337[202]][_0xb337[803]]=null;mxUndoManager[_0xb337[202]][_0xb337[1110]]=null;mxUndoManager[_0xb337[202]][_0xb337[1111]]=0;mxUndoManager[_0xb337[202]][_0xb337[1107]]=function(){return 0==this[_0xb337[1110]][_0xb337[67]]};mxUndoManager[_0xb337[202]][_0xb337[200]]=function(){this[_0xb337[1110]]=[];this[_0xb337[1111]]=0;this[_0xb337[746]]( new mxEventObject(mxEvent.CLEAR))};mxUndoManager[_0xb337[202]][_0xb337[1112]]=function(){return 0this[_0xb337[1111]]){for(var _0xbfbfx2=this[_0xb337[1110]][_0xb337[300]](this[_0xb337[1111]],this[_0xb337[1110]][_0xb337[67]]-this[_0xb337[1111]]),_0xbfbfx3=0;_0xbfbfx3<_0xbfbfx2[_0xb337[67]];_0xbfbfx3++){_0xbfbfx2[_0xbfbfx3][_0xb337[1109]]()}}};var mxUrlConverter=function(_0xbfbfx2){var _0xbfbfx3=!0,_0xbfbfx4=null,_0xbfbfx5=null;return {isEnabled:function(){return _0xbfbfx3},setEnabled:function(_0xbfbfx2){_0xbfbfx3=_0xbfbfx2},getBaseUrl:function(){return _0xbfbfx4},setBaseUrl:function(_0xbfbfx2){_0xbfbfx4=_0xbfbfx2},getBaseDomain:function(){return _0xbfbfx4},setBaseDomain:function(_0xbfbfx2){_0xbfbfx4=_0xbfbfx2},isRelativeUrl:function(_0xbfbfx2){return _0xb337[43]!=_0xbfbfx2[_0xb337[85]](0,7)&&_0xb337[46]!=_0xbfbfx2[_0xb337[85]](0,8)&&_0xb337[1116]!=_0xbfbfx2[_0xb337[85]](0,10)},convert:function(_0xbfbfx2){if(_0xbfbfx3&&this[_0xb337[1117]](_0xbfbfx2)){if(null==_0xbfbfx4){_0xbfbfx5=location[_0xb337[1118]]+_0xb337[1119]+location[_0xb337[1120]];_0xbfbfx4=_0xbfbfx5+location[_0xb337[1121]];var _0xbfbfxa=_0xbfbfx4[_0xb337[1122]](_0xb337[84]);0<_0xbfbfxa&&(_0xbfbfx4=_0xbfbfx4[_0xb337[85]](0,_0xbfbfxa+1))};_0xbfbfx2=_0xb337[84]==_0xbfbfx2[_0xb337[225]](0)?_0xbfbfx5+_0xbfbfx2:_0xbfbfx4+_0xbfbfx2};return _0xbfbfx2}}};function mxPanningManager(_0xbfbfx2){this[_0xb337[1123]]=null;this[_0xb337[1124]]= !1;this[_0xb337[1125]]=this[_0xb337[1126]]=this[_0xb337[1127]]=this[_0xb337[1128]]=this[_0xb337[1129]]=this[_0xb337[1130]]=0;this[_0xb337[1131]]= !1;this[_0xb337[190]]=this[_0xb337[360]]=0;this[_0xb337[1132]]={mouseDown:function(_0xbfbfx2,_0xbfbfx3){},mouseMove:function(_0xbfbfx2,_0xbfbfx3){},mouseUp:mxUtils[_0xb337[885]](this,function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[1124]]&&this[_0xb337[1076]]()})};_0xbfbfx2[_0xb337[1133]](this[_0xb337[1132]]);mxEvent[_0xb337[169]](document,_0xb337[753],mxUtils[_0xb337[885]](this,function(){this[_0xb337[1124]]&&this[_0xb337[1076]]()}));var _0xbfbfx3=mxUtils[_0xb337[885]](this,function(){this[_0xb337[1131]]=mxUtils[_0xb337[1134]](_0xbfbfx2[_0xb337[526]]);this[_0xb337[360]]=_0xbfbfx2[_0xb337[526]][_0xb337[360]];this[_0xb337[190]]=_0xbfbfx2[_0xb337[526]][_0xb337[190]];return window[_0xb337[1141]](mxUtils[_0xb337[885]](this,function(){this[_0xb337[1130]]-=this[_0xb337[1126]];this[_0xb337[1129]]-=this[_0xb337[1125]];if(this[_0xb337[1131]]){var _0xbfbfx3=-_0xbfbfx2[_0xb337[526]][_0xb337[360]]-Math[_0xb337[430]](this[_0xb337[1126]]),_0xbfbfx5=-_0xbfbfx2[_0xb337[526]][_0xb337[190]]-Math[_0xb337[430]](this[_0xb337[1125]]);_0xbfbfx2[_0xb337[1135]](_0xbfbfx3,_0xbfbfx5);_0xbfbfx2[_0xb337[1136]]=this[_0xb337[360]]-_0xbfbfx2[_0xb337[526]][_0xb337[360]];_0xbfbfx2[_0xb337[1137]]=this[_0xb337[190]]-_0xbfbfx2[_0xb337[526]][_0xb337[190]];_0xbfbfx2[_0xb337[746]]( new mxEventObject(mxEvent.PAN))}else {_0xbfbfx2[_0xb337[1135]](this[_0xb337[1138]](),this[_0xb337[1139]]())}}),this[_0xb337[1140]])});this[_0xb337[1142]]=function(){return active};this[_0xb337[1138]]=function(){return Math[_0xb337[488]](this[_0xb337[1130]])};this[_0xb337[1139]]=function(){return Math[_0xb337[488]](this[_0xb337[1129]])};this[_0xb337[861]]=function(){this[_0xb337[1128]]=_0xbfbfx2[_0xb337[441]][_0xb337[513]][_0xb337[235]];this[_0xb337[1127]]=_0xbfbfx2[_0xb337[441]][_0xb337[513]][_0xb337[236]];this[_0xb337[1124]]= !0};this[_0xb337[1143]]=function(_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){this[_0xb337[1124]]||this[_0xb337[861]]();this[_0xb337[360]]=_0xbfbfx2[_0xb337[526]][_0xb337[360]];this[_0xb337[190]]=_0xbfbfx2[_0xb337[526]][_0xb337[190]];_0xbfbfxa=null!=_0xbfbfxa?_0xbfbfxa:0;var _0xbfbfx12=_0xbfbfx2[_0xb337[526]];this[_0xb337[1126]]=_0xbfbfx4+(null!=_0xbfbfx9?_0xbfbfx9:0)-_0xbfbfx12[_0xb337[360]]-_0xbfbfx12[_0xb337[159]];0>this[_0xb337[1126]]&&Math[_0xb337[425]](this[_0xb337[1126]])this[_0xb337[1125]]&&Math[_0xb337[425]](this[_0xb337[1125]])(_0xbfbfx9[_0xb337[360]]||_0xbfbfxa[_0xb337[360]])+(_0xbfbfx9[_0xb337[159]]||_0xbfbfxa[_0xb337[159]])){_0xbfbfx3[_0xb337[485]][_0xb337[124]][_0xb337[361]]=_0xbfbfx2[_0xb337[485]][_0xb337[358]]-_0xbfbfx5+(mxClient[_0xb337[80]]?6:-6)+_0xb337[168]};mxUtils[_0xb337[933]](_0xbfbfx3[_0xb337[485]])}};mxPopupMenu[_0xb337[202]][_0xb337[1051]]=function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx2=_0xbfbfx2||this;if(this[_0xb337[1154]]&& !_0xbfbfx3){_0xbfbfx2[_0xb337[1159]]= !0}else {if(null!=_0xbfbfx2[_0xb337[120]]){_0xbfbfx2[_0xb337[1159]]= !1;var _0xbfbfx4=document[_0xb337[55]](_0xb337[121]),_0xbfbfx5=document[_0xb337[55]](_0xb337[122]);_0xbfbfx5[_0xb337[926]]=_0xb337[1162];_0xbfbfx5[_0xb337[124]][_0xb337[549]]=_0xb337[1172];_0xbfbfx4[_0xb337[62]](_0xbfbfx5);_0xbfbfx5=document[_0xb337[55]](_0xb337[122]);_0xbfbfx5[_0xb337[124]][_0xb337[549]]=_0xb337[1172];_0xbfbfx5[_0xb337[57]](_0xb337[1173],_0xb337[1174]);var _0xbfbfx9=document[_0xb337[55]](_0xb337[1054]);_0xbfbfx9[_0xb337[57]](_0xb337[803],_0xb337[500]);_0xbfbfx5[_0xb337[62]](_0xbfbfx9);_0xbfbfx4[_0xb337[62]](_0xbfbfx5);_0xbfbfx2[_0xb337[120]][_0xb337[62]](_0xbfbfx4)}}};mxPopupMenu[_0xb337[202]][_0xb337[152]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){if(null!=this[_0xb337[485]]&&null!=this[_0xb337[120]]&&null!=this[_0xb337[1033]]){this[_0xb337[485]][_0xb337[124]][_0xb337[361]]=_0xbfbfx2+_0xb337[168];for(this[_0xb337[485]][_0xb337[124]][_0xb337[125]]=_0xbfbfx3+_0xb337[168];null!=this[_0xb337[120]][_0xb337[285]];){mxEvent[_0xb337[762]](this[_0xb337[120]][_0xb337[285]]),this[_0xb337[120]][_0xb337[266]](this[_0xb337[120]][_0xb337[285]])};this[_0xb337[1152]]=0;this[_0xb337[1033]](this,_0xbfbfx4,_0xbfbfx5);0this[_0xb337[1180]]||this[_0xb337[1183]]>=this[_0xb337[1182]]&&_0xbfbfx2>this[_0xb337[1181]]?(this[_0xb337[815]](),this[_0xb337[862]]()):this[_0xb337[1183]]++};mxAutoSaveManager[_0xb337[202]][_0xb337[862]]=function(){this[_0xb337[1184]]=( new Date)[_0xb337[178]]();this[_0xb337[1183]]=0};mxAutoSaveManager[_0xb337[202]][_0xb337[515]]=function(){this[_0xb337[1178]](null)};function mxAnimation(_0xbfbfx2){this[_0xb337[1140]]=null!=_0xbfbfx2?_0xbfbfx2:20}mxAnimation[_0xb337[202]]= new mxEventSource;mxAnimation[_0xb337[202]][_0xb337[196]]=mxAnimation;mxAnimation[_0xb337[202]][_0xb337[1140]]=null;mxAnimation[_0xb337[202]][_0xb337[1123]]=null;mxAnimation[_0xb337[202]][_0xb337[1185]]=function(){null==this[_0xb337[1123]]&&(this[_0xb337[1123]]=window[_0xb337[1141]](mxUtils[_0xb337[885]](this,this[_0xb337[1186]]),this[_0xb337[1140]]))};mxAnimation[_0xb337[202]][_0xb337[1186]]=function(){this[_0xb337[746]]( new mxEventObject(mxEvent.EXECUTE))};mxAnimation[_0xb337[202]][_0xb337[1187]]=function(){null!=this[_0xb337[1123]]&&(window[_0xb337[1146]](this[_0xb337[1123]]),this[_0xb337[1123]]=null,this[_0xb337[746]]( new mxEventObject(mxEvent.DONE)))};function mxMorphing(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){mxAnimation[_0xb337[239]](this,_0xbfbfx5);this[_0xb337[1179]]=_0xbfbfx2;this[_0xb337[1188]]=null!=_0xbfbfx3?_0xbfbfx3:6;this[_0xb337[1189]]=null!=_0xbfbfx4?_0xbfbfx4:1.5}mxMorphing[_0xb337[202]]= new mxAnimation;mxMorphing[_0xb337[202]][_0xb337[196]]=mxMorphing;mxMorphing[_0xb337[202]][_0xb337[1179]]=null;mxMorphing[_0xb337[202]][_0xb337[1188]]=null;mxMorphing[_0xb337[202]][_0xb337[1190]]=0;mxMorphing[_0xb337[202]][_0xb337[1189]]=null;mxMorphing[_0xb337[202]][_0xb337[895]]=null;mxMorphing[_0xb337[202]][_0xb337[1186]]=function(){var _0xbfbfx2= new mxCellStatePreview(this[_0xb337[1179]]);if(null!=this[_0xb337[895]]){for(var _0xbfbfx3=0;_0xbfbfx3=this[_0xb337[1188]])&&this[_0xb337[1187]]()};mxMorphing[_0xb337[202]][_0xb337[539]]=function(_0xbfbfx2){_0xbfbfx2[_0xb337[539]]()};mxMorphing[_0xb337[202]][_0xb337[1191]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=this[_0xb337[1179]][_0xb337[249]]()[_0xb337[248]](_0xbfbfx2),_0xbfbfx9=null;if(null!=_0xbfbfx5&&(_0xbfbfx9=this[_0xb337[1192]](_0xbfbfx5),this[_0xb337[1179]][_0xb337[502]]()[_0xb337[1193]](_0xbfbfx2)&&(0!=_0xbfbfx9[_0xb337[235]]||0!=_0xbfbfx9[_0xb337[236]]))){var _0xbfbfxa=this[_0xb337[1179]][_0xb337[441]][_0xb337[512]](),_0xbfbfx12=this[_0xb337[1179]][_0xb337[441]][_0xb337[518]]();_0xbfbfx9[_0xb337[235]]+=_0xbfbfxa[_0xb337[235]]*_0xbfbfx12;_0xbfbfx9[_0xb337[236]]+=_0xbfbfxa[_0xb337[236]]*_0xbfbfx12;_0xbfbfx3[_0xb337[1194]](_0xbfbfx5,-_0xbfbfx9[_0xb337[235]]/this[_0xb337[1189]],-_0xbfbfx9[_0xb337[236]]/this[_0xb337[1189]])};if(_0xbfbfx4&&!this[_0xb337[1195]](_0xbfbfx5,_0xbfbfx9)){_0xbfbfx5=this[_0xb337[1179]][_0xb337[502]]()[_0xb337[262]](_0xbfbfx2);for(_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx5;_0xbfbfx9++){this[_0xb337[1191]](this[_0xb337[1179]][_0xb337[502]]()[_0xb337[263]](_0xbfbfx2,_0xbfbfx9),_0xbfbfx3,_0xbfbfx4)}}};mxMorphing[_0xb337[202]][_0xb337[1195]]=function(_0xbfbfx2,_0xbfbfx3){return null!=_0xbfbfx3&&(0!=_0xbfbfx3[_0xb337[235]]||0!=_0xbfbfx3[_0xb337[236]])};mxMorphing[_0xb337[202]][_0xb337[1192]]=function(_0xbfbfx2){var _0xbfbfx3=this[_0xb337[1196]](_0xbfbfx2[_0xb337[246]]),_0xbfbfx4=this[_0xb337[1179]][_0xb337[249]]()[_0xb337[512]](),_0xbfbfx5=this[_0xb337[1179]][_0xb337[249]]()[_0xb337[518]]();_0xbfbfx2= new mxPoint(_0xbfbfx2[_0xb337[235]]/_0xbfbfx5-_0xbfbfx4[_0xb337[235]],_0xbfbfx2[_0xb337[236]]/_0xbfbfx5-_0xbfbfx4[_0xb337[236]]);return new mxPoint((_0xbfbfx3[_0xb337[235]]-_0xbfbfx2[_0xb337[235]])*_0xbfbfx5,(_0xbfbfx3[_0xb337[236]]-_0xbfbfx2[_0xb337[236]])*_0xbfbfx5)};mxMorphing[_0xb337[202]][_0xb337[1196]]=function(_0xbfbfx2){var _0xbfbfx3=null;null!=_0xbfbfx2&&(_0xbfbfx3=this[_0xb337[1196]](this[_0xb337[1179]][_0xb337[502]]()[_0xb337[1197]](_0xbfbfx2)),_0xbfbfx2=this[_0xb337[1179]][_0xb337[1198]](_0xbfbfx2),null!=_0xbfbfx2&&(_0xbfbfx3[_0xb337[235]]+=_0xbfbfx2[_0xb337[235]],_0xbfbfx3[_0xb337[236]]+=_0xbfbfx2[_0xb337[236]]));null==_0xbfbfx3&&(_0xbfbfx3=this[_0xb337[1179]][_0xb337[441]][_0xb337[512]](),_0xbfbfx3= new mxPoint(-_0xbfbfx3[_0xb337[235]],-_0xbfbfx3[_0xb337[236]]));return _0xbfbfx3};function mxImageBundle(_0xbfbfx2){this[_0xb337[1199]]=[];this[_0xb337[1200]]=null!=_0xbfbfx2?_0xbfbfx2:!1}mxImageBundle[_0xb337[202]][_0xb337[1199]]=null;mxImageBundle[_0xb337[202]][_0xb337[1199]]=null;mxImageBundle[_0xb337[202]][_0xb337[1201]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){this[_0xb337[1199]][_0xbfbfx2]={value:_0xbfbfx3,fallback:_0xbfbfx4}};mxImageBundle[_0xb337[202]][_0xb337[1202]]=function(_0xbfbfx2){var _0xbfbfx3=null;null!=_0xbfbfx2&&(_0xbfbfx2=this[_0xb337[1199]][_0xbfbfx2],null!=_0xbfbfx2&&(_0xbfbfx3=this[_0xb337[1200]]?_0xbfbfx2[_0xb337[1203]]:_0xbfbfx2[_0xb337[131]]));return _0xbfbfx3};function mxImageExport(){}mxImageExport[_0xb337[202]][_0xb337[1204]]= !1;mxImageExport[_0xb337[202]][_0xb337[1205]]=function(_0xbfbfx2,_0xbfbfx3){null!=_0xbfbfx2&&(this[_0xb337[1207]](_0xbfbfx2,_0xbfbfx3,this[_0xb337[1206]]),this[_0xb337[1204]]&&this[_0xb337[1207]](_0xbfbfx2,_0xbfbfx3,this[_0xb337[1208]]))};mxImageExport[_0xb337[202]][_0xb337[1207]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){if(null!=_0xbfbfx2){_0xbfbfx4(_0xbfbfx2,_0xbfbfx3);for(var _0xbfbfx5=_0xbfbfx2[_0xb337[441]][_0xb337[1179]],_0xbfbfx9=_0xbfbfx5[_0xb337[251]][_0xb337[262]](_0xbfbfx2[_0xb337[246]]),_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx9;_0xbfbfxa++){var _0xbfbfx12=_0xbfbfx5[_0xb337[441]][_0xb337[248]](_0xbfbfx5[_0xb337[251]][_0xb337[263]](_0xbfbfx2[_0xb337[246]],_0xbfbfxa));this[_0xb337[1207]](_0xbfbfx12,_0xbfbfx3,_0xbfbfx4)}}};mxImageExport[_0xb337[202]][_0xb337[1206]]=function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx2[_0xb337[253]] instanceof mxShape&&(_0xbfbfx3[_0xb337[815]](),_0xbfbfx2[_0xb337[253]][_0xb337[1209]](_0xbfbfx3),_0xbfbfx3[_0xb337[1210]]());null!=_0xbfbfx2[_0xb337[963]]&&(_0xbfbfx3[_0xb337[815]](),_0xbfbfx2[_0xb337[963]][_0xb337[1209]](_0xbfbfx3),_0xbfbfx3[_0xb337[1210]]())};mxImageExport[_0xb337[202]][_0xb337[1208]]=function(_0xbfbfx2,_0xbfbfx3){null!=_0xbfbfx2[_0xb337[1211]]&&_0xbfbfx2[_0xb337[1211]][_0xb337[209]](function(_0xbfbfx2,_0xbfbfx5){_0xbfbfx5 instanceof mxShape&&_0xbfbfx5[_0xb337[1209]](_0xbfbfx3)})};function mxAbstractCanvas2D(){this[_0xb337[1212]]=this[_0xb337[1213]]();this[_0xb337[862]]()}mxAbstractCanvas2D[_0xb337[202]][_0xb337[724]]=null;mxAbstractCanvas2D[_0xb337[202]][_0xb337[1214]]=null;mxAbstractCanvas2D[_0xb337[202]][_0xb337[1215]]=null;mxAbstractCanvas2D[_0xb337[202]][_0xb337[1216]]= !0;mxAbstractCanvas2D[_0xb337[202]][_0xb337[1217]]=0;mxAbstractCanvas2D[_0xb337[202]][_0xb337[1218]]=0;mxAbstractCanvas2D[_0xb337[202]][_0xb337[1219]]=_0xb337[1220];mxAbstractCanvas2D[_0xb337[202]][_0xb337[1221]]=_0xb337[1222];mxAbstractCanvas2D[_0xb337[202]][_0xb337[1223]]=_0xb337[1224];mxAbstractCanvas2D[_0xb337[202]][_0xb337[1225]]=_0xb337[1226];mxAbstractCanvas2D[_0xb337[202]][_0xb337[1227]]=_0xb337[1228];mxAbstractCanvas2D[_0xb337[202]][_0xb337[1229]]= !1;mxAbstractCanvas2D[_0xb337[202]][_0xb337[1213]]=function(){return new mxUrlConverter};mxAbstractCanvas2D[_0xb337[202]][_0xb337[862]]=function(){this[_0xb337[724]]=this[_0xb337[1230]]();this[_0xb337[1214]]=[]};mxAbstractCanvas2D[_0xb337[202]][_0xb337[1230]]=function(){return {dx:0,dy:0,scale:1,alpha:1,fillColor:null,fillAlpha:1,gradientColor:null,gradientAlpha:1,gradientDirection:null,strokeColor:null,strokeWidth:1,dashed:!1,dashPattern:_0xb337[1231],lineCap:_0xb337[1232],lineJoin:_0xb337[1233],miterLimit:10,fontColor:_0xb337[1234],fontBackgroundColor:null,fontBorderColor:null,fontSize:mxConstants[_0xb337[483]],fontFamily:mxConstants[_0xb337[484]],fontStyle:0,shadow:!1,shadowColor:mxConstants[_0xb337[1235]],shadowAlpha:mxConstants[_0xb337[1236]],shadowDx:mxConstants[_0xb337[1237]],shadowDy:mxConstants[_0xb337[1238]],rotation:0,rotationCx:0,rotationCy:0}};mxAbstractCanvas2D[_0xb337[202]][_0xb337[1239]]=function(_0xbfbfx2){return Math[_0xb337[488]](parseFloat(_0xbfbfx2))};mxAbstractCanvas2D[_0xb337[202]][_0xb337[1240]]=function(){if(null!=this[_0xb337[1215]]&&(this[_0xb337[1215]][_0xb337[207]](arguments[0]),2_0xbfbfx4?_0xb337[1367]+_0xbfbfx4:_0xb337[110];_0xbfbfx9=this[_0xb337[55]](_0xb337[1076]);_0xbfbfx9[_0xb337[57]](_0xb337[1368],_0xb337[1366]);_0xbfbfx9[_0xb337[57]](_0xb337[124],_0xb337[1369]+_0xbfbfx2+_0xbfbfx4);_0xbfbfxa[_0xb337[62]](_0xbfbfx9);_0xbfbfx4=1>_0xbfbfx5?_0xb337[1367]+_0xbfbfx5:_0xb337[110];_0xbfbfx9=this[_0xb337[55]](_0xb337[1076]);_0xbfbfx9[_0xb337[57]](_0xb337[1368],_0xb337[118]);_0xbfbfx9[_0xb337[57]](_0xb337[124],_0xb337[1369]+_0xbfbfx3+_0xbfbfx4);_0xbfbfxa[_0xb337[62]](_0xbfbfx9);return _0xbfbfxa};mxSvgCanvas2D[_0xb337[202]][_0xb337[1370]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=this[_0xb337[252]],_0xbfbfx5=this[_0xb337[724]];if(null!=_0xbfbfx4){if(_0xb337[1215]==_0xbfbfx4[_0xb337[301]]){if(null!=this[_0xb337[1215]]&&0_0xbfbfx2[_0xb337[1244]]&&this[_0xb337[252]][_0xb337[57]](_0xb337[1379],_0xbfbfx2[_0xb337[1244]]);null!=_0xbfbfx2[_0xb337[604]]&&(null!=_0xbfbfx2[_0xb337[606]]?(_0xbfbfx2=this[_0xb337[1361]](_0xbfbfx2[_0xb337[604]],_0xbfbfx2[_0xb337[606]],_0xbfbfx2[_0xb337[1247]],_0xbfbfx2[_0xb337[1248]],_0xbfbfx2[_0xb337[607]]),this[_0xb337[252]][_0xb337[57]](_0xb337[1334],_0xb337[1380]+_0xbfbfx2+_0xb337[460])):this[_0xb337[252]][_0xb337[57]](_0xb337[1334],_0xbfbfx2[_0xb337[604]][_0xb337[216]]()))};mxSvgCanvas2D[_0xb337[202]][_0xb337[1374]]=function(){var _0xbfbfx2=this[_0xb337[724]];this[_0xb337[252]][_0xb337[57]](_0xb337[1336],_0xbfbfx2[_0xb337[608]][_0xb337[216]]());1>_0xbfbfx2[_0xb337[1244]]&&this[_0xb337[252]][_0xb337[57]](_0xb337[1381],_0xbfbfx2[_0xb337[1244]]);var _0xbfbfx3=Math[_0xb337[160]](1,this[_0xb337[1239]](_0xbfbfx2[_0xb337[610]]*_0xbfbfx2[_0xb337[255]]));1!=_0xbfbfx3&&this[_0xb337[252]][_0xb337[57]](_0xb337[1382],_0xbfbfx3);_0xb337[1215]==this[_0xb337[252]][_0xb337[301]]&&this[_0xb337[1383]]();_0xbfbfx2[_0xb337[648]]&&this[_0xb337[252]][_0xb337[57]](_0xb337[1384],this[_0xb337[1385]](_0xbfbfx3))};mxSvgCanvas2D[_0xb337[202]][_0xb337[1383]]=function(){var _0xbfbfx2=this[_0xb337[724]];null!=_0xbfbfx2[_0xb337[1256]]&&_0xb337[1233]!=_0xbfbfx2[_0xb337[1256]]&&this[_0xb337[252]][_0xb337[57]](_0xb337[1386],_0xbfbfx2[_0xb337[1256]]);if(null!=_0xbfbfx2[_0xb337[1254]]){var _0xbfbfx3=_0xbfbfx2[_0xb337[1254]];_0xb337[1232]==_0xbfbfx3&&(_0xbfbfx3=_0xb337[1387]);_0xb337[1387]!=_0xbfbfx3&&this[_0xb337[252]][_0xb337[57]](_0xb337[1388],_0xbfbfx3)};null!=_0xbfbfx2[_0xb337[1258]]&&(!this[_0xb337[1341]]||10!=_0xbfbfx2[_0xb337[1258]])&&this[_0xb337[252]][_0xb337[57]](_0xb337[1389],_0xbfbfx2[_0xb337[1258]])};mxSvgCanvas2D[_0xb337[202]][_0xb337[1385]]=function(_0xbfbfx2){var _0xbfbfx3=this[_0xb337[724]][_0xb337[649]][_0xb337[224]](_0xb337[185]),_0xbfbfx4=[];if(0<_0xbfbfx3[_0xb337[67]]){for(var _0xbfbfx5=0;_0xbfbfx5<_0xbfbfx3[_0xb337[67]];_0xbfbfx5++){_0xbfbfx4[_0xbfbfx5]=Number(_0xbfbfx3[_0xbfbfx5])*_0xbfbfx2}};return _0xbfbfx4[_0xb337[234]](_0xb337[185])};mxSvgCanvas2D[_0xb337[202]][_0xb337[1376]]=function(_0xbfbfx2){_0xbfbfx2=_0xbfbfx2[_0xb337[511]](!0);var _0xbfbfx3=parseFloat(_0xbfbfx2[_0xb337[284]](_0xb337[1382])||1)+this[_0xb337[1345]];_0xbfbfx2[_0xb337[57]](_0xb337[1377],_0xb337[1336]);_0xbfbfx2[_0xb337[57]](_0xb337[187],_0xb337[188]);_0xbfbfx2[_0xb337[1390]](_0xb337[1384]);_0xbfbfx2[_0xb337[57]](_0xb337[1382],_0xbfbfx3);_0xbfbfx2[_0xb337[57]](_0xb337[1334],_0xb337[130]);_0xbfbfx2[_0xb337[57]](_0xb337[1336],mxClient[_0xb337[71]]?_0xb337[130]:_0xb337[1391]);return _0xbfbfx2};mxSvgCanvas2D[_0xb337[202]][_0xb337[1375]]=function(_0xbfbfx2){_0xbfbfx2=_0xbfbfx2[_0xb337[511]](!0);var _0xbfbfx3=this[_0xb337[724]];_0xb337[130]!=_0xbfbfx2[_0xb337[284]](_0xb337[1334])&&_0xbfbfx2[_0xb337[57]](_0xb337[1334],_0xbfbfx3[_0xb337[1269]]);_0xb337[130]!=_0xbfbfx2[_0xb337[284]](_0xb337[1336])&&_0xbfbfx2[_0xb337[57]](_0xb337[1336],_0xbfbfx3[_0xb337[1269]]);_0xbfbfx2[_0xb337[57]](_0xb337[535],_0xb337[536]+this[_0xb337[1239]](_0xbfbfx3[_0xb337[1273]]*_0xbfbfx3[_0xb337[255]])+_0xb337[537]+this[_0xb337[1239]](_0xbfbfx3[_0xb337[1274]]*_0xbfbfx3[_0xb337[255]])+_0xb337[460]+(_0xbfbfx3[_0xb337[535]]||_0xb337[110]));_0xbfbfx2[_0xb337[57]](_0xb337[461],_0xbfbfx3[_0xb337[1271]]);return _0xbfbfx2};mxSvgCanvas2D[_0xb337[202]][_0xb337[1294]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){if(0!=_0xbfbfx2||_0xbfbfx3||_0xbfbfx4){var _0xbfbfxa=this[_0xb337[724]];_0xbfbfx5+=_0xbfbfxa[_0xb337[1126]];_0xbfbfx9+=_0xbfbfxa[_0xb337[1125]];_0xbfbfx5*=_0xbfbfxa[_0xb337[255]];_0xbfbfx9*=_0xbfbfxa[_0xb337[255]];_0xbfbfxa[_0xb337[535]]=_0xbfbfxa[_0xb337[535]]||_0xb337[110];if(_0xbfbfx3&&_0xbfbfx4){_0xbfbfx2+=180}else {if(_0xbfbfx3^_0xbfbfx4){var _0xbfbfx12=_0xbfbfx3?_0xbfbfx5:0,_0xbfbfx13=_0xbfbfx3?-1:1,_0xbfbfx14=_0xbfbfx4?_0xbfbfx9:0,_0xbfbfx15=_0xbfbfx4?-1:1;_0xbfbfxa[_0xb337[535]]+=_0xb337[536]+this[_0xb337[1239]](_0xbfbfx12)+_0xb337[537]+this[_0xb337[1239]](_0xbfbfx14)+_0xb337[1392]+this[_0xb337[1239]](_0xbfbfx13)+_0xb337[537]+this[_0xb337[1239]](_0xbfbfx15)+_0xb337[1393]+this[_0xb337[1239]](-_0xbfbfx12)+_0xb337[537]+this[_0xb337[1239]](-_0xbfbfx14)+_0xb337[460]}};if(_0xbfbfx3?!_0xbfbfx4:_0xbfbfx4){_0xbfbfx2*= -1};0!=_0xbfbfx2&&(_0xbfbfxa[_0xb337[535]]+=_0xb337[1394]+this[_0xb337[1239]](_0xbfbfx2)+_0xb337[537]+this[_0xb337[1239]](_0xbfbfx5)+_0xb337[537]+this[_0xb337[1239]](_0xbfbfx9)+_0xb337[460]);_0xbfbfxa[_0xb337[603]]+=_0xbfbfx2;_0xbfbfxa[_0xb337[1395]]=_0xbfbfx5;_0xbfbfxa[_0xb337[1396]]=_0xbfbfx9}};mxSvgCanvas2D[_0xb337[202]][_0xb337[1275]]=function(){mxAbstractCanvas2D[_0xb337[202]][_0xb337[1275]][_0xb337[183]](this,arguments);this[_0xb337[252]]=this[_0xb337[55]](_0xb337[1215])};mxSvgCanvas2D[_0xb337[202]][_0xb337[1319]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){var _0xbfbfx9=this[_0xb337[724]],_0xbfbfxa=this[_0xb337[55]](_0xb337[1319]);_0xbfbfxa[_0xb337[57]](_0xb337[235],this[_0xb337[1239]]((_0xbfbfx2+_0xbfbfx9[_0xb337[1126]])*_0xbfbfx9[_0xb337[255]]));_0xbfbfxa[_0xb337[57]](_0xb337[236],this[_0xb337[1239]]((_0xbfbfx3+_0xbfbfx9[_0xb337[1125]])*_0xbfbfx9[_0xb337[255]]));_0xbfbfxa[_0xb337[57]](_0xb337[117],this[_0xb337[1239]](_0xbfbfx4*_0xbfbfx9[_0xb337[255]]));_0xbfbfxa[_0xb337[57]](_0xb337[119],this[_0xb337[1239]](_0xbfbfx5*_0xbfbfx9[_0xb337[255]]));this[_0xb337[252]]=_0xbfbfxa};mxSvgCanvas2D[_0xb337[202]][_0xb337[1320]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){this[_0xb337[1319]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5);0<_0xbfbfx9&&this[_0xb337[252]][_0xb337[57]](_0xb337[1397],this[_0xb337[1239]](_0xbfbfx9*this[_0xb337[724]][_0xb337[255]]));0<_0xbfbfxa&&this[_0xb337[252]][_0xb337[57]](_0xb337[1398],this[_0xb337[1239]](_0xbfbfxa*this[_0xb337[724]][_0xb337[255]]))};mxSvgCanvas2D[_0xb337[202]][_0xb337[680]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){var _0xbfbfx9=this[_0xb337[724]],_0xbfbfxa=this[_0xb337[55]](_0xb337[680]);_0xbfbfxa[_0xb337[57]](_0xb337[1296],Math[_0xb337[488]]((_0xbfbfx2+_0xbfbfx4/2+_0xbfbfx9[_0xb337[1126]])*_0xbfbfx9[_0xb337[255]]));_0xbfbfxa[_0xb337[57]](_0xb337[1297],Math[_0xb337[488]]((_0xbfbfx3+_0xbfbfx5/2+_0xbfbfx9[_0xb337[1125]])*_0xbfbfx9[_0xb337[255]]));_0xbfbfxa[_0xb337[57]](_0xb337[1397],_0xbfbfx4/2*_0xbfbfx9[_0xb337[255]]);_0xbfbfxa[_0xb337[57]](_0xb337[1398],_0xbfbfx5/2*_0xbfbfx9[_0xb337[255]]);this[_0xb337[252]]=_0xbfbfxa};mxSvgCanvas2D[_0xb337[202]][_0xb337[618]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12,_0xbfbfx13){_0xbfbfx9=this[_0xb337[1212]][_0xb337[1321]](_0xbfbfx9);_0xbfbfxa=null!=_0xbfbfxa?_0xbfbfxa:!0;_0xbfbfx12=null!=_0xbfbfx12?_0xbfbfx12:!1;_0xbfbfx13=null!=_0xbfbfx13?_0xbfbfx13:!1;var _0xbfbfx14=this[_0xb337[724]];_0xbfbfx2+=_0xbfbfx14[_0xb337[1126]];_0xbfbfx3+=_0xbfbfx14[_0xb337[1125]];var _0xbfbfx15=this[_0xb337[55]](_0xb337[618]);_0xbfbfx15[_0xb337[57]](_0xb337[235],this[_0xb337[1239]](_0xbfbfx2*_0xbfbfx14[_0xb337[255]]));_0xbfbfx15[_0xb337[57]](_0xb337[236],this[_0xb337[1239]](_0xbfbfx3*_0xbfbfx14[_0xb337[255]]));_0xbfbfx15[_0xb337[57]](_0xb337[117],this[_0xb337[1239]](_0xbfbfx4*_0xbfbfx14[_0xb337[255]]));_0xbfbfx15[_0xb337[57]](_0xb337[119],this[_0xb337[1239]](_0xbfbfx5*_0xbfbfx14[_0xb337[255]]));null==_0xbfbfx15[_0xb337[1399]]?_0xbfbfx15[_0xb337[57]](_0xb337[1400],_0xbfbfx9):_0xbfbfx15[_0xb337[1399]](mxConstants.NS_XLINK,_0xb337[44],_0xbfbfx9);_0xbfbfxa||_0xbfbfx15[_0xb337[57]](_0xb337[1401],_0xb337[130]);1>_0xbfbfx14[_0xb337[1244]]&&_0xbfbfx15[_0xb337[57]](_0xb337[461],_0xbfbfx14[_0xb337[1244]]);_0xbfbfx9=this[_0xb337[724]][_0xb337[535]]||_0xb337[110];if(_0xbfbfx12||_0xbfbfx13){var _0xbfbfx16=_0xbfbfxa=1,_0xbfbfx17=0,_0xbfbfx18=0;_0xbfbfx12&&(_0xbfbfxa= -1,_0xbfbfx17=-_0xbfbfx4-2*_0xbfbfx2);_0xbfbfx13&&(_0xbfbfx16= -1,_0xbfbfx18=-_0xbfbfx5-2*_0xbfbfx3);_0xbfbfx9+=_0xb337[1402]+_0xbfbfxa+_0xb337[537]+_0xbfbfx16+_0xb337[1393]+_0xbfbfx17+_0xb337[537]+_0xbfbfx18+_0xb337[460]};0<_0xbfbfx9[_0xb337[67]]&&_0xbfbfx15[_0xb337[57]](_0xb337[535],_0xbfbfx9);this[_0xb337[813]][_0xb337[62]](_0xbfbfx15);this[_0xb337[1347]]&&(_0xbfbfx15[_0xb337[57]](_0xb337[124],_0xb337[1403]),_0xbfbfx15=this[_0xb337[55]](_0xb337[1319]),_0xbfbfx15[_0xb337[57]](_0xb337[187],_0xb337[188]),_0xbfbfx15[_0xb337[57]](_0xb337[1377],_0xb337[1334]),_0xbfbfx15[_0xb337[57]](_0xb337[235],this[_0xb337[1239]](_0xbfbfx2*_0xbfbfx14[_0xb337[255]])),_0xbfbfx15[_0xb337[57]](_0xb337[236],this[_0xb337[1239]](_0xbfbfx3*_0xbfbfx14[_0xb337[255]])),_0xbfbfx15[_0xb337[57]](_0xb337[117],this[_0xb337[1239]](_0xbfbfx4*_0xbfbfx14[_0xb337[255]])),_0xbfbfx15[_0xb337[57]](_0xb337[119],this[_0xb337[1239]](_0xbfbfx5*_0xbfbfx14[_0xb337[255]])),this[_0xb337[813]][_0xb337[62]](_0xbfbfx15))};mxSvgCanvas2D[_0xb337[202]][_0xb337[1404]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xbfbfx4=this[_0xb337[724]];_0xbfbfx5=_0xb337[1405]+Math[_0xb337[488]](_0xbfbfx4[_0xb337[487]])+_0xb337[1406]+_0xbfbfx4[_0xb337[486]]+_0xb337[1407]+_0xbfbfx4[_0xb337[665]]+_0xb337[1408]+Math[_0xb337[488]](_0xbfbfx4[_0xb337[487]]*mxConstants[_0xb337[490]])+_0xb337[1409]+_0xbfbfx5;(_0xbfbfx4[_0xb337[666]]&mxConstants[_0xb337[1410]])==mxConstants[_0xb337[1410]]&&(_0xbfbfx5+=_0xb337[1411]);(_0xbfbfx4[_0xb337[666]]&mxConstants[_0xb337[1412]])==mxConstants[_0xb337[1412]]&&(_0xbfbfx5+=_0xb337[1413]);(_0xbfbfx4[_0xb337[666]]&mxConstants[_0xb337[1414]])==mxConstants[_0xb337[1414]]&&(_0xbfbfx5+=_0xb337[1415]);_0xbfbfx3==mxConstants[_0xb337[479]]?_0xbfbfx5+=_0xb337[1416]:_0xbfbfx3==mxConstants[_0xb337[480]]&&(_0xbfbfx5+=_0xb337[1417]);_0xbfbfx3=_0xb337[110];null!=_0xbfbfx4[_0xb337[1261]]&&(_0xbfbfx3+=_0xb337[1418]+_0xbfbfx4[_0xb337[1261]]+_0xb337[471]);null!=_0xbfbfx4[_0xb337[1263]]&&(_0xbfbfx3+=_0xb337[1419]+_0xbfbfx4[_0xb337[1263]]+_0xb337[471]);mxUtils[_0xb337[1331]](_0xbfbfx2)||(_0xbfbfx4=document[_0xb337[55]](_0xb337[126]),_0xbfbfx4[_0xb337[339]]=_0xbfbfx2[_0xb337[230]](/</g,_0xb337[1421])[_0xb337[230]](/>/g,_0xb337[1420])[_0xb337[230]](//g,_0xb337[335]),_0xbfbfx2=_0xbfbfx4[_0xb337[131]],_0xbfbfx9?_0xbfbfx5+=_0xbfbfx3:0<_0xbfbfx3[_0xb337[67]]&&(_0xbfbfx2=_0xb337[1422]+_0xbfbfx3+_0xb337[1423]+_0xbfbfx2+_0xb337[1424]));if(!mxClient[_0xb337[80]]&&document[_0xb337[509]]){return _0xbfbfx9=document[_0xb337[509]](_0xb337[577],_0xb337[485]),_0xbfbfx9[_0xb337[57]](_0xb337[124],_0xbfbfx5),mxUtils[_0xb337[1331]](_0xbfbfx2)?this[_0xb337[813]][_0xb337[295]]!=document?_0xbfbfx9[_0xb337[62]](_0xbfbfx2[_0xb337[511]](!0)):_0xbfbfx9[_0xb337[62]](_0xbfbfx2):_0xbfbfx9[_0xb337[339]]=_0xbfbfx2,_0xbfbfx9};mxUtils[_0xb337[1331]](_0xbfbfx2)&&this[_0xb337[813]][_0xb337[295]]!=document&&(_0xbfbfx2=_0xbfbfx2[_0xb337[342]]);_0xbfbfx2=_0xbfbfx2[_0xb337[230]](/
            /g,_0xb337[1426])[_0xb337[230]](/
            /g,_0xb337[1425]);return mxUtils[_0xb337[877]](_0xb337[1427]+_0xbfbfx5+_0xb337[1423]+_0xbfbfx2+_0xb337[1424])[_0xb337[158]]};mxSvgCanvas2D[_0xb337[202]][_0xb337[963]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12,_0xbfbfx13,_0xbfbfx14,_0xbfbfx15,_0xbfbfx16,_0xbfbfx17){if(this[_0xb337[1285]]&&null!=_0xbfbfx9){_0xbfbfx17=null!=_0xbfbfx17?_0xbfbfx17:0;var _0xbfbfx18=this[_0xb337[724]];_0xbfbfx2+=_0xbfbfx18[_0xb337[1126]];_0xbfbfx3+=_0xbfbfx18[_0xb337[1125]];if(this[_0xb337[1344]]&&_0xb337[1428]==_0xbfbfx14){var _0xbfbfx19=_0xb337[1429];_0xbfbfx16?(_0xbfbfx19+=_0xb337[1430],0<_0xbfbfx5&&(_0xbfbfx19+=_0xb337[1431]+Math[_0xb337[488]](_0xbfbfx5)+_0xb337[1409]),0<_0xbfbfx4&&(_0xbfbfx19+=_0xb337[1432]+Math[_0xb337[488]](_0xbfbfx4)+_0xb337[1409])):_0xbfbfx15&&(_0xbfbfx19+=_0xb337[1432]+Math[_0xb337[488]](_0xbfbfx4)+_0xb337[1409],0<_0xbfbfx5&&(_0xbfbfx19+=_0xb337[1431]+Math[_0xb337[488]](_0xbfbfx5)+_0xb337[1409]));_0xbfbfx13?(!_0xbfbfx16&&0<_0xbfbfx4&&(_0xbfbfx19+=_0xb337[1432]+Math[_0xb337[488]](_0xbfbfx4)+_0xb337[1409]),_0xbfbfx19+=_0xb337[1433]):_0xbfbfx19+=_0xb337[1434];_0xbfbfx13=this[_0xb337[55]](_0xb337[449]);1>_0xbfbfx18[_0xb337[1244]]&&_0xbfbfx13[_0xb337[57]](_0xb337[461],_0xbfbfx18[_0xb337[1244]]);_0xbfbfx14=this[_0xb337[55]](_0xb337[1435]);_0xbfbfx14[_0xb337[57]](_0xb337[1377],_0xb337[1378]);_0xbfbfx19=this[_0xb337[1404]](_0xbfbfx9,_0xbfbfxa,_0xbfbfx12,_0xbfbfx19,_0xbfbfx15);if(null!=_0xbfbfx19){_0xbfbfx13[_0xb337[62]](_0xbfbfx14);this[_0xb337[813]][_0xb337[62]](_0xbfbfx13);var _0xbfbfx1a=0;if(mxClient[_0xb337[80]]&&!mxClient[_0xb337[48]]){var _0xbfbfx1b=document[_0xb337[55]](_0xb337[485]);_0xbfbfx1b[_0xb337[124]][_0xb337[103]]=_0xbfbfx19[_0xb337[284]](_0xb337[124]);_0xbfbfx1b[_0xb337[124]][_0xb337[495]]=mxClient[_0xb337[496]]?_0xb337[497]:_0xb337[498];_0xbfbfx1b[_0xb337[124]][_0xb337[187]]=_0xb337[188];_0xbfbfx1b[_0xb337[339]]=mxUtils[_0xb337[1331]](_0xbfbfx9)?_0xbfbfx9[_0xb337[342]]:_0xbfbfx9;document[_0xb337[112]][_0xb337[62]](_0xbfbfx1b);_0xbfbfx1a=_0xbfbfx1b[_0xb337[359]];_0xbfbfx5=mxClient[_0xb337[496]]&&0<_0xbfbfx5&&_0xbfbfx16?Math[_0xb337[243]](_0xbfbfx5,_0xbfbfx1b[_0xb337[167]]+2):_0xbfbfx1b[_0xb337[167]];_0xbfbfx1b[_0xb337[265]][_0xb337[266]](_0xbfbfx1b);_0xbfbfx14[_0xb337[62]](_0xbfbfx19)}else {this[_0xb337[813]][_0xb337[295]]!=document?(_0xbfbfx19[_0xb337[124]][_0xb337[187]]=_0xb337[188],document[_0xb337[112]][_0xb337[62]](_0xbfbfx19),_0xbfbfx1a=_0xbfbfx19[_0xb337[359]],_0xbfbfx5=_0xbfbfx19[_0xb337[167]],_0xbfbfx14[_0xb337[62]](_0xbfbfx19),_0xbfbfx19[_0xb337[124]][_0xb337[187]]=_0xb337[110]):(_0xbfbfx14[_0xb337[62]](_0xbfbfx19),_0xbfbfx1a=_0xbfbfx19[_0xb337[359]],_0xbfbfx5=_0xbfbfx19[_0xb337[167]])};_0xbfbfx4=_0xbfbfx15?Math[_0xb337[160]](_0xbfbfx4,_0xbfbfx1a):_0xbfbfx1a;1>_0xbfbfx18[_0xb337[1244]]&&_0xbfbfx13[_0xb337[57]](_0xb337[461],_0xbfbfx18[_0xb337[1244]]);_0xbfbfx15=_0xbfbfx9=0;_0xbfbfxa==mxConstants[_0xb337[479]]?_0xbfbfx9-=_0xbfbfx4/2:_0xbfbfxa==mxConstants[_0xb337[480]]&&(_0xbfbfx9-=_0xbfbfx4);_0xbfbfx2+=_0xbfbfx9;_0xbfbfx12==mxConstants[_0xb337[481]]?_0xbfbfx15-=_0xbfbfx5/2:_0xbfbfx12==mxConstants[_0xb337[482]]&&(_0xbfbfx15-=_0xbfbfx5);_0xbfbfx3+=_0xbfbfx15;_0xbfbfxa=1!=_0xbfbfx18[_0xb337[255]]?_0xb337[1402]+_0xbfbfx18[_0xb337[255]]+_0xb337[460]:_0xb337[110];0!=_0xbfbfx18[_0xb337[603]]&&this[_0xb337[1216]]?(_0xbfbfxa+=_0xb337[1394]+_0xbfbfx18[_0xb337[603]]+_0xb337[537]+_0xbfbfx4/2+_0xb337[537]+_0xbfbfx5/2+_0xb337[460],_0xbfbfx3=this[_0xb337[1241]]((_0xbfbfx2+_0xbfbfx4/2)*_0xbfbfx18[_0xb337[255]],(_0xbfbfx3+_0xbfbfx5/2)*_0xbfbfx18[_0xb337[255]],_0xbfbfx18[_0xb337[603]],_0xbfbfx18[_0xb337[1395]],_0xbfbfx18[_0xb337[1396]]),_0xbfbfx2=_0xbfbfx3[_0xb337[235]]-_0xbfbfx4*_0xbfbfx18[_0xb337[255]]/2,_0xbfbfx3=_0xbfbfx3[_0xb337[236]]-_0xbfbfx5*_0xbfbfx18[_0xb337[255]]/2):(_0xbfbfx2*=_0xbfbfx18[_0xb337[255]],_0xbfbfx3*=_0xbfbfx18[_0xb337[255]]);0!=_0xbfbfx17&&(_0xbfbfxa+=_0xb337[1394]+_0xbfbfx17+_0xb337[537]+-_0xbfbfx9+_0xb337[537]+-_0xbfbfx15+_0xb337[460]);_0xbfbfx13[_0xb337[57]](_0xb337[535],_0xb337[536]+Math[_0xb337[488]](_0xbfbfx2)+_0xb337[537]+Math[_0xb337[488]](_0xbfbfx3)+_0xb337[460]+_0xbfbfxa);_0xbfbfx14[_0xb337[57]](_0xb337[117],Math[_0xb337[488]](Math[_0xb337[160]](1,_0xbfbfx4)));_0xbfbfx14[_0xb337[57]](_0xb337[119],Math[_0xb337[488]](Math[_0xb337[160]](1,_0xbfbfx5)))}}else {this[_0xb337[1436]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12,_0xbfbfx13,_0xbfbfx15,_0xbfbfx16,_0xbfbfx17)}}};mxSvgCanvas2D[_0xb337[202]][_0xb337[1437]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){_0xbfbfx2=Math[_0xb337[488]](_0xbfbfx2);_0xbfbfx3=Math[_0xb337[488]](_0xbfbfx3);_0xbfbfx4=Math[_0xb337[488]](_0xbfbfx4);_0xbfbfx5=Math[_0xb337[488]](_0xbfbfx5);for(var _0xbfbfx9=_0xb337[1438]+_0xbfbfx2+_0xb337[213]+_0xbfbfx3+_0xb337[213]+_0xbfbfx4+_0xb337[213]+_0xbfbfx5,_0xbfbfxa=0,_0xbfbfx12=_0xbfbfx9+_0xb337[213]+_0xbfbfxa;null!=document[_0xb337[1362]](_0xbfbfx12);){_0xbfbfx12=_0xbfbfx9+_0xb337[213]+ ++_0xbfbfxa};clip=this[_0xb337[55]](_0xb337[1439]);clip[_0xb337[57]](_0xb337[1363],_0xbfbfx12);_0xbfbfx9=this[_0xb337[55]](_0xb337[1319]);_0xbfbfx9[_0xb337[57]](_0xb337[235],_0xbfbfx2);_0xbfbfx9[_0xb337[57]](_0xb337[236],_0xbfbfx3);_0xbfbfx9[_0xb337[57]](_0xb337[117],_0xbfbfx4);_0xbfbfx9[_0xb337[57]](_0xb337[119],_0xbfbfx5);clip[_0xb337[62]](_0xbfbfx9);return clip};mxSvgCanvas2D[_0xb337[202]][_0xb337[1436]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12,_0xbfbfx13,_0xbfbfx14,_0xbfbfx15,_0xbfbfx16){_0xbfbfx16=null!=_0xbfbfx16?_0xbfbfx16:0;_0xbfbfx13=this[_0xb337[724]];var _0xbfbfx17=Math[_0xb337[488]](_0xbfbfx13[_0xb337[487]]),_0xbfbfx18=this[_0xb337[55]](_0xb337[449]),_0xbfbfx19=_0xbfbfx13[_0xb337[535]]||_0xb337[110];0!=_0xbfbfx16&&(_0xbfbfx19+=_0xb337[1394]+_0xbfbfx16+_0xb337[537]+this[_0xb337[1239]](_0xbfbfx2*_0xbfbfx13[_0xb337[255]])+_0xb337[537]+this[_0xb337[1239]](_0xbfbfx3*_0xbfbfx13[_0xb337[255]])+_0xb337[460]);if(_0xbfbfx15&&0<_0xbfbfx4&&0<_0xbfbfx5){var _0xbfbfx1a=_0xbfbfx2;_0xbfbfx16=_0xbfbfx3;_0xbfbfxa==mxConstants[_0xb337[479]]?_0xbfbfx1a-=_0xbfbfx4/2:_0xbfbfxa==mxConstants[_0xb337[480]]&&(_0xbfbfx1a-=_0xbfbfx4);_0xbfbfx12==mxConstants[_0xb337[481]]?_0xbfbfx16-=_0xbfbfx5/2:_0xbfbfx12==mxConstants[_0xb337[482]]&&(_0xbfbfx16-=_0xbfbfx5);_0xbfbfx16=this[_0xb337[1437]](_0xbfbfx1a*_0xbfbfx13[_0xb337[255]]-2,_0xbfbfx16*_0xbfbfx13[_0xb337[255]]-2,_0xbfbfx4*_0xbfbfx13[_0xb337[255]]+4,_0xbfbfx5*_0xbfbfx13[_0xb337[255]]+4);null!=this[_0xb337[1340]]?this[_0xb337[1340]][_0xb337[62]](_0xbfbfx16):this[_0xb337[813]][_0xb337[62]](_0xbfbfx16);_0xbfbfx18[_0xb337[57]](_0xb337[1440],_0xb337[1380]+_0xbfbfx16[_0xb337[284]](_0xb337[1363])+_0xb337[460])};this[_0xb337[1441]](_0xbfbfx18,_0xbfbfxa);_0xbfbfx16=_0xbfbfxa==mxConstants[_0xb337[480]]?_0xb337[1282]:_0xbfbfxa==mxConstants[_0xb337[479]]?_0xb337[554]:_0xb337[861];_0xb337[861]!=_0xbfbfx16&&_0xbfbfx18[_0xb337[57]](_0xb337[1442],_0xbfbfx16);(!this[_0xb337[1341]]||_0xbfbfx17!=mxConstants[_0xb337[483]])&&_0xbfbfx18[_0xb337[57]](_0xb337[1443],Math[_0xb337[488]](_0xbfbfx17*_0xbfbfx13[_0xb337[255]])+_0xb337[168]);0<_0xbfbfx19[_0xb337[67]]&&_0xbfbfx18[_0xb337[57]](_0xb337[535],_0xbfbfx19);1>_0xbfbfx13[_0xb337[1244]]&&_0xbfbfx18[_0xb337[57]](_0xb337[461],_0xbfbfx13[_0xb337[1244]]);var _0xbfbfx19=_0xbfbfx9[_0xb337[224]](_0xb337[192]),_0xbfbfx1a=Math[_0xb337[488]](_0xbfbfx17*mxConstants[_0xb337[490]]),_0xbfbfx1b=_0xbfbfx17+(_0xbfbfx19[_0xb337[67]]-1)*_0xbfbfx1a;_0xbfbfx16=_0xbfbfx3+_0xbfbfx17-1;_0xbfbfx12==mxConstants[_0xb337[481]]?(_0xbfbfx5=(this[_0xb337[1343]]&&_0xbfbfx15&&0<_0xbfbfx5?Math[_0xb337[243]](_0xbfbfx1b,_0xbfbfx5):_0xbfbfx1b)/2,_0xbfbfx16-=_0xbfbfx5+1):_0xbfbfx12==mxConstants[_0xb337[482]]&&(_0xbfbfx5=this[_0xb337[1343]]&&_0xbfbfx15&&0<_0xbfbfx5?Math[_0xb337[243]](_0xbfbfx1b,_0xbfbfx5):_0xbfbfx1b,_0xbfbfx16-=_0xbfbfx5+2);for(_0xbfbfx5=0;_0xbfbfx5<_0xbfbfx19[_0xb337[67]];_0xbfbfx5++){0<_0xbfbfx19[_0xbfbfx5][_0xb337[67]]&&0_0xbfbfx2[_0xb337[1244]]&&(_0xbfbfx3[_0xb337[461]]=100*_0xbfbfx2[_0xb337[1244]]+_0xb337[229])};return _0xbfbfx3};mxVmlCanvas2D[_0xb337[202]][_0xb337[1465]]=function(){var _0xbfbfx2=this[_0xb337[724]],_0xbfbfx3=document[_0xb337[55]](mxClient[_0xb337[463]]+_0xb337[1477]);_0xbfbfx3[_0xb337[1478]]=_0xbfbfx2[_0xb337[1254]]||_0xb337[1232];_0xbfbfx3[_0xb337[1479]]=_0xbfbfx2[_0xb337[1256]]||_0xb337[1233];_0xbfbfx3[_0xb337[1313]]=_0xbfbfx2[_0xb337[1258]]||_0xb337[1480];1>_0xbfbfx2[_0xb337[1244]]&&(_0xbfbfx3[_0xb337[461]]=100*_0xbfbfx2[_0xb337[1244]]+_0xb337[229]);_0xbfbfx2[_0xb337[648]]&&(_0xbfbfx3[_0xb337[1481]]=this[_0xb337[1482]]());return _0xbfbfx3};mxVmlCanvas2D[_0xb337[202]][_0xb337[1482]]=function(){var _0xbfbfx2=_0xb337[1483];if(null!=this[_0xb337[724]][_0xb337[649]]){var _0xbfbfx3=this[_0xb337[724]][_0xb337[649]][_0xb337[224]](_0xb337[185]);0<_0xbfbfx3[_0xb337[67]]&&1==_0xbfbfx3[0]&&(_0xbfbfx2=_0xb337[1484])};return _0xbfbfx2};mxVmlCanvas2D[_0xb337[202]][_0xb337[1375]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=this[_0xb337[724]],_0xbfbfx9=-_0xbfbfx5[_0xb337[603]]*(Math[_0xb337[424]]/180),_0xbfbfxa=Math[_0xb337[426]](_0xbfbfx9),_0xbfbfx9=Math[_0xb337[427]](_0xbfbfx9),_0xbfbfx12=_0xbfbfx5[_0xb337[1273]]*_0xbfbfx5[_0xb337[255]],_0xbfbfx13=_0xbfbfx5[_0xb337[1274]]*_0xbfbfx5[_0xb337[255]];_0xb337[235]==this[_0xb337[813]][_0xb337[124]][_0xb337[1473]]?_0xbfbfx12*= -1:_0xb337[236]==this[_0xb337[813]][_0xb337[124]][_0xb337[1473]]&&(_0xbfbfx13*= -1);var _0xbfbfx14=_0xbfbfx2[_0xb337[511]](!0);_0xbfbfx14[_0xb337[124]][_0xb337[945]]=Math[_0xb337[488]](_0xbfbfx12*_0xbfbfxa-_0xbfbfx13*_0xbfbfx9)+_0xb337[168];_0xbfbfx14[_0xb337[124]][_0xb337[953]]=Math[_0xb337[488]](_0xbfbfx12*_0xbfbfx9+_0xbfbfx13*_0xbfbfxa)+_0xb337[168];8==document[_0xb337[5]]&&(_0xbfbfx14[_0xb337[1463]]=_0xbfbfx2[_0xb337[1463]],_0xb337[253]==_0xbfbfx2[_0xb337[301]]&&(_0xbfbfx14[_0xb337[1215]]=this[_0xb337[1215]][_0xb337[234]](_0xb337[185])+_0xb337[1461],_0xbfbfx14[_0xb337[124]][_0xb337[117]]=this[_0xb337[813]][_0xb337[124]][_0xb337[117]],_0xbfbfx14[_0xb337[124]][_0xb337[119]]=this[_0xb337[813]][_0xb337[124]][_0xb337[119]],_0xbfbfx14[_0xb337[1462]]=parseInt(_0xbfbfx2[_0xb337[124]][_0xb337[117]])+_0xb337[185]+parseInt(_0xbfbfx2[_0xb337[124]][_0xb337[119]])));_0xbfbfx4?(_0xbfbfx14[_0xb337[1306]]=_0xbfbfx5[_0xb337[1269]],_0xbfbfx14[_0xb337[62]](this[_0xb337[1485]]())):_0xbfbfx14[_0xb337[1464]]=_0xb337[308];_0xbfbfx3?_0xbfbfx14[_0xb337[62]](this[_0xb337[1486]]()):_0xbfbfx14[_0xb337[1468]]=_0xb337[308];return _0xbfbfx14};mxVmlCanvas2D[_0xb337[202]][_0xb337[1486]]=function(){var _0xbfbfx2=document[_0xb337[55]](mxClient[_0xb337[463]]+_0xb337[1469]);_0xbfbfx2[_0xb337[352]]=this[_0xb337[724]][_0xb337[1269]];_0xbfbfx2[_0xb337[461]]=100*this[_0xb337[724]][_0xb337[1244]]*this[_0xb337[724]][_0xb337[1271]]+_0xb337[229];return _0xbfbfx2};mxVmlCanvas2D[_0xb337[202]][_0xb337[1485]]=function(){var _0xbfbfx2=this[_0xb337[1465]]();_0xbfbfx2[_0xb337[461]]=100*this[_0xb337[724]][_0xb337[1244]]*this[_0xb337[724]][_0xb337[1271]]+_0xb337[229];return _0xbfbfx2};mxVmlCanvas2D[_0xb337[202]][_0xb337[1294]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xbfbfx3&&_0xbfbfx4?_0xbfbfx2+=180:_0xbfbfx3?this[_0xb337[813]][_0xb337[124]][_0xb337[1473]]=_0xb337[235]:_0xbfbfx4&&(this[_0xb337[813]][_0xb337[124]][_0xb337[1473]]=_0xb337[236]);if(_0xbfbfx3?!_0xbfbfx4:_0xbfbfx4){_0xbfbfx2*= -1};this[_0xb337[813]][_0xb337[124]][_0xb337[603]]=_0xbfbfx2;this[_0xb337[724]][_0xb337[603]]+=_0xbfbfx2;this[_0xb337[724]][_0xb337[1395]]=_0xbfbfx5;this[_0xb337[724]][_0xb337[1396]]=_0xbfbfx9};mxVmlCanvas2D[_0xb337[202]][_0xb337[1275]]=function(){mxAbstractCanvas2D[_0xb337[202]][_0xb337[1275]][_0xb337[183]](this,arguments);this[_0xb337[252]]=document[_0xb337[55]](mxClient[_0xb337[463]]+_0xb337[1487]);this[_0xb337[252]][_0xb337[124]][_0xb337[491]]=_0xb337[492]};mxVmlCanvas2D[_0xb337[202]][_0xb337[1278]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){var _0xbfbfx9=this[_0xb337[724]],_0xbfbfxa=(this[_0xb337[1217]]+_0xbfbfx9[_0xb337[1126]])*_0xbfbfx9[_0xb337[255]],_0xbfbfx12=(this[_0xb337[1218]]+_0xbfbfx9[_0xb337[1125]])*_0xbfbfx9[_0xb337[255]];_0xbfbfx2=(_0xbfbfx2+_0xbfbfx9[_0xb337[1126]])*_0xbfbfx9[_0xb337[255]];_0xbfbfx3=(_0xbfbfx3+_0xbfbfx9[_0xb337[1125]])*_0xbfbfx9[_0xb337[255]];_0xbfbfx4=(_0xbfbfx4+_0xbfbfx9[_0xb337[1126]])*_0xbfbfx9[_0xb337[255]];_0xbfbfx5=(_0xbfbfx5+_0xbfbfx9[_0xb337[1125]])*_0xbfbfx9[_0xb337[255]];var _0xbfbfx12=_0xbfbfx12+2/3*(_0xbfbfx3-_0xbfbfx12),_0xbfbfx13=_0xbfbfx4+2/3*(_0xbfbfx2-_0xbfbfx4);_0xbfbfx3=_0xbfbfx5+2/3*(_0xbfbfx3-_0xbfbfx5);this[_0xb337[1215]][_0xb337[207]](_0xb337[1488]+this[_0xb337[1239]](_0xbfbfxa+2/3*(_0xbfbfx2-_0xbfbfxa))+_0xb337[185]+this[_0xb337[1239]](_0xbfbfx12)+_0xb337[185]+this[_0xb337[1239]](_0xbfbfx13)+_0xb337[185]+this[_0xb337[1239]](_0xbfbfx3)+_0xb337[185]+this[_0xb337[1239]](_0xbfbfx4)+_0xb337[185]+this[_0xb337[1239]](_0xbfbfx5));this[_0xb337[1217]]=_0xbfbfx4/_0xbfbfx9[_0xb337[255]]-_0xbfbfx9[_0xb337[1126]];this[_0xb337[1218]]=_0xbfbfx5/_0xbfbfx9[_0xb337[255]]-_0xbfbfx9[_0xb337[1125]]};mxVmlCanvas2D[_0xb337[202]][_0xb337[1489]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){var _0xbfbfxa=this[_0xb337[724]];_0xbfbfx2=document[_0xb337[55]](_0xbfbfx2);_0xbfbfx2[_0xb337[124]][_0xb337[491]]=_0xb337[492];_0xbfbfx2[_0xb337[124]][_0xb337[361]]=this[_0xb337[1239]]((_0xbfbfx3+_0xbfbfxa[_0xb337[1126]])*_0xbfbfxa[_0xb337[255]])+_0xb337[168];_0xbfbfx2[_0xb337[124]][_0xb337[125]]=this[_0xb337[1239]]((_0xbfbfx4+_0xbfbfxa[_0xb337[1125]])*_0xbfbfxa[_0xb337[255]])+_0xb337[168];_0xbfbfx2[_0xb337[124]][_0xb337[117]]=this[_0xb337[1239]](_0xbfbfx5*_0xbfbfxa[_0xb337[255]])+_0xb337[168];_0xbfbfx2[_0xb337[124]][_0xb337[119]]=this[_0xb337[1239]](_0xbfbfx9*_0xbfbfxa[_0xb337[255]])+_0xb337[168];return _0xbfbfx2};mxVmlCanvas2D[_0xb337[202]][_0xb337[1319]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){this[_0xb337[252]]=this[_0xb337[1489]](mxClient[_0xb337[463]]+_0xb337[1490],_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5)};mxVmlCanvas2D[_0xb337[202]][_0xb337[1320]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){this[_0xb337[252]]=this[_0xb337[1489]](mxClient[_0xb337[463]]+_0xb337[1491],_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5);this[_0xb337[252]][_0xb337[57]](_0xb337[1492],Math[_0xb337[160]](100*_0xbfbfx9/_0xbfbfx4,100*_0xbfbfxa/_0xbfbfx5)+_0xb337[229])};mxVmlCanvas2D[_0xb337[202]][_0xb337[680]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){this[_0xb337[252]]=this[_0xb337[1489]](mxClient[_0xb337[463]]+_0xb337[1493],_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5)};mxVmlCanvas2D[_0xb337[202]][_0xb337[618]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12,_0xbfbfx13){var _0xbfbfx14=null;_0xbfbfxa?(_0xbfbfx14=this[_0xb337[1489]](mxClient[_0xb337[463]]+_0xb337[1490],_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5),_0xbfbfx14[_0xb337[1464]]=_0xb337[308],_0xbfbfx2=document[_0xb337[55]](mxClient[_0xb337[463]]+_0xb337[1469]),_0xbfbfx2[_0xb337[1322]]=_0xbfbfxa?_0xb337[1494]:_0xb337[1495],_0xbfbfx2[_0xb337[1294]]=_0xb337[128],_0xbfbfx2[_0xb337[60]]=_0xb337[1496],_0xbfbfx2[_0xb337[390]]=_0xbfbfx9,_0xbfbfx14[_0xb337[62]](_0xbfbfx2)):(_0xbfbfx14=this[_0xb337[1489]](mxClient[_0xb337[463]]+_0xb337[464],_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5),_0xbfbfx14[_0xb337[390]]=_0xbfbfx9);_0xbfbfx12&&_0xbfbfx13?_0xbfbfx14[_0xb337[124]][_0xb337[603]]=_0xb337[1497]:_0xbfbfx12?_0xbfbfx14[_0xb337[124]][_0xb337[1473]]=_0xb337[235]:_0xbfbfx13&&(_0xbfbfx14[_0xb337[124]][_0xb337[1473]]=_0xb337[236]);1>this[_0xb337[724]][_0xb337[1244]]&&(_0xbfbfx14[_0xb337[124]][_0xb337[347]]+=_0xb337[459]+100*this[_0xb337[724]][_0xb337[1244]]+_0xb337[460]);this[_0xb337[813]][_0xb337[62]](_0xbfbfx14)};mxVmlCanvas2D[_0xb337[202]][_0xb337[1404]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){_0xbfbfx4=document[_0xb337[55]](_0xb337[485]);var _0xbfbfx9=this[_0xb337[724]],_0xbfbfxa=_0xb337[110];null!=_0xbfbfx9[_0xb337[1261]]&&(_0xbfbfxa+=_0xb337[1418]+_0xbfbfx9[_0xb337[1261]]+_0xb337[471]);null!=_0xbfbfx9[_0xb337[1263]]&&(_0xbfbfxa+=_0xb337[1419]+_0xbfbfx9[_0xb337[1263]]+_0xb337[471]);mxUtils[_0xb337[1331]](_0xbfbfx2)?_0xbfbfx4[_0xb337[62]](_0xbfbfx2):0<_0xbfbfxa[_0xb337[67]]&&!_0xbfbfx5?(_0xbfbfx5=document[_0xb337[55]](_0xb337[485]),_0xbfbfx5[_0xb337[124]][_0xb337[103]]=_0xbfbfxa,_0xbfbfx5[_0xb337[124]][_0xb337[495]]=mxClient[_0xb337[496]]?_0xb337[497]:_0xb337[498],_0xbfbfx5[_0xb337[124]][_0xb337[499]]=_0xb337[500],_0xbfbfx5[_0xb337[339]]=_0xbfbfx2,_0xbfbfx4[_0xb337[62]](_0xbfbfx5)):(_0xbfbfx4[_0xb337[124]][_0xb337[103]]=_0xbfbfxa,_0xbfbfx4[_0xb337[339]]=_0xbfbfx2);_0xbfbfx2=_0xbfbfx4[_0xb337[124]];_0xbfbfx2[_0xb337[487]]=Math[_0xb337[488]](_0xbfbfx9[_0xb337[487]]/this[_0xb337[1460]])+_0xb337[168];_0xbfbfx2[_0xb337[486]]=_0xbfbfx9[_0xb337[486]];_0xbfbfx2[_0xb337[352]]=_0xbfbfx9[_0xb337[665]];_0xbfbfx2[_0xb337[123]]=_0xb337[125];_0xbfbfx2[_0xb337[1165]]=_0xbfbfx3||_0xb337[361];_0xbfbfx2[_0xb337[489]]=Math[_0xb337[488]](_0xbfbfx9[_0xb337[487]]*mxConstants[_0xb337[490]]/this[_0xb337[1460]])+_0xb337[168];(_0xbfbfx9[_0xb337[666]]&mxConstants[_0xb337[1410]])==mxConstants[_0xb337[1410]]&&(_0xbfbfx2[_0xb337[1452]]=_0xb337[1447]);(_0xbfbfx9[_0xb337[666]]&mxConstants[_0xb337[1412]])==mxConstants[_0xb337[1412]]&&(_0xbfbfx2[_0xb337[666]]=_0xb337[1449]);(_0xbfbfx9[_0xb337[666]]&mxConstants[_0xb337[1414]])==mxConstants[_0xb337[1414]]&&(_0xbfbfx2[_0xb337[1498]]=_0xb337[355]);return _0xbfbfx4};mxVmlCanvas2D[_0xb337[202]][_0xb337[963]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12,_0xbfbfx13,_0xbfbfx14,_0xbfbfx15,_0xbfbfx16,_0xbfbfx17){if(this[_0xb337[1285]]&&null!=_0xbfbfx9){var _0xbfbfx18=this[_0xb337[724]];if(_0xb337[1428]==_0xbfbfx14){null!=_0xbfbfx18[_0xb337[603]]&&(_0xbfbfx3=this[_0xb337[1241]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx18[_0xb337[603]],_0xbfbfx18[_0xb337[1395]],_0xbfbfx18[_0xb337[1396]]),_0xbfbfx2=_0xbfbfx3[_0xb337[235]],_0xbfbfx3=_0xbfbfx3[_0xb337[236]]);8==document[_0xb337[5]]?(_0xbfbfx2+=_0xbfbfx18[_0xb337[1126]],_0xbfbfx3+=_0xbfbfx18[_0xb337[1125]]):(_0xbfbfx2*=_0xbfbfx18[_0xb337[255]],_0xbfbfx3*=_0xbfbfx18[_0xb337[255]]);_0xbfbfx14=8==document[_0xb337[5]]?document[_0xb337[55]](mxClient[_0xb337[463]]+_0xb337[1499]):document[_0xb337[55]](_0xb337[485]);_0xbfbfx14[_0xb337[124]][_0xb337[491]]=_0xb337[492];_0xbfbfx14[_0xb337[124]][_0xb337[495]]=_0xb337[497];_0xbfbfx14[_0xb337[124]][_0xb337[361]]=this[_0xb337[1239]](_0xbfbfx2)+_0xb337[168];_0xbfbfx14[_0xb337[124]][_0xb337[125]]=this[_0xb337[1239]](_0xbfbfx3)+_0xb337[168];_0xbfbfx14[_0xb337[124]][_0xb337[499]]=_0xbfbfx18[_0xb337[255]];var _0xbfbfx19=document[_0xb337[55]](_0xb337[485]);_0xbfbfx19[_0xb337[124]][_0xb337[491]]=_0xb337[1500];_0xbfbfx19[_0xb337[124]][_0xb337[495]]=_0xb337[497];var _0xbfbfx1a=mxUtils[_0xb337[1501]](_0xbfbfxa,_0xbfbfx12),_0xbfbfx1b=_0xbfbfx1a[_0xb337[235]],_0xbfbfx1a=_0xbfbfx1a[_0xb337[236]];_0xbfbfx9=this[_0xb337[1404]](_0xbfbfx9,_0xbfbfxa,_0xbfbfx12,_0xbfbfx15);_0xbfbfxa=document[_0xb337[55]](_0xb337[485]);_0xbfbfx13?(!_0xbfbfx16&&0<_0xbfbfx4&&(_0xbfbfx9[_0xb337[124]][_0xb337[117]]=Math[_0xb337[488]](_0xbfbfx4)+_0xb337[168]),_0xbfbfx9[_0xb337[124]][_0xb337[493]]=_0xb337[1502]):_0xbfbfx9[_0xb337[124]][_0xb337[493]]=_0xb337[494];_0xbfbfx13=_0xbfbfx18[_0xb337[603]]+(_0xbfbfx17||0);this[_0xb337[1216]]&&0!=_0xbfbfx13?(_0xbfbfxa[_0xb337[124]][_0xb337[495]]=_0xb337[497],_0xbfbfxa[_0xb337[124]][_0xb337[499]]=_0xb337[500],_0xbfbfxa[_0xb337[62]](_0xbfbfx9),8==document[_0xb337[5]]&&_0xb337[529]!=this[_0xb337[813]][_0xb337[301]]?(_0xbfbfx19[_0xb337[62]](_0xbfbfxa),_0xbfbfx14[_0xb337[62]](_0xbfbfx19)):_0xbfbfx14[_0xb337[62]](_0xbfbfxa)):8==document[_0xb337[5]]?(_0xbfbfx19[_0xb337[62]](_0xbfbfx9),_0xbfbfx14[_0xb337[62]](_0xbfbfx19)):(_0xbfbfx9[_0xb337[124]][_0xb337[495]]=_0xb337[497],_0xbfbfx14[_0xb337[62]](_0xbfbfx9));_0xb337[529]!=this[_0xb337[813]][_0xb337[301]]?(_0xbfbfx17=document[_0xb337[55]](mxClient[_0xb337[463]]+_0xb337[1490]),_0xbfbfx17[_0xb337[1464]]=_0xb337[308],_0xbfbfx17[_0xb337[1468]]=_0xb337[308],_0xbfbfx17[_0xb337[62]](_0xbfbfx14),this[_0xb337[813]][_0xb337[62]](_0xbfbfx17)):this[_0xb337[813]][_0xb337[62]](_0xbfbfx14);_0xbfbfx16?(_0xbfbfx9[_0xb337[124]][_0xb337[277]]=_0xb337[188],0<_0xbfbfx4&&(_0xbfbfx9[_0xb337[124]][_0xb337[117]]=Math[_0xb337[488]](_0xbfbfx4)+_0xb337[168]),0<_0xbfbfx5&&8==document[_0xb337[5]]&&(_0xbfbfx9[_0xb337[124]][_0xb337[1503]]=Math[_0xb337[488]](_0xbfbfx5)+_0xb337[168])):_0xbfbfx15&&(_0xbfbfx9[_0xb337[124]][_0xb337[117]]=_0xbfbfx4+_0xb337[168],0<_0xbfbfx5&&(_0xbfbfx9[_0xb337[124]][_0xb337[1503]]=Math[_0xb337[488]](_0xbfbfx5)+_0xb337[168]));if(this[_0xb337[1216]]&&0!=_0xbfbfx13){_0xbfbfx4=_0xbfbfx13*(Math[_0xb337[424]]/180);_0xbfbfx13=parseFloat(parseFloat(Math[_0xb337[426]](_0xbfbfx4))[_0xb337[1293]](8));_0xbfbfx17=parseFloat(parseFloat(Math[_0xb337[427]](-_0xbfbfx4))[_0xb337[1293]](8));_0xbfbfx4%=2*Math[_0xb337[424]];0>_0xbfbfx4&&(_0xbfbfx4+=2*Math[_0xb337[424]]);_0xbfbfx4%=Math[_0xb337[424]];_0xbfbfx4>Math[_0xb337[424]]/2&&(_0xbfbfx4=Math[_0xb337[424]]-_0xbfbfx4);_0xbfbfx12=Math[_0xb337[426]](_0xbfbfx4);var _0xbfbfx1c=Math[_0xb337[427]](_0xbfbfx4);8==document[_0xb337[5]]&&(_0xbfbfx9[_0xb337[124]][_0xb337[495]]=_0xb337[498],_0xbfbfxa[_0xb337[124]][_0xb337[495]]=_0xb337[498],_0xbfbfx19[_0xb337[124]][_0xb337[495]]=_0xb337[498]);_0xbfbfx9[_0xb337[124]][_0xb337[187]]=_0xb337[188];document[_0xb337[112]][_0xb337[62]](_0xbfbfx9);_0xbfbfx4=_0xbfbfx9[_0xb337[359]];_0xbfbfx19=_0xbfbfx9[_0xb337[167]];if(mxClient[_0xb337[496]]&&(_0xbfbfx16||_0xbfbfx15)&&_0xbfbfx19>_0xbfbfx5){_0xbfbfx19=_0xbfbfx5,_0xbfbfx9[_0xb337[124]][_0xb337[119]]=_0xbfbfx19+_0xb337[168]};_0xbfbfx5=_0xbfbfx19;_0xbfbfx16=(_0xbfbfx5-_0xbfbfx5*_0xbfbfx12+_0xbfbfx4* -_0xbfbfx1c)/2-_0xbfbfx17*_0xbfbfx4*(_0xbfbfx1b+0.5)+_0xbfbfx13*_0xbfbfx5*(_0xbfbfx1a+0.5);_0xbfbfx15=(_0xbfbfx4-_0xbfbfx4*_0xbfbfx12+_0xbfbfx5* -_0xbfbfx1c)/2+_0xbfbfx13*_0xbfbfx4*(_0xbfbfx1b+0.5)+_0xbfbfx17*_0xbfbfx5*(_0xbfbfx1a+0.5);_0xb337[1504]==_0xbfbfx14[_0xb337[301]]&&_0xb337[529]==this[_0xb337[813]][_0xb337[301]]?(_0xbfbfx1b=document[_0xb337[55]](_0xb337[485]),_0xbfbfx1b[_0xb337[124]][_0xb337[495]]=_0xb337[498],_0xbfbfx1b[_0xb337[124]][_0xb337[491]]=_0xb337[492],_0xbfbfx1b[_0xb337[124]][_0xb337[361]]=this[_0xb337[1239]](_0xbfbfx2+(_0xbfbfx15-_0xbfbfx4/2)*_0xbfbfx18[_0xb337[255]])+_0xb337[168],_0xbfbfx1b[_0xb337[124]][_0xb337[125]]=this[_0xb337[1239]](_0xbfbfx3+(_0xbfbfx16-_0xbfbfx5/2)*_0xbfbfx18[_0xb337[255]])+_0xb337[168],_0xbfbfx14[_0xb337[265]][_0xb337[62]](_0xbfbfx1b),_0xbfbfx1b[_0xb337[62]](_0xbfbfx14)):(_0xbfbfx18=8==document[_0xb337[5]]?1:_0xbfbfx18[_0xb337[255]],_0xbfbfx14[_0xb337[124]][_0xb337[361]]=this[_0xb337[1239]](_0xbfbfx2+(_0xbfbfx15-_0xbfbfx4/2)*_0xbfbfx18)+_0xb337[168],_0xbfbfx14[_0xb337[124]][_0xb337[125]]=this[_0xb337[1239]](_0xbfbfx3+(_0xbfbfx16-_0xbfbfx5/2)*_0xbfbfx18)+_0xb337[168]);_0xbfbfxa[_0xb337[124]][_0xb337[347]]=_0xb337[1505]+_0xbfbfx13+_0xb337[1506]+_0xbfbfx17+_0xb337[1507]+-_0xbfbfx17+_0xb337[1508]+_0xbfbfx13+_0xb337[1509];_0xbfbfxa[_0xb337[124]][_0xb337[1028]]=this[_0xb337[1459]];1>this[_0xb337[724]][_0xb337[1244]]&&(_0xbfbfxa[_0xb337[124]][_0xb337[347]]+=_0xb337[459]+100*this[_0xb337[724]][_0xb337[1244]]+_0xb337[460]);_0xbfbfx9[_0xb337[124]][_0xb337[187]]=_0xb337[110];_0xbfbfxa[_0xb337[62]](_0xbfbfx9)}else {8!=document[_0xb337[5]]?(_0xbfbfx9[_0xb337[124]][_0xb337[123]]=_0xb337[125],1>this[_0xb337[724]][_0xb337[1244]]&&(_0xbfbfx14[_0xb337[124]][_0xb337[347]]=_0xb337[459]+100*this[_0xb337[724]][_0xb337[1244]]+_0xb337[460]),_0xbfbfx18=_0xbfbfx9[_0xb337[265]],_0xbfbfx9[_0xb337[124]][_0xb337[187]]=_0xb337[188],document[_0xb337[112]][_0xb337[62]](_0xbfbfx9),_0xbfbfx4=_0xbfbfx9[_0xb337[359]],_0xbfbfx19=_0xbfbfx9[_0xb337[167]],mxClient[_0xb337[496]]&&(_0xbfbfx16&&_0xbfbfx19>_0xbfbfx5)&&(_0xbfbfx19=_0xbfbfx5,_0xbfbfx9[_0xb337[124]][_0xb337[119]]=_0xbfbfx19+_0xb337[168]),_0xbfbfx5=_0xbfbfx19,_0xbfbfx9[_0xb337[124]][_0xb337[187]]=_0xb337[110],_0xbfbfx18[_0xb337[62]](_0xbfbfx9),_0xbfbfx14[_0xb337[124]][_0xb337[361]]=this[_0xb337[1239]](_0xbfbfx2+_0xbfbfx4*_0xbfbfx1b*this[_0xb337[724]][_0xb337[255]])+_0xb337[168],_0xbfbfx14[_0xb337[124]][_0xb337[125]]=this[_0xb337[1239]](_0xbfbfx3+_0xbfbfx5*_0xbfbfx1a*this[_0xb337[724]][_0xb337[255]])+_0xb337[168]):(1>this[_0xb337[724]][_0xb337[1244]]&&(_0xbfbfx9[_0xb337[124]][_0xb337[347]]=_0xb337[459]+100*this[_0xb337[724]][_0xb337[1244]]+_0xb337[460]),_0xbfbfx19[_0xb337[124]][_0xb337[361]]=100*_0xbfbfx1b+_0xb337[229],_0xbfbfx19[_0xb337[124]][_0xb337[125]]=100*_0xbfbfx1a+_0xb337[229])}}else {this[_0xb337[1436]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,mxUtils[_0xb337[321]](_0xbfbfx9,!1),_0xbfbfxa,_0xbfbfx12,_0xbfbfx13,_0xbfbfx14,_0xbfbfx15,_0xbfbfx16,_0xbfbfx17)}}};mxVmlCanvas2D[_0xb337[202]][_0xb337[1436]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12,_0xbfbfx13,_0xbfbfx14,_0xbfbfx15,_0xbfbfx16,_0xbfbfx17){_0xbfbfx5=this[_0xb337[724]];_0xbfbfx2=(_0xbfbfx2+_0xbfbfx5[_0xb337[1126]])*_0xbfbfx5[_0xb337[255]];_0xbfbfx3=(_0xbfbfx3+_0xbfbfx5[_0xb337[1125]])*_0xbfbfx5[_0xb337[255]];_0xbfbfx4=document[_0xb337[55]](mxClient[_0xb337[463]]+_0xb337[1487]);_0xbfbfx4[_0xb337[124]][_0xb337[117]]=_0xb337[942];_0xbfbfx4[_0xb337[124]][_0xb337[119]]=_0xb337[942];_0xbfbfx4[_0xb337[1464]]=_0xb337[308];_0xbfbfx15=document[_0xb337[55]](mxClient[_0xb337[463]]+_0xb337[1469]);_0xbfbfx15[_0xb337[352]]=_0xbfbfx5[_0xb337[665]];_0xbfbfx15[_0xb337[461]]=100*_0xbfbfx5[_0xb337[1244]]+_0xb337[229];_0xbfbfx4[_0xb337[62]](_0xbfbfx15);_0xbfbfx15=document[_0xb337[55]](mxClient[_0xb337[463]]+_0xb337[1510]);_0xbfbfx15[_0xb337[1511]]=_0xb337[128];_0xbfbfx15[_0xb337[6]]=_0xb337[1512]+this[_0xb337[1239]](0)+_0xb337[185]+this[_0xb337[1239]](0)+_0xb337[1513]+this[_0xb337[1239]](1)+_0xb337[185]+this[_0xb337[1239]](0);_0xbfbfx4[_0xb337[62]](_0xbfbfx15);_0xbfbfx15=document[_0xb337[55]](mxClient[_0xb337[463]]+_0xb337[1514]);_0xbfbfx15[_0xb337[124]][_0xb337[103]]=_0xb337[1515]+_0xbfbfxa;_0xbfbfx15[_0xb337[124]][_0xb337[611]]=_0xbfbfxa;_0xbfbfx15[_0xb337[124]][_0xb337[486]]=_0xbfbfx5[_0xb337[486]];_0xbfbfx15[_0xb337[1516]]=_0xbfbfx9;_0xbfbfx15[_0xb337[747]]=_0xb337[128];_0xbfbfxa=Math[_0xb337[488]](_0xbfbfx5[_0xb337[487]]*_0xbfbfx5[_0xb337[255]]/this[_0xb337[1460]]);_0xbfbfx15[_0xb337[124]][_0xb337[487]]=_0xbfbfxa+_0xb337[168];(_0xbfbfx5[_0xb337[666]]&mxConstants[_0xb337[1410]])==mxConstants[_0xb337[1410]]&&(_0xbfbfx15[_0xb337[124]][_0xb337[1452]]=_0xb337[1447]);(_0xbfbfx5[_0xb337[666]]&mxConstants[_0xb337[1412]])==mxConstants[_0xb337[1412]]&&(_0xbfbfx15[_0xb337[124]][_0xb337[666]]=_0xb337[1449]);(_0xbfbfx5[_0xb337[666]]&mxConstants[_0xb337[1414]])==mxConstants[_0xb337[1414]]&&(_0xbfbfx15[_0xb337[124]][_0xb337[354]]=_0xb337[355]);_0xbfbfx9=_0xbfbfx9[_0xb337[224]](_0xb337[192]);_0xbfbfx5=_0xbfbfxa+(_0xbfbfx9[_0xb337[67]]-1)*_0xbfbfxa*mxConstants[_0xb337[490]];_0xbfbfxa=_0xbfbfx9=0;_0xbfbfx12==mxConstants[_0xb337[482]]?_0xbfbfxa=-_0xbfbfx5/2:_0xbfbfx12!=mxConstants[_0xb337[481]]&&(_0xbfbfxa=_0xbfbfx5/2);null!=_0xbfbfx17&&(_0xbfbfx4[_0xb337[124]][_0xb337[603]]=_0xbfbfx17,_0xbfbfx12=_0xbfbfx17*(Math[_0xb337[424]]/180),_0xbfbfx9=Math[_0xb337[427]](_0xbfbfx12)*_0xbfbfxa,_0xbfbfxa*=Math[_0xb337[426]](_0xbfbfx12));_0xbfbfx4[_0xb337[62]](_0xbfbfx15);_0xbfbfx4[_0xb337[124]][_0xb337[361]]=this[_0xb337[1239]](_0xbfbfx2-_0xbfbfx9)+_0xb337[168];_0xbfbfx4[_0xb337[124]][_0xb337[125]]=this[_0xb337[1239]](_0xbfbfx3+_0xbfbfxa)+_0xb337[168];this[_0xb337[813]][_0xb337[62]](_0xbfbfx4)};mxVmlCanvas2D[_0xb337[202]][_0xb337[1336]]=function(){this[_0xb337[1370]](!1,!0)};mxVmlCanvas2D[_0xb337[202]][_0xb337[1334]]=function(){this[_0xb337[1370]](!0,!1)};mxVmlCanvas2D[_0xb337[202]][_0xb337[1337]]=function(){this[_0xb337[1370]](!0,!0)};function mxGuide(_0xbfbfx2,_0xbfbfx3){this[_0xb337[1179]]=_0xbfbfx2;this[_0xb337[1517]](_0xbfbfx3)}mxGuide[_0xb337[202]][_0xb337[1179]]=null;mxGuide[_0xb337[202]][_0xb337[1214]]=null;mxGuide[_0xb337[202]][_0xb337[662]]= !0;mxGuide[_0xb337[202]][_0xb337[704]]= !0;mxGuide[_0xb337[202]][_0xb337[1518]]=null;mxGuide[_0xb337[202]][_0xb337[1519]]=null;mxGuide[_0xb337[202]][_0xb337[1517]]=function(_0xbfbfx2){this[_0xb337[1214]]=_0xbfbfx2};mxGuide[_0xb337[202]][_0xb337[1019]]=function(_0xbfbfx2){return !0};mxGuide[_0xb337[202]][_0xb337[1520]]=function(){return this[_0xb337[1179]][_0xb337[1020]]*this[_0xb337[1179]][_0xb337[441]][_0xb337[255]]/2};mxGuide[_0xb337[202]][_0xb337[1521]]=function(_0xbfbfx2){_0xbfbfx2= new mxPolyline([],mxConstants.GUIDE_COLOR,mxConstants.GUIDE_STROKEWIDTH);_0xbfbfx2[_0xb337[1522]]= !0;return _0xbfbfx2};mxGuide[_0xb337[202]][_0xb337[582]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){if(null!=this[_0xb337[1214]]&&(this[_0xb337[662]]||this[_0xb337[704]])&&null!=_0xbfbfx2&&null!=_0xbfbfx3){var _0xbfbfx5=this[_0xb337[1179]][_0xb337[249]]()[_0xb337[513]],_0xbfbfx9=this[_0xb337[1179]][_0xb337[249]]()[_0xb337[255]],_0xbfbfxa=_0xbfbfx3[_0xb337[235]],_0xbfbfx12=_0xbfbfx3[_0xb337[236]],_0xbfbfx13=!1,_0xbfbfx14=!1,_0xbfbfx15=this[_0xb337[1520]](),_0xbfbfx16=_0xbfbfx15,_0xbfbfx17=_0xbfbfx15,_0xbfbfx15=_0xbfbfx2[_0xb337[238]]();_0xbfbfx15[_0xb337[235]]+=_0xbfbfx3[_0xb337[235]];_0xbfbfx15[_0xb337[236]]+=_0xbfbfx3[_0xb337[236]];var _0xbfbfx18=_0xbfbfx15[_0xb337[235]],_0xbfbfx19=_0xbfbfx15[_0xb337[235]]+_0xbfbfx15[_0xb337[117]],_0xbfbfx1a=_0xbfbfx15[_0xb337[241]](),_0xbfbfx1b=_0xbfbfx15[_0xb337[236]],_0xbfbfx1c=_0xbfbfx15[_0xb337[236]]+_0xbfbfx15[_0xb337[119]],_0xbfbfx1d=_0xbfbfx15[_0xb337[242]]();_0xbfbfx3=function(_0xbfbfx3){_0xbfbfx3+=this[_0xb337[1179]][_0xb337[1136]];var _0xbfbfx4=!1;Math[_0xb337[425]](_0xbfbfx3-_0xbfbfx1a)<_0xbfbfx16?(_0xbfbfxa=_0xbfbfx3-_0xbfbfx2[_0xb337[241]](),_0xbfbfx16=Math[_0xb337[425]](_0xbfbfx3-_0xbfbfx1a),_0xbfbfx4= !0):Math[_0xb337[425]](_0xbfbfx3-_0xbfbfx18)<_0xbfbfx16?(_0xbfbfxa=_0xbfbfx3-_0xbfbfx2[_0xb337[235]],_0xbfbfx16=Math[_0xb337[425]](_0xbfbfx3-_0xbfbfx18),_0xbfbfx4= !0):Math[_0xb337[425]](_0xbfbfx3-_0xbfbfx19)<_0xbfbfx16&&(_0xbfbfxa=_0xbfbfx3-_0xbfbfx2[_0xb337[235]]-_0xbfbfx2[_0xb337[117]],_0xbfbfx16=Math[_0xb337[425]](_0xbfbfx3-_0xbfbfx19),_0xbfbfx4= !0);if(_0xbfbfx4){null==this[_0xb337[1518]]&&(this[_0xb337[1518]]=this[_0xb337[1521]](!0),this[_0xb337[1518]][_0xb337[507]]=this[_0xb337[1179]][_0xb337[507]]!=mxConstants[_0xb337[508]]?mxConstants[_0xb337[1523]]:mxConstants[_0xb337[508]],this[_0xb337[1518]][_0xb337[1229]]= !1,this[_0xb337[1518]][_0xb337[176]](this[_0xb337[1179]][_0xb337[249]]()[_0xb337[1524]]()));var _0xbfbfx5=this[_0xb337[1179]][_0xb337[526]];_0xbfbfx3-=this[_0xb337[1179]][_0xb337[1136]];this[_0xb337[1518]][_0xb337[1525]]=[ new mxPoint(_0xbfbfx3,-this[_0xb337[1179]][_0xb337[1137]]), new mxPoint(_0xbfbfx3,_0xbfbfx5[_0xb337[191]]-3-this[_0xb337[1179]][_0xb337[1137]])]};_0xbfbfx13=_0xbfbfx13||_0xbfbfx4};for(var _0xbfbfx15=function(_0xbfbfx3){_0xbfbfx3+=this[_0xb337[1179]][_0xb337[1137]];var _0xbfbfx4=!1;Math[_0xb337[425]](_0xbfbfx3-_0xbfbfx1d)<_0xbfbfx17?(_0xbfbfx12=_0xbfbfx3-_0xbfbfx2[_0xb337[242]](),_0xbfbfx17=Math[_0xb337[425]](_0xbfbfx3-_0xbfbfx1d),_0xbfbfx4= !0):Math[_0xb337[425]](_0xbfbfx3-_0xbfbfx1b)<_0xbfbfx17?(_0xbfbfx12=_0xbfbfx3-_0xbfbfx2[_0xb337[236]],_0xbfbfx17=Math[_0xb337[425]](_0xbfbfx3-_0xbfbfx1b),_0xbfbfx4= !0):Math[_0xb337[425]](_0xbfbfx3-_0xbfbfx1c)<_0xbfbfx17&&(_0xbfbfx12=_0xbfbfx3-_0xbfbfx2[_0xb337[236]]-_0xbfbfx2[_0xb337[119]],_0xbfbfx17=Math[_0xb337[425]](_0xbfbfx3-_0xbfbfx1c),_0xbfbfx4= !0);if(_0xbfbfx4){null==this[_0xb337[1519]]&&(this[_0xb337[1519]]=this[_0xb337[1521]](!1),this[_0xb337[1519]][_0xb337[507]]=this[_0xb337[1179]][_0xb337[507]]!=mxConstants[_0xb337[508]]?mxConstants[_0xb337[1523]]:mxConstants[_0xb337[508]],this[_0xb337[1519]][_0xb337[1229]]= !1,this[_0xb337[1519]][_0xb337[176]](this[_0xb337[1179]][_0xb337[249]]()[_0xb337[1524]]()));var _0xbfbfx5=this[_0xb337[1179]][_0xb337[526]];_0xbfbfx3-=this[_0xb337[1179]][_0xb337[1137]];this[_0xb337[1519]][_0xb337[1525]]=[ new mxPoint(-this[_0xb337[1179]][_0xb337[1136]],_0xbfbfx3), new mxPoint(_0xbfbfx5[_0xb337[1526]]-3-this[_0xb337[1179]][_0xb337[1136]],_0xbfbfx3)]};_0xbfbfx14=_0xbfbfx14||_0xbfbfx4},_0xbfbfx1e=0;_0xbfbfx1ethis[_0xb337[461]]&&(_0xbfbfx3+=_0xb337[459]+this[_0xb337[461]]+_0xb337[460]);this[_0xb337[1596]]&&(_0xbfbfx3+=_0xb337[1632]+Math[_0xb337[488]](mxConstants[_0xb337[1237]]*this[_0xb337[255]])+_0xb337[1633]+Math[_0xb337[488]](mxConstants[_0xb337[1238]]*this[_0xb337[255]])+_0xb337[1634]+mxConstants[_0xb337[1235]]+_0xb337[1635]);if(this[_0xb337[1299]]){var _0xbfbfx4=this[_0xb337[1334]],_0xbfbfx5=this[_0xb337[1299]],_0xbfbfx9=_0xb337[468],_0xbfbfxa={east:0,south:1,west:2,north:3},_0xbfbfx12=null!=this[_0xb337[663]]?_0xbfbfxa[this[_0xb337[663]]]:0;null!=this[_0xb337[607]]&&(_0xbfbfx12=mxUtils[_0xb337[1454]](_0xbfbfx12+_0xbfbfxa[this[_0xb337[607]]]-1,4));1==_0xbfbfx12?(_0xbfbfx9=_0xb337[500],_0xbfbfxa=_0xbfbfx4,_0xbfbfx4=_0xbfbfx5,_0xbfbfx5=_0xbfbfxa):2==_0xbfbfx12?(_0xbfbfxa=_0xbfbfx4,_0xbfbfx4=_0xbfbfx5,_0xbfbfx5=_0xbfbfxa):3==_0xbfbfx12&&(_0xbfbfx9=_0xb337[500]);_0xbfbfx3+=_0xb337[1636]+_0xbfbfx4+_0xb337[1637]+_0xbfbfx5+_0xb337[1638]+_0xbfbfx9+_0xb337[1635]};_0xbfbfx2[_0xb337[124]][_0xb337[347]]=_0xbfbfx3};function mxEllipse(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){mxShape[_0xb337[239]](this);this[_0xb337[1562]]=_0xbfbfx2;this[_0xb337[1334]]=_0xbfbfx3;this[_0xb337[1336]]=_0xbfbfx4;this[_0xb337[1307]]=null!=_0xbfbfx5?_0xbfbfx5:1}mxUtils[_0xb337[1284]](mxEllipse,mxShape);mxEllipse[_0xb337[202]][_0xb337[1595]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xbfbfx2[_0xb337[680]](_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9);_0xbfbfx2[_0xb337[1337]]()};function mxDoubleEllipse(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){mxShape[_0xb337[239]](this);this[_0xb337[1562]]=_0xbfbfx2;this[_0xb337[1334]]=_0xbfbfx3;this[_0xb337[1336]]=_0xbfbfx4;this[_0xb337[1307]]=null!=_0xbfbfx5?_0xbfbfx5:1}mxUtils[_0xb337[1284]](mxDoubleEllipse,mxShape);mxDoubleEllipse[_0xb337[202]][_0xb337[1460]]=10;mxDoubleEllipse[_0xb337[202]][_0xb337[1601]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xbfbfx2[_0xb337[680]](_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9);_0xbfbfx2[_0xb337[1337]]()};mxDoubleEllipse[_0xb337[202]][_0xb337[1602]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){var _0xbfbfxa=Math[_0xb337[243]](4,Math[_0xb337[243]](_0xbfbfx5/5,_0xbfbfx9/5));_0xbfbfx5-=2*_0xbfbfxa;_0xbfbfx9-=2*_0xbfbfxa;0<_0xbfbfx5&&0<_0xbfbfx9&&_0xbfbfx2[_0xb337[680]](_0xbfbfx3+_0xbfbfxa,_0xbfbfx4+_0xbfbfxa,_0xbfbfx5,_0xbfbfx9);_0xbfbfx2[_0xb337[1336]]()};function mxRhombus(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){mxShape[_0xb337[239]](this);this[_0xb337[1562]]=_0xbfbfx2;this[_0xb337[1334]]=_0xbfbfx3;this[_0xb337[1336]]=_0xbfbfx4;this[_0xb337[1307]]=null!=_0xbfbfx5?_0xbfbfx5:1}mxUtils[_0xb337[1284]](mxRhombus,mxShape);mxRhombus[_0xb337[202]][_0xb337[1595]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){var _0xbfbfxa=_0xbfbfx5/2,_0xbfbfx12=_0xbfbfx9/2;_0xbfbfx2[_0xb337[1275]]();_0xbfbfx2[_0xb337[1276]](_0xbfbfx3+_0xbfbfxa,_0xbfbfx4);_0xbfbfx2[_0xb337[1277]](_0xbfbfx3+_0xbfbfx5,_0xbfbfx4+_0xbfbfx12);_0xbfbfx2[_0xb337[1277]](_0xbfbfx3+_0xbfbfxa,_0xbfbfx4+_0xbfbfx9);_0xbfbfx2[_0xb337[1277]](_0xbfbfx3,_0xbfbfx4+_0xbfbfx12);_0xbfbfx2[_0xb337[268]]();_0xbfbfx2[_0xb337[1337]]()};function mxPolyline(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){mxShape[_0xb337[239]](this);this[_0xb337[1525]]=_0xbfbfx2;this[_0xb337[1336]]=_0xbfbfx3;this[_0xb337[1307]]=null!=_0xbfbfx4?_0xbfbfx4:1}mxUtils[_0xb337[1284]](mxPolyline,mxShape);mxPolyline[_0xb337[202]][_0xb337[1614]]=function(){return 0};mxPolyline[_0xb337[202]][_0xb337[1594]]=function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[1639]](_0xbfbfx2,_0xbfbfx3,this[_0xb337[1606]])};mxPolyline[_0xb337[202]][_0xb337[1639]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_ARCSIZE,mxConstants.LINE_ARCSIZE)/2,_0xbfbfx9=_0xbfbfx3[0],_0xbfbfxa=_0xbfbfx3[_0xbfbfx3[_0xb337[67]]-1];_0xbfbfx2[_0xb337[1275]]();_0xbfbfx2[_0xb337[1276]](_0xbfbfx9[_0xb337[235]],_0xbfbfx9[_0xb337[236]]);for(var _0xbfbfx12=1;_0xbfbfx12<_0xbfbfx3[_0xb337[67]]-1;_0xbfbfx12++){var _0xbfbfx13=_0xbfbfx3[_0xbfbfx12],_0xbfbfx14=_0xbfbfx9[_0xb337[235]]-_0xbfbfx13[_0xb337[235]],_0xbfbfx9=_0xbfbfx9[_0xb337[236]]-_0xbfbfx13[_0xb337[236]];if(_0xbfbfx4&&_0xbfbfx12<_0xbfbfx3[_0xb337[67]]-1&&(0!=_0xbfbfx14||0!=_0xbfbfx9)){var _0xbfbfx15=Math[_0xb337[428]](_0xbfbfx14*_0xbfbfx14+_0xbfbfx9*_0xbfbfx9),_0xbfbfx14=_0xbfbfx14*Math[_0xb337[243]](_0xbfbfx5,_0xbfbfx15/2)/_0xbfbfx15,_0xbfbfx9=_0xbfbfx9*Math[_0xb337[243]](_0xbfbfx5,_0xbfbfx15/2)/_0xbfbfx15;_0xbfbfx2[_0xb337[1277]](_0xbfbfx13[_0xb337[235]]+_0xbfbfx14,_0xbfbfx13[_0xb337[236]]+_0xbfbfx9);_0xbfbfx9=_0xbfbfx3[_0xbfbfx12+1];_0xbfbfx14=_0xbfbfx9[_0xb337[235]]-_0xbfbfx13[_0xb337[235]];_0xbfbfx9=_0xbfbfx9[_0xb337[236]]-_0xbfbfx13[_0xb337[236]];_0xbfbfx15=Math[_0xb337[160]](1,Math[_0xb337[428]](_0xbfbfx14*_0xbfbfx14+_0xbfbfx9*_0xbfbfx9));_0xbfbfx14=_0xbfbfx14*Math[_0xb337[243]](_0xbfbfx5,_0xbfbfx15/2)/_0xbfbfx15;_0xbfbfx9=_0xbfbfx9*Math[_0xb337[243]](_0xbfbfx5,_0xbfbfx15/2)/_0xbfbfx15;_0xbfbfx14=_0xbfbfx13[_0xb337[235]]+_0xbfbfx14;_0xbfbfx9=_0xbfbfx13[_0xb337[236]]+_0xbfbfx9;_0xbfbfx2[_0xb337[1278]](_0xbfbfx13[_0xb337[235]],_0xbfbfx13[_0xb337[236]],_0xbfbfx14,_0xbfbfx9);_0xbfbfx13= new mxPoint(_0xbfbfx14,_0xbfbfx9)}else {_0xbfbfx2[_0xb337[1277]](_0xbfbfx13[_0xb337[235]],_0xbfbfx13[_0xb337[236]])};_0xbfbfx9=_0xbfbfx13};_0xbfbfx2[_0xb337[1277]](_0xbfbfxa[_0xb337[235]],_0xbfbfxa[_0xb337[236]]);_0xbfbfx2[_0xb337[1336]]()};function mxArrow(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12){mxShape[_0xb337[239]](this);this[_0xb337[1525]]=_0xbfbfx2;this[_0xb337[1334]]=_0xbfbfx3;this[_0xb337[1336]]=_0xbfbfx4;this[_0xb337[1307]]=null!=_0xbfbfx5?_0xbfbfx5:1;this[_0xb337[1640]]=null!=_0xbfbfx9?_0xbfbfx9:mxConstants[_0xb337[1641]];this[_0xb337[657]]=null!=_0xbfbfxa?_0xbfbfxa:mxConstants[_0xb337[1642]];this[_0xb337[643]]=null!=_0xbfbfx12?_0xbfbfx12:mxConstants[_0xb337[1643]]}mxUtils[_0xb337[1284]](mxArrow,mxShape);mxArrow[_0xb337[202]][_0xb337[1594]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=mxConstants[_0xb337[1642]],_0xbfbfx5=mxConstants[_0xb337[1641]],_0xbfbfx9=mxConstants[_0xb337[1643]],_0xbfbfxa=_0xbfbfx3[0],_0xbfbfx12=_0xbfbfx3[_0xbfbfx3[_0xb337[67]]-1],_0xbfbfx13=_0xbfbfx12[_0xb337[235]]-_0xbfbfxa[_0xb337[235]],_0xbfbfx14=_0xbfbfx12[_0xb337[236]]-_0xbfbfxa[_0xb337[236]],_0xbfbfx15=Math[_0xb337[428]](_0xbfbfx13*_0xbfbfx13+_0xbfbfx14*_0xbfbfx14),_0xbfbfx16=_0xbfbfx15-2*_0xbfbfx4-_0xbfbfx9,_0xbfbfx13=_0xbfbfx13/_0xbfbfx15,_0xbfbfx14=_0xbfbfx14/_0xbfbfx15,_0xbfbfx15=_0xbfbfx5*_0xbfbfx14/3,_0xbfbfx5=-_0xbfbfx5*_0xbfbfx13/3,_0xbfbfx9=_0xbfbfxa[_0xb337[235]]-_0xbfbfx15/2+_0xbfbfx4*_0xbfbfx13,_0xbfbfxa=_0xbfbfxa[_0xb337[236]]-_0xbfbfx5/2+_0xbfbfx4*_0xbfbfx14,_0xbfbfx17=_0xbfbfx9+_0xbfbfx15,_0xbfbfx18=_0xbfbfxa+_0xbfbfx5,_0xbfbfx19=_0xbfbfx17+_0xbfbfx16*_0xbfbfx13,_0xbfbfx16=_0xbfbfx18+_0xbfbfx16*_0xbfbfx14,_0xbfbfx1a=_0xbfbfx19+_0xbfbfx15,_0xbfbfx1b=_0xbfbfx16+_0xbfbfx5,_0xbfbfx1c=_0xbfbfx1a-3*_0xbfbfx15,_0xbfbfx1d=_0xbfbfx1b-3*_0xbfbfx5;_0xbfbfx2[_0xb337[1275]]();_0xbfbfx2[_0xb337[1276]](_0xbfbfx9,_0xbfbfxa);_0xbfbfx2[_0xb337[1277]](_0xbfbfx17,_0xbfbfx18);_0xbfbfx2[_0xb337[1277]](_0xbfbfx19,_0xbfbfx16);_0xbfbfx2[_0xb337[1277]](_0xbfbfx1a,_0xbfbfx1b);_0xbfbfx2[_0xb337[1277]](_0xbfbfx12[_0xb337[235]]-_0xbfbfx4*_0xbfbfx13,_0xbfbfx12[_0xb337[236]]-_0xbfbfx4*_0xbfbfx14);_0xbfbfx2[_0xb337[1277]](_0xbfbfx1c,_0xbfbfx1d);_0xbfbfx2[_0xb337[1277]](_0xbfbfx1c+_0xbfbfx15,_0xbfbfx1d+_0xbfbfx5);_0xbfbfx2[_0xb337[268]]();_0xbfbfx2[_0xb337[1337]]()};function mxText(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12,_0xbfbfx13,_0xbfbfx14,_0xbfbfx15,_0xbfbfx16,_0xbfbfx17,_0xbfbfx18,_0xbfbfx19,_0xbfbfx1a,_0xbfbfx1b,_0xbfbfx1c,_0xbfbfx1d,_0xbfbfx1e,_0xbfbfx1f){mxShape[_0xb337[239]](this);this[_0xb337[131]]=_0xbfbfx2;this[_0xb337[1562]]=_0xbfbfx3;this[_0xb337[352]]=null!=_0xbfbfx9?_0xbfbfx9:_0xb337[586];this[_0xb337[611]]=null!=_0xbfbfx4?_0xbfbfx4:_0xb337[110];this[_0xb337[552]]=null!=_0xbfbfx5?_0xbfbfx5:_0xb337[110];this[_0xb337[1288]]=null!=_0xbfbfxa?_0xbfbfxa:mxConstants[_0xb337[484]];this[_0xb337[803]]=null!=_0xbfbfx12?_0xbfbfx12:mxConstants[_0xb337[483]];this[_0xb337[666]]=null!=_0xbfbfx13?_0xbfbfx13:mxConstants[_0xb337[1644]];this[_0xb337[657]]=parseInt(_0xbfbfx14||2);this[_0xb337[658]]=this[_0xb337[657]]+parseInt(_0xbfbfx15||0);this[_0xb337[661]]=this[_0xb337[657]]+parseInt(_0xbfbfx16||0);this[_0xb337[660]]=this[_0xb337[657]]+parseInt(_0xbfbfx17||0);this[_0xb337[659]]=this[_0xb337[657]]+parseInt(_0xbfbfx18||0);this[_0xb337[662]]=null!=_0xbfbfx19?_0xbfbfx19:!0;this[_0xb337[1537]]=_0xbfbfx1a;this[_0xb337[467]]=_0xbfbfx1b;this[_0xb337[1333]]=null!=_0xbfbfx1c?_0xbfbfx1c:!1;this[_0xb337[1645]]=null!=_0xbfbfx1d?_0xbfbfx1d:!1;this[_0xb337[277]]=null!=_0xbfbfx1e?_0xbfbfx1e:_0xb337[189];this[_0xb337[629]]=null!=_0xbfbfx1f?_0xbfbfx1f:0;this[_0xb337[603]]=0}mxUtils[_0xb337[1284]](mxText,mxShape);mxText[_0xb337[202]][_0xb337[1646]]=0;mxText[_0xb337[202]][_0xb337[1647]]=0;mxText[_0xb337[202]][_0xb337[1648]]=0;mxText[_0xb337[202]][_0xb337[1649]]=0;mxText[_0xb337[202]][_0xb337[1650]]= !0;mxText[_0xb337[202]][_0xb337[1616]]= -90;mxText[_0xb337[202]][_0xb337[1651]]= !0;mxText[_0xb337[202]][_0xb337[1652]]= !1;mxText[_0xb337[202]][_0xb337[1566]]=function(){return !1};mxText[_0xb337[202]][_0xb337[1567]]=function(){return 8!=document[_0xb337[5]]};mxText[_0xb337[202]][_0xb337[1568]]=function(){return 0};mxText[_0xb337[202]][_0xb337[1574]]=function(){return null!=this[_0xb337[1562]]&&!isNaN(this[_0xb337[1562]][_0xb337[235]])&&!isNaN(this[_0xb337[1562]][_0xb337[236]])&&!isNaN(this[_0xb337[1562]][_0xb337[117]])&&!isNaN(this[_0xb337[1562]][_0xb337[119]])};mxText[_0xb337[202]][_0xb337[1577]]=function(){var _0xbfbfx2=this[_0xb337[252]];8==document[_0xb337[5]]&&null!=_0xbfbfx2[_0xb337[285]]&&(_0xbfbfx2=_0xbfbfx2[_0xb337[285]],null!=_0xbfbfx2[_0xb337[285]]&&(_0xbfbfx2=_0xbfbfx2[_0xb337[285]]));this[_0xb337[1563]]=this[_0xb337[1562]][_0xb337[238]]();var _0xbfbfx3=this[_0xb337[1615]]();if(!this[_0xb337[1652]]&&null!=_0xbfbfx2&&_0xb337[1334]!=this[_0xb337[277]]&&(!this[_0xb337[1645]]||!this[_0xb337[1651]])){var _0xbfbfx4=null,_0xbfbfx5=null;if(null!=_0xbfbfx2[_0xb337[538]]){if(null!=_0xbfbfx2[_0xb337[285]]&&null!=_0xbfbfx2[_0xb337[285]][_0xb337[285]]&&_0xb337[1435]==_0xbfbfx2[_0xb337[285]][_0xb337[285]][_0xb337[301]]){_0xbfbfx2=_0xbfbfx2[_0xb337[285]][_0xb337[285]],_0xbfbfx4=this[_0xb337[1333]]?this[_0xb337[1562]][_0xb337[117]]:parseInt(_0xbfbfx2[_0xb337[284]](_0xb337[117]))*this[_0xb337[255]],_0xbfbfx5=parseInt(_0xbfbfx2[_0xb337[284]](_0xb337[119]))*this[_0xb337[255]]}else {_0xbfbfx3=_0xbfbfx2[_0xb337[1451]]();if(0==_0xbfbfx3[_0xb337[117]]&&0==_0xbfbfx3[_0xb337[119]]){return};this[_0xb337[1563]]= new mxRectangle(_0xbfbfx3[_0xb337[235]],_0xbfbfx3[_0xb337[236]],_0xbfbfx3[_0xb337[117]],_0xbfbfx3[_0xb337[119]]);_0xbfbfx3=0}}else {_0xbfbfx5=this[_0xb337[724]][_0xb337[441]][_0xb337[1653]],null!=this[_0xb337[359]]&&null!=this[_0xb337[167]]?(_0xbfbfx4=this[_0xb337[1333]]?this[_0xb337[1562]][_0xb337[117]]:this[_0xb337[359]]*this[_0xb337[255]],_0xbfbfx5=this[_0xb337[167]]*this[_0xb337[255]]):null!=_0xbfbfx5?(this[_0xb337[1441]](_0xbfbfx5),this[_0xb337[1654]](_0xbfbfx5),mxUtils[_0xb337[1331]](this[_0xb337[131]])?_0xbfbfx5[_0xb337[339]]=this[_0xb337[131]][_0xb337[342]]:(_0xbfbfx4=this[_0xb337[1650]]?this[_0xb337[131]][_0xb337[230]](/\n/g,_0xb337[1453]):this[_0xb337[131]],_0xbfbfx5[_0xb337[339]]=_0xbfbfx4),_0xbfbfx4=this[_0xb337[1333]]?this[_0xb337[1562]][_0xb337[117]]:_0xbfbfx5[_0xb337[359]]*this[_0xb337[255]],_0xbfbfx5=_0xbfbfx5[_0xb337[167]]*this[_0xb337[255]]):(_0xbfbfx4=this[_0xb337[1333]]?this[_0xb337[1562]][_0xb337[117]]:_0xbfbfx2[_0xb337[359]]*this[_0xb337[255]],_0xbfbfx5=_0xbfbfx2[_0xb337[167]]*this[_0xb337[255]])};null!=_0xbfbfx4&&null!=_0xbfbfx5&&(this[_0xb337[1563]]= new mxRectangle(this[_0xb337[1562]][_0xb337[235]]+this[_0xb337[1655]][_0xb337[235]]*_0xbfbfx4,this[_0xb337[1562]][_0xb337[236]]+this[_0xb337[1655]][_0xb337[236]]*_0xbfbfx5,_0xbfbfx4,_0xbfbfx5))}else {this[_0xb337[1563]][_0xb337[235]]+=this[_0xb337[1655]][_0xb337[235]]*this[_0xb337[1563]][_0xb337[117]],this[_0xb337[1563]][_0xb337[236]]+=this[_0xb337[1655]][_0xb337[236]]*this[_0xb337[1563]][_0xb337[119]]};null!=this[_0xb337[1563]]&&(0!=_0xbfbfx3&&(_0xbfbfx3=mxUtils[_0xb337[1613]](this[_0xb337[1563]],_0xbfbfx3),this[_0xb337[1563]][_0xb337[235]]=_0xbfbfx3[_0xb337[235]],this[_0xb337[1563]][_0xb337[236]]=_0xbfbfx3[_0xb337[236]],mxClient[_0xb337[496]]||(this[_0xb337[1563]][_0xb337[117]]=_0xbfbfx3[_0xb337[117]],this[_0xb337[1563]][_0xb337[119]]=_0xbfbfx3[_0xb337[119]])),this[_0xb337[1563]][_0xb337[235]]=Math[_0xb337[519]](this[_0xb337[1563]][_0xb337[235]]),this[_0xb337[1563]][_0xb337[236]]=Math[_0xb337[519]](this[_0xb337[1563]][_0xb337[236]]),this[_0xb337[1563]][_0xb337[117]]=Math[_0xb337[430]](this[_0xb337[1563]][_0xb337[117]]),this[_0xb337[1563]][_0xb337[119]]=Math[_0xb337[430]](this[_0xb337[1563]][_0xb337[119]]))};mxText[_0xb337[202]][_0xb337[1598]]=function(){return 0};mxText[_0xb337[202]][_0xb337[1615]]=function(){return null!=this[_0xb337[724]]&&null!=this[_0xb337[724]][_0xb337[253]]?this[_0xb337[724]][_0xb337[253]][_0xb337[1615]]():0};mxText[_0xb337[202]][_0xb337[1590]]=function(){return !this[_0xb337[662]]&&null!=this[_0xb337[724]]&&this[_0xb337[724]][_0xb337[441]][_0xb337[1179]][_0xb337[251]][_0xb337[1193]](this[_0xb337[724]][_0xb337[246]])};mxText[_0xb337[202]][_0xb337[1592]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){mxShape[_0xb337[202]][_0xb337[1592]][_0xb337[183]](this,arguments);_0xbfbfx2[_0xb337[1259]](this[_0xb337[352]]);_0xbfbfx2[_0xb337[1260]](this[_0xb337[1537]]);_0xbfbfx2[_0xb337[1262]](this[_0xb337[467]]);_0xbfbfx2[_0xb337[1265]](this[_0xb337[1288]]);_0xbfbfx2[_0xb337[1264]](this[_0xb337[803]]);_0xbfbfx2[_0xb337[1266]](this[_0xb337[666]])};mxText[_0xb337[202]][_0xb337[1586]]=function(){this[_0xb337[252]][_0xb337[124]][_0xb337[361]]=Math[_0xb337[488]](this[_0xb337[1562]][_0xb337[235]])+_0xb337[168];this[_0xb337[252]][_0xb337[124]][_0xb337[125]]=Math[_0xb337[488]](this[_0xb337[1562]][_0xb337[236]])+_0xb337[168];this[_0xb337[252]][_0xb337[124]][_0xb337[117]]=_0xb337[942];this[_0xb337[252]][_0xb337[124]][_0xb337[119]]=_0xb337[942];this[_0xb337[252]][_0xb337[124]][_0xb337[277]]=_0xb337[189]};mxText[_0xb337[202]][_0xb337[1209]]=function(_0xbfbfx2){var _0xbfbfx3=this[_0xb337[255]],_0xbfbfx4=this[_0xb337[1562]][_0xb337[235]]/_0xbfbfx3,_0xbfbfx5=this[_0xb337[1562]][_0xb337[236]]/_0xbfbfx3,_0xbfbfx9=this[_0xb337[1562]][_0xb337[117]]/_0xbfbfx3,_0xbfbfx3=this[_0xb337[1562]][_0xb337[119]]/_0xbfbfx3;this[_0xb337[1591]](_0xbfbfx2,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfx3);this[_0xb337[1592]](_0xbfbfx2,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfx3);var _0xbfbfxa=mxUtils[_0xb337[1331]](this[_0xb337[131]])||this[_0xb337[507]]==mxConstants[_0xb337[1656]],_0xbfbfx12=_0xbfbfxa||_0xbfbfx2 instanceof mxVmlCanvas2D?_0xb337[1428]:_0xb337[110],_0xbfbfx13=this[_0xb337[131]];!_0xbfbfxa&&_0xb337[1428]==_0xbfbfx12&&(_0xbfbfx13=mxUtils[_0xb337[321]](_0xbfbfx13,!1));_0xbfbfx13=!mxUtils[_0xb337[1331]](this[_0xb337[131]])&&this[_0xb337[1650]]&&_0xb337[1428]==_0xbfbfx12?_0xbfbfx13[_0xb337[230]](/\n/g,_0xb337[1453]):_0xbfbfx13;_0xbfbfx2[_0xb337[963]](_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfx3,_0xbfbfx13,this[_0xb337[611]],this[_0xb337[552]],this[_0xb337[1333]],_0xbfbfx12,_0xb337[1334]==this[_0xb337[277]],this[_0xb337[1645]],this[_0xb337[1615]]())};mxText[_0xb337[202]][_0xb337[1575]]=function(){var _0xbfbfx2=this[_0xb337[252]][_0xb337[124]];_0xbfbfx2[_0xb337[461]]=1>this[_0xb337[461]]?this[_0xb337[461]]:_0xb337[110];_0xbfbfx2[_0xb337[277]]=_0xb337[110];_0xbfbfx2[_0xb337[117]]=_0xb337[110];_0xbfbfx2[_0xb337[119]]=_0xb337[110];this[_0xb337[1441]](this[_0xb337[252]]);this[_0xb337[1654]](this[_0xb337[252]]);this[_0xb337[1657]]();this[_0xb337[167]]=this[_0xb337[359]]=null;null!=mxClient[_0xb337[73]]?this[_0xb337[1658]]():this[_0xb337[1659]]()};mxText[_0xb337[202]][_0xb337[1658]]=function(){var _0xbfbfx2=this[_0xb337[1615]](),_0xbfbfx3=this[_0xb337[252]][_0xb337[124]],_0xbfbfx4=this[_0xb337[1655]][_0xb337[235]],_0xbfbfx5=this[_0xb337[1655]][_0xb337[236]];0!=_0xbfbfx2?(_0xbfbfx3[mxClient[_0xb337[73]]+_0xb337[1660]]=100*-_0xbfbfx4+_0xb337[1661]+100*-_0xbfbfx5+_0xb337[229],_0xbfbfx3[mxClient[_0xb337[73]]+_0xb337[1662]]=_0xb337[536]+100*_0xbfbfx4+_0xb337[1663]+100*_0xbfbfx5+_0xb337[1664]+this[_0xb337[255]]+_0xb337[1665]+_0xbfbfx2+_0xb337[1666]):(_0xbfbfx3[mxClient[_0xb337[73]]+_0xb337[1660]]=_0xb337[1667],_0xbfbfx3[mxClient[_0xb337[73]]+_0xb337[1662]]=_0xb337[1402]+this[_0xb337[255]]+_0xb337[1393]+100*_0xbfbfx4+_0xb337[1663]+100*_0xbfbfx5+_0xb337[1668]);_0xbfbfx3[_0xb337[361]]=Math[_0xb337[488]](this[_0xb337[1562]][_0xb337[235]])+_0xb337[168];_0xbfbfx3[_0xb337[125]]=Math[_0xb337[488]](this[_0xb337[1562]][_0xb337[236]])+_0xb337[168]};mxText[_0xb337[202]][_0xb337[1659]]=function(){var _0xbfbfx2=this[_0xb337[252]][_0xb337[124]],_0xbfbfx3=this[_0xb337[1655]][_0xb337[235]],_0xbfbfx4=this[_0xb337[1655]][_0xb337[236]],_0xbfbfx5=this[_0xb337[255]];_0xbfbfx2[_0xb337[347]]=_0xb337[110];var _0xbfbfx9=0,_0xbfbfxa=0,_0xbfbfx12=null!=this[_0xb337[724]]?this[_0xb337[724]][_0xb337[441]][_0xb337[1653]]:null;if(null!=_0xbfbfx12){_0xbfbfx12[_0xb337[124]][_0xb337[277]]=_0xb337[110];_0xbfbfx12[_0xb337[124]][_0xb337[119]]=_0xb337[110];_0xbfbfx12[_0xb337[124]][_0xb337[117]]=_0xb337[110];this[_0xb337[1441]](_0xbfbfx12);this[_0xb337[1654]](_0xbfbfx12);if(mxUtils[_0xb337[1331]](this[_0xb337[131]])){_0xbfbfx12[_0xb337[339]]=this[_0xb337[131]][_0xb337[342]]}else {var _0xbfbfx13=this[_0xb337[131]];this[_0xb337[507]]!=mxConstants[_0xb337[1656]]&&(_0xbfbfx13=mxUtils[_0xb337[321]](_0xbfbfx13,!1));_0xbfbfx13=this[_0xb337[1650]]?_0xbfbfx13[_0xb337[230]](/\n/g,_0xb337[1453]):_0xbfbfx13;_0xbfbfx12[_0xb337[339]]=_0xbfbfx13};_0xbfbfx9=_0xbfbfx12[_0xb337[359]]+2;_0xbfbfxa=_0xbfbfx12[_0xb337[167]]+2}else {_0xbfbfx9=this[_0xb337[252]][_0xb337[359]],_0xbfbfxa=this[_0xb337[252]][_0xb337[167]]+1};this[_0xb337[359]]=_0xbfbfx9;this[_0xb337[167]]=_0xbfbfxa;_0xbfbfx12=this[_0xb337[1562]][_0xb337[117]]/_0xbfbfx5;_0xbfbfx13=this[_0xb337[1562]][_0xb337[119]]/_0xbfbfx5;mxClient[_0xb337[496]]&&(this[_0xb337[1645]]||_0xb337[1334]==this[_0xb337[277]])&&0<_0xbfbfx13?(_0xbfbfx13=Math[_0xb337[243]](_0xbfbfx13,_0xbfbfxa),_0xbfbfx2[_0xb337[119]]=Math[_0xb337[488]](_0xbfbfx13+1)+_0xb337[168]):_0xbfbfx13=_0xbfbfxa;_0xb337[1334]!=this[_0xb337[277]]&&(mxClient[_0xb337[496]]&&(this[_0xb337[1645]]||this[_0xb337[1333]])&&0<_0xbfbfx12?(_0xbfbfx12=Math[_0xb337[243]](_0xbfbfx12,_0xbfbfx9),_0xbfbfx2[_0xb337[117]]=Math[_0xb337[488]](_0xbfbfx12)+_0xb337[168]):_0xbfbfx12=_0xbfbfx9);var _0xbfbfx13=_0xbfbfx13*_0xbfbfx5,_0xbfbfx12=_0xbfbfx12*_0xbfbfx5,_0xbfbfx9=this[_0xb337[1615]]()*(Math[_0xb337[424]]/180),_0xbfbfxa=parseFloat(parseFloat(Math[_0xb337[426]](_0xbfbfx9))[_0xb337[1293]](8)),_0xbfbfx14=parseFloat(parseFloat(Math[_0xb337[427]](-_0xbfbfx9))[_0xb337[1293]](8)),_0xbfbfx9=_0xbfbfx9%(2*Math[_0xb337[424]]);0>_0xbfbfx9&&(_0xbfbfx9+=2*Math[_0xb337[424]]);_0xbfbfx9%=Math[_0xb337[424]];_0xbfbfx9>Math[_0xb337[424]]/2&&(_0xbfbfx9=Math[_0xb337[424]]-_0xbfbfx9);var _0xbfbfx15=Math[_0xb337[426]](_0xbfbfx9),_0xbfbfx16=Math[_0xb337[427]](-_0xbfbfx9),_0xbfbfx3=_0xbfbfx12* -(_0xbfbfx3+0.5),_0xbfbfx4=_0xbfbfx13* -(_0xbfbfx4+0.5),_0xbfbfx17=(_0xbfbfx13-_0xbfbfx13*_0xbfbfx15+_0xbfbfx12*_0xbfbfx16)/2+_0xbfbfx14*_0xbfbfx3-_0xbfbfxa*_0xbfbfx4;0!=_0xbfbfx9&&(_0xbfbfx2[_0xb337[347]]=_0xb337[1505]+_0xbfbfxa+_0xb337[1506]+_0xbfbfx14+_0xb337[1507]+-_0xbfbfx14+_0xb337[1508]+_0xbfbfxa+_0xb337[1509]);_0xbfbfx2[_0xb337[499]]=_0xbfbfx5;_0xbfbfx2[_0xb337[361]]=Math[_0xb337[488]](this[_0xb337[1562]][_0xb337[235]]+((_0xbfbfx12-_0xbfbfx12*_0xbfbfx15+_0xbfbfx13*_0xbfbfx16)/2-_0xbfbfxa*_0xbfbfx3-_0xbfbfx14*_0xbfbfx4)-_0xbfbfx12/2)+_0xb337[168];_0xbfbfx2[_0xb337[125]]=Math[_0xb337[488]](this[_0xb337[1562]][_0xb337[236]]+_0xbfbfx17-_0xbfbfx13/2)+_0xb337[168]};mxText[_0xb337[202]][_0xb337[1657]]=function(){if(mxUtils[_0xb337[1331]](this[_0xb337[131]])){this[_0xb337[252]][_0xb337[339]]=_0xb337[110],this[_0xb337[252]][_0xb337[62]](this[_0xb337[131]])}else {var _0xbfbfx2=this[_0xb337[131]];this[_0xb337[507]]!=mxConstants[_0xb337[1656]]&&(_0xbfbfx2=mxUtils[_0xb337[321]](_0xbfbfx2,!1));var _0xbfbfx2=this[_0xb337[1650]]?_0xbfbfx2[_0xb337[230]](/\n/g,_0xb337[1453]):_0xbfbfx2,_0xbfbfx3=null!=this[_0xb337[1537]]&&this[_0xb337[1537]]!=mxConstants[_0xb337[217]]?this[_0xb337[1537]]:null,_0xbfbfx4=null!=this[_0xb337[467]]&&this[_0xb337[467]]!=mxConstants[_0xb337[217]]?this[_0xb337[467]]:null;if(null!=_0xbfbfx3||null!=_0xbfbfx4){if(_0xb337[1334]==this[_0xb337[277]]){null!=_0xbfbfx3&&(this[_0xb337[252]][_0xb337[124]][_0xb337[1028]]=_0xbfbfx3),null!=_0xbfbfx4&&(this[_0xb337[252]][_0xb337[124]][_0xb337[467]]=_0xb337[1669]+_0xbfbfx4)}else {var _0xbfbfx5=_0xb337[110];null!=_0xbfbfx3&&(_0xbfbfx5+=_0xb337[1418]+_0xbfbfx3+_0xb337[471]);null!=_0xbfbfx4&&(_0xbfbfx5+=_0xb337[1419]+_0xbfbfx4+_0xb337[471]);_0xbfbfx2=_0xb337[1670]+_0xbfbfx5+_0xb337[1671]+this[_0xb337[252]][_0xb337[124]][_0xb337[489]]+_0xb337[1423]+_0xbfbfx2+_0xb337[1424];this[_0xb337[252]][_0xb337[124]][_0xb337[489]]=_0xb337[110]}};this[_0xb337[252]][_0xb337[339]]=_0xbfbfx2}};mxText[_0xb337[202]][_0xb337[1441]]=function(_0xbfbfx2){_0xbfbfx2=_0xbfbfx2[_0xb337[124]];_0xbfbfx2[_0xb337[489]]=Math[_0xb337[488]](this[_0xb337[803]]*mxConstants[_0xb337[490]])+_0xb337[168];_0xbfbfx2[_0xb337[487]]=Math[_0xb337[488]](this[_0xb337[803]])+_0xb337[168];_0xbfbfx2[_0xb337[486]]=this[_0xb337[1288]];_0xbfbfx2[_0xb337[123]]=_0xb337[125];_0xbfbfx2[_0xb337[352]]=this[_0xb337[352]];_0xbfbfx2[_0xb337[1452]]=(this[_0xb337[666]]&mxConstants[_0xb337[1410]])==mxConstants[_0xb337[1410]]?_0xb337[1447]:_0xb337[110];_0xbfbfx2[_0xb337[666]]=(this[_0xb337[666]]&mxConstants[_0xb337[1412]])==mxConstants[_0xb337[1412]]?_0xb337[1449]:_0xb337[110];_0xbfbfx2[_0xb337[1498]]=(this[_0xb337[666]]&mxConstants[_0xb337[1414]])==mxConstants[_0xb337[1414]]?_0xb337[355]:_0xb337[110];_0xbfbfx2[_0xb337[1165]]=this[_0xb337[611]]==mxConstants[_0xb337[479]]?_0xb337[698]:this[_0xb337[611]]==mxConstants[_0xb337[480]]?_0xb337[699]:_0xb337[361]};mxText[_0xb337[202]][_0xb337[1654]]=function(_0xbfbfx2){var _0xbfbfx3=Math[_0xb337[488]](this[_0xb337[1562]][_0xb337[117]]/this[_0xb337[255]]),_0xbfbfx4=Math[_0xb337[488]](this[_0xb337[1562]][_0xb337[119]]/this[_0xb337[255]]);_0xbfbfx2=_0xbfbfx2[_0xb337[124]];this[_0xb337[1645]]?(_0xbfbfx2[_0xb337[277]]=_0xb337[188],0<_0xbfbfx4&&(_0xbfbfx2[_0xb337[1503]]=_0xbfbfx4+_0xb337[168]),0<_0xbfbfx3&&(_0xbfbfx2[_0xb337[117]]=_0xbfbfx3+_0xb337[168])):_0xb337[1334]==this[_0xb337[277]]&&(_0xbfbfx2[_0xb337[117]]=_0xbfbfx3+_0xb337[168],0<_0xbfbfx4&&(_0xbfbfx2[_0xb337[1503]]=_0xbfbfx4+_0xb337[168]));this[_0xb337[1333]]?(!this[_0xb337[1645]]&&0<_0xbfbfx3&&(_0xbfbfx2[_0xb337[117]]=_0xbfbfx3+_0xb337[168]),_0xbfbfx2[_0xb337[493]]=_0xb337[1502]):_0xbfbfx2[_0xb337[493]]=_0xb337[494]};mxText[_0xb337[202]][_0xb337[1672]]=function(){this[_0xb337[1655]]=mxUtils[_0xb337[1501]](this[_0xb337[611]],this[_0xb337[552]])};mxText[_0xb337[202]][_0xb337[1673]]=function(){var _0xbfbfx2=0,_0xbfbfx3=0,_0xbfbfx2=this[_0xb337[611]]==mxConstants[_0xb337[479]]?(this[_0xb337[659]]-this[_0xb337[661]])/2:this[_0xb337[611]]==mxConstants[_0xb337[480]]?-this[_0xb337[661]]-this[_0xb337[1649]]:this[_0xb337[659]]+this[_0xb337[1648]],_0xbfbfx3=this[_0xb337[552]]==mxConstants[_0xb337[481]]?(this[_0xb337[658]]-this[_0xb337[660]])/2:this[_0xb337[552]]==mxConstants[_0xb337[482]]?-this[_0xb337[660]]-this[_0xb337[1647]]:this[_0xb337[658]]+this[_0xb337[1646]];return new mxPoint(_0xbfbfx2,_0xbfbfx3)};function mxTriangle(){mxActor[_0xb337[239]](this)}mxUtils[_0xb337[1284]](mxTriangle,mxActor);mxTriangle[_0xb337[202]][_0xb337[1625]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xbfbfx2[_0xb337[1276]](0,0);_0xbfbfx2[_0xb337[1277]](_0xbfbfx5,0.5*_0xbfbfx9);_0xbfbfx2[_0xb337[1277]](0,_0xbfbfx9);_0xbfbfx2[_0xb337[268]]()};function mxHexagon(){mxActor[_0xb337[239]](this)}mxUtils[_0xb337[1284]](mxHexagon,mxActor);mxHexagon[_0xb337[202]][_0xb337[1625]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xbfbfx2[_0xb337[1276]](0.25*_0xbfbfx5,0);_0xbfbfx2[_0xb337[1277]](0.75*_0xbfbfx5,0);_0xbfbfx2[_0xb337[1277]](_0xbfbfx5,0.5*_0xbfbfx9);_0xbfbfx2[_0xb337[1277]](0.75*_0xbfbfx5,_0xbfbfx9);_0xbfbfx2[_0xb337[1277]](0.25*_0xbfbfx5,_0xbfbfx9);_0xbfbfx2[_0xb337[1277]](0,0.5*_0xbfbfx9);_0xbfbfx2[_0xb337[268]]()};function mxLine(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){mxShape[_0xb337[239]](this);this[_0xb337[1562]]=_0xbfbfx2;this[_0xb337[1336]]=_0xbfbfx3;this[_0xb337[1307]]=null!=_0xbfbfx4?_0xbfbfx4:1}mxUtils[_0xb337[1284]](mxLine,mxShape);mxLine[_0xb337[202]][_0xb337[1595]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xbfbfx4+=_0xbfbfx9/2;_0xbfbfx2[_0xb337[1275]]();_0xbfbfx2[_0xb337[1276]](_0xbfbfx3,_0xbfbfx4);_0xbfbfx2[_0xb337[1277]](_0xbfbfx3+_0xbfbfx5,_0xbfbfx4);_0xbfbfx2[_0xb337[1336]]()};function mxImageShape(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){mxShape[_0xb337[239]](this);this[_0xb337[1562]]=_0xbfbfx2;this[_0xb337[618]]=_0xbfbfx3;this[_0xb337[1334]]=_0xbfbfx4;this[_0xb337[1336]]=_0xbfbfx5;this[_0xb337[1307]]=null!=_0xbfbfx9?_0xbfbfx9:1;this[_0xb337[639]]= !1}mxUtils[_0xb337[1284]](mxImageShape,mxRectangleShape);mxImageShape[_0xb337[202]][_0xb337[1674]]= !0;mxImageShape[_0xb337[202]][_0xb337[1568]]=function(){return !mxClient[_0xb337[80]]?0.5:0};mxImageShape[_0xb337[202]][_0xb337[183]]=function(_0xbfbfx2){mxShape[_0xb337[202]][_0xb337[183]][_0xb337[183]](this,arguments);this[_0xb337[1299]]=this[_0xb337[1336]]=this[_0xb337[1334]]=null;null!=this[_0xb337[124]]&&(this[_0xb337[1334]]=mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_IMAGE_BACKGROUND),this[_0xb337[1336]]=mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_IMAGE_BORDER),this[_0xb337[1674]]=1==mxUtils[_0xb337[1550]](this[_0xb337[124]],mxConstants.STYLE_IMAGE_ASPECT,1),this[_0xb337[623]]=this[_0xb337[623]]||1==mxUtils[_0xb337[433]](this[_0xb337[124]],_0xb337[1675],0),this[_0xb337[624]]=this[_0xb337[624]]||1==mxUtils[_0xb337[433]](this[_0xb337[124]],_0xb337[1676],0))};mxImageShape[_0xb337[202]][_0xb337[1567]]=function(){return !this[_0xb337[1674]]};mxImageShape[_0xb337[202]][_0xb337[1570]]=function(){var _0xbfbfx2=document[_0xb337[55]](_0xb337[485]);_0xbfbfx2[_0xb337[124]][_0xb337[491]]=_0xb337[492];return _0xbfbfx2};mxImageShape[_0xb337[202]][_0xb337[1595]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){if(null!=this[_0xb337[618]]){var _0xbfbfxa=mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_IMAGE_BACKGROUND,null),_0xbfbfx12=mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_IMAGE_BORDER,null);if(null!=_0xbfbfxa||null!=_0xbfbfx12){_0xbfbfx2[_0xb337[1245]](_0xbfbfxa),_0xbfbfx2[_0xb337[1249]](_0xbfbfx12),_0xbfbfx2[_0xb337[1319]](_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9),_0xbfbfx2[_0xb337[1337]]()};_0xbfbfx2[_0xb337[618]](_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,this[_0xb337[618]],this[_0xb337[1674]],!1,!1)}else {mxRectangleShape[_0xb337[202]][_0xb337[1601]][_0xb337[183]](this,arguments)}};mxImageShape[_0xb337[202]][_0xb337[1575]]=function(){this[_0xb337[252]][_0xb337[124]][_0xb337[361]]=Math[_0xb337[488]](this[_0xb337[1562]][_0xb337[235]])+_0xb337[168];this[_0xb337[252]][_0xb337[124]][_0xb337[125]]=Math[_0xb337[488]](this[_0xb337[1562]][_0xb337[236]])+_0xb337[168];this[_0xb337[252]][_0xb337[124]][_0xb337[117]]=Math[_0xb337[160]](0,Math[_0xb337[488]](this[_0xb337[1562]][_0xb337[117]]))+_0xb337[168];this[_0xb337[252]][_0xb337[124]][_0xb337[119]]=Math[_0xb337[160]](0,Math[_0xb337[488]](this[_0xb337[1562]][_0xb337[119]]))+_0xb337[168];this[_0xb337[252]][_0xb337[124]][_0xb337[1028]]=this[_0xb337[1334]]||_0xb337[110];this[_0xb337[252]][_0xb337[124]][_0xb337[1630]]=this[_0xb337[1336]]||_0xb337[110];this[_0xb337[252]][_0xb337[339]]=_0xb337[110];if(null!=this[_0xb337[618]]){var _0xbfbfx2=document[_0xb337[55]](mxClient[_0xb337[49]]||null==mxClient[_0xb337[73]]&&0!=this[_0xb337[603]]?mxClient[_0xb337[463]]+_0xb337[464]:_0xb337[466]);_0xbfbfx2[_0xb337[124]][_0xb337[491]]=_0xb337[492];_0xbfbfx2[_0xb337[390]]=this[_0xb337[618]];var _0xbfbfx3=100>this[_0xb337[461]]?_0xb337[459]+this[_0xb337[461]]+_0xb337[460]:_0xb337[110];this[_0xb337[252]][_0xb337[124]][_0xb337[347]]=_0xbfbfx3;this[_0xb337[623]]&&this[_0xb337[624]]?_0xbfbfx3+=_0xb337[1677]:this[_0xb337[623]]?_0xbfbfx3+=_0xb337[1678]:this[_0xb337[624]]&&(_0xbfbfx3+=_0xb337[1679]);_0xbfbfx2[_0xb337[124]][_0xb337[347]]!=_0xbfbfx3&&(_0xbfbfx2[_0xb337[124]][_0xb337[347]]=_0xbfbfx3);_0xb337[618]==_0xbfbfx2[_0xb337[301]]?_0xbfbfx2[_0xb337[124]][_0xb337[603]]=this[_0xb337[603]]:_0xbfbfx2[_0xb337[124]][mxClient[_0xb337[73]]+_0xb337[1662]]=_0xb337[1394]+this[_0xb337[603]]+_0xb337[1666];_0xbfbfx2[_0xb337[124]][_0xb337[117]]=this[_0xb337[252]][_0xb337[124]][_0xb337[117]];_0xbfbfx2[_0xb337[124]][_0xb337[119]]=this[_0xb337[252]][_0xb337[124]][_0xb337[119]];this[_0xb337[252]][_0xb337[124]][_0xb337[1617]]=_0xb337[110];this[_0xb337[252]][_0xb337[62]](_0xbfbfx2)}else {this[_0xb337[1600]](this[_0xb337[252]])}};function mxLabel(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){mxRectangleShape[_0xb337[239]](this,_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5)}mxUtils[_0xb337[1284]](mxLabel,mxRectangleShape);mxLabel[_0xb337[202]][_0xb337[1680]]=mxConstants[_0xb337[1681]];mxLabel[_0xb337[202]][_0xb337[657]]=2;mxLabel[_0xb337[202]][_0xb337[1682]]=10;mxLabel[_0xb337[202]][_0xb337[635]]=2;mxLabel[_0xb337[202]][_0xb337[176]]=function(_0xbfbfx2){mxShape[_0xb337[202]][_0xb337[176]][_0xb337[183]](this,arguments);null!=this[_0xb337[632]]&&null!=this[_0xb337[630]]&&(this[_0xb337[1683]]= new this[_0xb337[630]],this[_0xb337[1683]][_0xb337[507]]=this[_0xb337[507]],this[_0xb337[1683]][_0xb337[1562]]=this[_0xb337[1562]],this[_0xb337[1683]][_0xb337[1334]]=this[_0xb337[632]],this[_0xb337[1683]][_0xb337[1336]]=this[_0xb337[632]],this[_0xb337[1683]][_0xb337[1299]]=this[_0xb337[634]],this[_0xb337[1683]][_0xb337[663]]=this[_0xb337[638]],this[_0xb337[1683]][_0xb337[176]](this[_0xb337[252]]))};mxLabel[_0xb337[202]][_0xb337[258]]=function(){null!=this[_0xb337[1683]]&&(this[_0xb337[1683]][_0xb337[1334]]=this[_0xb337[632]],this[_0xb337[1683]][_0xb337[1336]]=this[_0xb337[632]],this[_0xb337[1683]][_0xb337[1299]]=this[_0xb337[634]],this[_0xb337[1683]][_0xb337[663]]=this[_0xb337[638]]);mxShape[_0xb337[202]][_0xb337[258]][_0xb337[183]](this,arguments)};mxLabel[_0xb337[202]][_0xb337[1567]]=function(){return mxRectangleShape[_0xb337[202]][_0xb337[1567]][_0xb337[183]](this,arguments)&&null==this[_0xb337[632]]&&null==this[_0xb337[630]]};mxLabel[_0xb337[202]][_0xb337[1602]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){this[_0xb337[1684]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9);this[_0xb337[1685]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9);mxRectangleShape[_0xb337[202]][_0xb337[1602]][_0xb337[183]](this,arguments)};mxLabel[_0xb337[202]][_0xb337[1684]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){null!=this[_0xb337[618]]&&(_0xbfbfx3=this[_0xb337[1686]](_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9),_0xbfbfx2[_0xb337[618]](_0xbfbfx3[_0xb337[235]],_0xbfbfx3[_0xb337[236]],_0xbfbfx3[_0xb337[117]],_0xbfbfx3[_0xb337[119]],this[_0xb337[618]],!1,!1,!1))};mxLabel[_0xb337[202]][_0xb337[1686]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){var _0xbfbfx9=mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_IMAGE_ALIGN,mxConstants.ALIGN_LEFT),_0xbfbfxa=mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_IMAGE_VERTICAL_ALIGN,mxConstants.ALIGN_MIDDLE),_0xbfbfx12=mxUtils[_0xb337[1550]](this[_0xb337[124]],mxConstants.STYLE_IMAGE_WIDTH,mxConstants.DEFAULT_IMAGESIZE),_0xbfbfx13=mxUtils[_0xb337[1550]](this[_0xb337[124]],mxConstants.STYLE_IMAGE_HEIGHT,mxConstants.DEFAULT_IMAGESIZE),_0xbfbfx14=mxUtils[_0xb337[1550]](this[_0xb337[124]],mxConstants.STYLE_SPACING,this[_0xb337[657]])+5;_0xbfbfx2=_0xbfbfx9==mxConstants[_0xb337[479]]?_0xbfbfx2+(_0xbfbfx4-_0xbfbfx12)/2:_0xbfbfx9==mxConstants[_0xb337[480]]?_0xbfbfx2+(_0xbfbfx4-_0xbfbfx12-_0xbfbfx14):_0xbfbfx2+_0xbfbfx14;_0xbfbfx3=_0xbfbfxa==mxConstants[_0xb337[1687]]?_0xbfbfx3+_0xbfbfx14:_0xbfbfxa==mxConstants[_0xb337[482]]?_0xbfbfx3+(_0xbfbfx5-_0xbfbfx13-_0xbfbfx14):_0xbfbfx3+(_0xbfbfx5-_0xbfbfx13)/2;return new mxRectangle(_0xbfbfx2,_0xbfbfx3,_0xbfbfx12,_0xbfbfx13)};mxLabel[_0xb337[202]][_0xb337[1685]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){null!=this[_0xb337[1683]]?(this[_0xb337[1683]][_0xb337[1562]]=this[_0xb337[1688]](_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9),this[_0xb337[1683]][_0xb337[1209]](_0xbfbfx2)):null!=this[_0xb337[631]]&&(_0xbfbfx3=this[_0xb337[1688]](_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9),_0xbfbfx2[_0xb337[618]](_0xbfbfx3[_0xb337[235]],_0xbfbfx3[_0xb337[236]],_0xbfbfx3[_0xb337[117]],_0xbfbfx3[_0xb337[119]],this[_0xb337[631]],!1,!1,!1))};mxLabel[_0xb337[202]][_0xb337[1688]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){var _0xbfbfx9=mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_IMAGE_ALIGN,mxConstants.ALIGN_LEFT),_0xbfbfxa=mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_IMAGE_VERTICAL_ALIGN,mxConstants.ALIGN_MIDDLE),_0xbfbfx12=mxUtils[_0xb337[1550]](this[_0xb337[124]],mxConstants.STYLE_INDICATOR_WIDTH,this[_0xb337[1682]]),_0xbfbfx13=mxUtils[_0xb337[1550]](this[_0xb337[124]],mxConstants.STYLE_INDICATOR_HEIGHT,this[_0xb337[1682]]),_0xbfbfx14=this[_0xb337[657]]+5;_0xbfbfx2=_0xbfbfx9==mxConstants[_0xb337[480]]?_0xbfbfx2+(_0xbfbfx4-_0xbfbfx12-_0xbfbfx14):_0xbfbfx9==mxConstants[_0xb337[479]]?_0xbfbfx2+(_0xbfbfx4-_0xbfbfx12)/2:_0xbfbfx2+_0xbfbfx14;_0xbfbfx3=_0xbfbfxa==mxConstants[_0xb337[482]]?_0xbfbfx3+(_0xbfbfx5-_0xbfbfx13-_0xbfbfx14):_0xbfbfxa==mxConstants[_0xb337[1687]]?_0xbfbfx3+_0xbfbfx14:_0xbfbfx3+(_0xbfbfx5-_0xbfbfx13)/2;return new mxRectangle(_0xbfbfx2,_0xbfbfx3,_0xbfbfx12,_0xbfbfx13)};mxLabel[_0xb337[202]][_0xb337[1575]]=function(){for(mxRectangleShape[_0xb337[202]][_0xb337[1575]][_0xb337[183]](this,arguments);this[_0xb337[252]][_0xb337[1580]]();){this[_0xb337[252]][_0xb337[266]](this[_0xb337[252]][_0xb337[1581]])};if(null!=this[_0xb337[618]]){var _0xbfbfx2=document[_0xb337[55]](_0xb337[466]);_0xbfbfx2[_0xb337[124]][_0xb337[491]]=_0xb337[1500];_0xbfbfx2[_0xb337[57]](_0xb337[467],_0xb337[468]);var _0xbfbfx3=this[_0xb337[1686]](this[_0xb337[1562]][_0xb337[235]],this[_0xb337[1562]][_0xb337[236]],this[_0xb337[1562]][_0xb337[117]],this[_0xb337[1562]][_0xb337[119]]);_0xbfbfx3[_0xb337[235]]-=this[_0xb337[1562]][_0xb337[235]];_0xbfbfx3[_0xb337[236]]-=this[_0xb337[1562]][_0xb337[236]];_0xbfbfx2[_0xb337[124]][_0xb337[361]]=Math[_0xb337[488]](_0xbfbfx3[_0xb337[235]])+_0xb337[168];_0xbfbfx2[_0xb337[124]][_0xb337[125]]=Math[_0xb337[488]](_0xbfbfx3[_0xb337[236]])+_0xb337[168];_0xbfbfx2[_0xb337[124]][_0xb337[117]]=Math[_0xb337[488]](_0xbfbfx3[_0xb337[117]])+_0xb337[168];_0xbfbfx2[_0xb337[124]][_0xb337[119]]=Math[_0xb337[488]](_0xbfbfx3[_0xb337[119]])+_0xb337[168];_0xbfbfx2[_0xb337[390]]=this[_0xb337[618]];this[_0xb337[252]][_0xb337[62]](_0xbfbfx2)}};function mxCylinder(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){mxShape[_0xb337[239]](this);this[_0xb337[1562]]=_0xbfbfx2;this[_0xb337[1334]]=_0xbfbfx3;this[_0xb337[1336]]=_0xbfbfx4;this[_0xb337[1307]]=null!=_0xbfbfx5?_0xbfbfx5:1}mxUtils[_0xb337[1284]](mxCylinder,mxShape);mxCylinder[_0xb337[202]][_0xb337[1503]]=40;mxCylinder[_0xb337[202]][_0xb337[1564]]=0;mxCylinder[_0xb337[202]][_0xb337[1595]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xbfbfx2[_0xb337[513]](_0xbfbfx3,_0xbfbfx4);_0xbfbfx2[_0xb337[1275]]();this[_0xb337[1625]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,!1);_0xbfbfx2[_0xb337[1337]]();_0xbfbfx2[_0xb337[1267]](!1);_0xbfbfx2[_0xb337[1275]]();this[_0xb337[1625]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,!0);_0xbfbfx2[_0xb337[1336]]()};mxCylinder[_0xb337[202]][_0xb337[1625]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){_0xbfbfx3=Math[_0xb337[243]](this[_0xb337[1503]],Math[_0xb337[488]](_0xbfbfx9/5));if(_0xbfbfxa&&null!=this[_0xb337[1334]]||!_0xbfbfxa&&null==this[_0xb337[1334]]){_0xbfbfx2[_0xb337[1276]](0,_0xbfbfx3),_0xbfbfx2[_0xb337[1279]](0,2*_0xbfbfx3,_0xbfbfx5,2*_0xbfbfx3,_0xbfbfx5,_0xbfbfx3),_0xbfbfxa||(_0xbfbfx2[_0xb337[1336]](),_0xbfbfx2[_0xb337[1275]]())};_0xbfbfxa||(_0xbfbfx2[_0xb337[1276]](0,_0xbfbfx3),_0xbfbfx2[_0xb337[1279]](0,-_0xbfbfx3/3,_0xbfbfx5,-_0xbfbfx3/3,_0xbfbfx5,_0xbfbfx3),_0xbfbfx2[_0xb337[1277]](_0xbfbfx5,_0xbfbfx9-_0xbfbfx3),_0xbfbfx2[_0xb337[1279]](_0xbfbfx5,_0xbfbfx9+_0xbfbfx3/3,0,_0xbfbfx9+_0xbfbfx3/3,0,_0xbfbfx9-_0xbfbfx3),_0xbfbfx2[_0xb337[268]]())};function mxConnector(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){mxPolyline[_0xb337[239]](this,_0xbfbfx2,_0xbfbfx3,_0xbfbfx4)}mxUtils[_0xb337[1284]](mxConnector,mxPolyline);mxConnector[_0xb337[202]][_0xb337[1594]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=this[_0xb337[1689]](_0xbfbfx2,_0xbfbfx3,!0),_0xbfbfx5=this[_0xb337[1689]](_0xbfbfx2,_0xbfbfx3,!1);null==this[_0xb337[124]]||1!=this[_0xb337[124]][mxConstants[_0xb337[1690]]]?this[_0xb337[1639]](_0xbfbfx2,_0xbfbfx3,this[_0xb337[1606]]):this[_0xb337[1691]](_0xbfbfx2,_0xbfbfx3);_0xbfbfx2[_0xb337[1245]](this[_0xb337[1336]]);_0xbfbfx2[_0xb337[1267]](!1);_0xbfbfx2[_0xb337[1251]](!1);null!=_0xbfbfx4&&_0xbfbfx4();null!=_0xbfbfx5&&_0xbfbfx5()};mxConnector[_0xb337[202]][_0xb337[1691]]=function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx2[_0xb337[1275]]();var _0xbfbfx4=_0xbfbfx3[0],_0xbfbfx5=_0xbfbfx3[_0xb337[67]];_0xbfbfx2[_0xb337[1276]](_0xbfbfx4[_0xb337[235]],_0xbfbfx4[_0xb337[236]]);for(_0xbfbfx4=1;_0xbfbfx4<_0xbfbfx5-2;_0xbfbfx4++){var _0xbfbfx9=_0xbfbfx3[_0xbfbfx4],_0xbfbfxa=_0xbfbfx3[_0xbfbfx4+1];_0xbfbfx2[_0xb337[1278]](_0xbfbfx9[_0xb337[235]],_0xbfbfx9[_0xb337[236]],(_0xbfbfx9[_0xb337[235]]+_0xbfbfxa[_0xb337[235]])/2,(_0xbfbfx9[_0xb337[236]]+_0xbfbfxa[_0xb337[236]])/2)};_0xbfbfx9=_0xbfbfx3[_0xbfbfx5-2];_0xbfbfxa=_0xbfbfx3[_0xbfbfx5-1];_0xbfbfx2[_0xb337[1278]](_0xbfbfx9[_0xb337[235]],_0xbfbfx9[_0xb337[236]],_0xbfbfxa[_0xb337[235]],_0xbfbfxa[_0xb337[236]]);_0xbfbfx2[_0xb337[1336]]()};mxConnector[_0xb337[202]][_0xb337[1689]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=_0xbfbfx3[_0xb337[67]],_0xbfbfx9=_0xbfbfx4?_0xbfbfx3[1]:_0xbfbfx3[_0xbfbfx5-2];_0xbfbfx3=_0xbfbfx4?_0xbfbfx3[0]:_0xbfbfx3[_0xbfbfx5-1];var _0xbfbfx5=_0xbfbfx3[_0xb337[235]]-_0xbfbfx9[_0xb337[235]],_0xbfbfxa=_0xbfbfx3[_0xb337[236]]-_0xbfbfx9[_0xb337[236]],_0xbfbfx12=Math[_0xb337[160]](1,Math[_0xb337[428]](_0xbfbfx5*_0xbfbfx5+_0xbfbfxa*_0xbfbfxa)),_0xbfbfx9=_0xbfbfx5/_0xbfbfx12,_0xbfbfx5=_0xbfbfxa/_0xbfbfx12,_0xbfbfxa=mxUtils[_0xb337[1550]](this[_0xb337[124]],_0xbfbfx4?mxConstants[_0xb337[1692]]:mxConstants[_0xb337[1693]],mxConstants.DEFAULT_MARKERSIZE),_0xbfbfx12=mxUtils[_0xb337[433]](this[_0xb337[124]],_0xbfbfx4?mxConstants[_0xb337[1694]]:mxConstants[_0xb337[1695]]);return mxMarker[_0xb337[1689]](_0xbfbfx2,this,_0xbfbfx12,_0xbfbfx3,_0xbfbfx9,_0xbfbfx5,_0xbfbfxa,_0xbfbfx4,this[_0xb337[1307]],0!=this[_0xb337[124]][_0xbfbfx4?mxConstants[_0xb337[1696]]:mxConstants[_0xb337[1697]]])};mxConnector[_0xb337[202]][_0xb337[1612]]=function(_0xbfbfx2){mxShape[_0xb337[202]][_0xb337[1612]][_0xb337[183]](this,arguments);var _0xbfbfx3=0;mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_STARTARROW,mxConstants.NONE)!=mxConstants[_0xb337[217]]&&(_0xbfbfx3=mxUtils[_0xb337[1550]](this[_0xb337[124]],mxConstants.STYLE_STARTSIZE,mxConstants.DEFAULT_MARKERSIZE)+1);mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_ENDARROW,mxConstants.NONE)!=mxConstants[_0xb337[217]]&&(_0xbfbfx3=Math[_0xb337[160]](_0xbfbfx3,mxUtils[_0xb337[1550]](this[_0xb337[124]],mxConstants.STYLE_ENDSIZE,mxConstants.DEFAULT_MARKERSIZE))+1);_0xbfbfx2[_0xb337[244]](Math[_0xb337[430]](_0xbfbfx3*this[_0xb337[255]]))};function mxSwimlane(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){mxShape[_0xb337[239]](this);this[_0xb337[1562]]=_0xbfbfx2;this[_0xb337[1334]]=_0xbfbfx3;this[_0xb337[1336]]=_0xbfbfx4;this[_0xb337[1307]]=null!=_0xbfbfx5?_0xbfbfx5:1}mxUtils[_0xb337[1284]](mxSwimlane,mxShape);mxSwimlane[_0xb337[202]][_0xb337[1680]]=16;mxSwimlane[_0xb337[202]][_0xb337[1597]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xbfbfx2=Math[_0xb337[243]](_0xbfbfx9,mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_STARTSIZE,mxConstants.DEFAULT_STARTSIZE));return new mxRectangle(_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx2)};mxSwimlane[_0xb337[202]][_0xb337[1614]]=function(){var _0xbfbfx2=mxShape[_0xb337[202]][_0xb337[1614]][_0xb337[183]](this,arguments);1!=mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_HORIZONTAL,1)&&(_0xbfbfx2+=mxText[_0xb337[202]][_0xb337[1616]]);return _0xbfbfx2};mxSwimlane[_0xb337[202]][_0xb337[1615]]=function(){return this[_0xb337[1614]]()};mxSwimlane[_0xb337[202]][_0xb337[1590]]=function(){return mxShape[_0xb337[202]][_0xb337[1590]][_0xb337[183]](this,arguments)||1!=mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_HORIZONTAL,1)};mxSwimlane[_0xb337[202]][_0xb337[1603]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx2=mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_ARCSIZE,100*mxConstants[_0xb337[1557]])/100;return 3*_0xbfbfx4*_0xbfbfx2};mxSwimlane[_0xb337[202]][_0xb337[1595]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){var _0xbfbfxa=Math[_0xb337[243]](_0xbfbfx9,mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_STARTSIZE,mxConstants.DEFAULT_STARTSIZE)),_0xbfbfx12=mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_SWIMLANE_FILLCOLOR,mxConstants.NONE),_0xbfbfx13=1==mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_SWIMLANE_LINE,1),_0xbfbfx14=0;_0xbfbfx2[_0xb337[513]](_0xbfbfx3,_0xbfbfx4);this[_0xb337[1606]]?(_0xbfbfx14=this[_0xb337[1603]](_0xbfbfx5,_0xbfbfx9,_0xbfbfxa),this[_0xb337[1698]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa,_0xbfbfx14,_0xbfbfx12,_0xbfbfx13)):this[_0xb337[1699]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12,_0xbfbfx13);_0xbfbfx12=mxUtils[_0xb337[433]](this[_0xb337[124]],mxConstants.STYLE_SEPARATORCOLOR,mxConstants.NONE);this[_0xb337[1700]](_0xbfbfx2,_0xbfbfx5,_0xbfbfxa,_0xbfbfx9,_0xbfbfx12);null!=this[_0xb337[618]]&&(_0xbfbfx9=this[_0xb337[1686]](_0xbfbfx3,_0xbfbfxa,_0xbfbfx5,_0xbfbfx9),_0xbfbfx2[_0xb337[618]](_0xbfbfx9[_0xb337[235]]-_0xbfbfx3,_0xbfbfx9[_0xb337[236]]-_0xbfbfx4,_0xbfbfx9[_0xb337[117]],_0xbfbfx9[_0xb337[119]],this[_0xb337[618]],!1,!1,!1));this[_0xb337[617]]&&(_0xbfbfx2[_0xb337[1267]](!1),this[_0xb337[1604]](_0xbfbfx2,0,0,_0xbfbfx5,_0xbfbfxa,_0xbfbfx14))};mxSwimlane[_0xb337[202]][_0xb337[1699]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12,_0xbfbfx13){_0xbfbfx12!=mxConstants[_0xb337[217]]&&(_0xbfbfx2[_0xb337[815]](),_0xbfbfx2[_0xb337[1245]](_0xbfbfx12),_0xbfbfx2[_0xb337[1319]](0,0,_0xbfbfx5,_0xbfbfx9),_0xbfbfx2[_0xb337[1337]](),_0xbfbfx2[_0xb337[1210]](),_0xbfbfx2[_0xb337[1267]](!1));_0xbfbfx2[_0xb337[1275]]();_0xbfbfx2[_0xb337[1276]](0,_0xbfbfxa);_0xbfbfx2[_0xb337[1277]](0,0);_0xbfbfx2[_0xb337[1277]](_0xbfbfx5,0);_0xbfbfx2[_0xb337[1277]](_0xbfbfx5,_0xbfbfxa);_0xbfbfx13&&_0xbfbfx2[_0xb337[268]]();_0xbfbfx2[_0xb337[1337]]();_0xbfbfxa<_0xbfbfx9&&_0xbfbfx12==mxConstants[_0xb337[217]]&&(_0xbfbfx2[_0xb337[1229]]= !1,_0xbfbfx2[_0xb337[1275]](),_0xbfbfx2[_0xb337[1276]](0,_0xbfbfxa),_0xbfbfx2[_0xb337[1277]](0,_0xbfbfx9),_0xbfbfx2[_0xb337[1277]](_0xbfbfx5,_0xbfbfx9),_0xbfbfx2[_0xb337[1277]](_0xbfbfx5,_0xbfbfxa),_0xbfbfx2[_0xb337[1336]]())};mxSwimlane[_0xb337[202]][_0xb337[1698]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12,_0xbfbfx13,_0xbfbfx14){_0xbfbfx13!=mxConstants[_0xb337[217]]&&(_0xbfbfx2[_0xb337[815]](),_0xbfbfx2[_0xb337[1245]](_0xbfbfx13),_0xbfbfx2[_0xb337[1320]](0,0,_0xbfbfx5,_0xbfbfx9,_0xbfbfx12,_0xbfbfx12),_0xbfbfx2[_0xb337[1337]](),_0xbfbfx2[_0xb337[1210]](),_0xbfbfx2[_0xb337[1267]](!1));_0xbfbfx2[_0xb337[1275]]();_0xbfbfx2[_0xb337[1276]](_0xbfbfx5,_0xbfbfxa);_0xbfbfx2[_0xb337[1277]](_0xbfbfx5,_0xbfbfx12);_0xbfbfx2[_0xb337[1278]](_0xbfbfx5,0,_0xbfbfx5-Math[_0xb337[243]](_0xbfbfx5/2,_0xbfbfx12),0);_0xbfbfx2[_0xb337[1277]](Math[_0xb337[243]](_0xbfbfx5/2,_0xbfbfx12),0);_0xbfbfx2[_0xb337[1278]](0,0,0,_0xbfbfx12);_0xbfbfx2[_0xb337[1277]](0,_0xbfbfxa);_0xbfbfx14&&_0xbfbfx2[_0xb337[268]]();_0xbfbfx2[_0xb337[1337]]();_0xbfbfxa<_0xbfbfx9&&_0xbfbfx13==mxConstants[_0xb337[217]]&&(_0xbfbfx2[_0xb337[1229]]= !1,_0xbfbfx2[_0xb337[1275]](),_0xbfbfx2[_0xb337[1276]](0,_0xbfbfxa),_0xbfbfx2[_0xb337[1277]](0,_0xbfbfx9-_0xbfbfx12),_0xbfbfx2[_0xb337[1278]](0,_0xbfbfx9,Math[_0xb337[243]](_0xbfbfx5/2,_0xbfbfx12),_0xbfbfx9),_0xbfbfx2[_0xb337[1277]](_0xbfbfx5-Math[_0xb337[243]](_0xbfbfx5/2,_0xbfbfx12),_0xbfbfx9),_0xbfbfx2[_0xb337[1278]](_0xbfbfx5,_0xbfbfx9,_0xbfbfx5,_0xbfbfx9-_0xbfbfx12),_0xbfbfx2[_0xb337[1277]](_0xbfbfx5,_0xbfbfxa),_0xbfbfx2[_0xb337[1336]]())};mxSwimlane[_0xb337[202]][_0xb337[1700]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xbfbfx9!=mxConstants[_0xb337[217]]&&(_0xbfbfx2[_0xb337[1249]](_0xbfbfx9),_0xbfbfx2[_0xb337[1251]](!0),_0xbfbfx2[_0xb337[1275]](),_0xbfbfx2[_0xb337[1276]](_0xbfbfx3,_0xbfbfx4),_0xbfbfx2[_0xb337[1277]](_0xbfbfx3,_0xbfbfx5),_0xbfbfx2[_0xb337[1336]](),_0xbfbfx2[_0xb337[1251]](!1))};mxSwimlane[_0xb337[202]][_0xb337[1686]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){return new mxRectangle(_0xbfbfx2+_0xbfbfx4-this[_0xb337[1680]],_0xbfbfx3,this[_0xb337[1680]],this[_0xb337[1680]])};function mxGraphLayout(_0xbfbfx2){this[_0xb337[1179]]=_0xbfbfx2}mxGraphLayout[_0xb337[202]][_0xb337[1179]]=null;mxGraphLayout[_0xb337[202]][_0xb337[1701]]= !0;mxGraphLayout[_0xb337[202]][_0xb337[1101]]=null;mxGraphLayout[_0xb337[202]][_0xb337[1702]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){};mxGraphLayout[_0xb337[202]][_0xb337[350]]=function(_0xbfbfx2){};mxGraphLayout[_0xb337[202]][_0xb337[1703]]=function(){return this[_0xb337[1179]]};mxGraphLayout[_0xb337[202]][_0xb337[1704]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){_0xbfbfx4=this[_0xb337[1179]][_0xb337[441]][_0xb337[248]](_0xbfbfx3);_0xbfbfx3=null!=_0xbfbfx4?_0xbfbfx4[_0xb337[124]]:this[_0xb337[1179]][_0xb337[1705]](_0xbfbfx3);return null!=_0xbfbfx3?_0xbfbfx3[_0xbfbfx2]:null};mxGraphLayout[_0xb337[1706]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){if(null!=_0xbfbfx4&&null!=_0xbfbfx2){_0xbfbfx3=null!=_0xbfbfx3?_0xbfbfx3:!0;_0xbfbfx9=_0xbfbfx9||[];var _0xbfbfxa=mxCellPath[_0xb337[385]](_0xbfbfx2);if(null==_0xbfbfx9[_0xbfbfxa]&&(_0xbfbfx9[_0xbfbfxa]=_0xbfbfx2,_0xbfbfx5=_0xbfbfx4(_0xbfbfx2,_0xbfbfx5),null==_0xbfbfx5||_0xbfbfx5)){if(_0xbfbfx5=this[_0xb337[1179]][_0xb337[251]][_0xb337[1707]](_0xbfbfx2),0<_0xbfbfx5){for(_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx5;_0xbfbfxa++){var _0xbfbfx12=this[_0xb337[1179]][_0xb337[251]][_0xb337[1708]](_0xbfbfx2,_0xbfbfxa),_0xbfbfx13=this[_0xb337[1179]][_0xb337[251]][_0xb337[1709]](_0xbfbfx12,!0)==_0xbfbfx2;if(!_0xbfbfx3||_0xbfbfx13){_0xbfbfx13=this[_0xb337[1179]][_0xb337[441]][_0xb337[1710]](_0xbfbfx12,!_0xbfbfx13),this[_0xb337[1706]](_0xbfbfx13,_0xbfbfx3,_0xbfbfx4,_0xbfbfx12,_0xbfbfx9)}}}}}};mxGraphLayout[_0xb337[202]][_0xb337[1711]]=function(_0xbfbfx2){return this[_0xb337[1179]][_0xb337[1712]](_0xbfbfx2)};mxGraphLayout[_0xb337[202]][_0xb337[1713]]=function(_0xbfbfx2){return !this[_0xb337[1179]][_0xb337[502]]()[_0xb337[1193]](_0xbfbfx2)||!this[_0xb337[1179]][_0xb337[1714]](_0xbfbfx2)};mxGraphLayout[_0xb337[202]][_0xb337[1715]]=function(_0xbfbfx2){var _0xbfbfx3=this[_0xb337[1179]][_0xb337[502]]();return !_0xbfbfx3[_0xb337[250]](_0xbfbfx2)||!this[_0xb337[1179]][_0xb337[1714]](_0xbfbfx2)||null==_0xbfbfx3[_0xb337[1709]](_0xbfbfx2,!0)||null==_0xbfbfx3[_0xb337[1709]](_0xbfbfx2,!1)};mxGraphLayout[_0xb337[202]][_0xb337[1716]]=function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[1179]][_0xb337[1717]](mxConstants.STYLE_NOEDGESTYLE,_0xbfbfx3?_0xb337[468]:_0xb337[500],[_0xbfbfx2])};mxGraphLayout[_0xb337[202]][_0xb337[1718]]=function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[1179]][_0xb337[1717]](mxConstants.STYLE_ORTHOGONAL,_0xbfbfx3?_0xb337[500]:_0xb337[468],[_0xbfbfx2])};mxGraphLayout[_0xb337[202]][_0xb337[1719]]=function(_0xbfbfx2){var _0xbfbfx3= new mxPoint;if(null!=_0xbfbfx2&&_0xbfbfx2!=this[_0xb337[1101]]){var _0xbfbfx4=this[_0xb337[1179]][_0xb337[502]]();if(_0xbfbfx4[_0xb337[1720]](this[_0xb337[1101]],_0xbfbfx2)){for(var _0xbfbfx5=_0xbfbfx4[_0xb337[1721]](_0xbfbfx2);_0xbfbfx2!=this[_0xb337[1101]];){_0xbfbfx3[_0xb337[235]]+=_0xbfbfx5[_0xb337[235]],_0xbfbfx3[_0xb337[236]]+=_0xbfbfx5[_0xb337[236]],_0xbfbfx2=_0xbfbfx4[_0xb337[1197]](_0xbfbfx2),_0xbfbfx5=_0xbfbfx4[_0xb337[1721]](_0xbfbfx2)}}};return _0xbfbfx3};mxGraphLayout[_0xb337[202]][_0xb337[1722]]=function(_0xbfbfx2,_0xbfbfx3){if(null!=_0xbfbfx2){var _0xbfbfx4=this[_0xb337[1179]][_0xb337[251]],_0xbfbfx5=_0xbfbfx4[_0xb337[1721]](_0xbfbfx2);null==_0xbfbfx5?(_0xbfbfx5= new mxGeometry,_0xbfbfx5[_0xb337[1723]](!0)):_0xbfbfx5=_0xbfbfx5[_0xb337[238]]();if(null!=this[_0xb337[1101]]&&null!=_0xbfbfx3){for(var _0xbfbfx9=_0xbfbfx4[_0xb337[1197]](_0xbfbfx2),_0xbfbfx9=this[_0xb337[1719]](_0xbfbfx9),_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx3[_0xb337[67]];_0xbfbfxa++){_0xbfbfx3[_0xbfbfxa][_0xb337[235]]-=_0xbfbfx9[_0xb337[235]],_0xbfbfx3[_0xbfbfxa][_0xb337[236]]-=_0xbfbfx9[_0xb337[236]]}};_0xbfbfx5[_0xb337[1525]]=_0xbfbfx3;_0xbfbfx4[_0xb337[1724]](_0xbfbfx2,_0xbfbfx5)}};mxGraphLayout[_0xb337[202]][_0xb337[1725]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=this[_0xb337[1179]][_0xb337[502]](),_0xbfbfx9=_0xbfbfx5[_0xb337[1721]](_0xbfbfx2),_0xbfbfxa=null;if(null!=_0xbfbfx9){_0xbfbfxa= new mxRectangle(_0xbfbfx3,_0xbfbfx4,_0xbfbfx9[_0xb337[117]],_0xbfbfx9[_0xb337[119]]);if(this[_0xb337[1701]]){var _0xbfbfx12=this[_0xb337[1179]][_0xb337[249]]()[_0xb337[248]](_0xbfbfx2);if(null!=_0xbfbfx12&&null!=_0xbfbfx12[_0xb337[963]]&&null!=_0xbfbfx12[_0xb337[963]][_0xb337[1563]]){var _0xbfbfx13=this[_0xb337[1179]][_0xb337[249]]()[_0xb337[255]],_0xbfbfx14=_0xbfbfx12[_0xb337[963]][_0xb337[1563]];_0xbfbfx12[_0xb337[963]][_0xb337[1563]][_0xb337[235]]<_0xbfbfx12[_0xb337[235]]&&(_0xbfbfx3+=(_0xbfbfx12[_0xb337[235]]-_0xbfbfx14[_0xb337[235]])/_0xbfbfx13,_0xbfbfxa[_0xb337[117]]=_0xbfbfx14[_0xb337[117]]);_0xbfbfx12[_0xb337[963]][_0xb337[1563]][_0xb337[236]]<_0xbfbfx12[_0xb337[236]]&&(_0xbfbfx4+=(_0xbfbfx12[_0xb337[236]]-_0xbfbfx14[_0xb337[236]])/_0xbfbfx13,_0xbfbfxa[_0xb337[119]]=_0xbfbfx14[_0xb337[119]])}};null!=this[_0xb337[1101]]&&(_0xbfbfx12=_0xbfbfx5[_0xb337[1197]](_0xbfbfx2),null!=_0xbfbfx12&&_0xbfbfx12!=this[_0xb337[1101]]&&(_0xbfbfx12=this[_0xb337[1719]](_0xbfbfx12),_0xbfbfx3-=_0xbfbfx12[_0xb337[235]],_0xbfbfx4-=_0xbfbfx12[_0xb337[236]]));if(_0xbfbfx9[_0xb337[235]]!=_0xbfbfx3||_0xbfbfx9[_0xb337[236]]!=_0xbfbfx4){_0xbfbfx9=_0xbfbfx9[_0xb337[238]](),_0xbfbfx9[_0xb337[235]]=_0xbfbfx3,_0xbfbfx9[_0xb337[236]]=_0xbfbfx4,_0xbfbfx5[_0xb337[1724]](_0xbfbfx2,_0xbfbfx9)}};return _0xbfbfxa};mxGraphLayout[_0xb337[202]][_0xb337[1726]]=function(_0xbfbfx2){var _0xbfbfx3=this[_0xb337[1179]][_0xb337[502]]()[_0xb337[1721]](_0xbfbfx2);if(this[_0xb337[1701]]){var _0xbfbfx4=this[_0xb337[1179]][_0xb337[249]]()[_0xb337[248]](_0xbfbfx2);if(null!=_0xbfbfx4&&null!=_0xbfbfx4[_0xb337[963]]&&null!=_0xbfbfx4[_0xb337[963]][_0xb337[1563]]){var _0xbfbfx5=this[_0xb337[1179]][_0xb337[249]]()[_0xb337[255]],_0xbfbfx9=_0xbfbfx4[_0xb337[963]][_0xb337[1563]],_0xbfbfxa=Math[_0xb337[160]](_0xbfbfx4[_0xb337[235]]-_0xbfbfx9[_0xb337[235]],0)/_0xbfbfx5,_0xbfbfx12=Math[_0xb337[160]](_0xbfbfx4[_0xb337[236]]-_0xbfbfx9[_0xb337[236]],0)/_0xbfbfx5,_0xbfbfx13=Math[_0xb337[160]](_0xbfbfx9[_0xb337[235]]+_0xbfbfx9[_0xb337[117]]-(_0xbfbfx4[_0xb337[235]]+_0xbfbfx4[_0xb337[117]]),0)/_0xbfbfx5,_0xbfbfx4=Math[_0xb337[160]](_0xbfbfx9[_0xb337[236]]+_0xbfbfx9[_0xb337[119]]-(_0xbfbfx4[_0xb337[236]]+_0xbfbfx4[_0xb337[119]]),0)/_0xbfbfx5,_0xbfbfx3= new mxRectangle(_0xbfbfx3[_0xb337[235]]-_0xbfbfxa,_0xbfbfx3[_0xb337[236]]-_0xbfbfx12,_0xbfbfx3[_0xb337[117]]+_0xbfbfxa+_0xbfbfx13,_0xbfbfx3[_0xb337[119]]+_0xbfbfx12+_0xbfbfx4)}};null!=this[_0xb337[1101]]&&(_0xbfbfx2=this[_0xb337[1179]][_0xb337[502]]()[_0xb337[1197]](_0xbfbfx2),_0xbfbfx3=_0xbfbfx3[_0xb337[238]](),null!=_0xbfbfx2&&_0xbfbfx2!=this[_0xb337[1101]]&&(_0xbfbfx2=this[_0xb337[1719]](_0xbfbfx2),_0xbfbfx3[_0xb337[235]]+=_0xbfbfx2[_0xb337[235]],_0xbfbfx3[_0xb337[236]]+=_0xbfbfx2[_0xb337[236]]));return new mxRectangle(_0xbfbfx3[_0xb337[235]],_0xbfbfx3[_0xb337[236]],_0xbfbfx3[_0xb337[117]],_0xbfbfx3[_0xb337[119]])};mxGraphLayout[_0xb337[202]][_0xb337[1727]]=function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[1179]][_0xb337[502]]()[_0xb337[473]]();try{for(var _0xbfbfx4=_0xbfbfx2[_0xb337[67]]-1;0<=_0xbfbfx4;_0xbfbfx4--){var _0xbfbfx5=_0xbfbfx2[_0xbfbfx4],_0xbfbfx9=this[_0xb337[1179]][_0xb337[1728]](_0xbfbfx5),_0xbfbfxa=this[_0xb337[1179]][_0xb337[1729]](_0xbfbfx9),_0xbfbfx12=this[_0xb337[1179]][_0xb337[1198]](_0xbfbfx5),_0xbfbfx13=0,_0xbfbfx14=0;if(this[_0xb337[1179]][_0xb337[1730]](_0xbfbfx5)){var _0xbfbfx15=this[_0xb337[1179]][_0xb337[1731]](_0xbfbfx5),_0xbfbfx13=_0xbfbfx15[_0xb337[117]],_0xbfbfx14=_0xbfbfx15[_0xb337[119]]};null!=_0xbfbfxa&&null!=_0xbfbfx12&&(_0xbfbfx12=_0xbfbfx12[_0xb337[238]](),_0xbfbfx12[_0xb337[235]]=_0xbfbfx12[_0xb337[235]]+_0xbfbfxa[_0xb337[235]]-_0xbfbfx3-_0xbfbfx13,_0xbfbfx12[_0xb337[236]]=_0xbfbfx12[_0xb337[236]]+_0xbfbfxa[_0xb337[236]]-_0xbfbfx3-_0xbfbfx14,_0xbfbfx12[_0xb337[117]]=_0xbfbfxa[_0xb337[117]]+2*_0xbfbfx3+_0xbfbfx13,_0xbfbfx12[_0xb337[119]]=_0xbfbfxa[_0xb337[119]]+2*_0xbfbfx3+_0xbfbfx14,this[_0xb337[1179]][_0xb337[502]]()[_0xb337[1724]](_0xbfbfx5,_0xbfbfx12),this[_0xb337[1179]][_0xb337[834]](_0xbfbfx9,_0xbfbfx3+_0xbfbfx13-_0xbfbfxa[_0xb337[235]],_0xbfbfx3+_0xbfbfx14-_0xbfbfxa[_0xb337[236]]))}}finally{this[_0xb337[1179]][_0xb337[502]]()[_0xb337[476]]()}};function mxStackLayout(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){mxGraphLayout[_0xb337[239]](this,_0xbfbfx2);this[_0xb337[662]]=null!=_0xbfbfx3?_0xbfbfx3:!0;this[_0xb337[657]]=null!=_0xbfbfx4?_0xbfbfx4:0;this[_0xb337[1732]]=null!=_0xbfbfx5?_0xbfbfx5:0;this[_0xb337[1733]]=null!=_0xbfbfx9?_0xbfbfx9:0;this[_0xb337[467]]=null!=_0xbfbfxa?_0xbfbfxa:0}mxStackLayout[_0xb337[202]]= new mxGraphLayout;mxStackLayout[_0xb337[202]][_0xb337[196]]=mxStackLayout;mxStackLayout[_0xb337[202]][_0xb337[662]]=null;mxStackLayout[_0xb337[202]][_0xb337[657]]=null;mxStackLayout[_0xb337[202]][_0xb337[1732]]=null;mxStackLayout[_0xb337[202]][_0xb337[1733]]=null;mxStackLayout[_0xb337[202]][_0xb337[467]]=0;mxStackLayout[_0xb337[202]][_0xb337[1734]]= !1;mxStackLayout[_0xb337[202]][_0xb337[1334]]= !1;mxStackLayout[_0xb337[202]][_0xb337[1735]]= !1;mxStackLayout[_0xb337[202]][_0xb337[1736]]= !1;mxStackLayout[_0xb337[202]][_0xb337[1333]]=null;mxStackLayout[_0xb337[202]][_0xb337[1737]]=function(){return this[_0xb337[662]]};mxStackLayout[_0xb337[202]][_0xb337[1702]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=this[_0xb337[1179]][_0xb337[502]](),_0xbfbfx9=_0xbfbfx5[_0xb337[1197]](_0xbfbfx2),_0xbfbfxa=this[_0xb337[1737]]();if(null!=_0xbfbfx2&&null!=_0xbfbfx9){var _0xbfbfx12=0,_0xbfbfx13=0,_0xbfbfx14=_0xbfbfx5[_0xb337[262]](_0xbfbfx9);_0xbfbfx3=_0xbfbfxa?_0xbfbfx3:_0xbfbfx4;_0xbfbfx12=this[_0xb337[1179]][_0xb337[249]]()[_0xb337[248]](_0xbfbfx9);null!=_0xbfbfx12&&(_0xbfbfx3-=_0xbfbfxa?_0xbfbfx12[_0xb337[235]]:_0xbfbfx12[_0xb337[236]]);for(_0xbfbfx12=0;_0xbfbfx12<_0xbfbfx14;_0xbfbfx12++){if(_0xbfbfx4=_0xbfbfx5[_0xb337[263]](_0xbfbfx9,_0xbfbfx12),_0xbfbfx4!=_0xbfbfx2&&(_0xbfbfx4=_0xbfbfx5[_0xb337[1721]](_0xbfbfx4),null!=_0xbfbfx4)){_0xbfbfx4=_0xbfbfxa?_0xbfbfx4[_0xb337[235]]+_0xbfbfx4[_0xb337[117]]/2:_0xbfbfx4[_0xb337[236]]+_0xbfbfx4[_0xb337[119]]/2;if(_0xbfbfx13<_0xbfbfx3&&_0xbfbfx4>_0xbfbfx3){break};_0xbfbfx13=_0xbfbfx4}};_0xbfbfxa=_0xbfbfx9[_0xb337[1738]](_0xbfbfx2);_0xbfbfxa=Math[_0xb337[160]](0,_0xbfbfx12-(_0xbfbfx12>_0xbfbfxa?1:0));_0xbfbfx5[_0xb337[99]](_0xbfbfx9,_0xbfbfx2,_0xbfbfxa)}};mxStackLayout[_0xb337[202]][_0xb337[1739]]=function(_0xbfbfx2){var _0xbfbfx3=this[_0xb337[1179]][_0xb337[502]](),_0xbfbfx4=_0xbfbfx3[_0xb337[1721]](_0xbfbfx2);if(null!=this[_0xb337[1179]][_0xb337[526]]&&(null==_0xbfbfx4&&_0xbfbfx3[_0xb337[1740]](_0xbfbfx2)||_0xbfbfx2==this[_0xb337[1179]][_0xb337[249]]()[_0xb337[1741]])){_0xbfbfx4= new mxRectangle(0,0,this[_0xb337[1179]][_0xb337[526]][_0xb337[359]]-1,this[_0xb337[1179]][_0xb337[526]][_0xb337[167]]-1)};return _0xbfbfx4};mxStackLayout[_0xb337[202]][_0xb337[350]]=function(_0xbfbfx2){if(null!=_0xbfbfx2){var _0xbfbfx3=this[_0xb337[1737]](),_0xbfbfx4=this[_0xb337[1179]][_0xb337[502]](),_0xbfbfx5=this[_0xb337[1739]](_0xbfbfx2),_0xbfbfx9=0;null!=_0xbfbfx5&&(_0xbfbfx9=_0xbfbfx3?_0xbfbfx5[_0xb337[119]]:_0xbfbfx5[_0xb337[117]]);var _0xbfbfx9=_0xbfbfx9-(2*this[_0xb337[657]]+2*this[_0xb337[467]]),_0xbfbfxa=this[_0xb337[1732]]+this[_0xb337[467]],_0xbfbfx12=this[_0xb337[1733]]+this[_0xb337[467]];if(this[_0xb337[1179]][_0xb337[1730]](_0xbfbfx2)){var _0xbfbfx13=this[_0xb337[1179]][_0xb337[1705]](_0xbfbfx2),_0xbfbfx14=mxUtils[_0xb337[433]](_0xbfbfx13,mxConstants.STYLE_STARTSIZE,mxConstants.DEFAULT_STARTSIZE),_0xbfbfx13=mxUtils[_0xb337[433]](_0xbfbfx13,mxConstants.STYLE_HORIZONTAL,!0);_0xbfbfx3==_0xbfbfx13&&(_0xbfbfx9-=_0xbfbfx14);_0xbfbfx3?_0xbfbfx12+=_0xbfbfx14:_0xbfbfxa+=_0xbfbfx14};_0xbfbfx4[_0xb337[473]]();try{for(var _0xbfbfx14=0,_0xbfbfx13=null,_0xbfbfx15=_0xbfbfx4[_0xb337[262]](_0xbfbfx2),_0xbfbfx16=0;_0xbfbfx16<_0xbfbfx15;_0xbfbfx16++){var _0xbfbfx17=_0xbfbfx4[_0xb337[263]](_0xbfbfx2,_0xbfbfx16);if(!this[_0xb337[1713]](_0xbfbfx17)&&this[_0xb337[1711]](_0xbfbfx17)){var _0xbfbfx18=_0xbfbfx4[_0xb337[1721]](_0xbfbfx17);if(null!=_0xbfbfx18){_0xbfbfx18=_0xbfbfx18[_0xb337[238]]();if(null!=this[_0xb337[1333]]&&null!=_0xbfbfx13&&(_0xbfbfx3&&_0xbfbfx13[_0xb337[235]]+_0xbfbfx13[_0xb337[117]]+_0xbfbfx18[_0xb337[117]]+2*this[_0xb337[657]]>this[_0xb337[1333]]||!_0xbfbfx3&&_0xbfbfx13[_0xb337[236]]+_0xbfbfx13[_0xb337[119]]+_0xbfbfx18[_0xb337[119]]+2*this[_0xb337[657]]>this[_0xb337[1333]])){_0xbfbfx13=null,_0xbfbfx3?_0xbfbfx12+=_0xbfbfx14+this[_0xb337[657]]:_0xbfbfxa+=_0xbfbfx14+this[_0xb337[657]],_0xbfbfx14=0};_0xbfbfx14=Math[_0xb337[160]](_0xbfbfx14,_0xbfbfx3?_0xbfbfx18[_0xb337[119]]:_0xbfbfx18[_0xb337[117]]);null!=_0xbfbfx13?_0xbfbfx3?_0xbfbfx18[_0xb337[235]]=_0xbfbfx13[_0xb337[235]]+_0xbfbfx13[_0xb337[117]]+this[_0xb337[657]]:_0xbfbfx18[_0xb337[236]]=_0xbfbfx13[_0xb337[236]]+_0xbfbfx13[_0xb337[119]]+this[_0xb337[657]]:this[_0xb337[1734]]||(_0xbfbfx3?_0xbfbfx18[_0xb337[235]]=_0xbfbfxa:_0xbfbfx18[_0xb337[236]]=_0xbfbfx12);_0xbfbfx3?_0xbfbfx18[_0xb337[236]]=_0xbfbfx12:_0xbfbfx18[_0xb337[235]]=_0xbfbfxa;this[_0xb337[1334]]&&0<_0xbfbfx9&&(_0xbfbfx3?_0xbfbfx18[_0xb337[119]]=_0xbfbfx9:_0xbfbfx18[_0xb337[117]]=_0xbfbfx9);_0xbfbfx4[_0xb337[1724]](_0xbfbfx17,_0xbfbfx18);_0xbfbfx13=_0xbfbfx18}}};this[_0xb337[1735]]&&null!=_0xbfbfx5&&null!=_0xbfbfx13&&!this[_0xb337[1179]][_0xb337[1742]](_0xbfbfx2)?(_0xbfbfx5=_0xbfbfx5[_0xb337[238]](),_0xbfbfx3?_0xbfbfx5[_0xb337[117]]=_0xbfbfx13[_0xb337[235]]+_0xbfbfx13[_0xb337[117]]+this[_0xb337[657]]:_0xbfbfx5[_0xb337[119]]=_0xbfbfx13[_0xb337[236]]+_0xbfbfx13[_0xb337[119]]+this[_0xb337[657]],_0xbfbfx4[_0xb337[1724]](_0xbfbfx2,_0xbfbfx5)):this[_0xb337[1736]]&&(null!=_0xbfbfx5&&null!=_0xbfbfx13)&&(_0xbfbfx3?_0xbfbfx13[_0xb337[117]]=_0xbfbfx5[_0xb337[117]]-_0xbfbfx13[_0xb337[235]]-this[_0xb337[657]]:_0xbfbfx13[_0xb337[119]]=_0xbfbfx5[_0xb337[119]]-_0xbfbfx13[_0xb337[236]]-this[_0xb337[657]])}finally{_0xbfbfx4[_0xb337[476]]()}}};function mxPartitionLayout(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){mxGraphLayout[_0xb337[239]](this,_0xbfbfx2);this[_0xb337[662]]=null!=_0xbfbfx3?_0xbfbfx3:!0;this[_0xb337[657]]=_0xbfbfx4||0;this[_0xb337[467]]=_0xbfbfx5||0}mxPartitionLayout[_0xb337[202]]= new mxGraphLayout;mxPartitionLayout[_0xb337[202]][_0xb337[196]]=mxPartitionLayout;mxPartitionLayout[_0xb337[202]][_0xb337[662]]=null;mxPartitionLayout[_0xb337[202]][_0xb337[657]]=null;mxPartitionLayout[_0xb337[202]][_0xb337[467]]=null;mxPartitionLayout[_0xb337[202]][_0xb337[1743]]= !0;mxPartitionLayout[_0xb337[202]][_0xb337[1737]]=function(){return this[_0xb337[662]]};mxPartitionLayout[_0xb337[202]][_0xb337[1702]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx4=this[_0xb337[1179]][_0xb337[502]]();var _0xbfbfx5=_0xbfbfx4[_0xb337[1197]](_0xbfbfx2);if(null!=_0xbfbfx2&&null!=_0xbfbfx5){for(var _0xbfbfx9=0,_0xbfbfxa=0,_0xbfbfx12=_0xbfbfx4[_0xb337[262]](_0xbfbfx5),_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx12;_0xbfbfx9++){var _0xbfbfx13=_0xbfbfx4[_0xb337[263]](_0xbfbfx5,_0xbfbfx9),_0xbfbfx13=this[_0xb337[1726]](_0xbfbfx13);if(null!=_0xbfbfx13){_0xbfbfx13=_0xbfbfx13[_0xb337[235]]+_0xbfbfx13[_0xb337[117]]/2;if(_0xbfbfxa<_0xbfbfx3&&_0xbfbfx13>_0xbfbfx3){break};_0xbfbfxa=_0xbfbfx13}};_0xbfbfx3=_0xbfbfx5[_0xb337[1738]](_0xbfbfx2);_0xbfbfx3=Math[_0xb337[160]](0,_0xbfbfx9-(_0xbfbfx9>_0xbfbfx3?1:0));_0xbfbfx4[_0xb337[99]](_0xbfbfx5,_0xbfbfx2,_0xbfbfx3)}};mxPartitionLayout[_0xb337[202]][_0xb337[350]]=function(_0xbfbfx2){var _0xbfbfx3=this[_0xb337[1737]](),_0xbfbfx4=this[_0xb337[1179]][_0xb337[502]](),_0xbfbfx5=_0xbfbfx4[_0xb337[1721]](_0xbfbfx2);if(null!=this[_0xb337[1179]][_0xb337[526]]&&(null==_0xbfbfx5&&_0xbfbfx4[_0xb337[1740]](_0xbfbfx2)||_0xbfbfx2==this[_0xb337[1179]][_0xb337[249]]()[_0xb337[1741]])){_0xbfbfx5= new mxRectangle(0,0,this[_0xb337[1179]][_0xb337[526]][_0xb337[359]]-1,this[_0xb337[1179]][_0xb337[526]][_0xb337[167]]-1)};if(null!=_0xbfbfx5){for(var _0xbfbfx9=[],_0xbfbfxa=_0xbfbfx4[_0xb337[262]](_0xbfbfx2),_0xbfbfx12=0;_0xbfbfx12<_0xbfbfxa;_0xbfbfx12++){var _0xbfbfx13=_0xbfbfx4[_0xb337[263]](_0xbfbfx2,_0xbfbfx12);!this[_0xb337[1713]](_0xbfbfx13)&&this[_0xb337[1711]](_0xbfbfx13)&&_0xbfbfx9[_0xb337[207]](_0xbfbfx13)};_0xbfbfxa=_0xbfbfx9[_0xb337[67]];if(0<_0xbfbfxa){var _0xbfbfx14=this[_0xb337[467]],_0xbfbfx15=this[_0xb337[467]],_0xbfbfx16=_0xbfbfx3?_0xbfbfx5[_0xb337[119]]:_0xbfbfx5[_0xb337[117]],_0xbfbfx16=_0xbfbfx16-2*this[_0xb337[467]];_0xbfbfx2=this[_0xb337[1179]][_0xb337[1730]](_0xbfbfx2)?this[_0xb337[1179]][_0xb337[1731]](_0xbfbfx2): new mxRectangle;_0xbfbfx16-=_0xbfbfx3?_0xbfbfx2[_0xb337[119]]:_0xbfbfx2[_0xb337[117]];_0xbfbfx14+=_0xbfbfx2[_0xb337[117]];_0xbfbfx15+=_0xbfbfx2[_0xb337[119]];_0xbfbfx2=this[_0xb337[467]]+(_0xbfbfxa-1)*this[_0xb337[657]];_0xbfbfx5=_0xbfbfx3?(_0xbfbfx5[_0xb337[117]]-_0xbfbfx14-_0xbfbfx2)/_0xbfbfxa:(_0xbfbfx5[_0xb337[119]]-_0xbfbfx15-_0xbfbfx2)/_0xbfbfxa;if(0<_0xbfbfx5){_0xbfbfx4[_0xb337[473]]();try{for(_0xbfbfx12=0;_0xbfbfx12<_0xbfbfxa;_0xbfbfx12++){var _0xbfbfx13=_0xbfbfx9[_0xbfbfx12],_0xbfbfx17=_0xbfbfx4[_0xb337[1721]](_0xbfbfx13);null!=_0xbfbfx17&&(_0xbfbfx17=_0xbfbfx17[_0xb337[238]](),_0xbfbfx17[_0xb337[235]]=_0xbfbfx14,_0xbfbfx17[_0xb337[236]]=_0xbfbfx15,_0xbfbfx3?(this[_0xb337[1743]]&&(_0xbfbfx17[_0xb337[117]]=_0xbfbfx5,_0xbfbfx17[_0xb337[119]]=_0xbfbfx16),_0xbfbfx14+=_0xbfbfx5+this[_0xb337[657]]):(this[_0xb337[1743]]&&(_0xbfbfx17[_0xb337[119]]=_0xbfbfx5,_0xbfbfx17[_0xb337[117]]=_0xbfbfx16),_0xbfbfx15+=_0xbfbfx5+this[_0xb337[657]]),_0xbfbfx4[_0xb337[1724]](_0xbfbfx13,_0xbfbfx17))}}finally{_0xbfbfx4[_0xb337[476]]()}}}}};function mxCompactTreeLayout(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){mxGraphLayout[_0xb337[239]](this,_0xbfbfx2);this[_0xb337[662]]=null!=_0xbfbfx3?_0xbfbfx3:!0;this[_0xb337[1744]]=null!=_0xbfbfx4?_0xbfbfx4:!1}mxCompactTreeLayout[_0xb337[202]]= new mxGraphLayout;mxCompactTreeLayout[_0xb337[202]][_0xb337[196]]=mxCompactTreeLayout;mxCompactTreeLayout[_0xb337[202]][_0xb337[662]]=null;mxCompactTreeLayout[_0xb337[202]][_0xb337[1744]]=null;mxCompactTreeLayout[_0xb337[202]][_0xb337[1735]]= !0;mxCompactTreeLayout[_0xb337[202]][_0xb337[1745]]=10;mxCompactTreeLayout[_0xb337[202]][_0xb337[1746]]=null;mxCompactTreeLayout[_0xb337[202]][_0xb337[1747]]= !1;mxCompactTreeLayout[_0xb337[202]][_0xb337[1748]]=10;mxCompactTreeLayout[_0xb337[202]][_0xb337[1749]]=20;mxCompactTreeLayout[_0xb337[202]][_0xb337[1750]]= !0;mxCompactTreeLayout[_0xb337[202]][_0xb337[1751]]=5;mxCompactTreeLayout[_0xb337[202]][_0xb337[1752]]=4;mxCompactTreeLayout[_0xb337[202]][_0xb337[1753]]=8;mxCompactTreeLayout[_0xb337[202]][_0xb337[1754]]=4;mxCompactTreeLayout[_0xb337[202]][_0xb337[1755]]= !0;mxCompactTreeLayout[_0xb337[202]][_0xb337[1713]]=function(_0xbfbfx2){return mxGraphLayout[_0xb337[202]][_0xb337[1713]][_0xb337[183]](this,arguments)||0==this[_0xb337[1179]][_0xb337[1756]](_0xbfbfx2)[_0xb337[67]]};mxCompactTreeLayout[_0xb337[202]][_0xb337[1737]]=function(){return this[_0xb337[662]]};mxCompactTreeLayout[_0xb337[202]][_0xb337[350]]=function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[1101]]=_0xbfbfx2;var _0xbfbfx4=this[_0xb337[1179]][_0xb337[502]]();if(null==_0xbfbfx3){if(0_0xbfbfx13[_0xb337[235]]&&(_0xbfbfx9=Math[_0xb337[425]](_0xbfbfx12-_0xbfbfx13[_0xb337[235]]));0>_0xbfbfx13[_0xb337[236]]&&(_0xbfbfx14=Math[_0xb337[425]](_0xbfbfx5-_0xbfbfx13[_0xb337[236]]));(0!=_0xbfbfx9||0!=_0xbfbfx14)&&this[_0xb337[1762]](_0xbfbfxa,_0xbfbfx9,_0xbfbfx14);this[_0xb337[1735]]&&this[_0xb337[1763]]();this[_0xb337[1755]]&&this[_0xb337[1764]](_0xbfbfxa)}}}finally{_0xbfbfx4[_0xb337[476]]()}}};mxCompactTreeLayout[_0xb337[202]][_0xb337[1762]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx2[_0xb337[235]]+=_0xbfbfx3;_0xbfbfx2[_0xb337[236]]+=_0xbfbfx4;this[_0xb337[183]](_0xbfbfx2);for(_0xbfbfx2=_0xbfbfx2[_0xb337[247]];null!=_0xbfbfx2;){this[_0xb337[1762]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4),_0xbfbfx2=_0xbfbfx2[_0xb337[1765]]}};mxCompactTreeLayout[_0xb337[202]][_0xb337[1758]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx4=null!=_0xbfbfx4?_0xbfbfx4:[];var _0xbfbfx5=mxCellPath[_0xb337[385]](_0xbfbfx2),_0xbfbfx9=null;if(null!=_0xbfbfx2&&null==_0xbfbfx4[_0xbfbfx5]&&!this[_0xb337[1713]](_0xbfbfx2)){_0xbfbfx4[_0xbfbfx5]=_0xbfbfx2;var _0xbfbfx9=this[_0xb337[1766]](_0xbfbfx2),_0xbfbfx5=this[_0xb337[1179]][_0xb337[502]](),_0xbfbfxa=null;_0xbfbfx2=this[_0xb337[1179]][_0xb337[264]](_0xbfbfx2,_0xbfbfx3,this[_0xb337[1744]],!this[_0xb337[1744]],!1,!0);for(var _0xbfbfx12=this[_0xb337[1179]][_0xb337[249]](),_0xbfbfx13=0;_0xbfbfx13<_0xbfbfx2[_0xb337[67]];_0xbfbfx13++){var _0xbfbfx14=_0xbfbfx2[_0xbfbfx13];if(!this[_0xb337[1715]](_0xbfbfx14)){this[_0xb337[1750]]&&this[_0xb337[1722]](_0xbfbfx14,null);this[_0xb337[1755]]&&(this[_0xb337[1716]](_0xbfbfx14,!1),this[_0xb337[1722]](_0xbfbfx14,null));var _0xbfbfx15=_0xbfbfx12[_0xb337[248]](_0xbfbfx14),_0xbfbfx14=null!=_0xbfbfx15?_0xbfbfx15[_0xb337[1710]](this[_0xb337[1744]]):_0xbfbfx12[_0xb337[1710]](_0xbfbfx14,this[_0xb337[1744]]),_0xbfbfx15=this[_0xb337[1758]](_0xbfbfx14,_0xbfbfx3,_0xbfbfx4);null!=_0xbfbfx15&&null!=_0xbfbfx5[_0xb337[1721]](_0xbfbfx14)&&(null==_0xbfbfxa?_0xbfbfx9[_0xb337[247]]=_0xbfbfx15:_0xbfbfxa[_0xb337[1765]]=_0xbfbfx15,_0xbfbfxa=_0xbfbfx15)}}};return _0xbfbfx9};mxCompactTreeLayout[_0xb337[202]][_0xb337[1759]]=function(_0xbfbfx2){if(null!=_0xbfbfx2){for(var _0xbfbfx3=_0xbfbfx2[_0xb337[247]];null!=_0xbfbfx3;){this[_0xb337[1759]](_0xbfbfx3),_0xbfbfx3=_0xbfbfx3[_0xb337[1765]]};null!=_0xbfbfx2[_0xb337[247]]?this[_0xb337[1767]](_0xbfbfx2,this[_0xb337[234]](_0xbfbfx2)):this[_0xb337[1768]](_0xbfbfx2)}};mxCompactTreeLayout[_0xb337[202]][_0xb337[1760]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){_0xbfbfx2[_0xb337[235]]+=_0xbfbfx3+_0xbfbfx2[_0xb337[1769]];_0xbfbfx2[_0xb337[236]]+=_0xbfbfx4+_0xbfbfx2[_0xb337[1770]];_0xbfbfx5=this[_0xb337[183]](_0xbfbfx2,_0xbfbfx5);_0xbfbfx3=_0xbfbfx2[_0xb337[247]];if(null!=_0xbfbfx3){_0xbfbfx5=this[_0xb337[1760]](_0xbfbfx3,_0xbfbfx2[_0xb337[235]],_0xbfbfx2[_0xb337[236]],_0xbfbfx5);_0xbfbfx4=_0xbfbfx2[_0xb337[236]]+_0xbfbfx3[_0xb337[1770]];for(var _0xbfbfx9=_0xbfbfx3[_0xb337[1765]];null!=_0xbfbfx9;){_0xbfbfx5=this[_0xb337[1760]](_0xbfbfx9,_0xbfbfx2[_0xb337[235]]+_0xbfbfx3[_0xb337[1769]],_0xbfbfx4,_0xbfbfx5),_0xbfbfx4+=_0xbfbfx9[_0xb337[1770]],_0xbfbfx9=_0xbfbfx9[_0xb337[1765]]}};return _0xbfbfx5};mxCompactTreeLayout[_0xb337[202]][_0xb337[1761]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xbfbfx2[_0xb337[235]]+=_0xbfbfx4+_0xbfbfx2[_0xb337[1770]];_0xbfbfx2[_0xb337[236]]+=_0xbfbfx5+_0xbfbfx2[_0xb337[1769]];_0xbfbfx9=this[_0xb337[183]](_0xbfbfx2,_0xbfbfx9);_0xbfbfx3=_0xbfbfx2[_0xb337[247]];if(null!=_0xbfbfx3){_0xbfbfx9=this[_0xb337[1761]](_0xbfbfx3,_0xbfbfx2,_0xbfbfx2[_0xb337[235]],_0xbfbfx2[_0xb337[236]],_0xbfbfx9);_0xbfbfx4=_0xbfbfx2[_0xb337[235]]+_0xbfbfx3[_0xb337[1770]];for(_0xbfbfx5=_0xbfbfx3[_0xb337[1765]];null!=_0xbfbfx5;){_0xbfbfx9=this[_0xb337[1761]](_0xbfbfx5,_0xbfbfx2,_0xbfbfx4,_0xbfbfx2[_0xb337[236]]+_0xbfbfx3[_0xb337[1769]],_0xbfbfx9),_0xbfbfx4+=_0xbfbfx5[_0xb337[1770]],_0xbfbfx5=_0xbfbfx5[_0xb337[1765]]}};return _0xbfbfx9};mxCompactTreeLayout[_0xb337[202]][_0xb337[1767]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=this[_0xb337[1749]]+this[_0xb337[1748]],_0xbfbfx5=(_0xbfbfx3-_0xbfbfx2[_0xb337[117]])/2-this[_0xb337[1749]],_0xbfbfx9=_0xbfbfx5+_0xbfbfx2[_0xb337[117]]+2*this[_0xb337[1749]]-_0xbfbfx3;_0xbfbfx2[_0xb337[247]][_0xb337[1769]]=_0xbfbfx4+_0xbfbfx2[_0xb337[119]];_0xbfbfx2[_0xb337[247]][_0xb337[1770]]=_0xbfbfx9;_0xbfbfx2[_0xb337[1772]][_0xb337[1771]]=this[_0xb337[1773]](_0xbfbfx2[_0xb337[119]],0,this[_0xb337[1773]](_0xbfbfx4,_0xbfbfx9,_0xbfbfx2[_0xb337[1772]][_0xb337[1771]]));_0xbfbfx2[_0xb337[1772]][_0xb337[1774]]=this[_0xb337[1773]](_0xbfbfx2[_0xb337[119]],0,this[_0xb337[1773]](_0xbfbfx4,_0xbfbfx5,_0xbfbfx2[_0xb337[1772]][_0xb337[1774]]))};mxCompactTreeLayout[_0xb337[202]][_0xb337[1768]]=function(_0xbfbfx2){var _0xbfbfx3=2*this[_0xb337[1749]];_0xbfbfx2[_0xb337[1772]][_0xb337[1775]]=this[_0xb337[1773]](_0xbfbfx2[_0xb337[119]]+_0xbfbfx3,0);_0xbfbfx2[_0xb337[1772]][_0xb337[1771]]=_0xbfbfx2[_0xb337[1772]][_0xb337[1775]];_0xbfbfx2[_0xb337[1772]][_0xb337[1776]]=this[_0xb337[1773]](0,-_0xbfbfx2[_0xb337[117]]-_0xbfbfx3);_0xbfbfx2[_0xb337[1772]][_0xb337[1774]]=this[_0xb337[1773]](_0xbfbfx2[_0xb337[119]]+_0xbfbfx3,0,_0xbfbfx2[_0xb337[1772]][_0xb337[1776]])};mxCompactTreeLayout[_0xb337[202]][_0xb337[234]]=function(_0xbfbfx2){var _0xbfbfx3=2*this[_0xb337[1749]],_0xbfbfx4=_0xbfbfx2[_0xb337[247]];_0xbfbfx2[_0xb337[1772]]=_0xbfbfx4[_0xb337[1772]];for(var _0xbfbfx5=_0xbfbfx4[_0xb337[117]]+_0xbfbfx3,_0xbfbfx9=_0xbfbfx5,_0xbfbfx4=_0xbfbfx4[_0xb337[1765]];null!=_0xbfbfx4;){var _0xbfbfxa=this[_0xb337[1777]](_0xbfbfx2[_0xb337[1772]],_0xbfbfx4[_0xb337[1772]]);_0xbfbfx4[_0xb337[1770]]=_0xbfbfxa+_0xbfbfx5;_0xbfbfx4[_0xb337[1769]]=0;_0xbfbfx5=_0xbfbfx4[_0xb337[117]]+_0xbfbfx3;_0xbfbfx9+=_0xbfbfxa+_0xbfbfx5;_0xbfbfx4=_0xbfbfx4[_0xb337[1765]]};return _0xbfbfx9};mxCompactTreeLayout[_0xb337[202]][_0xb337[1777]]=function(_0xbfbfx2,_0xbfbfx3){for(var _0xbfbfx4=0,_0xbfbfx5=0,_0xbfbfx9=0,_0xbfbfxa=_0xbfbfx2[_0xb337[1774]],_0xbfbfx12=_0xbfbfx3[_0xb337[1771]];null!=_0xbfbfx12&&null!=_0xbfbfxa;){var _0xbfbfx13=this[_0xb337[1368]](_0xbfbfx4,_0xbfbfx5,_0xbfbfx12[_0xb337[1126]],_0xbfbfx12[_0xb337[1125]],_0xbfbfxa[_0xb337[1126]],_0xbfbfxa[_0xb337[1125]]),_0xbfbfx5=_0xbfbfx5+_0xbfbfx13,_0xbfbfx9=_0xbfbfx9+_0xbfbfx13;_0xbfbfx4+_0xbfbfx12[_0xb337[1126]]<=_0xbfbfxa[_0xb337[1126]]?(_0xbfbfx4+=_0xbfbfx12[_0xb337[1126]],_0xbfbfx5+=_0xbfbfx12[_0xb337[1125]],_0xbfbfx12=_0xbfbfx12[_0xb337[1765]]):(_0xbfbfx4-=_0xbfbfxa[_0xb337[1126]],_0xbfbfx5-=_0xbfbfxa[_0xb337[1125]],_0xbfbfxa=_0xbfbfxa[_0xb337[1765]])};null!=_0xbfbfx12?(_0xbfbfx4=this[_0xb337[1778]](_0xbfbfx2[_0xb337[1775]],0,0,_0xbfbfx12,_0xbfbfx4,_0xbfbfx5),_0xbfbfx2[_0xb337[1775]]=null!=_0xbfbfx4[_0xb337[1765]]?_0xbfbfx3[_0xb337[1775]]:_0xbfbfx4,_0xbfbfx2[_0xb337[1776]]=_0xbfbfx3[_0xb337[1776]]):(_0xbfbfx4=this[_0xb337[1778]](_0xbfbfx3[_0xb337[1776]],_0xbfbfx4,_0xbfbfx5,_0xbfbfxa,0,0),null==_0xbfbfx4[_0xb337[1765]]&&(_0xbfbfx2[_0xb337[1776]]=_0xbfbfx4));_0xbfbfx2[_0xb337[1774]]=_0xbfbfx3[_0xb337[1774]];return _0xbfbfx9};mxCompactTreeLayout[_0xb337[202]][_0xb337[1368]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){var _0xbfbfx12=0;if(_0xbfbfx9<=_0xbfbfx2||0>=_0xbfbfx2+_0xbfbfx4){return 0};_0xbfbfx12=0<_0xbfbfx9*_0xbfbfx5-_0xbfbfx4*_0xbfbfxa?0>_0xbfbfx2?_0xbfbfx2*_0xbfbfx5/_0xbfbfx4-_0xbfbfx3:0<_0xbfbfx2?_0xbfbfx2*_0xbfbfxa/_0xbfbfx9-_0xbfbfx3:-_0xbfbfx3:_0xbfbfx9<_0xbfbfx2+_0xbfbfx4?_0xbfbfxa-(_0xbfbfx3+(_0xbfbfx9-_0xbfbfx2)*_0xbfbfx5/_0xbfbfx4):_0xbfbfx9>_0xbfbfx2+_0xbfbfx4?(_0xbfbfx4+_0xbfbfx2)*_0xbfbfxa/_0xbfbfx9-(_0xbfbfx3+_0xbfbfx5):_0xbfbfxa-(_0xbfbfx3+_0xbfbfx5);return 0<_0xbfbfx12?_0xbfbfx12:0};mxCompactTreeLayout[_0xb337[202]][_0xb337[1778]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){_0xbfbfx3=_0xbfbfx9+_0xbfbfx5[_0xb337[1126]]-_0xbfbfx3;_0xbfbfx9=_0xbfbfx9=0;0==_0xbfbfx5[_0xb337[1126]]?_0xbfbfx9=_0xbfbfx5[_0xb337[1125]]:(_0xbfbfx9=_0xbfbfx3*_0xbfbfx5[_0xb337[1125]],_0xbfbfx9/=_0xbfbfx5[_0xb337[1126]]);_0xbfbfx3=this[_0xb337[1773]](_0xbfbfx3,_0xbfbfx9,_0xbfbfx5[_0xb337[1765]]);_0xbfbfx2[_0xb337[1765]]=this[_0xb337[1773]](0,_0xbfbfxa+_0xbfbfx5[_0xb337[1125]]-_0xbfbfx9-_0xbfbfx4,_0xbfbfx3);return _0xbfbfx3};mxCompactTreeLayout[_0xb337[202]][_0xb337[1766]]=function(_0xbfbfx2){var _0xbfbfx3={};_0xbfbfx3[_0xb337[246]]=_0xbfbfx2;_0xbfbfx3[_0xb337[235]]=0;_0xbfbfx3[_0xb337[236]]=0;_0xbfbfx3[_0xb337[117]]=0;_0xbfbfx3[_0xb337[119]]=0;_0xbfbfx2=this[_0xb337[1726]](_0xbfbfx2);null!=_0xbfbfx2&&(this[_0xb337[1737]]()?(_0xbfbfx3[_0xb337[117]]=_0xbfbfx2[_0xb337[119]],_0xbfbfx3[_0xb337[119]]=_0xbfbfx2[_0xb337[117]]):(_0xbfbfx3[_0xb337[117]]=_0xbfbfx2[_0xb337[117]],_0xbfbfx3[_0xb337[119]]=_0xbfbfx2[_0xb337[119]]));_0xbfbfx3[_0xb337[1769]]=0;_0xbfbfx3[_0xb337[1770]]=0;_0xbfbfx3[_0xb337[1772]]={};return _0xbfbfx3};mxCompactTreeLayout[_0xb337[202]][_0xb337[183]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=this[_0xb337[1179]][_0xb337[502]](),_0xbfbfx5=_0xbfbfx2[_0xb337[246]],_0xbfbfx9=_0xbfbfx4[_0xb337[1721]](_0xbfbfx5);null!=_0xbfbfx5&&null!=_0xbfbfx9&&(this[_0xb337[1711]](_0xbfbfx5)&&(_0xbfbfx9=this[_0xb337[1725]](_0xbfbfx5,_0xbfbfx2[_0xb337[235]],_0xbfbfx2[_0xb337[236]]),this[_0xb337[1735]]&&(_0xbfbfx4=_0xbfbfx4[_0xb337[1197]](_0xbfbfx5),_0xbfbfx5=mxCellPath[_0xb337[385]](_0xbfbfx4),null==this[_0xb337[1746]][_0xbfbfx5]&&(this[_0xb337[1746]][_0xbfbfx5]=_0xbfbfx4))),_0xbfbfx3=null==_0xbfbfx3? new mxRectangle(_0xbfbfx9[_0xb337[235]],_0xbfbfx9[_0xb337[236]],_0xbfbfx9[_0xb337[117]],_0xbfbfx9[_0xb337[119]]): new mxRectangle(Math[_0xb337[243]](_0xbfbfx3[_0xb337[235]],_0xbfbfx9[_0xb337[235]]),Math[_0xb337[243]](_0xbfbfx3[_0xb337[236]],_0xbfbfx9[_0xb337[236]]),Math[_0xb337[160]](_0xbfbfx3[_0xb337[235]]+_0xbfbfx3[_0xb337[117]],_0xbfbfx9[_0xb337[235]]+_0xbfbfx9[_0xb337[117]]),Math[_0xb337[160]](_0xbfbfx3[_0xb337[236]]+_0xbfbfx3[_0xb337[119]],_0xbfbfx9[_0xb337[236]]+_0xbfbfx9[_0xb337[119]])));return _0xbfbfx3};mxCompactTreeLayout[_0xb337[202]][_0xb337[1773]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5={};_0xbfbfx5[_0xb337[1126]]=_0xbfbfx2;_0xbfbfx5[_0xb337[1125]]=_0xbfbfx3;_0xbfbfx5[_0xb337[1765]]=_0xbfbfx4;return _0xbfbfx5};mxCompactTreeLayout[_0xb337[202]][_0xb337[1763]]=function(){var _0xbfbfx2=[],_0xbfbfx3;for(_0xbfbfx3 in this[_0xb337[1746]]){_0xbfbfx2[_0xb337[207]](this[_0xb337[1746]][_0xbfbfx3])};this[_0xb337[1727]](mxUtils[_0xb337[1779]](_0xbfbfx2,!0),this[_0xb337[1745]])};mxCompactTreeLayout[_0xb337[202]][_0xb337[1764]]=function(_0xbfbfx2){this[_0xb337[1780]](_0xbfbfx2);for(_0xbfbfx2=_0xbfbfx2[_0xb337[247]];null!=_0xbfbfx2;){this[_0xb337[1764]](_0xbfbfx2),_0xbfbfx2=_0xbfbfx2[_0xb337[1765]]}};mxCompactTreeLayout[_0xb337[202]][_0xb337[1780]]=function(_0xbfbfx2){for(var _0xbfbfx3=_0xbfbfx2[_0xb337[247]],_0xbfbfx4=_0xbfbfx2[_0xb337[246]],_0xbfbfx5=0,_0xbfbfx9=[];null!=_0xbfbfx3;){_0xbfbfx5++;var _0xbfbfxa=_0xbfbfx3[_0xb337[235]];this[_0xb337[662]]&&(_0xbfbfxa=_0xbfbfx3[_0xb337[236]]);_0xbfbfx9[_0xb337[207]]( new WeightedCellSorter(_0xbfbfx3,_0xbfbfxa));_0xbfbfx3=_0xbfbfx3[_0xb337[1765]]};_0xbfbfx9[_0xb337[470]](WeightedCellSorter[_0xb337[202]][_0xb337[469]]);var _0xbfbfxa=_0xbfbfx2[_0xb337[117]],_0xbfbfx12=(_0xbfbfx5+1)*this[_0xb337[1751]];_0xbfbfxa>_0xbfbfx12+2*this[_0xb337[1751]]&&(_0xbfbfxa-=2*this[_0xb337[1751]]);_0xbfbfx2=_0xbfbfxa/_0xbfbfx5;_0xbfbfx3=_0xbfbfx2/2;_0xbfbfxa>_0xbfbfx12+2*this[_0xb337[1751]]&&(_0xbfbfx3+=this[_0xb337[1751]]);for(var _0xbfbfxa=this[_0xb337[1753]]-this[_0xb337[1752]],_0xbfbfx12=0,_0xbfbfx13=this[_0xb337[1726]](_0xbfbfx4),_0xbfbfx14=0;_0xbfbfx14<_0xbfbfx9[_0xb337[67]];_0xbfbfx14++){for(var _0xbfbfx15=_0xbfbfx9[_0xbfbfx14][_0xb337[246]][_0xb337[246]],_0xbfbfx16=this[_0xb337[1726]](_0xbfbfx15),_0xbfbfx15=this[_0xb337[1179]][_0xb337[1781]](_0xbfbfx4,_0xbfbfx15,!1),_0xbfbfx17=[],_0xbfbfx18=0,_0xbfbfx19=0,_0xbfbfx1a=0;_0xbfbfx1a<_0xbfbfx15[_0xb337[67]];_0xbfbfx1a++){this[_0xb337[662]]?(_0xbfbfx18=_0xbfbfx13[_0xb337[235]]+_0xbfbfx13[_0xb337[117]],_0xbfbfx19=_0xbfbfx13[_0xb337[236]]+_0xbfbfx3,_0xbfbfx17[_0xb337[207]]( new mxPoint(_0xbfbfx18,_0xbfbfx19)),_0xbfbfx18=_0xbfbfx13[_0xb337[235]]+_0xbfbfx13[_0xb337[117]]+_0xbfbfxa,_0xbfbfx17[_0xb337[207]]( new mxPoint(_0xbfbfx18,_0xbfbfx19)),_0xbfbfx19=_0xbfbfx16[_0xb337[236]]+_0xbfbfx16[_0xb337[119]]/2):(_0xbfbfx18=_0xbfbfx13[_0xb337[235]]+_0xbfbfx3,_0xbfbfx19=_0xbfbfx13[_0xb337[236]]+_0xbfbfx13[_0xb337[119]],_0xbfbfx17[_0xb337[207]]( new mxPoint(_0xbfbfx18,_0xbfbfx19)),_0xbfbfx19=_0xbfbfx13[_0xb337[236]]+_0xbfbfx13[_0xb337[119]]+_0xbfbfxa,_0xbfbfx17[_0xb337[207]]( new mxPoint(_0xbfbfx18,_0xbfbfx19)),_0xbfbfx18=_0xbfbfx16[_0xb337[235]]+_0xbfbfx16[_0xb337[117]]/2),_0xbfbfx17[_0xb337[207]]( new mxPoint(_0xbfbfx18,_0xbfbfx19)),this[_0xb337[1722]](_0xbfbfx15[_0xbfbfx1a],_0xbfbfx17)};_0xbfbfx14<_0xbfbfx5/2?_0xbfbfxa+=this[_0xb337[1752]]:_0xbfbfx14>_0xbfbfx5/2&&(_0xbfbfxa-=this[_0xb337[1752]]);_0xbfbfx3+=_0xbfbfx2;_0xbfbfx12=Math[_0xb337[160]](_0xbfbfx12,_0xbfbfxa)}};function WeightedCellSorter(_0xbfbfx2,_0xbfbfx3){this[_0xb337[246]]=_0xbfbfx2;this[_0xb337[1782]]=_0xbfbfx3}WeightedCellSorter[_0xb337[202]][_0xb337[1782]]=0;WeightedCellSorter[_0xb337[202]][_0xb337[1783]]= !1;WeightedCellSorter[_0xb337[202]][_0xb337[1784]]= !1;WeightedCellSorter[_0xb337[202]][_0xb337[1785]]=null;WeightedCellSorter[_0xb337[202]][_0xb337[246]]=null;WeightedCellSorter[_0xb337[202]][_0xb337[469]]=function(_0xbfbfx2,_0xbfbfx3){return null!=_0xbfbfx2&&null!=_0xbfbfx3?_0xbfbfx3[_0xb337[1782]]>_0xbfbfx2[_0xb337[1782]]?1:_0xbfbfx3[_0xb337[1782]]<_0xbfbfx2[_0xb337[1782]]?-1:_0xbfbfx3[_0xb337[1783]]?1:-1:0};function mxFastOrganicLayout(_0xbfbfx2){mxGraphLayout[_0xb337[239]](this,_0xbfbfx2)}mxFastOrganicLayout[_0xb337[202]]= new mxGraphLayout;mxFastOrganicLayout[_0xb337[202]][_0xb337[196]]=mxFastOrganicLayout;mxFastOrganicLayout[_0xb337[202]][_0xb337[1786]]= !0;mxFastOrganicLayout[_0xb337[202]][_0xb337[1750]]= !0;mxFastOrganicLayout[_0xb337[202]][_0xb337[1787]]= !0;mxFastOrganicLayout[_0xb337[202]][_0xb337[1788]]=50;mxFastOrganicLayout[_0xb337[202]][_0xb337[1789]]=0;mxFastOrganicLayout[_0xb337[202]][_0xb337[1790]]=2;mxFastOrganicLayout[_0xb337[202]][_0xb337[1791]]=500;mxFastOrganicLayout[_0xb337[202]][_0xb337[1792]]=4;mxFastOrganicLayout[_0xb337[202]][_0xb337[1793]]=200;mxFastOrganicLayout[_0xb337[202]][_0xb337[1794]]=0;mxFastOrganicLayout[_0xb337[202]][_0xb337[1795]]=0;mxFastOrganicLayout[_0xb337[202]][_0xb337[1796]]=0;mxFastOrganicLayout[_0xb337[202]][_0xb337[1797]]= !0;mxFastOrganicLayout[_0xb337[202]][_0xb337[1713]]=function(_0xbfbfx2){return mxGraphLayout[_0xb337[202]][_0xb337[1713]][_0xb337[183]](this,arguments)||0==this[_0xb337[1179]][_0xb337[1756]](_0xbfbfx2)[_0xb337[67]]};mxFastOrganicLayout[_0xb337[202]][_0xb337[350]]=function(_0xbfbfx2){var _0xbfbfx3=this[_0xb337[1179]][_0xb337[502]]();this[_0xb337[1798]]=[];for(var _0xbfbfx4=this[_0xb337[1179]][_0xb337[1728]](_0xbfbfx2),_0xbfbfx5=0;_0xbfbfx5<_0xbfbfx4[_0xb337[67]];_0xbfbfx5++){this[_0xb337[1713]](_0xbfbfx4[_0xbfbfx5])||this[_0xb337[1798]][_0xb337[207]](_0xbfbfx4[_0xbfbfx5])};var _0xbfbfx9=this[_0xb337[1786]]?this[_0xb337[1179]][_0xb337[441]][_0xb337[1799]](this[_0xb337[1798]]):null,_0xbfbfxa=this[_0xb337[1798]][_0xb337[67]];this[_0xb337[1800]]=[];this[_0xb337[1801]]=[];this[_0xb337[1802]]=[];this[_0xb337[1803]]=[];this[_0xb337[1804]]=[];this[_0xb337[1805]]=[];this[_0xb337[1806]]=[];this[_0xb337[1807]]=[];0.0010>this[_0xb337[1788]]&&(this[_0xb337[1788]]=0.0010);this[_0xb337[1789]]=this[_0xb337[1788]]*this[_0xb337[1788]];for(_0xbfbfx5=0;_0xbfbfx5_0xbfbfx3&&(_0xbfbfx3=0.0010);var _0xbfbfx4=this[_0xb337[1801]][_0xbfbfx2]/_0xbfbfx3*Math[_0xb337[243]](_0xbfbfx3,this[_0xb337[1794]]),_0xbfbfx3=this[_0xb337[1802]][_0xbfbfx2]/_0xbfbfx3*Math[_0xb337[243]](_0xbfbfx3,this[_0xb337[1794]]);this[_0xb337[1801]][_0xbfbfx2]=0;this[_0xb337[1802]][_0xbfbfx2]=0;this[_0xb337[1803]][_0xbfbfx2][0]+=_0xbfbfx4;this[_0xb337[1803]][_0xbfbfx2][1]+=_0xbfbfx3}}};mxFastOrganicLayout[_0xb337[202]][_0xb337[1811]]=function(){for(var _0xbfbfx2=0;_0xbfbfx2this[_0xb337[1791]]||(_0xbfbfx12_0xbfbfx2?_0xbfbfx2+_0xb337[213]+_0xbfbfx5:_0xbfbfx5+_0xb337[213]+_0xbfbfx2):null};mxParallelEdgeLayout[_0xb337[202]][_0xb337[1759]]=function(_0xbfbfx2){var _0xbfbfx3=_0xbfbfx2[0],_0xbfbfx4=this[_0xb337[1179]][_0xb337[502]](),_0xbfbfx5=_0xbfbfx4[_0xb337[1721]](_0xbfbfx4[_0xb337[1709]](_0xbfbfx3,!0)),_0xbfbfx9=_0xbfbfx4[_0xb337[1721]](_0xbfbfx4[_0xb337[1709]](_0xbfbfx3,!1));if(_0xbfbfx5==_0xbfbfx9){for(var _0xbfbfx3=_0xbfbfx5[_0xb337[235]]+_0xbfbfx5[_0xb337[117]]+this[_0xb337[657]],_0xbfbfx4=_0xbfbfx5[_0xb337[236]]+_0xbfbfx5[_0xb337[119]]/2,_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx2[_0xb337[67]];_0xbfbfxa++){this[_0xb337[1820]](_0xbfbfx2[_0xbfbfxa],_0xbfbfx3,_0xbfbfx4),_0xbfbfx3+=this[_0xb337[657]]}}else {if(null!=_0xbfbfx5&&null!=_0xbfbfx9){for(var _0xbfbfx3=_0xbfbfx5[_0xb337[235]]+_0xbfbfx5[_0xb337[117]]/2,_0xbfbfx4=_0xbfbfx5[_0xb337[236]]+_0xbfbfx5[_0xb337[119]]/2,_0xbfbfxa=_0xbfbfx9[_0xb337[235]]+_0xbfbfx9[_0xb337[117]]/2-_0xbfbfx3,_0xbfbfx12=_0xbfbfx9[_0xb337[236]]+_0xbfbfx9[_0xb337[119]]/2-_0xbfbfx4,_0xbfbfx9=Math[_0xb337[428]](_0xbfbfxa*_0xbfbfxa+_0xbfbfx12*_0xbfbfx12),_0xbfbfx5=_0xbfbfx12*this[_0xb337[657]]/_0xbfbfx9,_0xbfbfx9=_0xbfbfxa*this[_0xb337[657]]/_0xbfbfx9,_0xbfbfx3=_0xbfbfx3+_0xbfbfxa/2+_0xbfbfx5*(_0xbfbfx2[_0xb337[67]]-1)/2,_0xbfbfx4=_0xbfbfx4+_0xbfbfx12/2-_0xbfbfx9*(_0xbfbfx2[_0xb337[67]]-1)/2,_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx2[_0xb337[67]];_0xbfbfxa++){this[_0xb337[1820]](_0xbfbfx2[_0xbfbfxa],_0xbfbfx3,_0xbfbfx4),_0xbfbfx3-=_0xbfbfx5,_0xbfbfx4+=_0xbfbfx9}}}};mxParallelEdgeLayout[_0xb337[202]][_0xb337[1820]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){this[_0xb337[1179]][_0xb337[1712]](_0xbfbfx2)&&this[_0xb337[1722]](_0xbfbfx2,[ new mxPoint(_0xbfbfx3,_0xbfbfx4)])};function mxCompositeLayout(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){mxGraphLayout[_0xb337[239]](this,_0xbfbfx2);this[_0xb337[1821]]=_0xbfbfx3;this[_0xb337[1822]]=_0xbfbfx4}mxCompositeLayout[_0xb337[202]]= new mxGraphLayout;mxCompositeLayout[_0xb337[202]][_0xb337[196]]=mxCompositeLayout;mxCompositeLayout[_0xb337[202]][_0xb337[1821]]=null;mxCompositeLayout[_0xb337[202]][_0xb337[1822]]=null;mxCompositeLayout[_0xb337[202]][_0xb337[1702]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){null!=this[_0xb337[1822]]?this[_0xb337[1822]][_0xb337[582]][_0xb337[183]](this[_0xb337[1822]],arguments):this[_0xb337[1821]][0][_0xb337[582]][_0xb337[183]](this[_0xb337[1821]][0],arguments)};mxCompositeLayout[_0xb337[202]][_0xb337[350]]=function(_0xbfbfx2){var _0xbfbfx3=this[_0xb337[1179]][_0xb337[502]]();_0xbfbfx3[_0xb337[473]]();try{for(var _0xbfbfx4=0;_0xbfbfx4mxUtils[_0xb337[2]](_0xbfbfx14[_0xb337[1837]],_0xbfbfx12)&&_0xbfbfx14[_0xb337[1837]][_0xb337[207]](_0xbfbfx12))}};_0xbfbfx4[_0xbfbfx5][_0xb337[1826]][0]=1}}mxGraphHierarchyModel[_0xb337[202]][_0xb337[1827]]=null;mxGraphHierarchyModel[_0xb337[202]][_0xb337[1846]]=null;mxGraphHierarchyModel[_0xb337[202]][_0xb337[1847]]=null;mxGraphHierarchyModel[_0xb337[202]][_0xb337[1850]]=null;mxGraphHierarchyModel[_0xb337[202]][_0xb337[1845]]=null;mxGraphHierarchyModel[_0xb337[202]][_0xb337[1101]]=null;mxGraphHierarchyModel[_0xb337[202]][_0xb337[1851]]=0;mxGraphHierarchyModel[_0xb337[202]][_0xb337[1848]]=1E8;mxGraphHierarchyModel[_0xb337[202]][_0xb337[1844]]= !1;mxGraphHierarchyModel[_0xb337[202]][_0xb337[1849]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){for(var _0xbfbfx5=_0xbfbfx2[_0xb337[1703]](),_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx3[_0xb337[67]];_0xbfbfx9++){_0xbfbfx4[_0xbfbfx9]= new mxGraphHierarchyNode(_0xbfbfx3[_0xbfbfx9]);var _0xbfbfxa=mxCellPath[_0xb337[385]](_0xbfbfx3[_0xbfbfx9]);this[_0xb337[1846]][_0xbfbfxa]=_0xbfbfx4[_0xbfbfx9];_0xbfbfxa=_0xbfbfx2[_0xb337[264]](_0xbfbfx3[_0xbfbfx9]);_0xbfbfx4[_0xbfbfx9][_0xb337[1838]]=[];for(var _0xbfbfx12=0;_0xbfbfx12<_0xbfbfxa[_0xb337[67]];_0xbfbfx12++){var _0xbfbfx13=_0xbfbfx2[_0xb337[1710]](_0xbfbfxa[_0xbfbfx12],!1);if(_0xbfbfx13!=_0xbfbfx3[_0xbfbfx9]&&_0xbfbfx13!=_0xbfbfx3[_0xbfbfx9]&&_0xbfbfx2[_0xb337[1179]][_0xb337[251]][_0xb337[1193]](_0xbfbfx13)&&!_0xbfbfx2[_0xb337[1713]](_0xbfbfx13)){var _0xbfbfx14=_0xbfbfx2[_0xb337[1781]](_0xbfbfx3[_0xbfbfx9],_0xbfbfx13,!1),_0xbfbfx15=_0xbfbfx2[_0xb337[1781]](_0xbfbfx3[_0xbfbfx9],_0xbfbfx13,!0),_0xbfbfx13=mxCellPath[_0xb337[385]](_0xbfbfx14[0]);if(null!=_0xbfbfx14&&0<_0xbfbfx14[_0xb337[67]]&&null==this[_0xb337[1847]][_0xbfbfx13]&&2*_0xbfbfx15[_0xb337[67]]>=_0xbfbfx14[_0xb337[67]]){for(var _0xbfbfx15= new mxGraphHierarchyEdge(_0xbfbfx14),_0xbfbfx16=0;_0xbfbfx16<_0xbfbfx14[_0xb337[67]];_0xbfbfx16++){var _0xbfbfx17=_0xbfbfx14[_0xbfbfx16],_0xbfbfx13=mxCellPath[_0xb337[385]](_0xbfbfx17);this[_0xb337[1847]][_0xbfbfx13]=_0xbfbfx15;_0xbfbfx5[_0xb337[1809]](_0xbfbfx17);_0xbfbfx2[_0xb337[1787]]&&(_0xbfbfx2[_0xb337[1716]](_0xbfbfx17,!1),_0xbfbfx2[_0xb337[1718]](_0xbfbfx17,!0))};_0xbfbfx15[_0xb337[1097]]=_0xbfbfx4[_0xbfbfx9];0>mxUtils[_0xb337[2]](_0xbfbfx4[_0xbfbfx9][_0xb337[1838]],_0xbfbfx15)&&_0xbfbfx4[_0xbfbfx9][_0xb337[1838]][_0xb337[207]](_0xbfbfx15)}}};_0xbfbfx4[_0xbfbfx9][_0xb337[1826]][0]=0}};mxGraphHierarchyModel[_0xb337[202]][_0xb337[1852]]=function(){var _0xbfbfx2=[];if(null!=this[_0xb337[1845]]){for(var _0xbfbfx3=0;_0xbfbfx3_0xbfbfx4[_0xb337[1827]]&&0>_0xbfbfx4[_0xb337[1828]])&&(_0xbfbfx2[_0xbfbfx4[_0xb337[1826]][0]][_0xb337[207]](_0xbfbfx4),_0xbfbfx4[_0xb337[1827]]=_0xbfbfx4[_0xb337[1826]][0],_0xbfbfx4[_0xb337[1828]]=_0xbfbfx4[_0xb337[1826]][0],_0xbfbfx4[_0xb337[1826]][0]=_0xbfbfx2[_0xbfbfx4[_0xb337[1827]]][_0xb337[67]]-1);if(null!=_0xbfbfx3&&null!=_0xbfbfx5&&1<_0xbfbfx3[_0xb337[1827]]-_0xbfbfx4[_0xb337[1827]]){_0xbfbfx5[_0xb337[1827]]=_0xbfbfx3[_0xb337[1827]];_0xbfbfx5[_0xb337[1828]]=_0xbfbfx4[_0xb337[1827]];_0xbfbfx5[_0xb337[1826]]=[];_0xbfbfx5[_0xb337[235]]=[];_0xbfbfx5[_0xb337[236]]=[];for(_0xbfbfx3=_0xbfbfx5[_0xb337[1828]]+1;_0xbfbfx3<_0xbfbfx5[_0xb337[1827]];_0xbfbfx3++){_0xbfbfx2[_0xbfbfx3][_0xb337[207]](_0xbfbfx5),_0xbfbfx5[_0xb337[1834]](_0xbfbfx3,_0xbfbfx2[_0xbfbfx3][_0xb337[67]]-1)}}},_0xbfbfx4,!1,null)};mxGraphHierarchyModel[_0xb337[202]][_0xb337[209]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){if(null!=_0xbfbfx3){for(var _0xbfbfx9=0;_0xbfbfx9<_0xbfbfx3[_0xb337[67]];_0xbfbfx9++){var _0xbfbfxa=_0xbfbfx3[_0xbfbfx9];null!=_0xbfbfxa&&(null==_0xbfbfx5&&(_0xbfbfx5={}),_0xbfbfx4?(_0xbfbfxa[_0xb337[1839]]=[],_0xbfbfxa[_0xb337[1839]][0]=this[_0xb337[1851]],_0xbfbfxa[_0xb337[1839]][1]=_0xbfbfx9,this[_0xb337[1855]](null,_0xbfbfxa,null,_0xbfbfx2,_0xbfbfx5,_0xbfbfxa[_0xb337[1839]],_0xbfbfx9,0)):this[_0xb337[1758]](null,_0xbfbfxa,null,_0xbfbfx2,_0xbfbfx5,0))};this[_0xb337[1851]]++}};mxGraphHierarchyModel[_0xb337[202]][_0xb337[1758]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){if(null!=_0xbfbfx3){var _0xbfbfx12=mxCellPath[_0xb337[385]](_0xbfbfx3[_0xb337[246]]);if(null==_0xbfbfx9[_0xbfbfx12]){_0xbfbfx9[_0xbfbfx12]=_0xbfbfx3;_0xbfbfx5(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfxa,0);_0xbfbfx2=_0xbfbfx3[_0xb337[1838]][_0xb337[1853]]();for(_0xbfbfx4=0;_0xbfbfx4<_0xbfbfx2[_0xb337[67]];_0xbfbfx4++){_0xbfbfx12=_0xbfbfx2[_0xbfbfx4],this[_0xb337[1758]](_0xbfbfx3,_0xbfbfx12[_0xb337[772]],_0xbfbfx12,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa+1)}}else {_0xbfbfx5(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfxa,1)}}};mxGraphHierarchyModel[_0xb337[202]][_0xb337[1855]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12,_0xbfbfx13){if(null!=_0xbfbfx3){if(null!=_0xbfbfx2&&(null==_0xbfbfx3[_0xb337[1839]]||_0xbfbfx3[_0xb337[1839]][0]!=_0xbfbfx2[_0xb337[1839]][0])){_0xbfbfxa=_0xbfbfx2[_0xb337[1839]][_0xb337[67]]+1,_0xbfbfx3[_0xb337[1839]]=_0xbfbfx2[_0xb337[1839]][_0xb337[1853]](),_0xbfbfx3[_0xb337[1839]][_0xbfbfxa-1]=_0xbfbfx12};_0xbfbfx12=mxCellPath[_0xb337[385]](_0xbfbfx3[_0xb337[246]]);if(null==_0xbfbfx9[_0xbfbfx12]){_0xbfbfx9[_0xbfbfx12]=_0xbfbfx3;_0xbfbfx5(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx13,0);_0xbfbfx2=_0xbfbfx3[_0xb337[1838]][_0xb337[1853]]();for(_0xbfbfx4=0;_0xbfbfx4<_0xbfbfx2[_0xb337[67]];_0xbfbfx4++){_0xbfbfx12=_0xbfbfx2[_0xbfbfx4],this[_0xb337[1855]](_0xbfbfx3,_0xbfbfx12[_0xb337[772]],_0xbfbfx12,_0xbfbfx5,_0xbfbfx9,_0xbfbfx3[_0xb337[1839]],_0xbfbfx4,_0xbfbfx13+1)}}else {_0xbfbfx5(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx13,1)}}};function mxHierarchicalLayoutStage(){}mxHierarchicalLayoutStage[_0xb337[202]][_0xb337[350]]=function(_0xbfbfx2){};function mxMedianHybridCrossingReduction(_0xbfbfx2){this[_0xb337[1759]]=_0xbfbfx2}mxMedianHybridCrossingReduction[_0xb337[202]]= new mxHierarchicalLayoutStage;mxMedianHybridCrossingReduction[_0xb337[202]][_0xb337[196]]=mxMedianHybridCrossingReduction;mxMedianHybridCrossingReduction[_0xb337[202]][_0xb337[1759]]=null;mxMedianHybridCrossingReduction[_0xb337[202]][_0xb337[1795]]=24;mxMedianHybridCrossingReduction[_0xb337[202]][_0xb337[1856]]=null;mxMedianHybridCrossingReduction[_0xb337[202]][_0xb337[1857]]=0;mxMedianHybridCrossingReduction[_0xb337[202]][_0xb337[1858]]=0;mxMedianHybridCrossingReduction[_0xb337[202]][_0xb337[1859]]=2;mxMedianHybridCrossingReduction[_0xb337[202]][_0xb337[350]]=function(_0xbfbfx2){_0xbfbfx2=this[_0xb337[1759]][_0xb337[502]]();this[_0xb337[1856]]=[];for(var _0xbfbfx3=0;_0xbfbfx3<_0xbfbfx2[_0xb337[1850]][_0xb337[67]];_0xbfbfx3++){this[_0xb337[1856]][_0xbfbfx3]=_0xbfbfx2[_0xb337[1850]][_0xbfbfx3][_0xb337[1853]]()};for(var _0xbfbfx4=0,_0xbfbfx5=this[_0xb337[1860]](_0xbfbfx2),_0xbfbfx3=0;_0xbfbfx3_0xbfbfx5++;){for(var _0xbfbfx9=1==_0xbfbfx2%2&&1==_0xbfbfx5%2,_0xbfbfx4=!1,_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx3[_0xb337[1850]][_0xb337[67]];_0xbfbfxa++){for(var _0xbfbfx12=_0xbfbfx3[_0xb337[1850]][_0xbfbfxa],_0xbfbfx13=[],_0xbfbfx14=0;_0xbfbfx14<_0xbfbfx12[_0xb337[67]];_0xbfbfx14++){var _0xbfbfx15=_0xbfbfx12[_0xbfbfx14],_0xbfbfx16=_0xbfbfx15[_0xb337[1833]](_0xbfbfxa);0>_0xbfbfx16&&(_0xbfbfx16=_0xbfbfx14);_0xbfbfx13[_0xbfbfx16]=_0xbfbfx15};for(var _0xbfbfx17=_0xbfbfx16=_0xbfbfx15=null,_0xbfbfx18=null,_0xbfbfx19=null,_0xbfbfx1a=null,_0xbfbfx1b=null,_0xbfbfx1c=null,_0xbfbfx1d=null,_0xbfbfx1e=null,_0xbfbfx14=0;_0xbfbfx14<_0xbfbfx12[_0xb337[67]]-1;_0xbfbfx14++){if(0==_0xbfbfx14){for(var _0xbfbfx1d=_0xbfbfx13[_0xbfbfx14],_0xbfbfx15=_0xbfbfx1d[_0xb337[1831]](_0xbfbfxa),_0xbfbfx16=_0xbfbfx1d[_0xb337[1832]](_0xbfbfxa),_0xbfbfx19=[],_0xbfbfx1a=[],_0xbfbfx1f=0;_0xbfbfx1f<_0xbfbfx15[_0xb337[67]];_0xbfbfx1f++){_0xbfbfx19[_0xbfbfx1f]=_0xbfbfx15[_0xbfbfx1f][_0xb337[1833]](_0xbfbfxa+1)};for(_0xbfbfx1f=0;_0xbfbfx1f<_0xbfbfx16[_0xb337[67]];_0xbfbfx1f++){_0xbfbfx1a[_0xbfbfx1f]=_0xbfbfx16[_0xbfbfx1f][_0xb337[1833]](_0xbfbfxa-1)}}else {_0xbfbfx15=_0xbfbfx17,_0xbfbfx16=_0xbfbfx18,_0xbfbfx19=_0xbfbfx1b,_0xbfbfx1a=_0xbfbfx1c,_0xbfbfx1d=_0xbfbfx1e};_0xbfbfx1e=_0xbfbfx13[_0xbfbfx14+1];_0xbfbfx17=_0xbfbfx1e[_0xb337[1831]](_0xbfbfxa);_0xbfbfx18=_0xbfbfx1e[_0xb337[1832]](_0xbfbfxa);_0xbfbfx1b=[];_0xbfbfx1c=[];for(_0xbfbfx1f=0;_0xbfbfx1f<_0xbfbfx17[_0xb337[67]];_0xbfbfx1f++){_0xbfbfx1b[_0xbfbfx1f]=_0xbfbfx17[_0xbfbfx1f][_0xb337[1833]](_0xbfbfxa+1)};for(_0xbfbfx1f=0;_0xbfbfx1f<_0xbfbfx18[_0xb337[67]];_0xbfbfx1f++){_0xbfbfx1c[_0xbfbfx1f]=_0xbfbfx18[_0xbfbfx1f][_0xb337[1833]](_0xbfbfxa-1)};for(var _0xbfbfx20=0,_0xbfbfx62=0,_0xbfbfx1f=0;_0xbfbfx1f<_0xbfbfx19[_0xb337[67]];_0xbfbfx1f++){for(var _0xbfbfx63=0;_0xbfbfx63<_0xbfbfx1b[_0xb337[67]];_0xbfbfx63++){_0xbfbfx19[_0xbfbfx1f]>_0xbfbfx1b[_0xbfbfx63]&&_0xbfbfx20++,_0xbfbfx19[_0xbfbfx1f]<_0xbfbfx1b[_0xbfbfx63]&&_0xbfbfx62++}};for(_0xbfbfx1f=0;_0xbfbfx1f<_0xbfbfx1a[_0xb337[67]];_0xbfbfx1f++){for(_0xbfbfx63=0;_0xbfbfx63<_0xbfbfx1c[_0xb337[67]];_0xbfbfx63++){_0xbfbfx1a[_0xbfbfx1f]>_0xbfbfx1c[_0xbfbfx63]&&_0xbfbfx20++,_0xbfbfx1a[_0xbfbfx1f]<_0xbfbfx1c[_0xbfbfx63]&&_0xbfbfx62++}};if(_0xbfbfx62<_0xbfbfx20||_0xbfbfx62==_0xbfbfx20&&_0xbfbfx9){_0xbfbfx17=_0xbfbfx1d[_0xb337[1833]](_0xbfbfxa),_0xbfbfx1d[_0xb337[1834]](_0xbfbfxa,_0xbfbfx1e[_0xb337[1833]](_0xbfbfxa)),_0xbfbfx1e[_0xb337[1834]](_0xbfbfxa,_0xbfbfx17),_0xbfbfx17=_0xbfbfx15,_0xbfbfx18=_0xbfbfx16,_0xbfbfx1b=_0xbfbfx19,_0xbfbfx1c=_0xbfbfx1a,_0xbfbfx1e=_0xbfbfx1d,_0xbfbfx9||(_0xbfbfx4= !0)}}}}};mxMedianHybridCrossingReduction[_0xb337[202]][_0xb337[1861]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=0==_0xbfbfx2%2;if(_0xbfbfx4){for(var _0xbfbfx5=_0xbfbfx3[_0xb337[1827]]-1;0<=_0xbfbfx5;_0xbfbfx5--){this[_0xb337[1864]](_0xbfbfx5,_0xbfbfx4)}}else {for(_0xbfbfx5=1;_0xbfbfx5<_0xbfbfx3[_0xb337[1827]];_0xbfbfx5++){this[_0xb337[1864]](_0xbfbfx5,_0xbfbfx4)}}};mxMedianHybridCrossingReduction[_0xb337[202]][_0xb337[1864]]=function(_0xbfbfx2,_0xbfbfx3){for(var _0xbfbfx4=this[_0xb337[1856]][_0xbfbfx2][_0xb337[67]],_0xbfbfx5=[],_0xbfbfx9=[],_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx4;_0xbfbfxa++){var _0xbfbfx12=this[_0xb337[1856]][_0xbfbfx2][_0xbfbfxa],_0xbfbfx13= new MedianCellSorter;_0xbfbfx13[_0xb337[246]]=_0xbfbfx12;var _0xbfbfx14;_0xbfbfx14=_0xbfbfx3?_0xbfbfx12[_0xb337[1831]](_0xbfbfx2):_0xbfbfx12[_0xb337[1832]](_0xbfbfx2);var _0xbfbfx15;_0xbfbfx15=_0xbfbfx3?_0xbfbfx2+1:_0xbfbfx2-1;null!=_0xbfbfx14&&0!=_0xbfbfx14[_0xb337[67]]?(_0xbfbfx13[_0xb337[1865]]=this[_0xb337[1865]](_0xbfbfx14,_0xbfbfx15),_0xbfbfx5[_0xb337[207]](_0xbfbfx13)):_0xbfbfx9[_0xbfbfx12[_0xb337[1833]](_0xbfbfx2)]= !0};_0xbfbfx5[_0xb337[470]](MedianCellSorter[_0xb337[202]][_0xb337[469]]);for(_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx4;_0xbfbfxa++){null==_0xbfbfx9[_0xbfbfxa]&&(_0xbfbfx12=_0xbfbfx5[_0xb337[1115]]()[_0xb337[246]],_0xbfbfx12[_0xb337[1834]](_0xbfbfx2,_0xbfbfxa))}};mxMedianHybridCrossingReduction[_0xb337[202]][_0xb337[1865]]=function(_0xbfbfx2,_0xbfbfx3){for(var _0xbfbfx4=[],_0xbfbfx5=0,_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx2[_0xb337[67]];_0xbfbfx9++){var _0xbfbfxa=_0xbfbfx2[_0xbfbfx9];_0xbfbfx4[_0xbfbfx5++]=_0xbfbfxa[_0xb337[1833]](_0xbfbfx3)};_0xbfbfx4[_0xb337[470]](function(_0xbfbfx2,_0xbfbfx3){return _0xbfbfx2-_0xbfbfx3});if(1==_0xbfbfx5%2){return _0xbfbfx4[Math[_0xb337[519]](_0xbfbfx5/2)]};if(2==_0xbfbfx5){return (_0xbfbfx4[0]+_0xbfbfx4[1])/2};_0xbfbfx9=_0xbfbfx5/2;_0xbfbfxa=_0xbfbfx4[_0xbfbfx9-1]-_0xbfbfx4[0];_0xbfbfx5=_0xbfbfx4[_0xbfbfx5-1]-_0xbfbfx4[_0xbfbfx9];return (_0xbfbfx4[_0xbfbfx9-1]*_0xbfbfx5+_0xbfbfx4[_0xbfbfx9]*_0xbfbfxa)/(_0xbfbfxa+_0xbfbfx5)};function MedianCellSorter(){}MedianCellSorter[_0xb337[202]][_0xb337[1865]]=0;MedianCellSorter[_0xb337[202]][_0xb337[246]]= !1;MedianCellSorter[_0xb337[202]][_0xb337[469]]=function(_0xbfbfx2,_0xbfbfx3){return null!=_0xbfbfx2&&null!=_0xbfbfx3?_0xbfbfx3[_0xb337[1865]]>_0xbfbfx2[_0xb337[1865]]?-1:_0xbfbfx3[_0xb337[1865]]<_0xbfbfx2[_0xb337[1865]]?1:0:0};function mxMinimumCycleRemover(_0xbfbfx2){this[_0xb337[1759]]=_0xbfbfx2}mxMinimumCycleRemover[_0xb337[202]]= new mxHierarchicalLayoutStage;mxMinimumCycleRemover[_0xb337[202]][_0xb337[196]]=mxMinimumCycleRemover;mxMinimumCycleRemover[_0xb337[202]][_0xb337[1759]]=null;mxMinimumCycleRemover[_0xb337[202]][_0xb337[350]]=function(_0xbfbfx2){var _0xbfbfx3=this[_0xb337[1759]][_0xb337[502]](),_0xbfbfx4={},_0xbfbfx5=mxUtils[_0xb337[238]](_0xbfbfx3[_0xb337[1846]],null,!0),_0xbfbfx9=null;if(null!=_0xbfbfx3[_0xb337[1845]]){var _0xbfbfxa=_0xbfbfx3[_0xb337[1845]],_0xbfbfx9=[];for(_0xbfbfx2=0;_0xbfbfx2<_0xbfbfxa[_0xb337[67]];_0xbfbfx2++){var _0xbfbfx12=mxCellPath[_0xb337[385]](_0xbfbfxa[_0xbfbfx2]);_0xbfbfx9[_0xbfbfx2]=_0xbfbfx3[_0xb337[1846]][_0xbfbfx12]}};_0xbfbfx3[_0xb337[209]](function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12){_0xbfbfx3[_0xb337[1720]](_0xbfbfx2)&&(_0xbfbfx9[_0xb337[1744]](),mxUtils[_0xb337[205]](_0xbfbfx9,_0xbfbfx2[_0xb337[1838]]),_0xbfbfx2[_0xb337[1837]][_0xb337[207]](_0xbfbfx9),mxUtils[_0xb337[205]](_0xbfbfx9,_0xbfbfx3[_0xb337[1837]]),_0xbfbfx3[_0xb337[1838]][_0xb337[207]](_0xbfbfx9));_0xbfbfx2=mxCellPath[_0xb337[385]](_0xbfbfx3[_0xb337[246]]);_0xbfbfx4[_0xbfbfx2]=_0xbfbfx3;delete _0xbfbfx5[_0xbfbfx2]},_0xbfbfx9,!0,null);_0xbfbfx9=null;0<_0xbfbfx5[_0xb337[1866]]&&(_0xbfbfx9=mxUtils[_0xb337[238]](_0xbfbfx5,null,!0));_0xbfbfx2=mxUtils[_0xb337[238]](_0xbfbfx4,null,!0);_0xbfbfx3[_0xb337[209]](function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12){_0xbfbfx3[_0xb337[1720]](_0xbfbfx2)&&(_0xbfbfx9[_0xb337[1744]](),mxUtils[_0xb337[205]](_0xbfbfx9,_0xbfbfx2[_0xb337[1838]]),_0xbfbfx3[_0xb337[1838]][_0xb337[207]](_0xbfbfx9),_0xbfbfx2[_0xb337[1837]][_0xb337[207]](_0xbfbfx9),mxUtils[_0xb337[205]](_0xbfbfx9,_0xbfbfx3[_0xb337[1837]]));_0xbfbfx2=mxCellPath[_0xb337[385]](_0xbfbfx3[_0xb337[246]]);_0xbfbfx4[_0xbfbfx2]=_0xbfbfx3;delete _0xbfbfx5[_0xbfbfx2]},_0xbfbfx5,!0,_0xbfbfx2);_0xbfbfxa=this[_0xb337[1759]][_0xb337[1703]]();if(null!=_0xbfbfx9&&0<_0xbfbfx9[_0xb337[67]]){_0xbfbfx3=_0xbfbfx3[_0xb337[1845]];for(_0xbfbfx2=0;_0xbfbfx2<_0xbfbfx9[_0xb337[67]];_0xbfbfx2++){_0xbfbfx12=_0xbfbfx9[_0xbfbfx2][_0xb337[246]],0==_0xbfbfxa[_0xb337[1867]](_0xbfbfx12)[_0xb337[67]]&&_0xbfbfx3[_0xb337[207]](_0xbfbfx12)}}};function mxCoordinateAssignment(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){this[_0xb337[1759]]=_0xbfbfx2;this[_0xb337[1868]]=_0xbfbfx3;this[_0xb337[1869]]=_0xbfbfx4;this[_0xb337[1870]]=_0xbfbfx5;this[_0xb337[1871]]=_0xbfbfx9;this[_0xb337[1872]]=_0xbfbfxa}var mxHierarchicalEdgeStyle={ORTHOGONAL:1,POLYLINE:2,STRAIGHT:3,CURVE:4};mxCoordinateAssignment[_0xb337[202]]= new mxHierarchicalLayoutStage;mxCoordinateAssignment[_0xb337[202]][_0xb337[196]]=mxCoordinateAssignment;mxCoordinateAssignment[_0xb337[202]][_0xb337[1759]]=null;mxCoordinateAssignment[_0xb337[202]][_0xb337[1868]]=30;mxCoordinateAssignment[_0xb337[202]][_0xb337[1869]]=100;mxCoordinateAssignment[_0xb337[202]][_0xb337[1872]]=10;mxCoordinateAssignment[_0xb337[202]][_0xb337[1795]]=8;mxCoordinateAssignment[_0xb337[202]][_0xb337[1751]]=5;mxCoordinateAssignment[_0xb337[202]][_0xb337[1752]]=2;mxCoordinateAssignment[_0xb337[202]][_0xb337[1753]]=12;mxCoordinateAssignment[_0xb337[202]][_0xb337[1754]]=4;mxCoordinateAssignment[_0xb337[202]][_0xb337[1873]]=null;mxCoordinateAssignment[_0xb337[202]][_0xb337[1870]]=mxConstants[_0xb337[1358]];mxCoordinateAssignment[_0xb337[202]][_0xb337[1871]]=null;mxCoordinateAssignment[_0xb337[202]][_0xb337[1874]]=null;mxCoordinateAssignment[_0xb337[202]][_0xb337[1875]]=null;mxCoordinateAssignment[_0xb337[202]][_0xb337[1876]]=null;mxCoordinateAssignment[_0xb337[202]][_0xb337[1877]]=null;mxCoordinateAssignment[_0xb337[202]][_0xb337[1878]]=null;mxCoordinateAssignment[_0xb337[202]][_0xb337[1879]]=null;mxCoordinateAssignment[_0xb337[202]][_0xb337[1880]]=null;mxCoordinateAssignment[_0xb337[202]][_0xb337[1881]]=null;mxCoordinateAssignment[_0xb337[202]][_0xb337[1882]]= !0;mxCoordinateAssignment[_0xb337[202]][_0xb337[675]]=mxHierarchicalEdgeStyle[_0xb337[1883]];mxCoordinateAssignment[_0xb337[202]][_0xb337[1884]]=null;mxCoordinateAssignment[_0xb337[202]][_0xb337[1885]]=null;mxCoordinateAssignment[_0xb337[202]][_0xb337[1745]]=10;mxCoordinateAssignment[_0xb337[202]][_0xb337[1886]]=function(){var _0xbfbfx2=this[_0xb337[1759]][_0xb337[502]]();mxLog[_0xb337[539]]();mxLog[_0xb337[171]](_0xb337[1887]);for(var _0xbfbfx3=0;_0xbfbfx3<_0xbfbfx2[_0xb337[1850]][_0xb337[67]];_0xbfbfx3++){mxLog[_0xb337[53]](_0xb337[1888],_0xbfbfx3,_0xb337[1889]);for(var _0xbfbfx4=_0xbfbfx2[_0xb337[1850]][_0xbfbfx3],_0xbfbfx5=0;_0xbfbfx5<_0xbfbfx4[_0xb337[67]];_0xbfbfx5++){mxLog[_0xb337[53]](_0xbfbfx4[_0xbfbfx5][_0xb337[1833]](_0xbfbfx3),_0xb337[316])};mxLog[_0xb337[171]]()};mxLog[_0xb337[171]](_0xb337[1890])};mxCoordinateAssignment[_0xb337[202]][_0xb337[350]]=function(_0xbfbfx2){this[_0xb337[1873]]=[];_0xbfbfx2=this[_0xb337[1759]][_0xb337[502]]();this[_0xb337[1875]]=0;this[_0xb337[1891]](this[_0xb337[1759]][_0xb337[1703]](),_0xbfbfx2);this[_0xb337[1882]]&&this[_0xb337[1892]](_0xbfbfx2);var _0xbfbfx3=1E8;if(this[_0xb337[1882]]){for(var _0xbfbfx4=0;_0xbfbfx4_0xbfbfx1c+1&&(_0xbfbfx15==_0xbfbfx5[_0xbfbfx14][_0xb337[67]]-1?(_0xbfbfx9[_0xb337[1834]](_0xbfbfx14,_0xbfbfx1d),_0xbfbfx17= !0):(_0xbfbfx15=_0xbfbfx5[_0xbfbfx14][_0xbfbfx15+1],_0xbfbfx1c=_0xbfbfx15[_0xb337[1833]](_0xbfbfx14),_0xbfbfx1c=_0xbfbfx1c-_0xbfbfx15[_0xb337[117]]/2-this[_0xb337[1868]]-_0xbfbfx9[_0xb337[117]]/2,_0xbfbfx1c>_0xbfbfx1d?(_0xbfbfx9[_0xb337[1834]](_0xbfbfx14,_0xbfbfx1d),_0xbfbfx17= !0):_0xbfbfx1c>_0xbfbfx9[_0xb337[1833]](_0xbfbfx14)+1&&(_0xbfbfx9[_0xb337[1834]](_0xbfbfx14,_0xbfbfx1c),_0xbfbfx17= !0)));if(_0xbfbfx17){for(_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx12[_0xb337[67]];_0xbfbfx9++){_0xbfbfx14=_0xbfbfx12[_0xbfbfx9],_0xbfbfx14=mxCellPath[_0xb337[385]](_0xbfbfx14[_0xb337[1841]]()),_0xbfbfx14=_0xbfbfx4[_0xbfbfx14],null!=_0xbfbfx14&&!1==_0xbfbfx14[_0xb337[1784]]&&(_0xbfbfx14[_0xb337[1784]]= !0,_0xbfbfx3[_0xb337[207]](_0xbfbfx14))};for(_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx16[_0xb337[67]];_0xbfbfx9++){_0xbfbfx14=_0xbfbfx16[_0xbfbfx9],_0xbfbfx14=mxCellPath[_0xb337[385]](_0xbfbfx14[_0xb337[1841]]()),_0xbfbfx14=_0xbfbfx4[_0xbfbfx14],null!=_0xbfbfx14&&!1==_0xbfbfx14[_0xb337[1784]]&&(_0xbfbfx14[_0xb337[1784]]= !0,_0xbfbfx3[_0xb337[207]](_0xbfbfx14))}};_0xbfbfx13[_0xb337[1784]]= !1;_0xbfbfxa++}};mxCoordinateAssignment[_0xb337[202]][_0xb337[1893]]=function(_0xbfbfx2,_0xbfbfx3){if(0==_0xbfbfx2%2){for(var _0xbfbfx4=_0xbfbfx3[_0xb337[1827]];0<_0xbfbfx4;_0xbfbfx4--){this[_0xb337[1897]](_0xbfbfx4-1,_0xbfbfx3,_0xbfbfx4)}}else {for(_0xbfbfx4=0;_0xbfbfx4<_0xbfbfx3[_0xb337[1827]]-1;_0xbfbfx4++){this[_0xb337[1897]](_0xbfbfx4+1,_0xbfbfx3,_0xbfbfx4)}}};mxCoordinateAssignment[_0xb337[202]][_0xb337[1897]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx3=_0xbfbfx3[_0xb337[1850]][_0xbfbfx2];for(var _0xbfbfx5=[],_0xbfbfx9=[],_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx3[_0xb337[67]];_0xbfbfxa++){var _0xbfbfx12=_0xbfbfx3[_0xbfbfxa];_0xbfbfx5[_0xbfbfxa]= new WeightedCellSorter;_0xbfbfx5[_0xbfbfxa][_0xb337[246]]=_0xbfbfx12;_0xbfbfx5[_0xbfbfxa][_0xb337[1785]]=_0xbfbfxa;var _0xbfbfx13=mxCellPath[_0xb337[385]](_0xbfbfx12[_0xb337[1841]]());_0xbfbfx9[_0xbfbfx13]=_0xbfbfx5[_0xbfbfxa];var _0xbfbfx14=null,_0xbfbfx14=_0xbfbfx4<_0xbfbfx2?_0xbfbfx12[_0xb337[1832]](_0xbfbfx2):_0xbfbfx12[_0xb337[1831]](_0xbfbfx2);_0xbfbfx5[_0xbfbfxa][_0xb337[1782]]=this[_0xb337[1898]](_0xbfbfx12,_0xbfbfx14)};_0xbfbfx5[_0xb337[470]](WeightedCellSorter[_0xb337[202]][_0xb337[469]]);for(_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx5[_0xb337[67]];_0xbfbfxa++){_0xbfbfx13=0;_0xbfbfx12=_0xbfbfx5[_0xbfbfxa][_0xb337[246]];_0xbfbfx13=0;_0xbfbfx14=_0xbfbfx4<_0xbfbfx2?_0xbfbfx12[_0xb337[1832]](_0xbfbfx2)[_0xb337[1853]]():_0xbfbfx12[_0xb337[1831]](_0xbfbfx2)[_0xb337[1853]]();null!=_0xbfbfx14&&(_0xbfbfx13=_0xbfbfx14[_0xb337[67]],_0xbfbfx13=0<_0xbfbfx13?this[_0xb337[1896]](_0xbfbfx14,_0xbfbfx4):_0xbfbfx12[_0xb337[1833]](_0xbfbfx2));for(var _0xbfbfx15=0,_0xbfbfx14=-1E8,_0xbfbfx16=_0xbfbfx5[_0xbfbfxa][_0xb337[1785]]-1;0<=_0xbfbfx16;){var _0xbfbfx17=mxCellPath[_0xb337[385]](_0xbfbfx3[_0xbfbfx16][_0xb337[1841]]()),_0xbfbfx17=_0xbfbfx9[_0xbfbfx17];if(null!=_0xbfbfx17){var _0xbfbfx18=_0xbfbfx17[_0xb337[246]];_0xbfbfx17[_0xb337[1784]]?(_0xbfbfx14=_0xbfbfx18[_0xb337[1833]](_0xbfbfx2)+_0xbfbfx18[_0xb337[117]]/2+this[_0xb337[1868]]+_0xbfbfx15+_0xbfbfx12[_0xb337[117]]/2,_0xbfbfx16= -1):(_0xbfbfx15+=_0xbfbfx18[_0xb337[117]]+this[_0xb337[1868]],_0xbfbfx16--)}};_0xbfbfx15=0;_0xbfbfx18=1E8;for(_0xbfbfx16=_0xbfbfx5[_0xbfbfxa][_0xb337[1785]]+1;_0xbfbfx16<_0xbfbfx5[_0xb337[67]];){if(_0xbfbfx17=mxCellPath[_0xb337[385]](_0xbfbfx3[_0xbfbfx16][_0xb337[1841]]()),_0xbfbfx17=_0xbfbfx9[_0xbfbfx17],null!=_0xbfbfx17){var _0xbfbfx19=_0xbfbfx17[_0xb337[246]];_0xbfbfx17[_0xb337[1784]]?(_0xbfbfx18=_0xbfbfx19[_0xb337[1833]](_0xbfbfx2)-_0xbfbfx19[_0xb337[117]]/2-this[_0xb337[1868]]-_0xbfbfx15-_0xbfbfx12[_0xb337[117]]/2,_0xbfbfx16=_0xbfbfx5[_0xb337[67]]):(_0xbfbfx15+=_0xbfbfx19[_0xb337[117]]+this[_0xb337[1868]],_0xbfbfx16++)}};_0xbfbfx13>=_0xbfbfx14&&_0xbfbfx13<=_0xbfbfx18?_0xbfbfx12[_0xb337[1834]](_0xbfbfx2,_0xbfbfx13):_0xbfbfx13<_0xbfbfx14?(_0xbfbfx12[_0xb337[1834]](_0xbfbfx2,_0xbfbfx14),this[_0xb337[1875]]+=_0xbfbfx14-_0xbfbfx13):_0xbfbfx13>_0xbfbfx18&&(_0xbfbfx12[_0xb337[1834]](_0xbfbfx2,_0xbfbfx18),this[_0xb337[1875]]+=_0xbfbfx13-_0xbfbfx18);_0xbfbfx5[_0xbfbfxa][_0xb337[1784]]= !0}};mxCoordinateAssignment[_0xb337[202]][_0xb337[1898]]=function(_0xbfbfx2,_0xbfbfx3){for(var _0xbfbfx4=0,_0xbfbfx5=0;_0xbfbfx5<_0xbfbfx3[_0xb337[67]];_0xbfbfx5++){var _0xbfbfx9=_0xbfbfx3[_0xbfbfx5];_0xbfbfx2[_0xb337[1193]]()&&_0xbfbfx9[_0xb337[1193]]()?_0xbfbfx4++:_0xbfbfx4=_0xbfbfx2[_0xb337[250]]()&&_0xbfbfx9[_0xb337[250]]()?_0xbfbfx4+8:_0xbfbfx4+2};return _0xbfbfx4};mxCoordinateAssignment[_0xb337[202]][_0xb337[1896]]=function(_0xbfbfx2,_0xbfbfx3){if(0==_0xbfbfx2[_0xb337[67]]){return 0};for(var _0xbfbfx4=[],_0xbfbfx5=0;_0xbfbfx5<_0xbfbfx2[_0xb337[67]];_0xbfbfx5++){_0xbfbfx4[_0xbfbfx5]=_0xbfbfx2[_0xbfbfx5][_0xb337[1833]](_0xbfbfx3)};_0xbfbfx4[_0xb337[470]](function(_0xbfbfx2,_0xbfbfx3){return _0xbfbfx2-_0xbfbfx3});if(1==_0xbfbfx2[_0xb337[67]]%2){return _0xbfbfx4[Math[_0xb337[519]](_0xbfbfx2[_0xb337[67]]/2)]};_0xbfbfx5=_0xbfbfx2[_0xb337[67]]/2;return (_0xbfbfx4[_0xbfbfx5-1]+_0xbfbfx4[_0xbfbfx5])/2};mxCoordinateAssignment[_0xb337[202]][_0xb337[1891]]=function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[1899]](_0xbfbfx2,_0xbfbfx3);for(var _0xbfbfx4=this[_0xb337[1876]];0<=_0xbfbfx4;_0xbfbfx4--){_0xbfbfx4<_0xbfbfx3[_0xb337[1827]]&&this[_0xb337[1900]](_0xbfbfx4,_0xbfbfx2,_0xbfbfx3)};for(_0xbfbfx4=this[_0xb337[1876]]+1;_0xbfbfx4<=_0xbfbfx3[_0xb337[1827]];_0xbfbfx4++){0<_0xbfbfx4&&this[_0xb337[1900]](_0xbfbfx4,_0xbfbfx2,_0xbfbfx3)}};mxCoordinateAssignment[_0xb337[202]][_0xb337[1900]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx3=_0xbfbfx4[_0xb337[1850]][_0xbfbfx2];_0xbfbfx4=0;for(var _0xbfbfx5=this[_0xb337[1871]]+(this[_0xb337[1879]]-this[_0xb337[1880]][_0xbfbfx2])/2,_0xbfbfx9=!1,_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx3[_0xb337[67]];_0xbfbfxa++){var _0xbfbfx12=_0xbfbfx3[_0xbfbfxa];if(_0xbfbfx12[_0xb337[1193]]()){var _0xbfbfx13=this[_0xb337[1759]][_0xb337[1726]](_0xbfbfx12[_0xb337[246]]);null!=_0xbfbfx13?this[_0xb337[1870]]==mxConstants[_0xb337[1358]]||this[_0xb337[1870]]==mxConstants[_0xb337[1354]]?(_0xbfbfx12[_0xb337[117]]=_0xbfbfx13[_0xb337[117]],_0xbfbfx12[_0xb337[119]]=_0xbfbfx13[_0xb337[119]]):(_0xbfbfx12[_0xb337[117]]=_0xbfbfx13[_0xb337[119]],_0xbfbfx12[_0xb337[119]]=_0xbfbfx13[_0xb337[117]]):_0xbfbfx9= !0;_0xbfbfx4=Math[_0xb337[160]](_0xbfbfx4,_0xbfbfx12[_0xb337[119]])}else {_0xbfbfx12[_0xb337[250]]()&&(_0xbfbfx13=1,null!=_0xbfbfx12[_0xb337[1842]]?_0xbfbfx13=_0xbfbfx12[_0xb337[1842]][_0xb337[67]]:mxLog[_0xb337[283]](_0xb337[1901]),_0xbfbfx12[_0xb337[117]]=(_0xbfbfx13-1)*this[_0xb337[1872]])};_0xbfbfx5+=_0xbfbfx12[_0xb337[117]]/2;_0xbfbfx12[_0xb337[1835]](_0xbfbfx2,_0xbfbfx5);_0xbfbfx12[_0xb337[1834]](_0xbfbfx2,_0xbfbfx5);_0xbfbfx5+=_0xbfbfx12[_0xb337[117]]/2;_0xbfbfx5+=this[_0xb337[1868]]};!0==_0xbfbfx9&&mxLog[_0xb337[283]](_0xb337[1902])};mxCoordinateAssignment[_0xb337[202]][_0xb337[1899]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=-this[_0xb337[1869]],_0xbfbfx5=0;this[_0xb337[1880]]=[];this[_0xb337[1881]]=[];for(var _0xbfbfx9=_0xbfbfx3[_0xb337[1827]];0<=_0xbfbfx9;_0xbfbfx9--){for(var _0xbfbfxa=0,_0xbfbfx12=_0xbfbfx3[_0xb337[1850]][_0xbfbfx9],_0xbfbfx13=this[_0xb337[1871]],_0xbfbfx14=!1,_0xbfbfx15=0;_0xbfbfx15<_0xbfbfx12[_0xb337[67]];_0xbfbfx15++){var _0xbfbfx16=_0xbfbfx12[_0xbfbfx15];if(_0xbfbfx16[_0xb337[1193]]()){var _0xbfbfx17=this[_0xb337[1759]][_0xb337[1726]](_0xbfbfx16[_0xb337[246]]);null!=_0xbfbfx17?this[_0xb337[1870]]==mxConstants[_0xb337[1358]]||this[_0xb337[1870]]==mxConstants[_0xb337[1354]]?(_0xbfbfx16[_0xb337[117]]=_0xbfbfx17[_0xb337[117]],_0xbfbfx16[_0xb337[119]]=_0xbfbfx17[_0xb337[119]]):(_0xbfbfx16[_0xb337[117]]=_0xbfbfx17[_0xb337[119]],_0xbfbfx16[_0xb337[119]]=_0xbfbfx17[_0xb337[117]]):_0xbfbfx14= !0;_0xbfbfxa=Math[_0xb337[160]](_0xbfbfxa,_0xbfbfx16[_0xb337[119]])}else {_0xbfbfx16[_0xb337[250]]()&&(_0xbfbfx17=1,null!=_0xbfbfx16[_0xb337[1842]]?_0xbfbfx17=_0xbfbfx16[_0xb337[1842]][_0xb337[67]]:mxLog[_0xb337[283]](_0xb337[1901]),_0xbfbfx16[_0xb337[117]]=(_0xbfbfx17-1)*this[_0xb337[1872]])};_0xbfbfx13+=_0xbfbfx16[_0xb337[117]]/2;_0xbfbfx16[_0xb337[1835]](_0xbfbfx9,_0xbfbfx13);_0xbfbfx16[_0xb337[1834]](_0xbfbfx9,_0xbfbfx13);_0xbfbfx13+=_0xbfbfx16[_0xb337[117]]/2;_0xbfbfx13+=this[_0xb337[1868]];_0xbfbfx13>this[_0xb337[1879]]&&(this[_0xb337[1879]]=_0xbfbfx13,this[_0xb337[1876]]=_0xbfbfx9);this[_0xb337[1880]][_0xbfbfx9]=_0xbfbfx13};!0==_0xbfbfx14&&mxLog[_0xb337[283]](_0xb337[1902]);this[_0xb337[1881]][_0xbfbfx9]=_0xbfbfx4;_0xbfbfx13=_0xbfbfxa/2+_0xbfbfx5/2+this[_0xb337[1869]];_0xbfbfx5=_0xbfbfxa;_0xbfbfx4=this[_0xb337[1870]]==mxConstants[_0xb337[1358]]||this[_0xb337[1870]]==mxConstants[_0xb337[1359]]?_0xbfbfx4+_0xbfbfx13:_0xbfbfx4-_0xbfbfx13;for(_0xbfbfx15=0;_0xbfbfx15<_0xbfbfx12[_0xb337[67]];_0xbfbfx15++){_0xbfbfx12[_0xbfbfx15][_0xb337[1836]](_0xbfbfx9,_0xbfbfx4)}}};mxCoordinateAssignment[_0xb337[202]][_0xb337[1894]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=_0xbfbfx3[_0xb337[1847]],_0xbfbfx5;for(_0xbfbfx5 in _0xbfbfx4){var _0xbfbfx9=_0xbfbfx4[_0xbfbfx5];if(!(1>_0xbfbfx9[_0xb337[1827]]-_0xbfbfx9[_0xb337[1828]]-1)){for(var _0xbfbfxa=_0xbfbfx9[_0xb337[1833]](_0xbfbfx9[_0xb337[1828]]+1),_0xbfbfx12=!0,_0xbfbfx13=0,_0xbfbfx14=_0xbfbfx9[_0xb337[1828]]+2;_0xbfbfx14<_0xbfbfx9[_0xb337[1827]];_0xbfbfx14++){var _0xbfbfx15=_0xbfbfx9[_0xb337[1833]](_0xbfbfx14);_0xbfbfxa!=_0xbfbfx15?(_0xbfbfx12= !1,_0xbfbfxa=_0xbfbfx15):_0xbfbfx13++};if(!_0xbfbfx12){for(var _0xbfbfx12=_0xbfbfxa=0,_0xbfbfx15=[],_0xbfbfx16=[],_0xbfbfx17=_0xbfbfx9[_0xb337[1833]](_0xbfbfx9[_0xb337[1828]]+1),_0xbfbfx14=_0xbfbfx9[_0xb337[1828]]+1;_0xbfbfx14<_0xbfbfx9[_0xb337[1827]]-1;_0xbfbfx14++){var _0xbfbfx18=_0xbfbfx9[_0xb337[730]](_0xbfbfx14+1);_0xbfbfx17==_0xbfbfx18?(_0xbfbfx15[_0xbfbfx14-_0xbfbfx9[_0xb337[1828]]-1]=_0xbfbfx17,_0xbfbfxa++):this[_0xb337[1903]](_0xbfbfx3,_0xbfbfx9,_0xbfbfx14+1,_0xbfbfx17)?(_0xbfbfx15[_0xbfbfx14-_0xbfbfx9[_0xb337[1828]]-1]=_0xbfbfx17,_0xbfbfxa++):_0xbfbfx17=_0xbfbfx15[_0xbfbfx14-_0xbfbfx9[_0xb337[1828]]-1]=_0xbfbfx18};_0xbfbfx17=_0xbfbfx9[_0xb337[730]](_0xbfbfx14);for(_0xbfbfx14=_0xbfbfx9[_0xb337[1827]]-1;_0xbfbfx14>_0xbfbfx9[_0xb337[1828]]+1;_0xbfbfx14--){_0xbfbfx18=_0xbfbfx9[_0xb337[730]](_0xbfbfx14-1),_0xbfbfx17==_0xbfbfx18?(_0xbfbfx16[_0xbfbfx14-_0xbfbfx9[_0xb337[1828]]-2]=_0xbfbfx17,_0xbfbfx12++):this[_0xb337[1903]](_0xbfbfx3,_0xbfbfx9,_0xbfbfx14-1,_0xbfbfx17)?(_0xbfbfx16[_0xbfbfx14-_0xbfbfx9[_0xb337[1828]]-2]=_0xbfbfx17,_0xbfbfx12++):(_0xbfbfx16[_0xbfbfx14-_0xbfbfx9[_0xb337[1828]]-2]=_0xbfbfx9[_0xb337[730]](_0xbfbfx14-1),_0xbfbfx17=_0xbfbfx18)};if(_0xbfbfx12>_0xbfbfx13||_0xbfbfxa>_0xbfbfx13){if(_0xbfbfx12>=_0xbfbfxa){for(_0xbfbfx14=_0xbfbfx9[_0xb337[1827]]-2;_0xbfbfx14>_0xbfbfx9[_0xb337[1828]];_0xbfbfx14--){_0xbfbfx9[_0xb337[1835]](_0xbfbfx14,_0xbfbfx16[_0xbfbfx14-_0xbfbfx9[_0xb337[1828]]-1])}}else {if(_0xbfbfxa>_0xbfbfx12){for(_0xbfbfx14=_0xbfbfx9[_0xb337[1828]]+2;_0xbfbfx14<_0xbfbfx9[_0xb337[1827]];_0xbfbfx14++){_0xbfbfx9[_0xb337[1835]](_0xbfbfx14,_0xbfbfx15[_0xbfbfx14-_0xbfbfx9[_0xb337[1828]]-2])}}}}}}}};mxCoordinateAssignment[_0xb337[202]][_0xb337[1903]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){_0xbfbfx2=_0xbfbfx2[_0xb337[1850]][_0xbfbfx4];for(var _0xbfbfx9=-1,_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx2[_0xb337[67]];_0xbfbfxa++){if(_0xbfbfx3==_0xbfbfx2[_0xbfbfxa]){_0xbfbfx9=_0xbfbfxa;break}};if(0>_0xbfbfx9){return !1};_0xbfbfxa=_0xbfbfx3[_0xb337[1833]](_0xbfbfx4);if(_0xbfbfx5<_0xbfbfxa){if(0==_0xbfbfx9){return !0};_0xbfbfx2=_0xbfbfx2[_0xbfbfx9-1];_0xbfbfx4=_0xbfbfx2[_0xb337[1833]](_0xbfbfx4);_0xbfbfx4=_0xbfbfx4+_0xbfbfx2[_0xb337[117]]/2+this[_0xb337[1868]]+_0xbfbfx3[_0xb337[117]]/2;if(!(_0xbfbfx4<=_0xbfbfx5)){return !1}}else {if(_0xbfbfx5>_0xbfbfxa){if(_0xbfbfx9==_0xbfbfx2[_0xb337[67]]-1){return !0};_0xbfbfx2=_0xbfbfx2[_0xbfbfx9+1];_0xbfbfx4=_0xbfbfx2[_0xb337[1833]](_0xbfbfx4);_0xbfbfx4=_0xbfbfx4-_0xbfbfx2[_0xb337[117]]/2-this[_0xb337[1868]]-_0xbfbfx3[_0xb337[117]]/2;if(!(_0xbfbfx4>=_0xbfbfx5)){return !1}}};return !0};mxCoordinateAssignment[_0xb337[202]][_0xb337[1895]]=function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[1877]]=[];this[_0xb337[1878]]=[];for(var _0xbfbfx4=0;_0xbfbfx4<_0xbfbfx3[_0xb337[1850]][_0xb337[67]];_0xbfbfx4++){this[_0xb337[1877]][_0xbfbfx4]=Number[_0xb337[1904]],this[_0xb337[1878]][_0xbfbfx4]=0};_0xbfbfx4=null;this[_0xb337[1759]][_0xb337[1735]]&&(_0xbfbfx4={});var _0xbfbfx5=_0xbfbfx3[_0xb337[1847]],_0xbfbfx9=_0xbfbfx3[_0xb337[1846]],_0xbfbfxa;for(_0xbfbfxa in _0xbfbfx9){var _0xbfbfx12=_0xbfbfx9[_0xbfbfxa];this[_0xb337[1725]](_0xbfbfx12);if(this[_0xb337[1759]][_0xb337[1735]]){var _0xbfbfx12=_0xbfbfx2[_0xb337[251]][_0xb337[1197]](_0xbfbfx12[_0xb337[246]]),_0xbfbfx13=mxCellPath[_0xb337[385]](_0xbfbfx12);null==_0xbfbfx4[_0xbfbfx13]&&(_0xbfbfx4[_0xbfbfx13]=_0xbfbfx12)}};this[_0xb337[1759]][_0xb337[1735]]&&null!=_0xbfbfx4&&this[_0xb337[1763]](_0xbfbfx4);(this[_0xb337[675]]==mxHierarchicalEdgeStyle[_0xb337[1905]]||this[_0xb337[675]]==mxHierarchicalEdgeStyle[_0xb337[1883]]||this[_0xb337[675]]==mxHierarchicalEdgeStyle[_0xb337[1906]])&&this[_0xb337[1764]](_0xbfbfx3);for(_0xbfbfxa in _0xbfbfx5){this[_0xb337[1907]](_0xbfbfx5[_0xbfbfxa])}};mxCoordinateAssignment[_0xb337[202]][_0xb337[1763]]=function(_0xbfbfx2){var _0xbfbfx3=[],_0xbfbfx4;for(_0xbfbfx4 in _0xbfbfx2){_0xbfbfx3[_0xb337[207]](_0xbfbfx2[_0xbfbfx4])};this[_0xb337[1759]][_0xb337[1727]](mxUtils[_0xb337[1779]](_0xbfbfx3,!0),this[_0xb337[1745]])};mxCoordinateAssignment[_0xb337[202]][_0xb337[1764]]=function(_0xbfbfx2){for(var _0xbfbfx3=0;_0xbfbfx3<_0xbfbfx2[_0xb337[1850]][_0xb337[67]];_0xbfbfx3++){for(var _0xbfbfx4=_0xbfbfx2[_0xb337[1850]][_0xbfbfx3],_0xbfbfx5=0;_0xbfbfx5<_0xbfbfx4[_0xb337[67]];_0xbfbfx5++){var _0xbfbfx9=_0xbfbfx4[_0xbfbfx5];if(_0xbfbfx9[_0xb337[1193]]()){for(var _0xbfbfxa=_0xbfbfx9[_0xb337[1832]](_0xbfbfx3),_0xbfbfx12=_0xbfbfx3-1,_0xbfbfx13=0;2>_0xbfbfx13;_0xbfbfx13++){if(-1<_0xbfbfx12&&_0xbfbfx12<_0xbfbfx2[_0xb337[1850]][_0xb337[67]]&&null!=_0xbfbfxa&&0<_0xbfbfxa[_0xb337[67]]){for(var _0xbfbfx14=[],_0xbfbfx15=0;_0xbfbfx15<_0xbfbfxa[_0xb337[67]];_0xbfbfx15++){var _0xbfbfx16= new WeightedCellSorter(_0xbfbfxa[_0xbfbfx15],_0xbfbfxa[_0xbfbfx15][_0xb337[730]](_0xbfbfx12));_0xbfbfx14[_0xb337[207]](_0xbfbfx16)};_0xbfbfx14[_0xb337[470]](WeightedCellSorter[_0xb337[202]][_0xb337[469]]);for(var _0xbfbfx16=_0xbfbfx9[_0xb337[235]][0]-_0xbfbfx9[_0xb337[117]]/2,_0xbfbfx17=_0xbfbfx16+_0xbfbfx9[_0xb337[117]],_0xbfbfx18=_0xbfbfxa=0,_0xbfbfx12=[],_0xbfbfx15=0;_0xbfbfx15<_0xbfbfx14[_0xb337[67]];_0xbfbfx15++){var _0xbfbfx19=_0xbfbfx14[_0xbfbfx15][_0xb337[246]],_0xbfbfx1a;if(_0xbfbfx19[_0xb337[1193]]()){_0xbfbfx1a=0==_0xbfbfx13?_0xbfbfx9[_0xb337[1838]]:_0xbfbfx9[_0xb337[1837]];for(var _0xbfbfx1b=0;_0xbfbfx1b<_0xbfbfx1a[_0xb337[67]];_0xbfbfx1b++){if(_0xbfbfx1a[_0xbfbfx1b][_0xb337[1097]]==_0xbfbfx19||_0xbfbfx1a[_0xbfbfx1b][_0xb337[772]]==_0xbfbfx19){_0xbfbfxa+=_0xbfbfx1a[_0xbfbfx1b][_0xb337[1842]][_0xb337[67]],_0xbfbfx18++,_0xbfbfx12[_0xb337[207]](_0xbfbfx1a[_0xbfbfx1b])}}}else {_0xbfbfxa+=_0xbfbfx19[_0xb337[1842]][_0xb337[67]],_0xbfbfx18++,_0xbfbfx12[_0xb337[207]](_0xbfbfx19)}};_0xbfbfx9[_0xb337[117]]>(_0xbfbfxa+1)*this[_0xb337[1751]]+2*this[_0xb337[1751]]&&(_0xbfbfx16+=this[_0xb337[1751]],_0xbfbfx17-=this[_0xb337[1751]]);_0xbfbfx14=(_0xbfbfx17-_0xbfbfx16)/_0xbfbfxa;_0xbfbfx16+=_0xbfbfx14/2;_0xbfbfx17=this[_0xb337[1753]]-this[_0xb337[1752]];for(_0xbfbfx15=_0xbfbfx18=0;_0xbfbfx15<_0xbfbfx12[_0xb337[67]];_0xbfbfx15++){_0xbfbfx19=_0xbfbfx12[_0xbfbfx15][_0xb337[1842]][_0xb337[67]];_0xbfbfx1b=mxCellPath[_0xb337[385]](_0xbfbfx12[_0xbfbfx15][_0xb337[1842]][0]);_0xbfbfx1a=this[_0xb337[1873]][_0xbfbfx1b];null==_0xbfbfx1a&&(_0xbfbfx1a=[],this[_0xb337[1873]][_0xbfbfx1b]=_0xbfbfx1a);_0xbfbfx15<_0xbfbfxa/2?_0xbfbfx17+=this[_0xb337[1752]]:_0xbfbfx15>_0xbfbfxa/2&&(_0xbfbfx17-=this[_0xb337[1752]]);for(_0xbfbfx1b=0;_0xbfbfx1b<_0xbfbfx19;_0xbfbfx1b++){_0xbfbfx1a[4*_0xbfbfx1b+2*_0xbfbfx13]=_0xbfbfx16,_0xbfbfx16+=_0xbfbfx14,_0xbfbfx1a[4*_0xbfbfx1b+2*_0xbfbfx13+1]=_0xbfbfx17};_0xbfbfx18=Math[_0xb337[160]](_0xbfbfx18,_0xbfbfx17)}};_0xbfbfxa=_0xbfbfx9[_0xb337[1831]](_0xbfbfx3);_0xbfbfx12=_0xbfbfx3+1}}}}};mxCoordinateAssignment[_0xb337[202]][_0xb337[1907]]=function(_0xbfbfx2){var _0xbfbfx3=0;if(101207!=_0xbfbfx2[_0xb337[1826]][0]){var _0xbfbfx4=_0xbfbfx2[_0xb337[1827]],_0xbfbfx5=_0xbfbfx2[_0xb337[1828]];_0xbfbfx4==_0xbfbfx5&&(_0xbfbfx4=_0xbfbfx2[_0xb337[1097]][_0xb337[1827]],_0xbfbfx5=_0xbfbfx2[_0xb337[772]][_0xb337[1828]]);for(var _0xbfbfx9=0,_0xbfbfxa=mxCellPath[_0xb337[385]](_0xbfbfx2[_0xb337[1842]][0]),_0xbfbfxa=this[_0xb337[1873]][_0xbfbfxa],_0xbfbfx12=_0xbfbfx2[_0xb337[1843]]?_0xbfbfx2[_0xb337[772]][_0xb337[246]]:_0xbfbfx2[_0xb337[1097]][_0xb337[246]],_0xbfbfx13=this[_0xb337[1759]][_0xb337[1179]],_0xbfbfx14=0;_0xbfbfx14<_0xbfbfx2[_0xb337[1842]][_0xb337[67]];_0xbfbfx14++){var _0xbfbfx15=_0xbfbfx2[_0xb337[1842]][_0xbfbfx14],_0xbfbfx16=this[_0xb337[1759]][_0xb337[1710]](_0xbfbfx15,!0),_0xbfbfx17=_0xbfbfx13[_0xb337[251]][_0xb337[1709]](_0xbfbfx15,!0),_0xbfbfx18=[],_0xbfbfx19=_0xbfbfx2[_0xb337[1843]];_0xbfbfx16!=_0xbfbfx12&&(_0xbfbfx19= !_0xbfbfx19);if(null!=_0xbfbfxa){var _0xbfbfx1a=_0xbfbfx19?2:0,_0xbfbfx1b=_0xbfbfx19?this[_0xb337[1877]][_0xbfbfx5]:this[_0xb337[1878]][_0xbfbfx4],_0xbfbfx1c=_0xbfbfxa[4*_0xbfbfx9+1+_0xbfbfx1a];_0xbfbfx19&&(_0xbfbfx1c= -_0xbfbfx1c);_0xbfbfx1b+=_0xbfbfx1c;_0xbfbfx1a=_0xbfbfxa[4*_0xbfbfx9+_0xbfbfx1a];_0xbfbfx17=_0xbfbfx13[_0xb337[251]][_0xb337[1709]](_0xbfbfx15,!0);this[_0xb337[1759]][_0xb337[1908]](_0xbfbfx17)&&_0xbfbfx13[_0xb337[251]][_0xb337[1197]](_0xbfbfx17)==_0xbfbfx16&&(_0xbfbfx1a=_0xbfbfx13[_0xb337[441]][_0xb337[248]](_0xbfbfx17),_0xbfbfx1a=null!=_0xbfbfx1a?_0xbfbfx1a[_0xb337[235]]:_0xbfbfx16[_0xb337[256]][_0xb337[235]]+_0xbfbfx2[_0xb337[1097]][_0xb337[117]]*_0xbfbfx17[_0xb337[256]][_0xb337[235]]);this[_0xb337[1870]]==mxConstants[_0xb337[1358]]||this[_0xb337[1870]]==mxConstants[_0xb337[1354]]?(_0xbfbfx18[_0xb337[207]]( new mxPoint(_0xbfbfx1a,_0xbfbfx1b)),this[_0xb337[675]]==mxHierarchicalEdgeStyle[_0xb337[1906]]&&_0xbfbfx18[_0xb337[207]]( new mxPoint(_0xbfbfx1a,_0xbfbfx1b+_0xbfbfx1c))):(_0xbfbfx18[_0xb337[207]]( new mxPoint(_0xbfbfx1b,_0xbfbfx1a)),this[_0xb337[675]]==mxHierarchicalEdgeStyle[_0xb337[1906]]&&_0xbfbfx18[_0xb337[207]]( new mxPoint(_0xbfbfx1b+_0xbfbfx1c,_0xbfbfx1a)))};_0xbfbfx1a=_0xbfbfx2[_0xb337[235]][_0xb337[67]]-1;_0xbfbfx1b=_0xbfbfx1c= -1;_0xbfbfx16=_0xbfbfx2[_0xb337[1827]]-1;_0xbfbfx19&&(_0xbfbfx1a=0,_0xbfbfx1c=_0xbfbfx2[_0xb337[235]][_0xb337[67]],_0xbfbfx1b=1,_0xbfbfx16=_0xbfbfx2[_0xb337[1828]]+1);for(;_0xbfbfx2[_0xb337[1827]]!=_0xbfbfx2[_0xb337[1828]]&&_0xbfbfx1a!=_0xbfbfx1c;_0xbfbfx1a+=_0xbfbfx1b){var _0xbfbfx17=_0xbfbfx2[_0xb337[235]][_0xbfbfx1a]+_0xbfbfx3,_0xbfbfx1d=(this[_0xb337[1877]][_0xbfbfx16]+this[_0xb337[1878]][_0xbfbfx16+1])/2,_0xbfbfx1e=(this[_0xb337[1877]][_0xbfbfx16-1]+this[_0xb337[1878]][_0xbfbfx16])/2;if(_0xbfbfx19){var _0xbfbfx1f=_0xbfbfx1d,_0xbfbfx1d=_0xbfbfx1e,_0xbfbfx1e=_0xbfbfx1f};this[_0xb337[1870]]==mxConstants[_0xb337[1358]]||this[_0xb337[1870]]==mxConstants[_0xb337[1354]]?(_0xbfbfx18[_0xb337[207]]( new mxPoint(_0xbfbfx17,_0xbfbfx1d)),_0xbfbfx18[_0xb337[207]]( new mxPoint(_0xbfbfx17,_0xbfbfx1e))):(_0xbfbfx18[_0xb337[207]]( new mxPoint(_0xbfbfx1d,_0xbfbfx17)),_0xbfbfx18[_0xb337[207]]( new mxPoint(_0xbfbfx1e,_0xbfbfx17)));this[_0xb337[1874]]=Math[_0xb337[160]](this[_0xb337[1874]],_0xbfbfx17);_0xbfbfx16+=_0xbfbfx1b};null!=_0xbfbfxa&&(_0xbfbfx1a=_0xbfbfx19?2:0,_0xbfbfx1b=_0xbfbfx19?this[_0xb337[1878]][_0xbfbfx4]:this[_0xb337[1877]][_0xbfbfx5],_0xbfbfx1c=_0xbfbfxa[4*_0xbfbfx9+3-_0xbfbfx1a],_0xbfbfx19&&(_0xbfbfx1c= -_0xbfbfx1c),_0xbfbfx1b-=_0xbfbfx1c,_0xbfbfx1a=_0xbfbfxa[4*_0xbfbfx9+2-_0xbfbfx1a],_0xbfbfx19=_0xbfbfx13[_0xb337[251]][_0xb337[1709]](_0xbfbfx15,!1),_0xbfbfx16=this[_0xb337[1759]][_0xb337[1710]](_0xbfbfx15,!1),this[_0xb337[1759]][_0xb337[1908]](_0xbfbfx19)&&_0xbfbfx13[_0xb337[251]][_0xb337[1197]](_0xbfbfx19)==_0xbfbfx16&&(_0xbfbfx1a=_0xbfbfx13[_0xb337[441]][_0xb337[248]](_0xbfbfx19),_0xbfbfx1a=null!=_0xbfbfx1a?_0xbfbfx1a[_0xb337[235]]:_0xbfbfx16[_0xb337[256]][_0xb337[235]]+_0xbfbfx2[_0xb337[772]][_0xb337[117]]*_0xbfbfx19[_0xb337[256]][_0xb337[235]]),this[_0xb337[1870]]==mxConstants[_0xb337[1358]]||this[_0xb337[1870]]==mxConstants[_0xb337[1354]]?(this[_0xb337[675]]==mxHierarchicalEdgeStyle[_0xb337[1906]]&&_0xbfbfx18[_0xb337[207]]( new mxPoint(_0xbfbfx1a,_0xbfbfx1b-_0xbfbfx1c)),_0xbfbfx18[_0xb337[207]]( new mxPoint(_0xbfbfx1a,_0xbfbfx1b))):(this[_0xb337[675]]==mxHierarchicalEdgeStyle[_0xb337[1906]]&&_0xbfbfx18[_0xb337[207]]( new mxPoint(_0xbfbfx1b-_0xbfbfx1c,_0xbfbfx1a)),_0xbfbfx18[_0xb337[207]]( new mxPoint(_0xbfbfx1b,_0xbfbfx1a))));_0xbfbfx2[_0xb337[1843]]&&this[_0xb337[1909]](_0xbfbfx2,_0xbfbfx15);this[_0xb337[1759]][_0xb337[1722]](_0xbfbfx15,_0xbfbfx18);_0xbfbfx3=0==_0xbfbfx3?this[_0xb337[1872]]:0<_0xbfbfx3?-_0xbfbfx3:-_0xbfbfx3+this[_0xb337[1872]];_0xbfbfx9++};_0xbfbfx2[_0xb337[1826]][0]=101207}};mxCoordinateAssignment[_0xb337[202]][_0xb337[1725]]=function(_0xbfbfx2){var _0xbfbfx3=_0xbfbfx2[_0xb337[246]],_0xbfbfx4=_0xbfbfx2[_0xb337[235]][0]-_0xbfbfx2[_0xb337[117]]/2,_0xbfbfx5=_0xbfbfx2[_0xb337[236]][0]-_0xbfbfx2[_0xb337[119]]/2;this[_0xb337[1877]][_0xbfbfx2[_0xb337[1828]]]=Math[_0xb337[243]](this[_0xb337[1877]][_0xbfbfx2[_0xb337[1828]]],_0xbfbfx5);this[_0xb337[1878]][_0xbfbfx2[_0xb337[1828]]]=Math[_0xb337[160]](this[_0xb337[1878]][_0xbfbfx2[_0xb337[1828]]],_0xbfbfx5+_0xbfbfx2[_0xb337[119]]);this[_0xb337[1870]]==mxConstants[_0xb337[1358]]||this[_0xb337[1870]]==mxConstants[_0xb337[1354]]?this[_0xb337[1759]][_0xb337[1725]](_0xbfbfx3,_0xbfbfx4,_0xbfbfx5):this[_0xb337[1759]][_0xb337[1725]](_0xbfbfx3,_0xbfbfx5,_0xbfbfx4);this[_0xb337[1874]]=Math[_0xb337[160]](this[_0xb337[1874]],_0xbfbfx4+_0xbfbfx2[_0xb337[117]])};mxCoordinateAssignment[_0xb337[202]][_0xb337[1909]]=function(_0xbfbfx2,_0xbfbfx3){};function WeightedCellSorter(_0xbfbfx2,_0xbfbfx3){this[_0xb337[246]]=_0xbfbfx2;this[_0xb337[1782]]=_0xbfbfx3}WeightedCellSorter[_0xb337[202]][_0xb337[1782]]=0;WeightedCellSorter[_0xb337[202]][_0xb337[1783]]= !1;WeightedCellSorter[_0xb337[202]][_0xb337[1784]]= !1;WeightedCellSorter[_0xb337[202]][_0xb337[1785]]=null;WeightedCellSorter[_0xb337[202]][_0xb337[246]]=null;WeightedCellSorter[_0xb337[202]][_0xb337[469]]=function(_0xbfbfx2,_0xbfbfx3){return null!=_0xbfbfx2&&null!=_0xbfbfx3?_0xbfbfx3[_0xb337[1782]]>_0xbfbfx2[_0xb337[1782]]?-1:_0xbfbfx3[_0xb337[1782]]<_0xbfbfx2[_0xb337[1782]]?1:_0xbfbfx3[_0xb337[1783]]?-1:1:0};function mxHierarchicalLayout(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){mxGraphLayout[_0xb337[239]](this,_0xbfbfx2);this[_0xb337[1870]]=null!=_0xbfbfx3?_0xbfbfx3:mxConstants[_0xb337[1358]];this[_0xb337[1910]]=null!=_0xbfbfx4?_0xbfbfx4:!0}mxHierarchicalLayout[_0xb337[202]]= new mxGraphLayout;mxHierarchicalLayout[_0xb337[202]][_0xb337[196]]=mxHierarchicalLayout;mxHierarchicalLayout[_0xb337[202]][_0xb337[1845]]=null;mxHierarchicalLayout[_0xb337[202]][_0xb337[1735]]= !1;mxHierarchicalLayout[_0xb337[202]][_0xb337[1911]]= !1;mxHierarchicalLayout[_0xb337[202]][_0xb337[1912]]=0;mxHierarchicalLayout[_0xb337[202]][_0xb337[1868]]=30;mxHierarchicalLayout[_0xb337[202]][_0xb337[1869]]=100;mxHierarchicalLayout[_0xb337[202]][_0xb337[1913]]=60;mxHierarchicalLayout[_0xb337[202]][_0xb337[1872]]=10;mxHierarchicalLayout[_0xb337[202]][_0xb337[1870]]=mxConstants[_0xb337[1358]];mxHierarchicalLayout[_0xb337[202]][_0xb337[1882]]= !0;mxHierarchicalLayout[_0xb337[202]][_0xb337[1844]]= !0;mxHierarchicalLayout[_0xb337[202]][_0xb337[1787]]= !0;mxHierarchicalLayout[_0xb337[202]][_0xb337[1914]]= !0;mxHierarchicalLayout[_0xb337[202]][_0xb337[251]]=null;mxHierarchicalLayout[_0xb337[202]][_0xb337[1915]]=null;mxHierarchicalLayout[_0xb337[202]][_0xb337[502]]=function(){return this[_0xb337[251]]};mxHierarchicalLayout[_0xb337[202]][_0xb337[350]]=function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[1101]]=_0xbfbfx2;var _0xbfbfx4=this[_0xb337[1179]][_0xb337[251]];this[_0xb337[1915]]={};if(!(null==_0xbfbfx3&&null==_0xbfbfx2)){if(null!=_0xbfbfx3&&null!=_0xbfbfx2){for(var _0xbfbfx5=[],_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx3[_0xb337[67]];_0xbfbfx9++){_0xbfbfx4[_0xb337[1720]](_0xbfbfx2,_0xbfbfx3[_0xbfbfx9])&&_0xbfbfx5[_0xb337[207]](_0xbfbfx3[_0xbfbfx9])};this[_0xb337[1845]]=_0xbfbfx5}else {this[_0xb337[1845]]=_0xbfbfx3};_0xbfbfx4[_0xb337[473]]();try{this[_0xb337[1916]](_0xbfbfx2),this[_0xb337[1735]]&&!this[_0xb337[1179]][_0xb337[1742]](_0xbfbfx2)&&this[_0xb337[1179]][_0xb337[1917]]([_0xbfbfx2],this[_0xb337[1912]],this[_0xb337[1911]])}finally{_0xbfbfx4[_0xb337[476]]()}}};mxHierarchicalLayout[_0xb337[202]][_0xb337[1918]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=[];if(null!=_0xbfbfx2&&null!=_0xbfbfx3){var _0xbfbfx5=this[_0xb337[1179]][_0xb337[251]],_0xbfbfx9=null,_0xbfbfxa=-1E5,_0xbfbfx12;for(_0xbfbfx12 in _0xbfbfx3){var _0xbfbfx13=_0xbfbfx3[_0xbfbfx12];if(_0xbfbfx5[_0xb337[1193]](_0xbfbfx13)&&this[_0xb337[1179]][_0xb337[1714]](_0xbfbfx13)){for(var _0xbfbfx14=this[_0xb337[264]](_0xbfbfx13),_0xbfbfx15=0,_0xbfbfx16=0,_0xbfbfx17=0;_0xbfbfx17<_0xbfbfx14[_0xb337[67]];_0xbfbfx17++){this[_0xb337[1710]](_0xbfbfx14[_0xbfbfx17],!0)==_0xbfbfx13?_0xbfbfx15++:_0xbfbfx16++};0==_0xbfbfx16&&0<_0xbfbfx15&&_0xbfbfx4[_0xb337[207]](_0xbfbfx13);_0xbfbfx14=_0xbfbfx15-_0xbfbfx16;_0xbfbfx14>_0xbfbfxa&&(_0xbfbfxa=_0xbfbfx14,_0xbfbfx9=_0xbfbfx13)}};0==_0xbfbfx4[_0xb337[67]]&&null!=_0xbfbfx9&&_0xbfbfx4[_0xb337[207]](_0xbfbfx9)};return _0xbfbfx4};mxHierarchicalLayout[_0xb337[202]][_0xb337[264]]=function(_0xbfbfx2){var _0xbfbfx3=mxCellPath[_0xb337[385]](_0xbfbfx2);if(null!=this[_0xb337[1915]][_0xbfbfx3]){return this[_0xb337[1915]][_0xbfbfx3]};for(var _0xbfbfx4=this[_0xb337[1179]][_0xb337[251]],_0xbfbfx5=[],_0xbfbfx9=this[_0xb337[1179]][_0xb337[1742]](_0xbfbfx2),_0xbfbfxa=_0xbfbfx4[_0xb337[262]](_0xbfbfx2),_0xbfbfx12=0;_0xbfbfx12<_0xbfbfxa;_0xbfbfx12++){var _0xbfbfx13=_0xbfbfx4[_0xb337[263]](_0xbfbfx2,_0xbfbfx12);if(this[_0xb337[1908]](_0xbfbfx13)){_0xbfbfx5=_0xbfbfx5[_0xb337[1919]](_0xbfbfx4[_0xb337[264]](_0xbfbfx13,!0,!0))}else {if(_0xbfbfx9||!this[_0xb337[1179]][_0xb337[1714]](_0xbfbfx13)){_0xbfbfx5=_0xbfbfx5[_0xb337[1919]](_0xbfbfx4[_0xb337[264]](_0xbfbfx13,!0,!0))}}};_0xbfbfx5=_0xbfbfx5[_0xb337[1919]](_0xbfbfx4[_0xb337[264]](_0xbfbfx2,!0,!0));_0xbfbfx4=[];for(_0xbfbfx12=0;_0xbfbfx12<_0xbfbfx5[_0xb337[67]];_0xbfbfx12++){_0xbfbfx9=this[_0xb337[1710]](_0xbfbfx5[_0xbfbfx12],!0),_0xbfbfxa=this[_0xb337[1710]](_0xbfbfx5[_0xbfbfx12],!1),(_0xbfbfx9==_0xbfbfxa||_0xbfbfx9!=_0xbfbfxa&&(_0xbfbfxa==_0xbfbfx2&&(null==this[_0xb337[1101]]||this[_0xb337[1179]][_0xb337[1920]](_0xbfbfx9,this[_0xb337[1101]],this[_0xb337[1914]]))||_0xbfbfx9==_0xbfbfx2&&(null==this[_0xb337[1101]]||this[_0xb337[1179]][_0xb337[1920]](_0xbfbfxa,this[_0xb337[1101]],this[_0xb337[1914]]))))&&_0xbfbfx4[_0xb337[207]](_0xbfbfx5[_0xbfbfx12])};return this[_0xb337[1915]][_0xbfbfx3]=_0xbfbfx4};mxHierarchicalLayout[_0xb337[202]][_0xb337[1710]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=this[_0xb337[1179]][_0xb337[441]][_0xb337[248]](_0xbfbfx2),_0xbfbfx4=null!=_0xbfbfx4?_0xbfbfx4[_0xb337[1710]](_0xbfbfx3):this[_0xb337[1179]][_0xb337[441]][_0xb337[1710]](_0xbfbfx2,_0xbfbfx3);this[_0xb337[1908]](_0xbfbfx4)&&(_0xbfbfx4=this[_0xb337[1179]][_0xb337[251]][_0xb337[1197]](_0xbfbfx4));return _0xbfbfx4};mxHierarchicalLayout[_0xb337[202]][_0xb337[1916]]=function(_0xbfbfx2){var _0xbfbfx3=[],_0xbfbfx4=[];if(null==this[_0xb337[1845]]&&null!=_0xbfbfx2){var _0xbfbfx5={};this[_0xb337[1921]](_0xbfbfx2,_0xbfbfx5);this[_0xb337[1845]]=[];var _0xbfbfx9=!0,_0xbfbfxa;for(_0xbfbfxa in _0xbfbfx5){if(null!=_0xbfbfx5[_0xbfbfxa]){_0xbfbfx9= !1;break}};for(;!_0xbfbfx9;){for(var _0xbfbfx12=this[_0xb337[1918]](_0xbfbfx2,_0xbfbfx5),_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx12[_0xb337[67]];_0xbfbfx9++){var _0xbfbfx13=[];_0xbfbfx3[_0xb337[207]](_0xbfbfx13);this[_0xb337[1706]](_0xbfbfx12[_0xbfbfx9],!0,null,_0xbfbfx4,_0xbfbfx13,_0xbfbfx3,_0xbfbfx5)};for(_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx12[_0xb337[67]];_0xbfbfx9++){this[_0xb337[1845]][_0xb337[207]](_0xbfbfx12[_0xbfbfx9])};_0xbfbfx9= !0;for(_0xbfbfxa in _0xbfbfx5){if(null!=_0xbfbfx5[_0xbfbfxa]){_0xbfbfx9= !1;break}}}}else {for(_0xbfbfx9=0;_0xbfbfx9mxUtils[_0xb337[2]](this[_0xb337[1842]],_0xbfbfx2))){null==this[_0xb337[1842]]&&(this[_0xb337[1842]]=[]),this[_0xb337[1842]][_0xb337[207]](_0xbfbfx2)};return _0xbfbfx2};mxCell[_0xb337[202]][_0xb337[1961]]=function(_0xbfbfx2,_0xbfbfx3){if(null!=_0xbfbfx2){if(_0xbfbfx2[_0xb337[1709]](!_0xbfbfx3)!=this&&null!=this[_0xb337[1842]]){var _0xbfbfx4=this[_0xb337[1998]](_0xbfbfx2);0<=_0xbfbfx4&&this[_0xb337[1842]][_0xb337[300]](_0xbfbfx4,1)};_0xbfbfx2[_0xb337[1957]](null,_0xbfbfx3)};return _0xbfbfx2};mxCell[_0xb337[202]][_0xb337[1999]]=function(_0xbfbfx2){var _0xbfbfx3=this[_0xb337[1709]](_0xbfbfx2);null!=_0xbfbfx3&&_0xbfbfx3[_0xb337[1961]](this,_0xbfbfx2)};mxCell[_0xb337[202]][_0xb337[284]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=this[_0xb337[433]]();return (null!=_0xbfbfx4&&_0xbfbfx4[_0xb337[288]]==mxConstants[_0xb337[289]]?_0xbfbfx4[_0xb337[284]](_0xbfbfx2):null)||_0xbfbfx3};mxCell[_0xb337[202]][_0xb337[57]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=this[_0xb337[433]]();null!=_0xbfbfx4&&_0xbfbfx4[_0xb337[288]]==mxConstants[_0xb337[289]]&&_0xbfbfx4[_0xb337[57]](_0xbfbfx2,_0xbfbfx3)};mxCell[_0xb337[202]][_0xb337[238]]=function(){var _0xbfbfx2=mxUtils[_0xb337[238]](this,this[_0xb337[1991]]);_0xbfbfx2[_0xb337[1966]](this[_0xb337[2000]]());return _0xbfbfx2};mxCell[_0xb337[202]][_0xb337[2000]]=function(){var _0xbfbfx2=this[_0xb337[433]]();null!=_0xbfbfx2&&(_0xb337[279]== typeof _0xbfbfx2[_0xb337[238]]?_0xbfbfx2=_0xbfbfx2[_0xb337[238]]():isNaN(_0xbfbfx2[_0xb337[288]])||(_0xbfbfx2=_0xbfbfx2[_0xb337[511]](!0)));return _0xbfbfx2};function mxGeometry(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){mxRectangle[_0xb337[239]](this,_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5)}mxGeometry[_0xb337[202]]= new mxRectangle;mxGeometry[_0xb337[202]][_0xb337[196]]=mxGeometry;mxGeometry[_0xb337[202]][_0xb337[2001]]= !0;mxGeometry[_0xb337[202]][_0xb337[2002]]=null;mxGeometry[_0xb337[202]][_0xb337[2003]]=null;mxGeometry[_0xb337[202]][_0xb337[2004]]=null;mxGeometry[_0xb337[202]][_0xb337[1525]]=null;mxGeometry[_0xb337[202]][_0xb337[1368]]=null;mxGeometry[_0xb337[202]][_0xb337[1500]]= !1;mxGeometry[_0xb337[202]][_0xb337[2005]]=function(){if(null!=this[_0xb337[2002]]){var _0xbfbfx2= new mxRectangle(this[_0xb337[235]],this[_0xb337[236]],this[_0xb337[117]],this[_0xb337[119]]);this[_0xb337[235]]=this[_0xb337[2002]][_0xb337[235]];this[_0xb337[236]]=this[_0xb337[2002]][_0xb337[236]];this[_0xb337[117]]=this[_0xb337[2002]][_0xb337[117]];this[_0xb337[119]]=this[_0xb337[2002]][_0xb337[119]];this[_0xb337[2002]]=_0xbfbfx2}};mxGeometry[_0xb337[202]][_0xb337[2006]]=function(_0xbfbfx2){return _0xbfbfx2?this[_0xb337[2003]]:this[_0xb337[2004]]};mxGeometry[_0xb337[202]][_0xb337[2007]]=function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx3?this[_0xb337[2003]]=_0xbfbfx2:this[_0xb337[2004]]=_0xbfbfx2;return _0xbfbfx2};mxGeometry[_0xb337[202]][_0xb337[513]]=function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[238]]();this[_0xb337[1500]]||(this[_0xb337[235]]+=_0xbfbfx2,this[_0xb337[236]]+=_0xbfbfx3);null!=this[_0xb337[2003]]&&(this[_0xb337[2003]][_0xb337[235]]+=_0xbfbfx2,this[_0xb337[2003]][_0xb337[236]]+=_0xbfbfx3);null!=this[_0xb337[2004]]&&(this[_0xb337[2004]][_0xb337[235]]+=_0xbfbfx2,this[_0xb337[2004]][_0xb337[236]]+=_0xbfbfx3);if(this[_0xb337[2001]]&&null!=this[_0xb337[1525]]){for(var _0xbfbfx4=this[_0xb337[1525]][_0xb337[67]],_0xbfbfx5=0;_0xbfbfx5<_0xbfbfx4;_0xbfbfx5++){var _0xbfbfx9=this[_0xb337[1525]][_0xbfbfx5];null!=_0xbfbfx9&&(_0xbfbfx9[_0xb337[235]]+=_0xbfbfx2,_0xbfbfx9[_0xb337[236]]+=_0xbfbfx3)}}};var mxCellPath={PATH_SEPARATOR:_0xb337[87],create:function(_0xbfbfx2){var _0xbfbfx3=_0xb337[110];if(null!=_0xbfbfx2){for(var _0xbfbfx4=_0xbfbfx2[_0xb337[1197]]();null!=_0xbfbfx4;){_0xbfbfx3=_0xbfbfx4[_0xb337[1738]](_0xbfbfx2)+mxCellPath[_0xb337[1950]]+_0xbfbfx3,_0xbfbfx2=_0xbfbfx4,_0xbfbfx4=_0xbfbfx2[_0xb337[1197]]()}};_0xbfbfx2=_0xbfbfx3[_0xb337[67]];1<_0xbfbfx2&&(_0xbfbfx3=_0xbfbfx3[_0xb337[85]](0,_0xbfbfx2-1));return _0xbfbfx3},getParentPath:function(_0xbfbfx2){if(null!=_0xbfbfx2){var _0xbfbfx3=_0xbfbfx2[_0xb337[1122]](mxCellPath.PATH_SEPARATOR);if(0<=_0xbfbfx3){return _0xbfbfx2[_0xb337[85]](0,_0xbfbfx3)};if(0<_0xbfbfx2[_0xb337[67]]){return _0xb337[110]}};return null},resolve:function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=_0xbfbfx2;if(null!=_0xbfbfx3){for(var _0xbfbfx5=_0xbfbfx3[_0xb337[224]](mxCellPath.PATH_SEPARATOR),_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx5[_0xb337[67]];_0xbfbfx9++){_0xbfbfx4=_0xbfbfx4[_0xb337[263]](parseInt(_0xbfbfx5[_0xbfbfx9]))}};return _0xbfbfx4},compare:function(_0xbfbfx2,_0xbfbfx3){for(var _0xbfbfx4=Math[_0xb337[243]](_0xbfbfx2[_0xb337[67]],_0xbfbfx3[_0xb337[67]]),_0xbfbfx5=0,_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx4;_0xbfbfx9++){if(_0xbfbfx2[_0xbfbfx9]!=_0xbfbfx3[_0xbfbfx9]){0==_0xbfbfx2[_0xbfbfx9][_0xb337[67]]||0==_0xbfbfx3[_0xbfbfx9][_0xb337[67]]?_0xbfbfx5=_0xbfbfx2[_0xbfbfx9]==_0xbfbfx3[_0xbfbfx9]?0:_0xbfbfx2[_0xbfbfx9]>_0xbfbfx3[_0xbfbfx9]?1:-1:(_0xbfbfx4=parseInt(_0xbfbfx2[_0xbfbfx9]),_0xbfbfx9=parseInt(_0xbfbfx3[_0xbfbfx9]),_0xbfbfx5=_0xbfbfx4==_0xbfbfx9?0:_0xbfbfx4>_0xbfbfx9?1:-1);break}};0==_0xbfbfx5&&(_0xbfbfx4=_0xbfbfx2[_0xb337[67]],_0xbfbfx9=_0xbfbfx3[_0xb337[67]],_0xbfbfx4!=_0xbfbfx9&&(_0xbfbfx5=_0xbfbfx4>_0xbfbfx9?1:-1));return _0xbfbfx5}},mxPerimeter={RectanglePerimeter:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){_0xbfbfx3=_0xbfbfx2[_0xb337[241]]();var _0xbfbfx9=_0xbfbfx2[_0xb337[242]](),_0xbfbfxa=Math[_0xb337[429]](_0xbfbfx4[_0xb337[236]]-_0xbfbfx9,_0xbfbfx4[_0xb337[235]]-_0xbfbfx3),_0xbfbfx12= new mxPoint(0,0),_0xbfbfx13=Math[_0xb337[424]],_0xbfbfx14=Math[_0xb337[424]]/2-_0xbfbfxa,_0xbfbfx15=Math[_0xb337[429]](_0xbfbfx2[_0xb337[119]],_0xbfbfx2[_0xb337[117]]);_0xbfbfxa<-_0xbfbfx13+_0xbfbfx15||_0xbfbfxa>_0xbfbfx13-_0xbfbfx15?(_0xbfbfx12[_0xb337[235]]=_0xbfbfx2[_0xb337[235]],_0xbfbfx12[_0xb337[236]]=_0xbfbfx9-_0xbfbfx2[_0xb337[117]]*Math[_0xb337[2008]](_0xbfbfxa)/2):_0xbfbfxa<-_0xbfbfx15?(_0xbfbfx12[_0xb337[236]]=_0xbfbfx2[_0xb337[236]],_0xbfbfx12[_0xb337[235]]=_0xbfbfx3-_0xbfbfx2[_0xb337[119]]*Math[_0xb337[2008]](_0xbfbfx14)/2):_0xbfbfxa<_0xbfbfx15?(_0xbfbfx12[_0xb337[235]]=_0xbfbfx2[_0xb337[235]]+_0xbfbfx2[_0xb337[117]],_0xbfbfx12[_0xb337[236]]=_0xbfbfx9+_0xbfbfx2[_0xb337[117]]*Math[_0xb337[2008]](_0xbfbfxa)/2):(_0xbfbfx12[_0xb337[236]]=_0xbfbfx2[_0xb337[236]]+_0xbfbfx2[_0xb337[119]],_0xbfbfx12[_0xb337[235]]=_0xbfbfx3+_0xbfbfx2[_0xb337[119]]*Math[_0xb337[2008]](_0xbfbfx14)/2);_0xbfbfx5&&(_0xbfbfx4[_0xb337[235]]>=_0xbfbfx2[_0xb337[235]]&&_0xbfbfx4[_0xb337[235]]<=_0xbfbfx2[_0xb337[235]]+_0xbfbfx2[_0xb337[117]]?_0xbfbfx12[_0xb337[235]]=_0xbfbfx4[_0xb337[235]]:_0xbfbfx4[_0xb337[236]]>=_0xbfbfx2[_0xb337[236]]&&_0xbfbfx4[_0xb337[236]]<=_0xbfbfx2[_0xb337[236]]+_0xbfbfx2[_0xb337[119]]&&(_0xbfbfx12[_0xb337[236]]=_0xbfbfx4[_0xb337[236]]),_0xbfbfx4[_0xb337[235]]<_0xbfbfx2[_0xb337[235]]?_0xbfbfx12[_0xb337[235]]=_0xbfbfx2[_0xb337[235]]:_0xbfbfx4[_0xb337[235]]>_0xbfbfx2[_0xb337[235]]+_0xbfbfx2[_0xb337[117]]&&(_0xbfbfx12[_0xb337[235]]=_0xbfbfx2[_0xb337[235]]+_0xbfbfx2[_0xb337[117]]),_0xbfbfx4[_0xb337[236]]<_0xbfbfx2[_0xb337[236]]?_0xbfbfx12[_0xb337[236]]=_0xbfbfx2[_0xb337[236]]:_0xbfbfx4[_0xb337[236]]>_0xbfbfx2[_0xb337[236]]+_0xbfbfx2[_0xb337[119]]&&(_0xbfbfx12[_0xb337[236]]=_0xbfbfx2[_0xb337[236]]+_0xbfbfx2[_0xb337[119]]));return _0xbfbfx12},EllipsePerimeter:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){var _0xbfbfx9=_0xbfbfx2[_0xb337[235]],_0xbfbfxa=_0xbfbfx2[_0xb337[236]],_0xbfbfx12=_0xbfbfx2[_0xb337[117]]/2,_0xbfbfx13=_0xbfbfx2[_0xb337[119]]/2,_0xbfbfx14=_0xbfbfx9+_0xbfbfx12,_0xbfbfx15=_0xbfbfxa+_0xbfbfx13;_0xbfbfx3=_0xbfbfx4[_0xb337[235]];_0xbfbfx4=_0xbfbfx4[_0xb337[236]];var _0xbfbfx16=parseInt(_0xbfbfx3-_0xbfbfx14),_0xbfbfx17=parseInt(_0xbfbfx4-_0xbfbfx15);if(0==_0xbfbfx16&&0!=_0xbfbfx17){return new mxPoint(_0xbfbfx14,_0xbfbfx15+_0xbfbfx13*_0xbfbfx17/Math[_0xb337[425]](_0xbfbfx17))};if(0==_0xbfbfx16&&0==_0xbfbfx17){return new mxPoint(_0xbfbfx3,_0xbfbfx4)};if(_0xbfbfx5){if(_0xbfbfx4>=_0xbfbfxa&&_0xbfbfx4<=_0xbfbfxa+_0xbfbfx2[_0xb337[119]]){return _0xbfbfx2=_0xbfbfx4-_0xbfbfx15,_0xbfbfx2=Math[_0xb337[428]](_0xbfbfx12*_0xbfbfx12*(1-_0xbfbfx2*_0xbfbfx2/(_0xbfbfx13*_0xbfbfx13)))||0,_0xbfbfx3<=_0xbfbfx9&&(_0xbfbfx2= -_0xbfbfx2), new mxPoint(_0xbfbfx14+_0xbfbfx2,_0xbfbfx4)};if(_0xbfbfx3>=_0xbfbfx9&&_0xbfbfx3<=_0xbfbfx9+_0xbfbfx2[_0xb337[117]]){return _0xbfbfx2=_0xbfbfx3-_0xbfbfx14,_0xbfbfx2=Math[_0xb337[428]](_0xbfbfx13*_0xbfbfx13*(1-_0xbfbfx2*_0xbfbfx2/(_0xbfbfx12*_0xbfbfx12)))||0,_0xbfbfx4<=_0xbfbfxa&&(_0xbfbfx2= -_0xbfbfx2), new mxPoint(_0xbfbfx3,_0xbfbfx15+_0xbfbfx2)}};_0xbfbfx9=_0xbfbfx17/_0xbfbfx16;_0xbfbfx15-=_0xbfbfx9*_0xbfbfx14;_0xbfbfxa=_0xbfbfx12*_0xbfbfx12*_0xbfbfx9*_0xbfbfx9+_0xbfbfx13*_0xbfbfx13;_0xbfbfx2=-2*_0xbfbfx14*_0xbfbfxa;_0xbfbfx13=Math[_0xb337[428]](_0xbfbfx2*_0xbfbfx2-4*_0xbfbfxa*(_0xbfbfx12*_0xbfbfx12*_0xbfbfx9*_0xbfbfx9*_0xbfbfx14*_0xbfbfx14+_0xbfbfx13*_0xbfbfx13*_0xbfbfx14*_0xbfbfx14-_0xbfbfx12*_0xbfbfx12*_0xbfbfx13*_0xbfbfx13));_0xbfbfx12=(-_0xbfbfx2+_0xbfbfx13)/(2*_0xbfbfxa);_0xbfbfx13=(-_0xbfbfx2-_0xbfbfx13)/(2*_0xbfbfxa);_0xbfbfx14=_0xbfbfx9*_0xbfbfx12+_0xbfbfx15;_0xbfbfx15=_0xbfbfx9*_0xbfbfx13+_0xbfbfx15;_0xbfbfx9=Math[_0xb337[428]](Math[_0xb337[2009]](_0xbfbfx12-_0xbfbfx3,2)+Math[_0xb337[2009]](_0xbfbfx14-_0xbfbfx4,2));_0xbfbfx3=Math[_0xb337[428]](Math[_0xb337[2009]](_0xbfbfx13-_0xbfbfx3,2)+Math[_0xb337[2009]](_0xbfbfx15-_0xbfbfx4,2));_0xbfbfxa=_0xbfbfx4=0;_0xbfbfx9<_0xbfbfx3?(_0xbfbfx4=_0xbfbfx12,_0xbfbfxa=_0xbfbfx14):(_0xbfbfx4=_0xbfbfx13,_0xbfbfxa=_0xbfbfx15);return new mxPoint(_0xbfbfx4,_0xbfbfxa)},RhombusPerimeter:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){_0xbfbfx3=_0xbfbfx2[_0xb337[235]];var _0xbfbfx9=_0xbfbfx2[_0xb337[236]],_0xbfbfxa=_0xbfbfx2[_0xb337[117]];_0xbfbfx2=_0xbfbfx2[_0xb337[119]];var _0xbfbfx12=_0xbfbfx3+_0xbfbfxa/2,_0xbfbfx13=_0xbfbfx9+_0xbfbfx2/2,_0xbfbfx14=_0xbfbfx4[_0xb337[235]];_0xbfbfx4=_0xbfbfx4[_0xb337[236]];if(_0xbfbfx12==_0xbfbfx14){return _0xbfbfx13>_0xbfbfx4? new mxPoint(_0xbfbfx12,_0xbfbfx9): new mxPoint(_0xbfbfx12,_0xbfbfx9+_0xbfbfx2)};if(_0xbfbfx13==_0xbfbfx4){return _0xbfbfx12>_0xbfbfx14? new mxPoint(_0xbfbfx3,_0xbfbfx13): new mxPoint(_0xbfbfx3+_0xbfbfxa,_0xbfbfx13)};var _0xbfbfx15=_0xbfbfx12,_0xbfbfx16=_0xbfbfx13;_0xbfbfx5&&(_0xbfbfx14>=_0xbfbfx3&&_0xbfbfx14<=_0xbfbfx3+_0xbfbfxa?_0xbfbfx15=_0xbfbfx14:_0xbfbfx4>=_0xbfbfx9&&_0xbfbfx4<=_0xbfbfx9+_0xbfbfx2&&(_0xbfbfx16=_0xbfbfx4));return _0xbfbfx14<_0xbfbfx12?_0xbfbfx4<_0xbfbfx13?mxUtils[_0xb337[2010]](_0xbfbfx14,_0xbfbfx4,_0xbfbfx15,_0xbfbfx16,_0xbfbfx12,_0xbfbfx9,_0xbfbfx3,_0xbfbfx13):mxUtils[_0xb337[2010]](_0xbfbfx14,_0xbfbfx4,_0xbfbfx15,_0xbfbfx16,_0xbfbfx12,_0xbfbfx9+_0xbfbfx2,_0xbfbfx3,_0xbfbfx13):_0xbfbfx4<_0xbfbfx13?mxUtils[_0xb337[2010]](_0xbfbfx14,_0xbfbfx4,_0xbfbfx15,_0xbfbfx16,_0xbfbfx12,_0xbfbfx9,_0xbfbfx3+_0xbfbfxa,_0xbfbfx13):mxUtils[_0xb337[2010]](_0xbfbfx14,_0xbfbfx4,_0xbfbfx15,_0xbfbfx16,_0xbfbfx12,_0xbfbfx9+_0xbfbfx2,_0xbfbfx3+_0xbfbfxa,_0xbfbfx13)},TrianglePerimeter:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){_0xbfbfx3=null!=_0xbfbfx3?_0xbfbfx3[_0xb337[124]][mxConstants[_0xb337[2011]]]:null;var _0xbfbfx9=_0xbfbfx3==mxConstants[_0xb337[1358]]||_0xbfbfx3==mxConstants[_0xb337[1354]],_0xbfbfxa=_0xbfbfx2[_0xb337[235]],_0xbfbfx12=_0xbfbfx2[_0xb337[236]],_0xbfbfx13=_0xbfbfx2[_0xb337[117]];_0xbfbfx2=_0xbfbfx2[_0xb337[119]];var _0xbfbfx14=_0xbfbfxa+_0xbfbfx13/2,_0xbfbfx15=_0xbfbfx12+_0xbfbfx2/2,_0xbfbfx16= new mxPoint(_0xbfbfxa,_0xbfbfx12),_0xbfbfx17= new mxPoint(_0xbfbfxa+_0xbfbfx13,_0xbfbfx15),_0xbfbfx18= new mxPoint(_0xbfbfxa,_0xbfbfx12+_0xbfbfx2);_0xbfbfx3==mxConstants[_0xb337[1358]]?(_0xbfbfx16=_0xbfbfx18,_0xbfbfx17= new mxPoint(_0xbfbfx14,_0xbfbfx12),_0xbfbfx18= new mxPoint(_0xbfbfxa+_0xbfbfx13,_0xbfbfx12+_0xbfbfx2)):_0xbfbfx3==mxConstants[_0xb337[1354]]?(_0xbfbfx17= new mxPoint(_0xbfbfx14,_0xbfbfx12+_0xbfbfx2),_0xbfbfx18= new mxPoint(_0xbfbfxa+_0xbfbfx13,_0xbfbfx12)):_0xbfbfx3==mxConstants[_0xb337[1359]]&&(_0xbfbfx16= new mxPoint(_0xbfbfxa+_0xbfbfx13,_0xbfbfx12),_0xbfbfx17= new mxPoint(_0xbfbfxa,_0xbfbfx15),_0xbfbfx18= new mxPoint(_0xbfbfxa+_0xbfbfx13,_0xbfbfx12+_0xbfbfx2));var _0xbfbfx19=_0xbfbfx4[_0xb337[235]]-_0xbfbfx14,_0xbfbfx1a=_0xbfbfx4[_0xb337[236]]-_0xbfbfx15,_0xbfbfx19=_0xbfbfx9?Math[_0xb337[429]](_0xbfbfx19,_0xbfbfx1a):Math[_0xb337[429]](_0xbfbfx1a,_0xbfbfx19),_0xbfbfx1b=_0xbfbfx9?Math[_0xb337[429]](_0xbfbfx13,_0xbfbfx2):Math[_0xb337[429]](_0xbfbfx2,_0xbfbfx13),_0xbfbfx1a=!1,_0xbfbfx1a=_0xbfbfx3==mxConstants[_0xb337[1358]]||_0xbfbfx3==mxConstants[_0xb337[1359]]?_0xbfbfx19>-_0xbfbfx1b&&_0xbfbfx19<_0xbfbfx1b:_0xbfbfx19<-Math[_0xb337[424]]+_0xbfbfx1b||_0xbfbfx19>Math[_0xb337[424]]-_0xbfbfx1b,_0xbfbfx1b=null;_0xbfbfx1a?_0xbfbfx1b=_0xbfbfx5&&(_0xbfbfx9&&_0xbfbfx4[_0xb337[235]]>=_0xbfbfx16[_0xb337[235]]&&_0xbfbfx4[_0xb337[235]]<=_0xbfbfx18[_0xb337[235]]||!_0xbfbfx9&&_0xbfbfx4[_0xb337[236]]>=_0xbfbfx16[_0xb337[236]]&&_0xbfbfx4[_0xb337[236]]<=_0xbfbfx18[_0xb337[236]])?_0xbfbfx9? new mxPoint(_0xbfbfx4[_0xb337[235]],_0xbfbfx16[_0xb337[236]]): new mxPoint(_0xbfbfx16[_0xb337[235]],_0xbfbfx4[_0xb337[236]]):_0xbfbfx3==mxConstants[_0xb337[1358]]? new mxPoint(_0xbfbfxa+_0xbfbfx13/2+_0xbfbfx2*Math[_0xb337[2008]](_0xbfbfx19)/2,_0xbfbfx12+_0xbfbfx2):_0xbfbfx3==mxConstants[_0xb337[1354]]? new mxPoint(_0xbfbfxa+_0xbfbfx13/2-_0xbfbfx2*Math[_0xb337[2008]](_0xbfbfx19)/2,_0xbfbfx12):_0xbfbfx3==mxConstants[_0xb337[1359]]? new mxPoint(_0xbfbfxa+_0xbfbfx13,_0xbfbfx12+_0xbfbfx2/2+_0xbfbfx13*Math[_0xb337[2008]](_0xbfbfx19)/2): new mxPoint(_0xbfbfxa,_0xbfbfx12+_0xbfbfx2/2-_0xbfbfx13*Math[_0xb337[2008]](_0xbfbfx19)/2):(_0xbfbfx5&&(_0xbfbfx5= new mxPoint(_0xbfbfx14,_0xbfbfx15),_0xbfbfx4[_0xb337[236]]>=_0xbfbfx12&&_0xbfbfx4[_0xb337[236]]<=_0xbfbfx12+_0xbfbfx2?(_0xbfbfx5[_0xb337[235]]=_0xbfbfx9?_0xbfbfx14:_0xbfbfx3==mxConstants[_0xb337[1359]]?_0xbfbfxa+_0xbfbfx13:_0xbfbfxa,_0xbfbfx5[_0xb337[236]]=_0xbfbfx4[_0xb337[236]]):_0xbfbfx4[_0xb337[235]]>=_0xbfbfxa&&_0xbfbfx4[_0xb337[235]]<=_0xbfbfxa+_0xbfbfx13&&(_0xbfbfx5[_0xb337[235]]=_0xbfbfx4[_0xb337[235]],_0xbfbfx5[_0xb337[236]]=!_0xbfbfx9?_0xbfbfx15:_0xbfbfx3==mxConstants[_0xb337[1358]]?_0xbfbfx12+_0xbfbfx2:_0xbfbfx12),_0xbfbfx14=_0xbfbfx5[_0xb337[235]],_0xbfbfx15=_0xbfbfx5[_0xb337[236]]),_0xbfbfx1b=_0xbfbfx9&&_0xbfbfx4[_0xb337[235]]<=_0xbfbfxa+_0xbfbfx13/2||!_0xbfbfx9&&_0xbfbfx4[_0xb337[236]]<=_0xbfbfx12+_0xbfbfx2/2?mxUtils[_0xb337[2010]](_0xbfbfx4[_0xb337[235]],_0xbfbfx4[_0xb337[236]],_0xbfbfx14,_0xbfbfx15,_0xbfbfx16[_0xb337[235]],_0xbfbfx16[_0xb337[236]],_0xbfbfx17[_0xb337[235]],_0xbfbfx17[_0xb337[236]]):mxUtils[_0xb337[2010]](_0xbfbfx4[_0xb337[235]],_0xbfbfx4[_0xb337[236]],_0xbfbfx14,_0xbfbfx15,_0xbfbfx17[_0xb337[235]],_0xbfbfx17[_0xb337[236]],_0xbfbfx18[_0xb337[235]],_0xbfbfx18[_0xb337[236]]));null==_0xbfbfx1b&&(_0xbfbfx1b= new mxPoint(_0xbfbfx14,_0xbfbfx15));return _0xbfbfx1b}};function mxPrintPreview(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12,_0xbfbfx13,_0xbfbfx14){this[_0xb337[1179]]=_0xbfbfx2;this[_0xb337[255]]=null!=_0xbfbfx3?_0xbfbfx3:1/_0xbfbfx2[_0xb337[2012]];this[_0xb337[467]]=null!=_0xbfbfx5?_0xbfbfx5:0;this[_0xb337[2013]]=null!=_0xbfbfx4?_0xbfbfx4:_0xbfbfx2[_0xb337[2013]];this[_0xb337[924]]=null!=_0xbfbfx13?_0xbfbfx13:_0xb337[2014];this[_0xb337[1732]]=null!=_0xbfbfx9?_0xbfbfx9:0;this[_0xb337[1733]]=null!=_0xbfbfxa?_0xbfbfxa:0;this[_0xb337[1630]]=_0xbfbfx12;this[_0xb337[2015]]=null!=_0xbfbfx14?_0xbfbfx14:!0}mxPrintPreview[_0xb337[202]][_0xb337[1179]]=null;mxPrintPreview[_0xb337[202]][_0xb337[2013]]=null;mxPrintPreview[_0xb337[202]][_0xb337[255]]=null;mxPrintPreview[_0xb337[202]][_0xb337[467]]=0;mxPrintPreview[_0xb337[202]][_0xb337[1732]]=0;mxPrintPreview[_0xb337[202]][_0xb337[1733]]=0;mxPrintPreview[_0xb337[202]][_0xb337[2016]]= !0;mxPrintPreview[_0xb337[202]][_0xb337[2017]]= !1;mxPrintPreview[_0xb337[202]][_0xb337[1630]]=null;mxPrintPreview[_0xb337[202]][_0xb337[924]]=null;mxPrintPreview[_0xb337[202]][_0xb337[2015]]=null;mxPrintPreview[_0xb337[202]][_0xb337[2018]]=null;mxPrintPreview[_0xb337[202]][_0xb337[2019]]=0;mxPrintPreview[_0xb337[202]][_0xb337[2020]]=function(){return this[_0xb337[2018]]};mxPrintPreview[_0xb337[202]][_0xb337[2021]]=function(){var _0xbfbfx2=_0xb337[110];8==document[_0xb337[5]]&&(_0xbfbfx2=_0xb337[2022]);return _0xbfbfx2};mxPrintPreview[_0xb337[202]][_0xb337[392]]=function(_0xbfbfx2){var _0xbfbfx3=this[_0xb337[1179]][_0xb337[259]][_0xb337[2023]],_0xbfbfx4=null;try{this[_0xb337[2017]]&&(this[_0xb337[1179]][_0xb337[259]][_0xb337[2023]]=function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx3[_0xb337[176]](_0xbfbfx2[_0xb337[441]][_0xb337[2024]]())});if(null==this[_0xb337[2018]]){this[_0xb337[2018]]=window[_0xb337[392]]();var _0xbfbfx5=this[_0xb337[2018]][_0xb337[395]],_0xbfbfx9=this[_0xb337[2021]]();null!=_0xbfbfx9&&0<_0xbfbfx9[_0xb337[67]]&&_0xbfbfx5[_0xb337[171]](_0xbfbfx9);_0xbfbfx5[_0xb337[171]](_0xb337[528]);_0xbfbfx5[_0xb337[171]](_0xb337[531]);this[_0xb337[2025]](_0xbfbfx5,_0xbfbfx2);_0xbfbfx5[_0xb337[171]](_0xb337[533]);_0xbfbfx5[_0xb337[171]](_0xb337[2026]);mxClient[_0xb337[54]](_0xb337[94],mxClient[_0xb337[86]]+_0xb337[95],_0xbfbfx5);mxClient[_0xb337[47]]&&(_0xbfbfx5[_0xb337[100]][_0xb337[99]](_0xb337[6],_0xb337[97]),_0xbfbfx5[_0xb337[100]][_0xb337[99]](_0xb337[7],_0xb337[101]),_0xbfbfx5[_0xb337[102]]()[_0xb337[103]]=_0xb337[104],mxClient[_0xb337[54]](_0xb337[94],mxClient[_0xb337[86]]+_0xb337[105],_0xbfbfx5));var _0xbfbfxa=this[_0xb337[1179]][_0xb337[517]]()[_0xb337[238]](),_0xbfbfx12=this[_0xb337[1179]][_0xb337[249]]()[_0xb337[518]](),_0xbfbfx13=_0xbfbfx12/this[_0xb337[255]],_0xbfbfx14=this[_0xb337[1179]][_0xb337[249]]()[_0xb337[512]]();this[_0xb337[2016]]||(this[_0xb337[1732]]=-_0xbfbfx14[_0xb337[235]]*this[_0xb337[255]],this[_0xb337[1733]]=-_0xbfbfx14[_0xb337[236]]*this[_0xb337[255]],_0xbfbfxa[_0xb337[117]]+=_0xbfbfxa[_0xb337[235]],_0xbfbfxa[_0xb337[119]]+=_0xbfbfxa[_0xb337[236]],_0xbfbfxa[_0xb337[235]]=0,this[_0xb337[467]]=_0xbfbfxa[_0xb337[236]]=0);_0xbfbfxa[_0xb337[117]]/=_0xbfbfx13;_0xbfbfxa[_0xb337[119]]/=_0xbfbfx13;var _0xbfbfx15=this[_0xb337[2013]][_0xb337[117]]-2*this[_0xb337[467]],_0xbfbfx16=this[_0xb337[2013]][_0xb337[119]]-2*this[_0xb337[467]],_0xbfbfx17=Math[_0xb337[160]](1,Math[_0xb337[430]]((_0xbfbfxa[_0xb337[117]]+this[_0xb337[1732]])/_0xbfbfx15)),_0xbfbfx18=Math[_0xb337[160]](1,Math[_0xb337[430]]((_0xbfbfxa[_0xb337[119]]+this[_0xb337[1733]])/_0xbfbfx16));this[_0xb337[2019]]=_0xbfbfx17*_0xbfbfx18;var _0xbfbfx19=mxUtils[_0xb337[885]](this,function(){if(this[_0xb337[2015]]&&(1<_0xbfbfx18||1<_0xbfbfx17)){var _0xbfbfx2=this[_0xb337[2027]](_0xbfbfx18,_0xbfbfx17);_0xbfbfx5[_0xb337[112]][_0xb337[62]](_0xbfbfx2);if(mxClient[_0xb337[80]]){_0xbfbfx2[_0xb337[124]][_0xb337[491]]=_0xb337[492];var _0xbfbfx3=function(){_0xbfbfx2[_0xb337[124]][_0xb337[125]]=_0xbfbfx5[_0xb337[112]][_0xb337[190]]+10+_0xb337[168]};mxEvent[_0xb337[169]](this[_0xb337[2018]],_0xb337[276],function(_0xbfbfx2){_0xbfbfx3()});mxEvent[_0xb337[169]](this[_0xb337[2018]],_0xb337[129],function(_0xbfbfx2){_0xbfbfx3()})}}});_0xbfbfx2=function(_0xbfbfx2,_0xbfbfx3){null!=this[_0xb337[1630]]&&(_0xbfbfx2[_0xb337[124]][_0xb337[1630]]=this[_0xb337[1630]],_0xbfbfx2[_0xb337[124]][_0xb337[465]]=_0xb337[1631],_0xbfbfx2[_0xb337[124]][_0xb337[1629]]=_0xb337[942]);_0xbfbfx2[_0xb337[124]][_0xb337[1537]]=_0xb337[1391];_0xbfbfx3&&(_0xbfbfx2[_0xb337[124]][_0xb337[2028]]=_0xb337[2029]);mxClient[_0xb337[80]]?(_0xbfbfx5[_0xb337[171]](_0xbfbfx2[_0xb337[342]]),_0xbfbfx2[_0xb337[265]][_0xb337[266]](_0xbfbfx2)):(_0xbfbfx2[_0xb337[265]][_0xb337[266]](_0xbfbfx2),_0xbfbfx5[_0xb337[112]][_0xb337[62]](_0xbfbfx2));if(_0xbfbfx3){var _0xbfbfx4=_0xbfbfx5[_0xb337[55]](_0xb337[1054]);_0xbfbfx4[_0xb337[926]]=_0xb337[2030];_0xbfbfx5[_0xb337[112]][_0xb337[62]](_0xbfbfx4)}};var _0xbfbfx1a=this[_0xb337[2031]](this[_0xb337[2013]][_0xb337[117]],this[_0xb337[2013]][_0xb337[119]]);if(null!=_0xbfbfx1a){for(var _0xbfbfx1b=0;_0xbfbfx1b<_0xbfbfx1a[_0xb337[67]];_0xbfbfx1b++){_0xbfbfx2(_0xbfbfx1a[_0xbfbfx1b],!0)}};for(var _0xbfbfx1c=this[_0xb337[2032]](this[_0xb337[2013]][_0xb337[117]],this[_0xb337[2013]][_0xb337[119]]),_0xbfbfx1b=0;_0xbfbfx1b<_0xbfbfx18;_0xbfbfx1b++){for(var _0xbfbfx1d=_0xbfbfx1b*_0xbfbfx16/this[_0xb337[255]]-this[_0xb337[1733]]/this[_0xb337[255]]+(_0xbfbfxa[_0xb337[236]]-_0xbfbfx14[_0xb337[236]]*_0xbfbfx12)/_0xbfbfx12,_0xbfbfx1a=0;_0xbfbfx1a<_0xbfbfx17;_0xbfbfx1a++){if(null==this[_0xb337[2018]]){return null};var _0xbfbfx1e=_0xbfbfx1a*_0xbfbfx15/this[_0xb337[255]]-this[_0xb337[1732]]/this[_0xb337[255]]+(_0xbfbfxa[_0xb337[235]]-_0xbfbfx14[_0xb337[235]]*_0xbfbfx12)/_0xbfbfx12,_0xbfbfx1f=_0xbfbfx1b*_0xbfbfx17+_0xbfbfx1a+1,_0xbfbfx4=this[_0xb337[2034]](this[_0xb337[2013]][_0xb337[117]],this[_0xb337[2013]][_0xb337[119]],mxUtils[_0xb337[885]](this,function(_0xbfbfx2){this[_0xb337[2033]](-_0xbfbfx1e,-_0xbfbfx1d,this[_0xb337[255]],_0xbfbfx1f,_0xbfbfx2)}));_0xbfbfx4[_0xb337[57]](_0xb337[1363],_0xb337[2035]+_0xbfbfx1f);_0xbfbfx2(_0xbfbfx4,null!=_0xbfbfx1c||_0xbfbfx1b<_0xbfbfx18-1||_0xbfbfx1a<_0xbfbfx17-1)}};if(null!=_0xbfbfx1c){for(_0xbfbfx1b=0;_0xbfbfx1b<_0xbfbfx1c[_0xb337[67]];_0xbfbfx1b++){_0xbfbfx2(_0xbfbfx1c[_0xbfbfx1b],_0xbfbfx1b<_0xbfbfx1c[_0xb337[67]])}};_0xbfbfx5[_0xb337[171]](_0xb337[527]);_0xbfbfx5[_0xb337[171]](_0xb337[534]);_0xbfbfx5[_0xb337[268]]();_0xbfbfx19();mxEvent[_0xb337[762]](_0xbfbfx5[_0xb337[112]])};this[_0xb337[2018]][_0xb337[393]]()}catch(y){null!=_0xbfbfx4&&null!=_0xbfbfx4[_0xb337[265]]&&_0xbfbfx4[_0xb337[265]][_0xb337[266]](_0xbfbfx4)}finally{this[_0xb337[1179]][_0xb337[259]][_0xb337[2023]]=_0xbfbfx3};return this[_0xb337[2018]]};mxPrintPreview[_0xb337[202]][_0xb337[2025]]=function(_0xbfbfx2,_0xbfbfx3){null!=this[_0xb337[924]]&&_0xbfbfx2[_0xb337[171]](_0xb337[2036]+this[_0xb337[924]]+_0xb337[2037]);_0xbfbfx2[_0xb337[171]](_0xb337[2038]);_0xbfbfx2[_0xb337[171]](_0xb337[2039]);_0xbfbfx2[_0xb337[171]](_0xb337[2040]);_0xbfbfx2[_0xb337[171]](_0xb337[2041]);_0xbfbfx2[_0xb337[171]](_0xb337[233]);_0xbfbfx2[_0xb337[171]](_0xb337[2042]);_0xbfbfx2[_0xb337[171]](_0xb337[2043]);_0xbfbfx2[_0xb337[171]](_0xb337[2044]);_0xbfbfx2[_0xb337[171]](_0xb337[2045]);_0xbfbfx2[_0xb337[171]](_0xb337[233]);null!=_0xbfbfx3&&_0xbfbfx2[_0xb337[171]](_0xbfbfx3);_0xbfbfx2[_0xb337[171]](_0xb337[2046])};mxPrintPreview[_0xb337[202]][_0xb337[2027]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=this[_0xb337[2018]][_0xb337[395]],_0xbfbfx5=_0xbfbfx4[_0xb337[55]](_0xb337[116]);_0xbfbfx5[_0xb337[926]]=_0xb337[2047];_0xbfbfx5[_0xb337[57]](_0xb337[467],_0xb337[468]);for(var _0xbfbfx9=_0xbfbfx4[_0xb337[55]](_0xb337[120]),_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx2;_0xbfbfxa++){for(var _0xbfbfx12=_0xbfbfx4[_0xb337[55]](_0xb337[121]),_0xbfbfx13=0;_0xbfbfx13<_0xbfbfx3;_0xbfbfx13++){var _0xbfbfx14=_0xbfbfxa*_0xbfbfx3+_0xbfbfx13+1,_0xbfbfx15=_0xbfbfx4[_0xb337[55]](_0xb337[122]);if(!mxClient[_0xb337[133]]||mxClient[_0xb337[75]]||mxClient[_0xb337[76]]){var _0xbfbfx16=_0xbfbfx4[_0xb337[55]](_0xb337[2048]);_0xbfbfx16[_0xb337[57]](_0xb337[44],_0xb337[2049]+_0xbfbfx14);mxUtils[_0xb337[53]](_0xbfbfx16,_0xbfbfx14,_0xbfbfx4);_0xbfbfx15[_0xb337[62]](_0xbfbfx16)}else {mxUtils[_0xb337[53]](_0xbfbfx15,_0xbfbfx14,_0xbfbfx4)};_0xbfbfx12[_0xb337[62]](_0xbfbfx15)};_0xbfbfx9[_0xb337[62]](_0xbfbfx12)};_0xbfbfx5[_0xb337[62]](_0xbfbfx9);return _0xbfbfx5};mxPrintPreview[_0xb337[202]][_0xb337[2034]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=document[_0xb337[55]](_0xb337[485]);try{_0xbfbfx5[_0xb337[124]][_0xb337[117]]=_0xbfbfx2+_0xb337[168];_0xbfbfx5[_0xb337[124]][_0xb337[119]]=_0xbfbfx3+_0xb337[168];_0xbfbfx5[_0xb337[124]][_0xb337[277]]=_0xb337[188];_0xbfbfx5[_0xb337[124]][_0xb337[2050]]=_0xb337[1824];_0xbfbfx5[_0xb337[124]][_0xb337[491]]=_0xb337[1500];var _0xbfbfx9=document[_0xb337[55]](_0xb337[485]);_0xbfbfx9[_0xb337[124]][_0xb337[125]]=this[_0xb337[467]]+_0xb337[168];_0xbfbfx9[_0xb337[124]][_0xb337[361]]=this[_0xb337[467]]+_0xb337[168];_0xbfbfx9[_0xb337[124]][_0xb337[117]]=_0xbfbfx2-2*this[_0xb337[467]]+_0xb337[168];_0xbfbfx9[_0xb337[124]][_0xb337[119]]=_0xbfbfx3-2*this[_0xb337[467]]+_0xb337[168];_0xbfbfx9[_0xb337[124]][_0xb337[277]]=_0xb337[188];this[_0xb337[1179]][_0xb337[507]]==mxConstants[_0xb337[1523]]&&(_0xbfbfx9[_0xb337[124]][_0xb337[491]]=_0xb337[492]);_0xbfbfx5[_0xb337[62]](_0xbfbfx9);document[_0xb337[112]][_0xb337[62]](_0xbfbfx5);_0xbfbfx4(_0xbfbfx9)}catch(f){throw _0xbfbfx5[_0xb337[265]][_0xb337[266]](_0xbfbfx5),f};return _0xbfbfx5};mxPrintPreview[_0xb337[202]][_0xb337[2033]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xbfbfx5=this[_0xb337[1179]][_0xb337[249]]();var _0xbfbfxa=this[_0xb337[1179]][_0xb337[526]];this[_0xb337[1179]][_0xb337[526]]=_0xbfbfx9;var _0xbfbfx12=_0xbfbfx5[_0xb337[2051]](),_0xbfbfx13=_0xbfbfx5[_0xb337[2052]](),_0xbfbfx14=_0xbfbfx5[_0xb337[2024]](),_0xbfbfx15=_0xbfbfx5[_0xb337[1524]]();this[_0xb337[1179]][_0xb337[507]]==mxConstants[_0xb337[508]]?_0xbfbfx5[_0xb337[1569]]():this[_0xb337[1179]][_0xb337[507]]==mxConstants[_0xb337[1523]]?_0xbfbfx5[_0xb337[1571]]():_0xbfbfx5[_0xb337[1570]]();var _0xbfbfx16=_0xbfbfx5[_0xb337[503]]();_0xbfbfx5[_0xb337[504]](!1);var _0xbfbfx17=this[_0xb337[1179]][_0xb337[994]]();this[_0xb337[1179]][_0xb337[995]](!1);var _0xbfbfx18=_0xbfbfx5[_0xb337[512]]();_0xbfbfx5[_0xb337[513]]= new mxPoint(_0xbfbfx2,_0xbfbfx3);_0xbfbfx2=null;try{var _0xbfbfx19=[this[_0xb337[1179]][_0xb337[502]]()[_0xb337[501]]()];_0xbfbfx2= new mxTemporaryCellStates(_0xbfbfx5,_0xbfbfx4,_0xbfbfx19)}finally{if(mxClient[_0xb337[80]]){_0xbfbfx5[_0xb337[506]][_0xb337[339]]=_0xb337[110]}else {for(_0xbfbfx4=_0xbfbfx9[_0xb337[285]];null!=_0xbfbfx4;){_0xbfbfx19=_0xbfbfx4[_0xb337[287]],_0xbfbfx3=_0xbfbfx4[_0xb337[301]][_0xb337[216]](),_0xb337[571]==_0xbfbfx3?(_0xbfbfx4[_0xb337[57]](_0xb337[117],parseInt(_0xbfbfx9[_0xb337[124]][_0xb337[117]])),_0xbfbfx4[_0xb337[57]](_0xb337[119],parseInt(_0xbfbfx9[_0xb337[124]][_0xb337[119]]))):_0xb337[583]!=_0xbfbfx4[_0xb337[124]][_0xb337[270]]&&_0xb337[116]!=_0xbfbfx3&&_0xbfbfx4[_0xb337[265]][_0xb337[266]](_0xbfbfx4),_0xbfbfx4=_0xbfbfx19}};_0xbfbfx5[_0xb337[506]][_0xb337[265]][_0xb337[266]](_0xbfbfx5[_0xb337[506]]);this[_0xb337[1179]][_0xb337[995]](_0xbfbfx17);this[_0xb337[1179]][_0xb337[526]]=_0xbfbfxa;_0xbfbfx5[_0xb337[510]]=_0xbfbfx12;_0xbfbfx5[_0xb337[2053]]=_0xbfbfx13;_0xbfbfx5[_0xb337[505]]=_0xbfbfx14;_0xbfbfx5[_0xb337[506]]=_0xbfbfx15;_0xbfbfx5[_0xb337[513]]=_0xbfbfx18;_0xbfbfx2[_0xb337[515]]();_0xbfbfx5[_0xb337[504]](_0xbfbfx16)}};mxPrintPreview[_0xb337[202]][_0xb337[2031]]=function(){return null};mxPrintPreview[_0xb337[202]][_0xb337[2032]]=function(){return null};mxPrintPreview[_0xb337[202]][_0xb337[540]]=function(){var _0xbfbfx2=this[_0xb337[392]]();null!=_0xbfbfx2&&_0xbfbfx2[_0xb337[540]]()};mxPrintPreview[_0xb337[202]][_0xb337[268]]=function(){null!=this[_0xb337[2018]]&&(this[_0xb337[2018]][_0xb337[268]](),this[_0xb337[2018]]=null)};function mxStylesheet(){this[_0xb337[2054]]={};this[_0xb337[2056]](this[_0xb337[2055]]());this[_0xb337[2058]](this[_0xb337[2057]]())}mxStylesheet[_0xb337[202]][_0xb337[2055]]=function(){var _0xbfbfx2={};_0xbfbfx2[mxConstants[_0xb337[2059]]]=mxConstants[_0xb337[2060]];_0xbfbfx2[mxConstants[_0xb337[2061]]]=mxPerimeter[_0xb337[2062]];_0xbfbfx2[mxConstants[_0xb337[2063]]]=mxConstants[_0xb337[481]];_0xbfbfx2[mxConstants[_0xb337[2064]]]=mxConstants[_0xb337[479]];_0xbfbfx2[mxConstants[_0xb337[2065]]]=_0xb337[2066];_0xbfbfx2[mxConstants[_0xb337[2067]]]=_0xb337[2068];_0xbfbfx2[mxConstants[_0xb337[2069]]]=_0xb337[2070];return _0xbfbfx2};mxStylesheet[_0xb337[202]][_0xb337[2057]]=function(){var _0xbfbfx2={};_0xbfbfx2[mxConstants[_0xb337[2059]]]=mxConstants[_0xb337[2071]];_0xbfbfx2[mxConstants[_0xb337[1695]]]=mxConstants[_0xb337[1622]];_0xbfbfx2[mxConstants[_0xb337[2063]]]=mxConstants[_0xb337[481]];_0xbfbfx2[mxConstants[_0xb337[2064]]]=mxConstants[_0xb337[479]];_0xbfbfx2[mxConstants[_0xb337[2067]]]=_0xb337[2068];_0xbfbfx2[mxConstants[_0xb337[2069]]]=_0xb337[2072];return _0xbfbfx2};mxStylesheet[_0xb337[202]][_0xb337[2056]]=function(_0xbfbfx2){this[_0xb337[2074]](_0xb337[2073],_0xbfbfx2)};mxStylesheet[_0xb337[202]][_0xb337[2058]]=function(_0xbfbfx2){this[_0xb337[2074]](_0xb337[2075],_0xbfbfx2)};mxStylesheet[_0xb337[202]][_0xb337[2076]]=function(){return this[_0xb337[2054]][_0xb337[2073]]};mxStylesheet[_0xb337[202]][_0xb337[2077]]=function(){return this[_0xb337[2054]][_0xb337[2075]]};mxStylesheet[_0xb337[202]][_0xb337[2074]]=function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[2054]][_0xbfbfx2]=_0xbfbfx3};mxStylesheet[_0xb337[202]][_0xb337[1705]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=_0xbfbfx3;if(null!=_0xbfbfx2&&0<_0xbfbfx2[_0xb337[67]]){for(var _0xbfbfx5=_0xbfbfx2[_0xb337[224]](_0xb337[471]),_0xbfbfx4=null!=_0xbfbfx4&&_0xb337[471]!=_0xbfbfx2[_0xb337[225]](0)?mxUtils[_0xb337[238]](_0xbfbfx4):{},_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx5[_0xb337[67]];_0xbfbfx9++){var _0xbfbfxa=_0xbfbfx5[_0xbfbfx9],_0xbfbfx12=_0xbfbfxa[_0xb337[2]](_0xb337[226]);if(0<=_0xbfbfx12){var _0xbfbfx13=_0xbfbfxa[_0xb337[85]](0,_0xbfbfx12),_0xbfbfxa=_0xbfbfxa[_0xb337[85]](_0xbfbfx12+1);_0xbfbfxa==mxConstants[_0xb337[217]]? delete _0xbfbfx4[_0xbfbfx13]:mxUtils[_0xb337[1946]](_0xbfbfxa)?_0xbfbfx4[_0xbfbfx13]=parseFloat(_0xbfbfxa):_0xbfbfx4[_0xbfbfx13]=_0xbfbfxa}else {if(_0xbfbfxa=this[_0xb337[2054]][_0xbfbfxa],null!=_0xbfbfxa){for(_0xbfbfx13 in _0xbfbfxa){_0xbfbfx4[_0xbfbfx13]=_0xbfbfxa[_0xbfbfx13]}}}}};return _0xbfbfx4};function mxCellState(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){this[_0xb337[441]]=_0xbfbfx2;this[_0xb337[246]]=_0xbfbfx3;this[_0xb337[124]]=_0xbfbfx4;this[_0xb337[2078]]= new mxPoint;this[_0xb337[2079]]= new mxPoint}mxCellState[_0xb337[202]]= new mxRectangle;mxCellState[_0xb337[202]][_0xb337[196]]=mxCellState;mxCellState[_0xb337[202]][_0xb337[441]]=null;mxCellState[_0xb337[202]][_0xb337[246]]=null;mxCellState[_0xb337[202]][_0xb337[124]]=null;mxCellState[_0xb337[202]][_0xb337[2080]]= !0;mxCellState[_0xb337[202]][_0xb337[2081]]= !1;mxCellState[_0xb337[202]][_0xb337[2082]]= !1;mxCellState[_0xb337[202]][_0xb337[2078]]=null;mxCellState[_0xb337[202]][_0xb337[439]]=null;mxCellState[_0xb337[202]][_0xb337[2079]]=null;mxCellState[_0xb337[202]][_0xb337[2083]]=null;mxCellState[_0xb337[202]][_0xb337[2084]]=null;mxCellState[_0xb337[202]][_0xb337[2085]]=0;mxCellState[_0xb337[202]][_0xb337[67]]=0;mxCellState[_0xb337[202]][_0xb337[2086]]=null;mxCellState[_0xb337[202]][_0xb337[253]]=null;mxCellState[_0xb337[202]][_0xb337[963]]=null;mxCellState[_0xb337[202]][_0xb337[2087]]=function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx2=_0xbfbfx2||0;_0xbfbfx3=null!=_0xbfbfx3?_0xbfbfx3: new mxRectangle(this[_0xb337[235]],this[_0xb337[236]],this[_0xb337[117]],this[_0xb337[119]]);if(null!=this[_0xb337[253]]&&null!=this[_0xb337[253]][_0xb337[1561]]){var _0xbfbfx4=this[_0xb337[253]][_0xb337[1561]][_0xb337[1548]](this[_0xb337[124]],_0xbfbfx3[_0xb337[235]],_0xbfbfx3[_0xb337[236]],_0xbfbfx3[_0xb337[117]],_0xbfbfx3[_0xb337[119]]);_0xbfbfx3[_0xb337[235]]=_0xbfbfx4[_0xb337[235]];_0xbfbfx3[_0xb337[236]]=_0xbfbfx4[_0xb337[236]];_0xbfbfx3[_0xb337[117]]=this[_0xb337[253]][_0xb337[1561]][_0xb337[1532]]*_0xbfbfx4[_0xb337[117]];_0xbfbfx3[_0xb337[119]]=this[_0xb337[253]][_0xb337[1561]][_0xb337[1533]]*_0xbfbfx4[_0xb337[119]]};0!=_0xbfbfx2&&_0xbfbfx3[_0xb337[244]](_0xbfbfx2);return _0xbfbfx3};mxCellState[_0xb337[202]][_0xb337[2088]]=function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx3?(null==this[_0xb337[439]]&&(this[_0xb337[439]]=[]),0==this[_0xb337[439]][_0xb337[67]]?this[_0xb337[439]][_0xb337[207]](_0xbfbfx2):this[_0xb337[439]][0]=_0xbfbfx2):null==this[_0xb337[439]]?(this[_0xb337[439]]=[],this[_0xb337[439]][_0xb337[207]](null),this[_0xb337[439]][_0xb337[207]](_0xbfbfx2)):1==this[_0xb337[439]][_0xb337[67]]?this[_0xb337[439]][_0xb337[207]](_0xbfbfx2):this[_0xb337[439]][this[_0xb337[439]][_0xb337[67]]-1]=_0xbfbfx2};mxCellState[_0xb337[202]][_0xb337[1609]]=function(_0xbfbfx2){null!=this[_0xb337[253]]&&this[_0xb337[253]][_0xb337[1609]](_0xbfbfx2);null!=this[_0xb337[963]]&&this[_0xb337[963]][_0xb337[1609]](_0xbfbfx2)};mxCellState[_0xb337[202]][_0xb337[1710]]=function(_0xbfbfx2){_0xbfbfx2=this[_0xb337[2089]](_0xbfbfx2);return null!=_0xbfbfx2?_0xbfbfx2[_0xb337[246]]:null};mxCellState[_0xb337[202]][_0xb337[2089]]=function(_0xbfbfx2){return _0xbfbfx2?this[_0xb337[2083]]:this[_0xb337[2084]]};mxCellState[_0xb337[202]][_0xb337[2090]]=function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx3?this[_0xb337[2083]]=_0xbfbfx2:this[_0xb337[2084]]=_0xbfbfx2};mxCellState[_0xb337[202]][_0xb337[515]]=function(){this[_0xb337[441]][_0xb337[1179]][_0xb337[259]][_0xb337[515]](this)};mxCellState[_0xb337[202]][_0xb337[238]]=function(){var _0xbfbfx2= new mxCellState(this[_0xb337[441]],this[_0xb337[246]],this[_0xb337[124]]);if(null!=this[_0xb337[439]]){_0xbfbfx2[_0xb337[439]]=[];for(var _0xbfbfx3=0;_0xbfbfx3=_0xbfbfx14[_0xb337[235]]:null!=_0xbfbfx4&&(_0xbfbfx13=_0xbfbfx4[_0xb337[235]]+_0xbfbfx4[_0xb337[117]]<_0xbfbfx3[_0xb337[235]]))}else {return}};_0xbfbfx14= !0;null!=_0xbfbfx15?(_0xbfbfx4= new mxCellState,_0xbfbfx4[_0xb337[235]]=_0xbfbfx15[_0xb337[235]],_0xbfbfx4[_0xb337[236]]=_0xbfbfx15[_0xb337[236]]):null!=_0xbfbfx4&&(_0xbfbfx16=mxUtils[_0xb337[2227]](_0xbfbfx4,_0xbfbfx2,!1,mxConstants.DIRECTION_MASK_NONE),_0xbfbfx16!=mxConstants[_0xb337[434]]?_0xbfbfx14=_0xbfbfx16==mxConstants[_0xb337[436]]:(_0xbfbfx2=_0xbfbfx12[_0xb337[1198]](_0xbfbfx4[_0xb337[246]]),_0xbfbfx2[_0xb337[1500]]?_0xbfbfx14=0.5>=_0xbfbfx2[_0xb337[235]]:null!=_0xbfbfx3&&(_0xbfbfx14=_0xbfbfx3[_0xb337[235]]+_0xbfbfx3[_0xb337[117]]<_0xbfbfx4[_0xb337[235]])));null!=_0xbfbfx3&&null!=_0xbfbfx4&&(_0xbfbfx2=_0xbfbfx13?_0xbfbfx3[_0xb337[235]]:_0xbfbfx3[_0xb337[235]]+_0xbfbfx3[_0xb337[117]],_0xbfbfx3=_0xbfbfxa[_0xb337[2228]](_0xbfbfx3),_0xbfbfx12=_0xbfbfx14?_0xbfbfx4[_0xb337[235]]:_0xbfbfx4[_0xb337[235]]+_0xbfbfx4[_0xb337[117]],_0xbfbfx4=_0xbfbfxa[_0xb337[2228]](_0xbfbfx4),_0xbfbfxa= new mxPoint(_0xbfbfx2+(_0xbfbfx13?-_0xbfbfx5:_0xbfbfx5),_0xbfbfx3),_0xbfbfx15= new mxPoint(_0xbfbfx12+(_0xbfbfx14?-_0xbfbfx5:_0xbfbfx5),_0xbfbfx4),_0xbfbfx13==_0xbfbfx14?(_0xbfbfx5=_0xbfbfx13?Math[_0xb337[243]](_0xbfbfx2,_0xbfbfx12)-_0xbfbfx5:Math[_0xb337[160]](_0xbfbfx2,_0xbfbfx12)+_0xbfbfx5,_0xbfbfx9[_0xb337[207]]( new mxPoint(_0xbfbfx5,_0xbfbfx3)),_0xbfbfx9[_0xb337[207]]( new mxPoint(_0xbfbfx5,_0xbfbfx4))):(_0xbfbfxa[_0xb337[235]]<_0xbfbfx15[_0xb337[235]]==_0xbfbfx13?(_0xbfbfx5=_0xbfbfx3+(_0xbfbfx4-_0xbfbfx3)/2,_0xbfbfx9[_0xb337[207]](_0xbfbfxa),_0xbfbfx9[_0xb337[207]]( new mxPoint(_0xbfbfxa[_0xb337[235]],_0xbfbfx5)),_0xbfbfx9[_0xb337[207]]( new mxPoint(_0xbfbfx15[_0xb337[235]],_0xbfbfx5))):_0xbfbfx9[_0xb337[207]](_0xbfbfxa),_0xbfbfx9[_0xb337[207]](_0xbfbfx15)))},Loop:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){if(null!=_0xbfbfx3){_0xbfbfx4=_0xbfbfx2[_0xb337[441]];var _0xbfbfxa=_0xbfbfx4[_0xb337[1179]];_0xbfbfx5=null!=_0xbfbfx5&&0<_0xbfbfx5[_0xb337[67]]?_0xbfbfx5[0]:null;null!=_0xbfbfx5&&(_0xbfbfx5=_0xbfbfx4[_0xb337[2229]](_0xbfbfx2,_0xbfbfx5),mxUtils[_0xb337[442]](_0xbfbfx3,_0xbfbfx5[_0xb337[235]],_0xbfbfx5[_0xb337[236]])&&(_0xbfbfx5=null));var _0xbfbfx12=0,_0xbfbfx13=0,_0xbfbfx14=0,_0xbfbfx15=0,_0xbfbfxa=mxUtils[_0xb337[433]](_0xbfbfx2[_0xb337[124]],mxConstants.STYLE_SEGMENT,_0xbfbfxa[_0xb337[1020]])*_0xbfbfx4[_0xb337[255]];_0xbfbfx2=mxUtils[_0xb337[433]](_0xbfbfx2[_0xb337[124]],mxConstants.STYLE_DIRECTION,mxConstants.DIRECTION_WEST);_0xbfbfx2==mxConstants[_0xb337[1358]]||_0xbfbfx2==mxConstants[_0xb337[1354]]?(_0xbfbfx12=_0xbfbfx4[_0xb337[2230]](_0xbfbfx3),_0xbfbfx13=_0xbfbfxa):(_0xbfbfx14=_0xbfbfx4[_0xb337[2228]](_0xbfbfx3),_0xbfbfx15=_0xbfbfxa);null==_0xbfbfx5||_0xbfbfx5[_0xb337[235]]<_0xbfbfx3[_0xb337[235]]||_0xbfbfx5[_0xb337[235]]>_0xbfbfx3[_0xb337[235]]+_0xbfbfx3[_0xb337[117]]?null!=_0xbfbfx5?(_0xbfbfx12=_0xbfbfx5[_0xb337[235]],_0xbfbfx15=Math[_0xb337[160]](Math[_0xb337[425]](_0xbfbfx14-_0xbfbfx5[_0xb337[236]]),_0xbfbfx15)):_0xbfbfx2==mxConstants[_0xb337[1358]]?_0xbfbfx14=_0xbfbfx3[_0xb337[236]]-2*_0xbfbfx13:_0xbfbfx2==mxConstants[_0xb337[1354]]?_0xbfbfx14=_0xbfbfx3[_0xb337[236]]+_0xbfbfx3[_0xb337[119]]+2*_0xbfbfx13:_0xbfbfx12=_0xbfbfx2==mxConstants[_0xb337[1356]]?_0xbfbfx3[_0xb337[235]]-2*_0xbfbfx15:_0xbfbfx3[_0xb337[235]]+_0xbfbfx3[_0xb337[117]]+2*_0xbfbfx15:null!=_0xbfbfx5&&(_0xbfbfx12=_0xbfbfx4[_0xb337[2230]](_0xbfbfx3),_0xbfbfx13=Math[_0xb337[160]](Math[_0xb337[425]](_0xbfbfx12-_0xbfbfx5[_0xb337[235]]),_0xbfbfx15),_0xbfbfx14=_0xbfbfx5[_0xb337[236]],_0xbfbfx15=0);_0xbfbfx9[_0xb337[207]]( new mxPoint(_0xbfbfx12-_0xbfbfx13,_0xbfbfx14-_0xbfbfx15));_0xbfbfx9[_0xb337[207]]( new mxPoint(_0xbfbfx12+_0xbfbfx13,_0xbfbfx14+_0xbfbfx15))}},ElbowConnector:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){var _0xbfbfxa=null!=_0xbfbfx5&&0<_0xbfbfx5[_0xb337[67]]?_0xbfbfx5[0]:null,_0xbfbfx12=!1,_0xbfbfx13=!1;if(null!=_0xbfbfx3&&null!=_0xbfbfx4){if(null!=_0xbfbfxa){var _0xbfbfx14=Math[_0xb337[243]](_0xbfbfx3[_0xb337[235]],_0xbfbfx4[_0xb337[235]]),_0xbfbfx15=Math[_0xb337[160]](_0xbfbfx3[_0xb337[235]]+_0xbfbfx3[_0xb337[117]],_0xbfbfx4[_0xb337[235]]+_0xbfbfx4[_0xb337[117]]),_0xbfbfx13=Math[_0xb337[243]](_0xbfbfx3[_0xb337[236]],_0xbfbfx4[_0xb337[236]]),_0xbfbfx16=Math[_0xb337[160]](_0xbfbfx3[_0xb337[236]]+_0xbfbfx3[_0xb337[119]],_0xbfbfx4[_0xb337[236]]+_0xbfbfx4[_0xb337[119]]),_0xbfbfxa=_0xbfbfx2[_0xb337[441]][_0xb337[2229]](_0xbfbfx2,_0xbfbfxa),_0xbfbfx12=_0xbfbfxa[_0xb337[236]]<_0xbfbfx13||_0xbfbfxa[_0xb337[236]]>_0xbfbfx16,_0xbfbfx13=_0xbfbfxa[_0xb337[235]]<_0xbfbfx14||_0xbfbfxa[_0xb337[235]]>_0xbfbfx15}else {_0xbfbfx14=Math[_0xb337[160]](_0xbfbfx3[_0xb337[235]],_0xbfbfx4[_0xb337[235]]),_0xbfbfx15=Math[_0xb337[243]](_0xbfbfx3[_0xb337[235]]+_0xbfbfx3[_0xb337[117]],_0xbfbfx4[_0xb337[235]]+_0xbfbfx4[_0xb337[117]]),_0xbfbfx12=_0xbfbfx14==_0xbfbfx15,_0xbfbfx12||(_0xbfbfx13=Math[_0xb337[160]](_0xbfbfx3[_0xb337[236]],_0xbfbfx4[_0xb337[236]]),_0xbfbfx16=Math[_0xb337[243]](_0xbfbfx3[_0xb337[236]]+_0xbfbfx3[_0xb337[119]],_0xbfbfx4[_0xb337[236]]+_0xbfbfx4[_0xb337[119]]),_0xbfbfx13=_0xbfbfx13==_0xbfbfx16)}};!_0xbfbfx13&&(_0xbfbfx12||_0xbfbfx2[_0xb337[124]][mxConstants[_0xb337[2231]]]==mxConstants[_0xb337[2232]])?mxEdgeStyle.TopToBottom(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9):mxEdgeStyle.SideToSide(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9)},SideToSide:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){var _0xbfbfxa=_0xbfbfx2[_0xb337[441]];_0xbfbfx5=null!=_0xbfbfx5&&0<_0xbfbfx5[_0xb337[67]]?_0xbfbfx5[0]:null;var _0xbfbfx12=_0xbfbfx2[_0xb337[439]],_0xbfbfx13=_0xbfbfx12[0],_0xbfbfx12=_0xbfbfx12[_0xbfbfx12[_0xb337[67]]-1];null!=_0xbfbfx5&&(_0xbfbfx5=_0xbfbfxa[_0xb337[2229]](_0xbfbfx2,_0xbfbfx5));null!=_0xbfbfx13&&(_0xbfbfx3= new mxCellState,_0xbfbfx3[_0xb337[235]]=_0xbfbfx13[_0xb337[235]],_0xbfbfx3[_0xb337[236]]=_0xbfbfx13[_0xb337[236]]);null!=_0xbfbfx12&&(_0xbfbfx4= new mxCellState,_0xbfbfx4[_0xb337[235]]=_0xbfbfx12[_0xb337[235]],_0xbfbfx4[_0xb337[236]]=_0xbfbfx12[_0xb337[236]]);null!=_0xbfbfx3&&null!=_0xbfbfx4&&(_0xbfbfx2=Math[_0xb337[160]](_0xbfbfx3[_0xb337[235]],_0xbfbfx4[_0xb337[235]]),_0xbfbfx13=Math[_0xb337[243]](_0xbfbfx3[_0xb337[235]]+_0xbfbfx3[_0xb337[117]],_0xbfbfx4[_0xb337[235]]+_0xbfbfx4[_0xb337[117]]),_0xbfbfx2=null!=_0xbfbfx5?_0xbfbfx5[_0xb337[235]]:_0xbfbfx13+(_0xbfbfx2-_0xbfbfx13)/2,_0xbfbfx13=_0xbfbfxa[_0xb337[2228]](_0xbfbfx3),_0xbfbfxa=_0xbfbfxa[_0xb337[2228]](_0xbfbfx4),null!=_0xbfbfx5&&(_0xbfbfx5[_0xb337[236]]>=_0xbfbfx3[_0xb337[236]]&&_0xbfbfx5[_0xb337[236]]<=_0xbfbfx3[_0xb337[236]]+_0xbfbfx3[_0xb337[119]]&&(_0xbfbfx13=_0xbfbfx5[_0xb337[236]]),_0xbfbfx5[_0xb337[236]]>=_0xbfbfx4[_0xb337[236]]&&_0xbfbfx5[_0xb337[236]]<=_0xbfbfx4[_0xb337[236]]+_0xbfbfx4[_0xb337[119]]&&(_0xbfbfxa=_0xbfbfx5[_0xb337[236]])),!mxUtils[_0xb337[442]](_0xbfbfx4,_0xbfbfx2,_0xbfbfx13)&&!mxUtils[_0xb337[442]](_0xbfbfx3,_0xbfbfx2,_0xbfbfx13)&&_0xbfbfx9[_0xb337[207]]( new mxPoint(_0xbfbfx2,_0xbfbfx13)),!mxUtils[_0xb337[442]](_0xbfbfx4,_0xbfbfx2,_0xbfbfxa)&&!mxUtils[_0xb337[442]](_0xbfbfx3,_0xbfbfx2,_0xbfbfxa)&&_0xbfbfx9[_0xb337[207]]( new mxPoint(_0xbfbfx2,_0xbfbfxa)),1==_0xbfbfx9[_0xb337[67]]&&(null!=_0xbfbfx5?!mxUtils[_0xb337[442]](_0xbfbfx4,_0xbfbfx2,_0xbfbfx5[_0xb337[236]])&&!mxUtils[_0xb337[442]](_0xbfbfx3,_0xbfbfx2,_0xbfbfx5[_0xb337[236]])&&_0xbfbfx9[_0xb337[207]]( new mxPoint(_0xbfbfx2,_0xbfbfx5[_0xb337[236]])):(_0xbfbfxa=Math[_0xb337[160]](_0xbfbfx3[_0xb337[236]],_0xbfbfx4[_0xb337[236]]),_0xbfbfx3=Math[_0xb337[243]](_0xbfbfx3[_0xb337[236]]+_0xbfbfx3[_0xb337[119]],_0xbfbfx4[_0xb337[236]]+_0xbfbfx4[_0xb337[119]]),_0xbfbfx9[_0xb337[207]]( new mxPoint(_0xbfbfx2,_0xbfbfxa+(_0xbfbfx3-_0xbfbfxa)/2)))))},TopToBottom:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){var _0xbfbfxa=_0xbfbfx2[_0xb337[441]];_0xbfbfx5=null!=_0xbfbfx5&&0<_0xbfbfx5[_0xb337[67]]?_0xbfbfx5[0]:null;var _0xbfbfx12=_0xbfbfx2[_0xb337[439]],_0xbfbfx13=_0xbfbfx12[0],_0xbfbfx12=_0xbfbfx12[_0xbfbfx12[_0xb337[67]]-1];null!=_0xbfbfx5&&(_0xbfbfx5=_0xbfbfxa[_0xb337[2229]](_0xbfbfx2,_0xbfbfx5));null!=_0xbfbfx13&&(_0xbfbfx3= new mxCellState,_0xbfbfx3[_0xb337[235]]=_0xbfbfx13[_0xb337[235]],_0xbfbfx3[_0xb337[236]]=_0xbfbfx13[_0xb337[236]]);null!=_0xbfbfx12&&(_0xbfbfx4= new mxCellState,_0xbfbfx4[_0xb337[235]]=_0xbfbfx12[_0xb337[235]],_0xbfbfx4[_0xb337[236]]=_0xbfbfx12[_0xb337[236]]);null!=_0xbfbfx3&&null!=_0xbfbfx4&&(_0xbfbfx13=Math[_0xb337[160]](_0xbfbfx3[_0xb337[236]],_0xbfbfx4[_0xb337[236]]),_0xbfbfx12=Math[_0xb337[243]](_0xbfbfx3[_0xb337[236]]+_0xbfbfx3[_0xb337[119]],_0xbfbfx4[_0xb337[236]]+_0xbfbfx4[_0xb337[119]]),_0xbfbfx2=_0xbfbfxa[_0xb337[2230]](_0xbfbfx3),null!=_0xbfbfx5&&(_0xbfbfx5[_0xb337[235]]>=_0xbfbfx3[_0xb337[235]]&&_0xbfbfx5[_0xb337[235]]<=_0xbfbfx3[_0xb337[235]]+_0xbfbfx3[_0xb337[117]])&&(_0xbfbfx2=_0xbfbfx5[_0xb337[235]]),_0xbfbfx13=null!=_0xbfbfx5?_0xbfbfx5[_0xb337[236]]:_0xbfbfx12+(_0xbfbfx13-_0xbfbfx12)/2,!mxUtils[_0xb337[442]](_0xbfbfx4,_0xbfbfx2,_0xbfbfx13)&&!mxUtils[_0xb337[442]](_0xbfbfx3,_0xbfbfx2,_0xbfbfx13)&&_0xbfbfx9[_0xb337[207]]( new mxPoint(_0xbfbfx2,_0xbfbfx13)),_0xbfbfx2=null!=_0xbfbfx5&&_0xbfbfx5[_0xb337[235]]>=_0xbfbfx4[_0xb337[235]]&&_0xbfbfx5[_0xb337[235]]<=_0xbfbfx4[_0xb337[235]]+_0xbfbfx4[_0xb337[117]]?_0xbfbfx5[_0xb337[235]]:_0xbfbfxa[_0xb337[2230]](_0xbfbfx4),!mxUtils[_0xb337[442]](_0xbfbfx4,_0xbfbfx2,_0xbfbfx13)&&!mxUtils[_0xb337[442]](_0xbfbfx3,_0xbfbfx2,_0xbfbfx13)&&_0xbfbfx9[_0xb337[207]]( new mxPoint(_0xbfbfx2,_0xbfbfx13)),1==_0xbfbfx9[_0xb337[67]]&&(null!=_0xbfbfx5&&1==_0xbfbfx9[_0xb337[67]]?!mxUtils[_0xb337[442]](_0xbfbfx4,_0xbfbfx5[_0xb337[235]],_0xbfbfx13)&&!mxUtils[_0xb337[442]](_0xbfbfx3,_0xbfbfx5[_0xb337[235]],_0xbfbfx13)&&_0xbfbfx9[_0xb337[207]]( new mxPoint(_0xbfbfx5[_0xb337[235]],_0xbfbfx13)):(_0xbfbfxa=Math[_0xb337[160]](_0xbfbfx3[_0xb337[235]],_0xbfbfx4[_0xb337[235]]),_0xbfbfx3=Math[_0xb337[243]](_0xbfbfx3[_0xb337[235]]+_0xbfbfx3[_0xb337[117]],_0xbfbfx4[_0xb337[235]]+_0xbfbfx4[_0xb337[117]]),_0xbfbfx9[_0xb337[207]]( new mxPoint(_0xbfbfxa+(_0xbfbfx3-_0xbfbfxa)/2,_0xbfbfx13)))))},SegmentConnector:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){var _0xbfbfxa=_0xbfbfx2[_0xb337[439]],_0xbfbfx12=!0,_0xbfbfx13=null,_0xbfbfx14=_0xbfbfxa[0];null==_0xbfbfx14&&null!=_0xbfbfx3?_0xbfbfx14= new mxPoint(_0xbfbfx2[_0xb337[441]][_0xb337[2230]](_0xbfbfx3),_0xbfbfx2[_0xb337[441]][_0xb337[2228]](_0xbfbfx3)):null!=_0xbfbfx14&&(_0xbfbfx14=_0xbfbfx14[_0xb337[238]]());var _0xbfbfx15=_0xbfbfxa[_0xb337[67]]-1;if(null!=_0xbfbfx5&&0<_0xbfbfx5[_0xb337[67]]){for(var _0xbfbfx13=_0xbfbfx2[_0xb337[441]][_0xb337[2229]](_0xbfbfx2,_0xbfbfx5[0]),_0xbfbfx16=_0xbfbfx3,_0xbfbfx17=_0xbfbfxa[0],_0xbfbfx18=!1,_0xbfbfx19=!1,_0xbfbfx18=_0xbfbfx13,_0xbfbfx1a=_0xbfbfx5[_0xb337[67]],_0xbfbfx1b=0;2>_0xbfbfx1b;_0xbfbfx1b++){var _0xbfbfx1c=null!=_0xbfbfx17&&_0xbfbfx17[_0xb337[235]]==_0xbfbfx18[_0xb337[235]],_0xbfbfx1d=null!=_0xbfbfx17&&_0xbfbfx17[_0xb337[236]]==_0xbfbfx18[_0xb337[236]],_0xbfbfx1e=null!=_0xbfbfx16&&_0xbfbfx18[_0xb337[236]]>=_0xbfbfx16[_0xb337[236]]&&_0xbfbfx18[_0xb337[236]]<=_0xbfbfx16[_0xb337[236]]+_0xbfbfx16[_0xb337[119]],_0xbfbfx16=null!=_0xbfbfx16&&_0xbfbfx18[_0xb337[235]]>=_0xbfbfx16[_0xb337[235]]&&_0xbfbfx18[_0xb337[235]]<=_0xbfbfx16[_0xb337[235]]+_0xbfbfx16[_0xb337[117]],_0xbfbfx18=_0xbfbfx1d||null==_0xbfbfx17&&_0xbfbfx1e,_0xbfbfx19=_0xbfbfx1c||null==_0xbfbfx17&&_0xbfbfx16;if(null!=_0xbfbfx17&&!_0xbfbfx1d&&!_0xbfbfx1c&&(_0xbfbfx1e||_0xbfbfx16)){_0xbfbfx12=_0xbfbfx1e?!1:!0;break};if(_0xbfbfx19||_0xbfbfx18){_0xbfbfx12=_0xbfbfx18;1==_0xbfbfx1b&&(_0xbfbfx12=0==_0xbfbfx5[_0xb337[67]]%2?_0xbfbfx18:_0xbfbfx19);break};_0xbfbfx16=_0xbfbfx4;_0xbfbfx17=_0xbfbfxa[_0xbfbfx15];_0xbfbfx18=_0xbfbfx2[_0xb337[441]][_0xb337[2229]](_0xbfbfx2,_0xbfbfx5[_0xbfbfx1a-1])};_0xbfbfx12&&(null!=_0xbfbfxa[0]&&_0xbfbfxa[0][_0xb337[236]]!=_0xbfbfx13[_0xb337[236]]||null==_0xbfbfxa[0]&&null!=_0xbfbfx3&&(_0xbfbfx13[_0xb337[236]]<_0xbfbfx3[_0xb337[236]]||_0xbfbfx13[_0xb337[236]]>_0xbfbfx3[_0xb337[236]]+_0xbfbfx3[_0xb337[119]]))?_0xbfbfx9[_0xb337[207]]( new mxPoint(_0xbfbfx14[_0xb337[235]],_0xbfbfx13[_0xb337[236]])):!_0xbfbfx12&&(null!=_0xbfbfxa[0]&&_0xbfbfxa[0][_0xb337[235]]!=_0xbfbfx13[_0xb337[235]]||null==_0xbfbfxa[0]&&null!=_0xbfbfx3&&(_0xbfbfx13[_0xb337[235]]<_0xbfbfx3[_0xb337[235]]||_0xbfbfx13[_0xb337[235]]>_0xbfbfx3[_0xb337[235]]+_0xbfbfx3[_0xb337[117]]))&&_0xbfbfx9[_0xb337[207]]( new mxPoint(_0xbfbfx13[_0xb337[235]],_0xbfbfx14[_0xb337[236]]));_0xbfbfx12?_0xbfbfx14[_0xb337[236]]=_0xbfbfx13[_0xb337[236]]:_0xbfbfx14[_0xb337[235]]=_0xbfbfx13[_0xb337[235]];for(_0xbfbfx1b=0;_0xbfbfx1b<_0xbfbfx5[_0xb337[67]];_0xbfbfx1b++){_0xbfbfx12= !_0xbfbfx12,_0xbfbfx13=_0xbfbfx2[_0xb337[441]][_0xb337[2229]](_0xbfbfx2,_0xbfbfx5[_0xbfbfx1b]),_0xbfbfx12?_0xbfbfx14[_0xb337[236]]=_0xbfbfx13[_0xb337[236]]:_0xbfbfx14[_0xb337[235]]=_0xbfbfx13[_0xb337[235]],_0xbfbfx9[_0xb337[207]](_0xbfbfx14[_0xb337[238]]())}}else {_0xbfbfx13=_0xbfbfx14,_0xbfbfx12= !0};_0xbfbfx14=_0xbfbfxa[_0xbfbfx15];null==_0xbfbfx14&&null!=_0xbfbfx4&&(_0xbfbfx14= new mxPoint(_0xbfbfx2[_0xb337[441]][_0xb337[2230]](_0xbfbfx4),_0xbfbfx2[_0xb337[441]][_0xb337[2228]](_0xbfbfx4)));_0xbfbfx12&&(null!=_0xbfbfxa[_0xbfbfx15]&&_0xbfbfxa[_0xbfbfx15][_0xb337[236]]!=_0xbfbfx13[_0xb337[236]]||null==_0xbfbfxa[_0xbfbfx15]&&null!=_0xbfbfx4&&(_0xbfbfx13[_0xb337[236]]<_0xbfbfx4[_0xb337[236]]||_0xbfbfx13[_0xb337[236]]>_0xbfbfx4[_0xb337[236]]+_0xbfbfx4[_0xb337[119]]))?_0xbfbfx9[_0xb337[207]]( new mxPoint(_0xbfbfx14[_0xb337[235]],_0xbfbfx13[_0xb337[236]])):!_0xbfbfx12&&(null!=_0xbfbfxa[_0xbfbfx15]&&_0xbfbfxa[_0xbfbfx15][_0xb337[235]]!=_0xbfbfx13[_0xb337[235]]||null==_0xbfbfxa[_0xbfbfx15]&&null!=_0xbfbfx4&&(_0xbfbfx13[_0xb337[235]]<_0xbfbfx4[_0xb337[235]]||_0xbfbfx13[_0xb337[235]]>_0xbfbfx4[_0xb337[235]]+_0xbfbfx4[_0xb337[117]]))&&_0xbfbfx9[_0xb337[207]]( new mxPoint(_0xbfbfx13[_0xb337[235]],_0xbfbfx14[_0xb337[236]]));if(null==_0xbfbfxa[0]&&null!=_0xbfbfx3){for(;1<_0xbfbfx9[_0xb337[67]]&&mxUtils[_0xb337[442]](_0xbfbfx3,_0xbfbfx9[1][_0xb337[235]],_0xbfbfx9[1][_0xb337[236]]);){_0xbfbfx9=_0xbfbfx9[_0xb337[300]](1,1)}};if(null==_0xbfbfxa[_0xbfbfx15]&&null!=_0xbfbfx4){for(;1<_0xbfbfx9[_0xb337[67]]&&mxUtils[_0xb337[442]](_0xbfbfx4,_0xbfbfx9[_0xbfbfx9[_0xb337[67]]-1][_0xb337[235]],_0xbfbfx9[_0xbfbfx9[_0xb337[67]]-1][_0xb337[236]]);){_0xbfbfx9=_0xbfbfx9[_0xb337[300]](_0xbfbfx9[_0xb337[67]]-1,1)}}},orthBuffer:10,dirVectors:[[-1,0],[0,-1],[1,0],[0,1],[-1,0],[0,-1],[1,0]],wayPoints1:[[0,0],[0,0],[0,0],[0,0],[0,0],[0,0],[0,0],[0,0],[0,0],[0,0],[0,0],[0,0]],routePatterns:[[[513,2308,2081,2562],[513,1090,514,2184,2114,2561],[513,1090,514,2564,2184,2562],[513,2308,2561,1090,514,2568,2308]],[[514,1057,513,2308,2081,2562],[514,2184,2114,2561],[514,2184,2562,1057,513,2564,2184],[514,1057,513,2568,2308,2561]],[[1090,514,1057,513,2308,2081,2562],[2114,2561],[1090,2562,1057,513,2564,2184],[1090,514,1057,513,2308,2561,2568]],[[2081,2562],[1057,513,1090,514,2184,2114,2561],[1057,513,1090,514,2184,2562,2564],[1057,2561,1090,514,2568,2308]]],inlineRoutePatterns:[[null,[2114,2568],null,null],[null,[514,2081,2114,2568],null,null],[null,[2114,2561],null,null],[[2081,2562],[1057,2114,2568],[2184,2562],null]],vertexSeperations:[],limits:[[0,0,0,0,0,0,0,0,0],[0,0,0,0,0,0,0,0,0]],LEFT_MASK:32,TOP_MASK:64,RIGHT_MASK:128,BOTTOM_MASK:256,LEFT:1,TOP:2,RIGHT:4,BOTTOM:8,SIDE_MASK:480,CENTER_MASK:512,SOURCE_MASK:1024,TARGET_MASK:2048,VERTEX_MASK:3072,OrthConnector:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){var _0xbfbfxa=_0xbfbfx2[_0xb337[441]][_0xb337[1179]],_0xbfbfx12=null==_0xbfbfx3?!1:_0xbfbfxa[_0xb337[502]]()[_0xb337[250]](_0xbfbfx3[_0xb337[246]]),_0xbfbfxa=null==_0xbfbfx4?!1:_0xbfbfxa[_0xb337[502]]()[_0xb337[250]](_0xbfbfx4[_0xb337[246]]);if(null!=_0xbfbfx5&&0<_0xbfbfx5[_0xb337[67]]||_0xbfbfx12||_0xbfbfxa){mxEdgeStyle.SegmentConnector(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9)}else {_0xbfbfx5=_0xbfbfx2[_0xb337[439]];var _0xbfbfx13=_0xbfbfx5[0],_0xbfbfx14=_0xbfbfx5[_0xbfbfx5[_0xb337[67]]-1];_0xbfbfx5=null!=_0xbfbfx3?_0xbfbfx3[_0xb337[235]]:_0xbfbfx13[_0xb337[235]];var _0xbfbfx12=null!=_0xbfbfx3?_0xbfbfx3[_0xb337[236]]:_0xbfbfx13[_0xb337[236]],_0xbfbfx15=null!=_0xbfbfx3?_0xbfbfx3[_0xb337[117]]:1,_0xbfbfx16=null!=_0xbfbfx3?_0xbfbfx3[_0xb337[119]]:1,_0xbfbfx17=null!=_0xbfbfx4?_0xbfbfx4[_0xb337[235]]:_0xbfbfx14[_0xb337[235]],_0xbfbfx18=null!=_0xbfbfx4?_0xbfbfx4[_0xb337[236]]:_0xbfbfx14[_0xb337[236]],_0xbfbfx19=null!=_0xbfbfx4?_0xbfbfx4[_0xb337[117]]:1,_0xbfbfx1a=null!=_0xbfbfx4?_0xbfbfx4[_0xb337[119]]:1,_0xbfbfxa=_0xbfbfx2[_0xb337[441]][_0xb337[255]]*mxEdgeStyle[_0xb337[2233]],_0xbfbfx1b=[mxConstants[_0xb337[2234]],mxConstants[_0xb337[2234]]];null!=_0xbfbfx3&&(_0xbfbfx1b[0]=mxUtils[_0xb337[2227]](_0xbfbfx3,_0xbfbfx2,!0,mxConstants.DIRECTION_MASK_ALL));null!=_0xbfbfx4&&(_0xbfbfx1b[1]=mxUtils[_0xb337[2227]](_0xbfbfx4,_0xbfbfx2,!1,mxConstants.DIRECTION_MASK_ALL));_0xbfbfx2=[0,0];_0xbfbfx5=[[_0xbfbfx5,_0xbfbfx12,_0xbfbfx15,_0xbfbfx16],[_0xbfbfx17,_0xbfbfx18,_0xbfbfx19,_0xbfbfx1a]];for(_0xbfbfx15=0;2>_0xbfbfx15;_0xbfbfx15++){mxEdgeStyle[_0xb337[2235]][_0xbfbfx15][1]=_0xbfbfx5[_0xbfbfx15][0]-_0xbfbfxa,mxEdgeStyle[_0xb337[2235]][_0xbfbfx15][2]=_0xbfbfx5[_0xbfbfx15][1]-_0xbfbfxa,mxEdgeStyle[_0xb337[2235]][_0xbfbfx15][4]=_0xbfbfx5[_0xbfbfx15][0]+_0xbfbfx5[_0xbfbfx15][2]+_0xbfbfxa,mxEdgeStyle[_0xb337[2235]][_0xbfbfx15][8]=_0xbfbfx5[_0xbfbfx15][1]+_0xbfbfx5[_0xbfbfx15][3]+_0xbfbfxa};_0xbfbfx15=_0xbfbfx5[0][0]+_0xbfbfx5[0][2]/2-(_0xbfbfx5[1][0]+_0xbfbfx5[1][2]/2);_0xbfbfx16=_0xbfbfx5[0][1]+_0xbfbfx5[0][3]/2-(_0xbfbfx5[1][1]+_0xbfbfx5[1][3]/2);_0xbfbfx12=0;0>_0xbfbfx15?_0xbfbfx12=0>_0xbfbfx16?2:1:0>=_0xbfbfx16&&(_0xbfbfx12=3,0==_0xbfbfx15&&(_0xbfbfx12=2));_0xbfbfx16=null;null!=_0xbfbfx3&&(_0xbfbfx16=_0xbfbfx13);_0xbfbfx3=[[0.5,0.5],[0.5,0.5]];for(_0xbfbfx15=0;2>_0xbfbfx15;_0xbfbfx15++){null!=_0xbfbfx16&&(_0xbfbfx3[_0xbfbfx15][0]=(_0xbfbfx16[_0xb337[235]]-_0xbfbfx5[_0xbfbfx15][0])/_0xbfbfx5[_0xbfbfx15][2],0.01>_0xbfbfx3[_0xbfbfx15][0]?_0xbfbfx2[_0xbfbfx15]=mxConstants[_0xb337[436]]:0.99<_0xbfbfx3[_0xbfbfx15][0]&&(_0xbfbfx2[_0xbfbfx15]=mxConstants[_0xb337[438]]),_0xbfbfx3[_0xbfbfx15][1]=(_0xbfbfx16[_0xb337[236]]-_0xbfbfx5[_0xbfbfx15][1])/_0xbfbfx5[_0xbfbfx15][3],0.01>_0xbfbfx3[_0xbfbfx15][1]?_0xbfbfx2[_0xbfbfx15]=mxConstants[_0xb337[435]]:0.99<_0xbfbfx3[_0xbfbfx15][1]&&(_0xbfbfx2[_0xbfbfx15]=mxConstants[_0xb337[437]])),_0xbfbfx16=null,null!=_0xbfbfx4&&(_0xbfbfx16=_0xbfbfx14)};_0xbfbfx15=_0xbfbfx5[0][1]-(_0xbfbfx5[1][1]+_0xbfbfx5[1][3]);_0xbfbfx16=_0xbfbfx5[0][0]-(_0xbfbfx5[1][0]+_0xbfbfx5[1][2]);_0xbfbfx17=_0xbfbfx5[1][1]-(_0xbfbfx5[0][1]+_0xbfbfx5[0][3]);_0xbfbfx18=_0xbfbfx5[1][0]-(_0xbfbfx5[0][0]+_0xbfbfx5[0][2]);mxEdgeStyle[_0xb337[2236]][1]=Math[_0xb337[160]](_0xbfbfx16-2*_0xbfbfxa,0);mxEdgeStyle[_0xb337[2236]][2]=Math[_0xb337[160]](_0xbfbfx15-2*_0xbfbfxa,0);mxEdgeStyle[_0xb337[2236]][4]=Math[_0xb337[160]](_0xbfbfx17-2*_0xbfbfxa,0);mxEdgeStyle[_0xb337[2236]][3]=Math[_0xb337[160]](_0xbfbfx18-2*_0xbfbfxa,0);_0xbfbfx4=[];_0xbfbfx13=[];_0xbfbfx14=[];_0xbfbfx13[0]=_0xbfbfx16>=_0xbfbfx18?mxConstants[_0xb337[436]]:mxConstants[_0xb337[438]];_0xbfbfx14[0]=_0xbfbfx15>=_0xbfbfx17?mxConstants[_0xb337[435]]:mxConstants[_0xb337[437]];_0xbfbfx13[1]=mxUtils[_0xb337[2237]](_0xbfbfx13[0]);_0xbfbfx14[1]=mxUtils[_0xb337[2237]](_0xbfbfx14[0]);_0xbfbfx16=_0xbfbfx16>=_0xbfbfx18?_0xbfbfx16:_0xbfbfx18;_0xbfbfx17=_0xbfbfx15>=_0xbfbfx17?_0xbfbfx15:_0xbfbfx17;_0xbfbfx18=[[0,0],[0,0]];_0xbfbfx19= !1;for(_0xbfbfx15=0;2>_0xbfbfx15;_0xbfbfx15++){0==_0xbfbfx2[_0xbfbfx15]&&(0==(_0xbfbfx13[_0xbfbfx15]&_0xbfbfx1b[_0xbfbfx15])&&(_0xbfbfx13[_0xbfbfx15]=mxUtils[_0xb337[2237]](_0xbfbfx13[_0xbfbfx15])),0==(_0xbfbfx14[_0xbfbfx15]&_0xbfbfx1b[_0xbfbfx15])&&(_0xbfbfx14[_0xbfbfx15]=mxUtils[_0xb337[2237]](_0xbfbfx14[_0xbfbfx15])),_0xbfbfx18[_0xbfbfx15][0]=_0xbfbfx14[_0xbfbfx15],_0xbfbfx18[_0xbfbfx15][1]=_0xbfbfx13[_0xbfbfx15])};_0xbfbfx17>2*_0xbfbfxa&&_0xbfbfx16>2*_0xbfbfxa&&(0<(_0xbfbfx13[0]&_0xbfbfx1b[0])&&0<(_0xbfbfx14[1]&_0xbfbfx1b[1])?(_0xbfbfx18[0][0]=_0xbfbfx13[0],_0xbfbfx18[0][1]=_0xbfbfx14[0],_0xbfbfx18[1][0]=_0xbfbfx14[1],_0xbfbfx18[1][1]=_0xbfbfx13[1],_0xbfbfx19= !0):0<(_0xbfbfx14[0]&_0xbfbfx1b[0])&&0<(_0xbfbfx13[1]&_0xbfbfx1b[1])&&(_0xbfbfx18[0][0]=_0xbfbfx14[0],_0xbfbfx18[0][1]=_0xbfbfx13[0],_0xbfbfx18[1][0]=_0xbfbfx13[1],_0xbfbfx18[1][1]=_0xbfbfx14[1],_0xbfbfx19= !0));_0xbfbfx17>2*_0xbfbfxa&&!_0xbfbfx19&&(_0xbfbfx18[0][0]=_0xbfbfx14[0],_0xbfbfx18[0][1]=_0xbfbfx13[0],_0xbfbfx18[1][0]=_0xbfbfx14[1],_0xbfbfx18[1][1]=_0xbfbfx13[1],_0xbfbfx19= !0);_0xbfbfx16>2*_0xbfbfxa&&!_0xbfbfx19&&(_0xbfbfx18[0][0]=_0xbfbfx13[0],_0xbfbfx18[0][1]=_0xbfbfx14[0],_0xbfbfx18[1][0]=_0xbfbfx13[1],_0xbfbfx18[1][1]=_0xbfbfx14[1]);for(_0xbfbfx15=0;2>_0xbfbfx15;_0xbfbfx15++){if(0==_0xbfbfx2[_0xbfbfx15]&&(0==(_0xbfbfx18[_0xbfbfx15][0]&_0xbfbfx1b[_0xbfbfx15])&&(_0xbfbfx18[_0xbfbfx15][0]=_0xbfbfx18[_0xbfbfx15][1]),_0xbfbfx4[_0xbfbfx15]=_0xbfbfx18[_0xbfbfx15][0]&_0xbfbfx1b[_0xbfbfx15],_0xbfbfx4[_0xbfbfx15]|=(_0xbfbfx18[_0xbfbfx15][1]&_0xbfbfx1b[_0xbfbfx15])<<8,_0xbfbfx4[_0xbfbfx15]|=(_0xbfbfx18[1-_0xbfbfx15][_0xbfbfx15]&_0xbfbfx1b[_0xbfbfx15])<<16,_0xbfbfx4[_0xbfbfx15]|=(_0xbfbfx18[1-_0xbfbfx15][1-_0xbfbfx15]&_0xbfbfx1b[_0xbfbfx15])<<24,0==(_0xbfbfx4[_0xbfbfx15]&15)&&(_0xbfbfx4[_0xbfbfx15]<<=8),0==(_0xbfbfx4[_0xbfbfx15]&3840)&&(_0xbfbfx4[_0xbfbfx15]=_0xbfbfx4[_0xbfbfx15]&15|_0xbfbfx4[_0xbfbfx15]>>8),0==(_0xbfbfx4[_0xbfbfx15]&983040)&&(_0xbfbfx4[_0xbfbfx15]=_0xbfbfx4[_0xbfbfx15]&65535|(_0xbfbfx4[_0xbfbfx15]&251658240)>>8),_0xbfbfx2[_0xbfbfx15]=_0xbfbfx4[_0xbfbfx15]&15,_0xbfbfx1b[_0xbfbfx15]==mxConstants[_0xb337[436]]||_0xbfbfx1b[_0xbfbfx15]==mxConstants[_0xb337[435]]||_0xbfbfx1b[_0xbfbfx15]==mxConstants[_0xb337[438]]||_0xbfbfx1b[_0xbfbfx15]==mxConstants[_0xb337[437]])){_0xbfbfx2[_0xbfbfx15]=_0xbfbfx1b[_0xbfbfx15]}};_0xbfbfx15=_0xbfbfx2[0]==mxConstants[_0xb337[438]]?3:_0xbfbfx2[0];_0xbfbfx1b=_0xbfbfx2[1]==mxConstants[_0xb337[438]]?3:_0xbfbfx2[1];_0xbfbfx15-=_0xbfbfx12;_0xbfbfx1b-=_0xbfbfx12;1>_0xbfbfx15&&(_0xbfbfx15+=4);1>_0xbfbfx1b&&(_0xbfbfx1b+=4);_0xbfbfx1b=mxEdgeStyle[_0xb337[2238]][_0xbfbfx15-1][_0xbfbfx1b-1];mxEdgeStyle[_0xb337[2239]][0][0]=_0xbfbfx5[0][0];mxEdgeStyle[_0xb337[2239]][0][1]=_0xbfbfx5[0][1];switch(_0xbfbfx2[0]){case mxConstants[_0xb337[436]]:mxEdgeStyle[_0xb337[2239]][0][0]-=_0xbfbfxa;mxEdgeStyle[_0xb337[2239]][0][1]+=_0xbfbfx3[0][1]*_0xbfbfx5[0][3];break;case mxConstants[_0xb337[437]]:mxEdgeStyle[_0xb337[2239]][0][0]+=_0xbfbfx3[0][0]*_0xbfbfx5[0][2];mxEdgeStyle[_0xb337[2239]][0][1]+=_0xbfbfx5[0][3]+_0xbfbfxa;break;case mxConstants[_0xb337[438]]:mxEdgeStyle[_0xb337[2239]][0][0]+=_0xbfbfx5[0][2]+_0xbfbfxa;mxEdgeStyle[_0xb337[2239]][0][1]+=_0xbfbfx3[0][1]*_0xbfbfx5[0][3];break;case mxConstants[_0xb337[435]]:mxEdgeStyle[_0xb337[2239]][0][0]+=_0xbfbfx3[0][0]*_0xbfbfx5[0][2],mxEdgeStyle[_0xb337[2239]][0][1]-=_0xbfbfxa};_0xbfbfxa=0;_0xbfbfx13=_0xbfbfx4=0<(_0xbfbfx2[0]&(mxConstants[_0xb337[438]]|mxConstants[_0xb337[436]]))?0:1;for(_0xbfbfx15=_0xbfbfx14=0;_0xbfbfx15<_0xbfbfx1b[_0xb337[67]];_0xbfbfx15++){_0xbfbfx14=_0xbfbfx1b[_0xbfbfx15]&15;_0xbfbfx1a=_0xbfbfx14==mxConstants[_0xb337[438]]?3:_0xbfbfx14;_0xbfbfx1a+=_0xbfbfx12;4<_0xbfbfx1a&&(_0xbfbfx1a-=4);_0xbfbfx16=mxEdgeStyle[_0xb337[2240]][_0xbfbfx1a-1];_0xbfbfx14=0<_0xbfbfx1a%2?0:1;_0xbfbfx14!=_0xbfbfx4&&(_0xbfbfxa++,mxEdgeStyle[_0xb337[2239]][_0xbfbfxa][0]=mxEdgeStyle[_0xb337[2239]][_0xbfbfxa-1][0],mxEdgeStyle[_0xb337[2239]][_0xbfbfxa][1]=mxEdgeStyle[_0xb337[2239]][_0xbfbfxa-1][1]);var _0xbfbfx1c=0<(_0xbfbfx1b[_0xbfbfx15]&mxEdgeStyle[_0xb337[2241]]),_0xbfbfx19=0<(_0xbfbfx1b[_0xbfbfx15]&mxEdgeStyle[_0xb337[2242]]),_0xbfbfx17=(_0xbfbfx1b[_0xbfbfx15]&mxEdgeStyle[_0xb337[2243]])>>5,_0xbfbfx17=_0xbfbfx17<<_0xbfbfx12;15<_0xbfbfx17&&(_0xbfbfx17>>=4);_0xbfbfx18=0<(_0xbfbfx1b[_0xbfbfx15]&mxEdgeStyle[_0xb337[2244]]);(_0xbfbfx19||_0xbfbfx1c)&&9>_0xbfbfx17?(_0xbfbfx1a=0,_0xbfbfx19=_0xbfbfx19?0:1,_0xbfbfx1a=_0xbfbfx18&&0==_0xbfbfx14?_0xbfbfx5[_0xbfbfx19][0]+_0xbfbfx3[_0xbfbfx19][0]*_0xbfbfx5[_0xbfbfx19][2]:_0xbfbfx18?_0xbfbfx5[_0xbfbfx19][1]+_0xbfbfx3[_0xbfbfx19][1]*_0xbfbfx5[_0xbfbfx19][3]:mxEdgeStyle[_0xb337[2235]][_0xbfbfx19][_0xbfbfx17],0==_0xbfbfx14?(_0xbfbfx17=(_0xbfbfx1a-mxEdgeStyle[_0xb337[2239]][_0xbfbfxa][0])*_0xbfbfx16[0],0<_0xbfbfx17&&(mxEdgeStyle[_0xb337[2239]][_0xbfbfxa][0]+=_0xbfbfx16[0]*_0xbfbfx17)):(_0xbfbfx17=(_0xbfbfx1a-mxEdgeStyle[_0xb337[2239]][_0xbfbfxa][1])*_0xbfbfx16[1],0<_0xbfbfx17&&(mxEdgeStyle[_0xb337[2239]][_0xbfbfxa][1]+=_0xbfbfx16[1]*_0xbfbfx17))):_0xbfbfx18&&(mxEdgeStyle[_0xb337[2239]][_0xbfbfxa][0]+=_0xbfbfx16[0]*Math[_0xb337[425]](mxEdgeStyle[_0xb337[2236]][_0xbfbfx1a]/2),mxEdgeStyle[_0xb337[2239]][_0xbfbfxa][1]+=_0xbfbfx16[1]*Math[_0xb337[425]](mxEdgeStyle[_0xb337[2236]][_0xbfbfx1a]/2));0<_0xbfbfxa&&mxEdgeStyle[_0xb337[2239]][_0xbfbfxa][_0xbfbfx14]==mxEdgeStyle[_0xb337[2239]][_0xbfbfxa-1][_0xbfbfx14]?_0xbfbfxa--:_0xbfbfx4=_0xbfbfx14};for(_0xbfbfx15=0;_0xbfbfx15<=_0xbfbfxa&& !(_0xbfbfx15==_0xbfbfxa&&((0<(_0xbfbfx2[1]&(mxConstants[_0xb337[438]]|mxConstants[_0xb337[436]]))?0:1)==_0xbfbfx13?0:1)!=(_0xbfbfxa+1)%2);_0xbfbfx15++){_0xbfbfx9[_0xb337[207]]( new mxPoint(mxEdgeStyle[_0xb337[2239]][_0xbfbfx15][0],mxEdgeStyle[_0xb337[2239]][_0xbfbfx15][1]))}}},getRoutePattern:function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){var _0xbfbfx9=_0xbfbfx2[0]==mxConstants[_0xb337[438]]?3:_0xbfbfx2[0];_0xbfbfx2=_0xbfbfx2[1]==mxConstants[_0xb337[438]]?3:_0xbfbfx2[1];_0xbfbfx9-=_0xbfbfx3;_0xbfbfx2-=_0xbfbfx3;1>_0xbfbfx9&&(_0xbfbfx9+=4);1>_0xbfbfx2&&(_0xbfbfx2+=4);_0xbfbfx3=routePatterns[_0xbfbfx9-1][_0xbfbfx2-1];if(0==_0xbfbfx4||0==_0xbfbfx5){null!=inlineRoutePatterns[_0xbfbfx9-1][_0xbfbfx2-1]&&(_0xbfbfx3=inlineRoutePatterns[_0xbfbfx9-1][_0xbfbfx2-1])};return _0xbfbfx3}},mxStyleRegistry={values:[],putValue:function(_0xbfbfx2,_0xbfbfx3){mxStyleRegistry[_0xb337[2245]][_0xbfbfx2]=_0xbfbfx3},getValue:function(_0xbfbfx2){return mxStyleRegistry[_0xb337[2245]][_0xbfbfx2]},getName:function(_0xbfbfx2){for(var _0xbfbfx3 in mxStyleRegistry[_0xb337[2245]]){if(mxStyleRegistry[_0xb337[2245]][_0xbfbfx3]==_0xbfbfx2){return _0xbfbfx3}};return null}};mxStyleRegistry[_0xb337[2246]](mxConstants.EDGESTYLE_ELBOW,mxEdgeStyle.ElbowConnector);mxStyleRegistry[_0xb337[2246]](mxConstants.EDGESTYLE_ENTITY_RELATION,mxEdgeStyle.EntityRelation);mxStyleRegistry[_0xb337[2246]](mxConstants.EDGESTYLE_LOOP,mxEdgeStyle.Loop);mxStyleRegistry[_0xb337[2246]](mxConstants.EDGESTYLE_SIDETOSIDE,mxEdgeStyle.SideToSide);mxStyleRegistry[_0xb337[2246]](mxConstants.EDGESTYLE_TOPTOBOTTOM,mxEdgeStyle.TopToBottom);mxStyleRegistry[_0xb337[2246]](mxConstants.EDGESTYLE_ORTHOGONAL,mxEdgeStyle.OrthConnector);mxStyleRegistry[_0xb337[2246]](mxConstants.EDGESTYLE_SEGMENT,mxEdgeStyle.SegmentConnector);mxStyleRegistry[_0xb337[2246]](mxConstants.PERIMETER_ELLIPSE,mxPerimeter.EllipsePerimeter);mxStyleRegistry[_0xb337[2246]](mxConstants.PERIMETER_RECTANGLE,mxPerimeter.RectanglePerimeter);mxStyleRegistry[_0xb337[2246]](mxConstants.PERIMETER_RHOMBUS,mxPerimeter.RhombusPerimeter);mxStyleRegistry[_0xb337[2246]](mxConstants.PERIMETER_TRIANGLE,mxPerimeter.TrianglePerimeter);function mxGraphView(_0xbfbfx2){this[_0xb337[1179]]=_0xbfbfx2;this[_0xb337[513]]= new mxPoint;this[_0xb337[2247]]= new mxRectangle;this[_0xb337[1214]]= new mxDictionary}mxGraphView[_0xb337[202]]= new mxEventSource;mxGraphView[_0xb337[202]][_0xb337[196]]=mxGraphView;mxGraphView[_0xb337[202]][_0xb337[2248]]= new mxPoint;mxGraphView[_0xb337[202]][_0xb337[2091]]=_0xb337[130]!=mxClient[_0xb337[90]]?_0xb337[819]:_0xb337[110];mxGraphView[_0xb337[202]][_0xb337[2249]]=_0xb337[130]!=mxClient[_0xb337[90]]?_0xb337[2250]:_0xb337[110];mxGraphView[_0xb337[202]][_0xb337[2251]]= !1;mxGraphView[_0xb337[202]][_0xb337[2252]]= !0;mxGraphView[_0xb337[202]][_0xb337[2253]]= !0;mxGraphView[_0xb337[202]][_0xb337[2254]]= !0;mxGraphView[_0xb337[202]][_0xb337[1179]]=null;mxGraphView[_0xb337[202]][_0xb337[1741]]=null;mxGraphView[_0xb337[202]][_0xb337[2247]]=null;mxGraphView[_0xb337[202]][_0xb337[255]]=1;mxGraphView[_0xb337[202]][_0xb337[513]]=null;mxGraphView[_0xb337[202]][_0xb337[2255]]= !1;mxGraphView[_0xb337[202]][_0xb337[517]]=function(){return this[_0xb337[2247]]};mxGraphView[_0xb337[202]][_0xb337[2256]]=function(_0xbfbfx2){this[_0xb337[2247]]=_0xbfbfx2};mxGraphView[_0xb337[202]][_0xb337[1799]]=function(_0xbfbfx2){var _0xbfbfx3=null;if(null!=_0xbfbfx2&&0<_0xbfbfx2[_0xb337[67]]){for(var _0xbfbfx4=this[_0xb337[1179]][_0xb337[502]](),_0xbfbfx5=0;_0xbfbfx5<_0xbfbfx2[_0xb337[67]];_0xbfbfx5++){if(_0xbfbfx4[_0xb337[1193]](_0xbfbfx2[_0xbfbfx5])||_0xbfbfx4[_0xb337[250]](_0xbfbfx2[_0xbfbfx5])){var _0xbfbfx9=this[_0xb337[248]](_0xbfbfx2[_0xbfbfx5]);null!=_0xbfbfx9&&(null==_0xbfbfx3?_0xbfbfx3= new mxRectangle(_0xbfbfx9[_0xb337[235]],_0xbfbfx9[_0xb337[236]],_0xbfbfx9[_0xb337[117]],_0xbfbfx9[_0xb337[119]]):_0xbfbfx3[_0xb337[99]](_0xbfbfx9))}}};return _0xbfbfx3};mxGraphView[_0xb337[202]][_0xb337[2257]]=function(_0xbfbfx2){if(this[_0xb337[1741]]!=_0xbfbfx2){var _0xbfbfx3= new mxCurrentRootChange(this,_0xbfbfx2);_0xbfbfx3[_0xb337[350]]();var _0xbfbfx4= new mxUndoableEdit(this,!1);_0xbfbfx4[_0xb337[99]](_0xbfbfx3);this[_0xb337[746]]( new mxEventObject(mxEvent.UNDO,_0xb337[1061],_0xbfbfx4));this[_0xb337[1179]][_0xb337[2258]]()};return _0xbfbfx2};mxGraphView[_0xb337[202]][_0xb337[829]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=this[_0xb337[255]],_0xbfbfx9= new mxPoint(this[_0xb337[513]][_0xb337[235]],this[_0xb337[513]][_0xb337[236]]);if(this[_0xb337[255]]!=_0xbfbfx2||this[_0xb337[513]][_0xb337[235]]!=_0xbfbfx3||this[_0xb337[513]][_0xb337[236]]!=_0xbfbfx4){this[_0xb337[255]]=_0xbfbfx2,this[_0xb337[513]][_0xb337[235]]=_0xbfbfx3,this[_0xb337[513]][_0xb337[236]]=_0xbfbfx4,this[_0xb337[503]]()&&(this[_0xb337[2259]](),this[_0xb337[1179]][_0xb337[2258]]())};this[_0xb337[746]]( new mxEventObject(mxEvent.SCALE_AND_TRANSLATE,_0xb337[255],_0xbfbfx2,_0xb337[2260],_0xbfbfx5,_0xb337[513],this[_0xb337[513]],_0xb337[2261],_0xbfbfx9))};mxGraphView[_0xb337[202]][_0xb337[518]]=function(){return this[_0xb337[255]]};mxGraphView[_0xb337[202]][_0xb337[2262]]=function(_0xbfbfx2){var _0xbfbfx3=this[_0xb337[255]];this[_0xb337[255]]!=_0xbfbfx2&&(this[_0xb337[255]]=_0xbfbfx2,this[_0xb337[503]]()&&(this[_0xb337[2259]](),this[_0xb337[1179]][_0xb337[2258]]()));this[_0xb337[746]]( new mxEventObject(mxEvent.SCALE,_0xb337[255],_0xbfbfx2,_0xb337[2260],_0xbfbfx3))};mxGraphView[_0xb337[202]][_0xb337[512]]=function(){return this[_0xb337[513]]};mxGraphView[_0xb337[202]][_0xb337[1147]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4= new mxPoint(this[_0xb337[513]][_0xb337[235]],this[_0xb337[513]][_0xb337[236]]);if(this[_0xb337[513]][_0xb337[235]]!=_0xbfbfx2||this[_0xb337[513]][_0xb337[236]]!=_0xbfbfx3){this[_0xb337[513]][_0xb337[235]]=_0xbfbfx2,this[_0xb337[513]][_0xb337[236]]=_0xbfbfx3,this[_0xb337[503]]()&&(this[_0xb337[2259]](),this[_0xb337[1179]][_0xb337[2258]]())};this[_0xb337[746]]( new mxEventObject(mxEvent.TRANSLATE,_0xb337[513],this[_0xb337[513]],_0xb337[2261],_0xbfbfx4))};mxGraphView[_0xb337[202]][_0xb337[802]]=function(){null!=this[_0xb337[1741]]&&this[_0xb337[200]]();this[_0xb337[2259]]()};mxGraphView[_0xb337[202]][_0xb337[2259]]=function(){this[_0xb337[2263]]();this[_0xb337[2264]]()};mxGraphView[_0xb337[202]][_0xb337[200]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=this[_0xb337[1179]][_0xb337[502]]();_0xbfbfx2=_0xbfbfx2||_0xbfbfx5[_0xb337[501]]();_0xbfbfx3=null!=_0xbfbfx3?_0xbfbfx3:!1;_0xbfbfx4=null!=_0xbfbfx4?_0xbfbfx4:!0;this[_0xb337[2265]](_0xbfbfx2);if(_0xbfbfx4&&(_0xbfbfx3||_0xbfbfx2!=this[_0xb337[1741]])){_0xbfbfx4=_0xbfbfx5[_0xb337[262]](_0xbfbfx2);for(var _0xbfbfx9=0;_0xbfbfx9<_0xbfbfx4;_0xbfbfx9++){this[_0xb337[200]](_0xbfbfx5[_0xb337[263]](_0xbfbfx2,_0xbfbfx9),_0xbfbfx3)}}else {this[_0xb337[2263]](_0xbfbfx2)}};mxGraphView[_0xb337[202]][_0xb337[2263]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){var _0xbfbfx9=this[_0xb337[1179]][_0xb337[502]]();_0xbfbfx2=_0xbfbfx2||_0xbfbfx9[_0xb337[501]]();_0xbfbfx3=null!=_0xbfbfx3?_0xbfbfx3:!0;_0xbfbfx4=null!=_0xbfbfx4?_0xbfbfx4:!0;_0xbfbfx5=null!=_0xbfbfx5?_0xbfbfx5:!1;var _0xbfbfxa=this[_0xb337[248]](_0xbfbfx2);null!=_0xbfbfxa&&(_0xbfbfxa[_0xb337[2080]]= !0,_0xbfbfx5&&(_0xbfbfxa[_0xb337[2082]]= !0));if(_0xbfbfx3){for(var _0xbfbfx12=_0xbfbfx9[_0xb337[262]](_0xbfbfx2),_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx12;_0xbfbfxa++){var _0xbfbfx13=_0xbfbfx9[_0xb337[263]](_0xbfbfx2,_0xbfbfxa);this[_0xb337[2263]](_0xbfbfx13,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5)}};if(_0xbfbfx4){_0xbfbfx5=_0xbfbfx9[_0xb337[1707]](_0xbfbfx2);for(_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx5;_0xbfbfxa++){this[_0xb337[2263]](_0xbfbfx9[_0xb337[1708]](_0xbfbfx2,_0xbfbfxa),_0xbfbfx3,_0xbfbfx4)}}};mxGraphView[_0xb337[202]][_0xb337[2264]]=function(_0xbfbfx2){var _0xbfbfx3=mxLog[_0xb337[2109]](_0xb337[2266]);window[_0xb337[879]]=mxResources[_0xb337[203]](this[_0xb337[2249]])||this[_0xb337[2249]];var _0xbfbfx4=null;if(this[_0xb337[2253]]&&null!=this[_0xb337[510]]&&null==this[_0xb337[1653]]&&(8==document[_0xb337[5]]||mxClient[_0xb337[496]])){_0xbfbfx4=this[_0xb337[510]][_0xb337[124]][_0xb337[495]];this[_0xb337[510]][_0xb337[124]][_0xb337[495]]=_0xb337[130];var _0xbfbfx5=document[_0xb337[55]](_0xb337[485]);_0xbfbfx5[_0xb337[124]][_0xb337[491]]=_0xb337[492];_0xbfbfx5[_0xb337[124]][_0xb337[493]]=_0xb337[494];_0xbfbfx5[_0xb337[124]][_0xb337[187]]=_0xb337[188];_0xbfbfx5[_0xb337[124]][_0xb337[495]]=mxClient[_0xb337[496]]?_0xb337[497]:_0xb337[498];_0xbfbfx5[_0xb337[124]][_0xb337[499]]=_0xb337[500];document[_0xb337[112]][_0xb337[62]](_0xbfbfx5);this[_0xb337[1653]]=_0xbfbfx5};_0xbfbfx2=_0xbfbfx2||(null!=this[_0xb337[1741]]?this[_0xb337[1741]]:this[_0xb337[1179]][_0xb337[502]]()[_0xb337[501]]());this[_0xb337[2267]](null,_0xbfbfx2);_0xbfbfx2=this[_0xb337[2268]](null,_0xbfbfx2);null==_0xbfbfx2&&(_0xbfbfx2= new mxRectangle);this[_0xb337[2256]](_0xbfbfx2);this[_0xb337[2269]]();null!=_0xbfbfx4&&(this[_0xb337[510]][_0xb337[124]][_0xb337[495]]=_0xbfbfx4,document[_0xb337[112]][_0xb337[266]](this[_0xb337[1653]]),this[_0xb337[1653]]=null);window[_0xb337[879]]=mxResources[_0xb337[203]](this[_0xb337[2091]])||this[_0xb337[2091]];mxLog[_0xb337[2110]](_0xb337[2266],_0xbfbfx3)};mxGraphView[_0xb337[202]][_0xb337[2270]]=function(_0xbfbfx2){return new mxRectangleShape(_0xbfbfx2,_0xb337[1391],_0xb337[586])};mxGraphView[_0xb337[202]][_0xb337[2269]]=function(){var _0xbfbfx2=this[_0xb337[1179]][_0xb337[2271]]();if(null!=_0xbfbfx2){if(null==this[_0xb337[1617]]||this[_0xb337[1617]][_0xb337[618]]!=_0xbfbfx2[_0xb337[390]]){null!=this[_0xb337[1617]]&&this[_0xb337[1617]][_0xb337[515]]();var _0xbfbfx3= new mxRectangle(0,0,1,1);this[_0xb337[1617]]= new mxImageShape(_0xbfbfx3,_0xbfbfx2[_0xb337[390]]);this[_0xb337[1617]][_0xb337[507]]=this[_0xb337[1179]][_0xb337[507]];this[_0xb337[1617]][_0xb337[176]](this[_0xb337[2053]]);this[_0xb337[1617]][_0xb337[258]]()};this[_0xb337[2272]](this[_0xb337[1617]],_0xbfbfx2)}else {null!=this[_0xb337[1617]]&&(this[_0xb337[1617]][_0xb337[515]](),this[_0xb337[1617]]=null)};this[_0xb337[1179]][_0xb337[2273]]?(_0xbfbfx3=this[_0xb337[2274]](),null==this[_0xb337[2275]]?(this[_0xb337[2275]]=this[_0xb337[2270]](_0xbfbfx3),this[_0xb337[2275]][_0xb337[255]]=this[_0xb337[255]],this[_0xb337[2275]][_0xb337[1596]]= !0,this[_0xb337[2275]][_0xb337[507]]=this[_0xb337[1179]][_0xb337[507]],this[_0xb337[2275]][_0xb337[176]](this[_0xb337[2053]]),this[_0xb337[2275]][_0xb337[258]](),mxEvent[_0xb337[169]](this[_0xb337[2275]][_0xb337[252]],_0xb337[760],mxUtils[_0xb337[885]](this,function(_0xbfbfx2){this[_0xb337[1179]][_0xb337[761]](_0xbfbfx2)})),mxEvent[_0xb337[759]](this[_0xb337[2275]][_0xb337[252]],mxUtils[_0xb337[885]](this,function(_0xbfbfx2){this[_0xb337[1179]][_0xb337[758]](mxEvent.MOUSE_DOWN, new mxMouseEvent(_0xbfbfx2))}),mxUtils[_0xb337[885]](this,function(_0xbfbfx2){null!=this[_0xb337[1179]][_0xb337[2276]]&&this[_0xb337[1179]][_0xb337[2276]][_0xb337[2277]]()&&this[_0xb337[1179]][_0xb337[2276]][_0xb337[801]]();this[_0xb337[1179]][_0xb337[1009]]&&!mxEvent[_0xb337[721]](_0xbfbfx2)&&this[_0xb337[1179]][_0xb337[758]](mxEvent.MOUSE_MOVE, new mxMouseEvent(_0xbfbfx2))}),mxUtils[_0xb337[885]](this,function(_0xbfbfx2){this[_0xb337[1179]][_0xb337[758]](mxEvent.MOUSE_UP, new mxMouseEvent(_0xbfbfx2))}))):(this[_0xb337[2275]][_0xb337[255]]=this[_0xb337[255]],this[_0xb337[2275]][_0xb337[1562]]=_0xbfbfx3,this[_0xb337[2275]][_0xb337[258]]())):null!=this[_0xb337[2275]]&&(this[_0xb337[2275]][_0xb337[515]](),this[_0xb337[2275]]=null)};mxGraphView[_0xb337[202]][_0xb337[2274]]=function(){var _0xbfbfx2=this[_0xb337[1179]][_0xb337[2013]],_0xbfbfx3=this[_0xb337[255]]*this[_0xb337[1179]][_0xb337[2012]];return new mxRectangle(this[_0xb337[255]]*this[_0xb337[513]][_0xb337[235]],this[_0xb337[255]]*this[_0xb337[513]][_0xb337[236]],_0xbfbfx2[_0xb337[117]]*_0xbfbfx3,_0xbfbfx2[_0xb337[119]]*_0xbfbfx3)};mxGraphView[_0xb337[202]][_0xb337[2272]]=function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx2[_0xb337[255]]=this[_0xb337[255]];_0xbfbfx2[_0xb337[1562]][_0xb337[235]]=this[_0xb337[255]]*this[_0xb337[513]][_0xb337[235]];_0xbfbfx2[_0xb337[1562]][_0xb337[236]]=this[_0xb337[255]]*this[_0xb337[513]][_0xb337[236]];_0xbfbfx2[_0xb337[1562]][_0xb337[117]]=this[_0xb337[255]]*_0xbfbfx3[_0xb337[117]];_0xbfbfx2[_0xb337[1562]][_0xb337[119]]=this[_0xb337[255]]*_0xbfbfx3[_0xb337[119]];_0xbfbfx2[_0xb337[258]]()};mxGraphView[_0xb337[202]][_0xb337[2267]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=this[_0xb337[1179]][_0xb337[502]](),_0xbfbfx5=this[_0xb337[248]](_0xbfbfx3,!0);if(null!=_0xbfbfx5&&_0xbfbfx5[_0xb337[2080]]){if(this[_0xb337[1179]][_0xb337[1714]](_0xbfbfx3)){if(_0xbfbfx3!=this[_0xb337[1741]]&&null!=_0xbfbfx2){_0xbfbfx5[_0xb337[2079]][_0xb337[235]]=0;_0xbfbfx5[_0xb337[2079]][_0xb337[236]]=0;_0xbfbfx5[_0xb337[2078]][_0xb337[235]]=_0xbfbfx2[_0xb337[2078]][_0xb337[235]];_0xbfbfx5[_0xb337[2078]][_0xb337[236]]=_0xbfbfx2[_0xb337[2078]][_0xb337[236]];var _0xbfbfx9=this[_0xb337[1179]][_0xb337[1198]](_0xbfbfx3);if(null!=_0xbfbfx9){if(!_0xbfbfx4[_0xb337[250]](_0xbfbfx3)){var _0xbfbfxa=_0xbfbfx9[_0xb337[1368]]||this[_0xb337[2248]];_0xbfbfx9[_0xb337[1500]]?(_0xbfbfx5[_0xb337[2078]][_0xb337[235]]+=_0xbfbfx9[_0xb337[235]]*_0xbfbfx2[_0xb337[117]]/this[_0xb337[255]]+_0xbfbfxa[_0xb337[235]],_0xbfbfx5[_0xb337[2078]][_0xb337[236]]+=_0xbfbfx9[_0xb337[236]]*_0xbfbfx2[_0xb337[119]]/this[_0xb337[255]]+_0xbfbfxa[_0xb337[236]]):(_0xbfbfx5[_0xb337[2079]][_0xb337[235]]=this[_0xb337[255]]*_0xbfbfxa[_0xb337[235]],_0xbfbfx5[_0xb337[2079]][_0xb337[236]]=this[_0xb337[255]]*_0xbfbfxa[_0xb337[236]],_0xbfbfx5[_0xb337[2078]][_0xb337[235]]+=_0xbfbfx9[_0xb337[235]],_0xbfbfx5[_0xb337[2078]][_0xb337[236]]+=_0xbfbfx9[_0xb337[236]])};_0xbfbfx5[_0xb337[235]]=this[_0xb337[255]]*(this[_0xb337[513]][_0xb337[235]]+_0xbfbfx5[_0xb337[2078]][_0xb337[235]]);_0xbfbfx5[_0xb337[236]]=this[_0xb337[255]]*(this[_0xb337[513]][_0xb337[236]]+_0xbfbfx5[_0xb337[2078]][_0xb337[236]]);_0xbfbfx5[_0xb337[117]]=this[_0xb337[255]]*_0xbfbfx9[_0xb337[117]];_0xbfbfx5[_0xb337[119]]=this[_0xb337[255]]*_0xbfbfx9[_0xb337[119]];if(_0xbfbfx4[_0xb337[1193]](_0xbfbfx3)){if(_0xbfbfx9[_0xb337[1500]]&&(_0xbfbfxa=mxUtils[_0xb337[431]](_0xbfbfx2[_0xb337[124]][mxConstants[_0xb337[2278]]]||_0xb337[468]),0!=_0xbfbfxa)){var _0xbfbfx9=Math[_0xb337[426]](_0xbfbfxa),_0xbfbfxa=Math[_0xb337[427]](_0xbfbfxa),_0xbfbfx12= new mxPoint(_0xbfbfx5[_0xb337[241]](),_0xbfbfx5[_0xb337[242]]()),_0xbfbfx13= new mxPoint(_0xbfbfx2[_0xb337[241]](),_0xbfbfx2[_0xb337[242]]()),_0xbfbfx9=mxUtils[_0xb337[432]](_0xbfbfx12,_0xbfbfx9,_0xbfbfxa,_0xbfbfx13);_0xbfbfx5[_0xb337[235]]=_0xbfbfx9[_0xb337[235]]-_0xbfbfx5[_0xb337[117]]/2;_0xbfbfx5[_0xb337[236]]=_0xbfbfx9[_0xb337[236]]-_0xbfbfx5[_0xb337[119]]/2};this[_0xb337[2279]](_0xbfbfx5)}}}}else {this[_0xb337[2265]](_0xbfbfx3)};_0xbfbfxa=this[_0xb337[1179]][_0xb337[2280]](_0xbfbfx3);null!=_0xbfbfxa&&(_0xbfbfx5[_0xb337[2078]][_0xb337[235]]+=_0xbfbfxa[_0xb337[235]],_0xbfbfx5[_0xb337[2078]][_0xb337[236]]+=_0xbfbfxa[_0xb337[236]])};if(null!=_0xbfbfx5&&(!this[_0xb337[1179]][_0xb337[1742]](_0xbfbfx3)||_0xbfbfx3==this[_0xb337[1741]])){_0xbfbfx9=_0xbfbfx4[_0xb337[262]](_0xbfbfx3);for(_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx9;_0xbfbfxa++){_0xbfbfx12=_0xbfbfx4[_0xb337[263]](_0xbfbfx3,_0xbfbfxa),this[_0xb337[2267]](_0xbfbfx5,_0xbfbfx12)}}};mxGraphView[_0xb337[202]][_0xb337[2279]]=function(_0xbfbfx2){var _0xbfbfx3=mxUtils[_0xb337[433]](_0xbfbfx2[_0xb337[124]],mxConstants.STYLE_LABEL_POSITION,mxConstants.ALIGN_CENTER);_0xbfbfx3==mxConstants[_0xb337[2132]]?_0xbfbfx2[_0xb337[2079]][_0xb337[235]]-=_0xbfbfx2[_0xb337[117]]:_0xbfbfx3==mxConstants[_0xb337[480]]&&(_0xbfbfx2[_0xb337[2079]][_0xb337[235]]+=_0xbfbfx2[_0xb337[117]]);_0xbfbfx3=mxUtils[_0xb337[433]](_0xbfbfx2[_0xb337[124]],mxConstants.STYLE_VERTICAL_LABEL_POSITION,mxConstants.ALIGN_MIDDLE);_0xbfbfx3==mxConstants[_0xb337[1687]]?_0xbfbfx2[_0xb337[2079]][_0xb337[236]]-=_0xbfbfx2[_0xb337[119]]:_0xbfbfx3==mxConstants[_0xb337[482]]&&(_0xbfbfx2[_0xb337[2079]][_0xb337[236]]+=_0xbfbfx2[_0xb337[119]])};mxGraphView[_0xb337[202]][_0xb337[2268]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=this[_0xb337[1179]][_0xb337[502]](),_0xbfbfx5=this[_0xb337[248]](_0xbfbfx3),_0xbfbfx9=null;if(null!=_0xbfbfx5){if(_0xbfbfx5[_0xb337[2080]]){var _0xbfbfxa=this[_0xb337[1179]][_0xb337[1198]](_0xbfbfx3);if(null!=_0xbfbfxa&&_0xbfbfx4[_0xb337[250]](_0xbfbfx3)){var _0xbfbfx12=this[_0xb337[248]](this[_0xb337[1710]](_0xbfbfx3,!0));_0xbfbfx5[_0xb337[2090]](_0xbfbfx12,!0);if(null!=_0xbfbfx12&&_0xbfbfx4[_0xb337[250]](_0xbfbfx12[_0xb337[246]])&&!_0xbfbfx4[_0xb337[1720]](_0xbfbfx12[_0xb337[246]],_0xbfbfx3)){var _0xbfbfx13=this[_0xb337[248]](_0xbfbfx4[_0xb337[1197]](_0xbfbfx12[_0xb337[246]]));this[_0xb337[2268]](_0xbfbfx13,_0xbfbfx12[_0xb337[246]])};var _0xbfbfx14=this[_0xb337[248]](this[_0xb337[1710]](_0xbfbfx3,!1));_0xbfbfx5[_0xb337[2090]](_0xbfbfx14,!1);null!=_0xbfbfx14&&(_0xbfbfx4[_0xb337[250]](_0xbfbfx14[_0xb337[246]])&&!_0xbfbfx4[_0xb337[1720]](_0xbfbfx14[_0xb337[246]],_0xbfbfx3))&&(_0xbfbfx13=this[_0xb337[248]](_0xbfbfx4[_0xb337[1197]](_0xbfbfx14[_0xb337[246]])),this[_0xb337[2268]](_0xbfbfx13,_0xbfbfx14[_0xb337[246]]));this[_0xb337[2281]](_0xbfbfx5,_0xbfbfx12,_0xbfbfx14);this[_0xb337[2282]](_0xbfbfx5,_0xbfbfxa[_0xb337[1525]],_0xbfbfx12,_0xbfbfx14);this[_0xb337[2283]](_0xbfbfx5,_0xbfbfx12,_0xbfbfx14);this[_0xb337[2284]](_0xbfbfx5);this[_0xb337[2285]](_0xbfbfx5)}else {null!=_0xbfbfxa&&(_0xbfbfxa[_0xb337[1500]]&&null!=_0xbfbfx2&&_0xbfbfx4[_0xb337[250]](_0xbfbfx2[_0xb337[246]]))&&(_0xbfbfxa=this[_0xb337[245]](_0xbfbfx2,_0xbfbfxa),null!=_0xbfbfxa&&(_0xbfbfx5[_0xb337[235]]=_0xbfbfxa[_0xb337[235]],_0xbfbfx5[_0xb337[236]]=_0xbfbfxa[_0xb337[236]],_0xbfbfxa[_0xb337[235]]=_0xbfbfxa[_0xb337[235]]/this[_0xb337[255]]-this[_0xb337[513]][_0xb337[235]],_0xbfbfxa[_0xb337[236]]=_0xbfbfxa[_0xb337[236]]/this[_0xb337[255]]-this[_0xb337[513]][_0xb337[236]],_0xbfbfx5[_0xb337[2078]]=_0xbfbfxa,this[_0xb337[2286]](_0xbfbfx2,_0xbfbfx5)))};_0xbfbfx5[_0xb337[2080]]= !1;_0xbfbfx3!=this[_0xb337[1741]]&&this[_0xb337[1179]][_0xb337[259]][_0xb337[258]](_0xbfbfx5,!1,this[_0xb337[2287]]())};if(_0xbfbfx4[_0xb337[250]](_0xbfbfx3)||_0xbfbfx4[_0xb337[1193]](_0xbfbfx3)){null!=_0xbfbfx5[_0xb337[253]]&&null!=_0xbfbfx5[_0xb337[253]][_0xb337[1563]]&&(_0xbfbfx9=_0xbfbfx5[_0xb337[253]][_0xb337[1563]][_0xb337[238]]()),null!=_0xbfbfx5[_0xb337[963]]&&!this[_0xb337[1179]][_0xb337[2130]](_0xbfbfx5[_0xb337[246]])&&null!=_0xbfbfx5[_0xb337[963]][_0xb337[1563]]&&(null!=_0xbfbfx9?_0xbfbfx9[_0xb337[99]](_0xbfbfx5[_0xb337[963]][_0xb337[1563]]):_0xbfbfx9=_0xbfbfx5[_0xb337[963]][_0xb337[1563]][_0xb337[238]]())}};if(null!=_0xbfbfx5&&(!this[_0xb337[1179]][_0xb337[1742]](_0xbfbfx3)||_0xbfbfx3==this[_0xb337[1741]])){_0xbfbfxa=_0xbfbfx4[_0xb337[262]](_0xbfbfx3);for(_0xbfbfx12=0;_0xbfbfx12<_0xbfbfxa;_0xbfbfx12++){_0xbfbfx13=_0xbfbfx4[_0xb337[263]](_0xbfbfx3,_0xbfbfx12),_0xbfbfx13=this[_0xb337[2268]](_0xbfbfx5,_0xbfbfx13),null!=_0xbfbfx13&&(null==_0xbfbfx9?_0xbfbfx9=_0xbfbfx13:_0xbfbfx9[_0xb337[99]](_0xbfbfx13))}};return _0xbfbfx9};mxGraphView[_0xb337[202]][_0xb337[2286]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=_0xbfbfx3[_0xb337[246]];if(!this[_0xb337[1179]][_0xb337[1742]](_0xbfbfx4)||_0xbfbfx4==this[_0xb337[1741]]){for(var _0xbfbfx5=this[_0xb337[1179]][_0xb337[502]](),_0xbfbfx9=_0xbfbfx5[_0xb337[262]](_0xbfbfx4),_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx9;_0xbfbfxa++){this[_0xb337[2267]](_0xbfbfx3,_0xbfbfx5[_0xb337[263]](_0xbfbfx4,_0xbfbfxa))}}};mxGraphView[_0xb337[202]][_0xb337[2281]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){this[_0xb337[2289]](_0xbfbfx2,_0xbfbfx3,!0,this[_0xb337[1179]][_0xb337[2288]](_0xbfbfx2,_0xbfbfx3,!0));this[_0xb337[2289]](_0xbfbfx2,_0xbfbfx4,!1,this[_0xb337[1179]][_0xb337[2288]](_0xbfbfx2,_0xbfbfx4,!1))};mxGraphView[_0xb337[202]][_0xb337[2289]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){var _0xbfbfx9=null;null!=_0xbfbfx5&&(_0xbfbfx9=this[_0xb337[1179]][_0xb337[2290]](_0xbfbfx3,_0xbfbfx5));if(null==_0xbfbfx9&&null==_0xbfbfx3){_0xbfbfx3=this[_0xb337[255]];_0xbfbfx5=this[_0xb337[513]];var _0xbfbfxa=_0xbfbfx2[_0xb337[2078]],_0xbfbfx9=this[_0xb337[1179]][_0xb337[1198]](_0xbfbfx2[_0xb337[246]])[_0xb337[2006]](_0xbfbfx4);null!=_0xbfbfx9&&(_0xbfbfx9= new mxPoint(_0xbfbfx3*(_0xbfbfx5[_0xb337[235]]+_0xbfbfx9[_0xb337[235]]+_0xbfbfxa[_0xb337[235]]),_0xbfbfx3*(_0xbfbfx5[_0xb337[236]]+_0xbfbfx9[_0xb337[236]]+_0xbfbfxa[_0xb337[236]])))};_0xbfbfx2[_0xb337[2088]](_0xbfbfx9,_0xbfbfx4)};mxGraphView[_0xb337[202]][_0xb337[2282]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){if(null!=_0xbfbfx2){var _0xbfbfx9=[];_0xbfbfx9[_0xb337[207]](_0xbfbfx2[_0xb337[439]][0]);var _0xbfbfxa=this[_0xb337[2291]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5);if(null!=_0xbfbfxa){_0xbfbfx4=this[_0xb337[2292]](_0xbfbfx2,_0xbfbfx4,!0),_0xbfbfx5=this[_0xb337[2292]](_0xbfbfx2,_0xbfbfx5,!1),_0xbfbfxa(_0xbfbfx2,_0xbfbfx4,_0xbfbfx5,_0xbfbfx3,_0xbfbfx9)}else {if(null!=_0xbfbfx3){for(_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx3[_0xb337[67]];_0xbfbfxa++){null!=_0xbfbfx3[_0xbfbfxa]&&(_0xbfbfx5=mxUtils[_0xb337[238]](_0xbfbfx3[_0xbfbfxa]),_0xbfbfx9[_0xb337[207]](this[_0xb337[2229]](_0xbfbfx2,_0xbfbfx5)))}}};_0xbfbfx3=_0xbfbfx2[_0xb337[439]];_0xbfbfx9[_0xb337[207]](_0xbfbfx3[_0xbfbfx3[_0xb337[67]]-1]);_0xbfbfx2[_0xb337[439]]=_0xbfbfx9}};mxGraphView[_0xb337[202]][_0xb337[2229]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=_0xbfbfx2[_0xb337[2078]];return new mxPoint(this[_0xb337[255]]*(_0xbfbfx3[_0xb337[235]]+this[_0xb337[513]][_0xb337[235]]+_0xbfbfx4[_0xb337[235]]),this[_0xb337[255]]*(_0xbfbfx3[_0xb337[236]]+this[_0xb337[513]][_0xb337[236]]+_0xbfbfx4[_0xb337[236]]))};mxGraphView[_0xb337[202]][_0xb337[2291]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){_0xbfbfx2=null!=_0xbfbfx4&&_0xbfbfx4==_0xbfbfx5?mxUtils[_0xb337[433]](_0xbfbfx2[_0xb337[124]],mxConstants.STYLE_LOOP,this[_0xb337[1179]][_0xb337[2293]]):!mxUtils[_0xb337[433]](_0xbfbfx2[_0xb337[124]],mxConstants.STYLE_NOEDGESTYLE,!1)?_0xbfbfx2[_0xb337[124]][mxConstants[_0xb337[2294]]]:null;_0xb337[1516]== typeof _0xbfbfx2&&(_0xbfbfx3=mxStyleRegistry[_0xb337[433]](_0xbfbfx2),null==_0xbfbfx3&&this[_0xb337[2295]]()&&(_0xbfbfx3=mxUtils[_0xb337[1545]](_0xbfbfx2)),_0xbfbfx2=_0xbfbfx3);return _0xb337[279]== typeof _0xbfbfx2?_0xbfbfx2:null};mxGraphView[_0xb337[202]][_0xb337[2283]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=_0xbfbfx2[_0xb337[439]],_0xbfbfx9=_0xbfbfx5[0];null==_0xbfbfx5[_0xbfbfx5[_0xb337[67]]-1]&&null!=_0xbfbfx4&&this[_0xb337[2296]](_0xbfbfx2,_0xbfbfx4,_0xbfbfx3,!1);null==_0xbfbfx9&&null!=_0xbfbfx3&&this[_0xb337[2296]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,!0)};mxGraphView[_0xb337[202]][_0xb337[2296]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){_0xbfbfx3=this[_0xb337[2292]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx5);var _0xbfbfx9=this[_0xb337[2297]](_0xbfbfx2,_0xbfbfx4,_0xbfbfx5),_0xbfbfxa=this[_0xb337[1179]][_0xb337[2298]](_0xbfbfx2);_0xbfbfx4=mxUtils[_0xb337[431]](Number(_0xbfbfx3[_0xb337[124]][mxConstants[_0xb337[2278]]]||_0xb337[468]));var _0xbfbfx12= new mxPoint(_0xbfbfx3[_0xb337[241]](),_0xbfbfx3[_0xb337[242]]());if(0!=_0xbfbfx4){var _0xbfbfx13=Math[_0xb337[426]](-_0xbfbfx4),_0xbfbfx14=Math[_0xb337[427]](-_0xbfbfx4),_0xbfbfx9=mxUtils[_0xb337[432]](_0xbfbfx9,_0xbfbfx13,_0xbfbfx14,_0xbfbfx12)};_0xbfbfx13=parseFloat(_0xbfbfx2[_0xb337[124]][mxConstants[_0xb337[2299]]]||0);_0xbfbfx13+=parseFloat(_0xbfbfx2[_0xb337[124]][_0xbfbfx5?mxConstants[_0xb337[2300]]:mxConstants[_0xb337[2301]]]||0);_0xbfbfx3=this[_0xb337[2302]](_0xbfbfx3,_0xbfbfx9,0==_0xbfbfx4&&_0xbfbfxa,_0xbfbfx13);0!=_0xbfbfx4&&(_0xbfbfx13=Math[_0xb337[426]](_0xbfbfx4),_0xbfbfx14=Math[_0xb337[427]](_0xbfbfx4),_0xbfbfx3=mxUtils[_0xb337[432]](_0xbfbfx3,_0xbfbfx13,_0xbfbfx14,_0xbfbfx12));_0xbfbfx2[_0xb337[2088]](_0xbfbfx3,_0xbfbfx5)};mxGraphView[_0xb337[202]][_0xb337[2292]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx2=mxUtils[_0xb337[433]](_0xbfbfx2[_0xb337[124]],_0xbfbfx4?mxConstants[_0xb337[2303]]:mxConstants[_0xb337[2304]]);null!=_0xbfbfx2&&(_0xbfbfx2=this[_0xb337[248]](this[_0xb337[1179]][_0xb337[502]]()[_0xb337[736]](_0xbfbfx2)),null!=_0xbfbfx2&&(_0xbfbfx3=_0xbfbfx2));return _0xbfbfx3};mxGraphView[_0xb337[202]][_0xb337[2302]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){var _0xbfbfx9=null;if(null!=_0xbfbfx2){var _0xbfbfxa=this[_0xb337[2305]](_0xbfbfx2);if(null!=_0xbfbfxa&&null!=_0xbfbfx3&&(_0xbfbfx5=this[_0xb337[2087]](_0xbfbfx2,_0xbfbfx5),0<_0xbfbfx5[_0xb337[117]]||0<_0xbfbfx5[_0xb337[119]])){_0xbfbfx9=_0xbfbfxa(_0xbfbfx5,_0xbfbfx2,_0xbfbfx3,_0xbfbfx4)};null==_0xbfbfx9&&(_0xbfbfx9=this[_0xb337[245]](_0xbfbfx2))};return _0xbfbfx9};mxGraphView[_0xb337[202]][_0xb337[2230]]=function(_0xbfbfx2){var _0xbfbfx3=null!=_0xbfbfx2[_0xb337[124]]?parseFloat(_0xbfbfx2[_0xb337[124]][mxConstants[_0xb337[2306]]])||0:0;return _0xbfbfx2[_0xb337[241]]()+_0xbfbfx3*_0xbfbfx2[_0xb337[117]]};mxGraphView[_0xb337[202]][_0xb337[2228]]=function(_0xbfbfx2){var _0xbfbfx3=null!=_0xbfbfx2[_0xb337[124]]?parseFloat(_0xbfbfx2[_0xb337[124]][mxConstants[_0xb337[2307]]])||0:0;return _0xbfbfx2[_0xb337[242]]()+_0xbfbfx3*_0xbfbfx2[_0xb337[119]]};mxGraphView[_0xb337[202]][_0xb337[2087]]=function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx3=null!=_0xbfbfx3?_0xbfbfx3:0;null!=_0xbfbfx2&&(_0xbfbfx3+=parseFloat(_0xbfbfx2[_0xb337[124]][mxConstants[_0xb337[2299]]]||0));return _0xbfbfx2[_0xb337[2087]](_0xbfbfx3*this[_0xb337[255]])};mxGraphView[_0xb337[202]][_0xb337[2305]]=function(_0xbfbfx2){_0xbfbfx2=_0xbfbfx2[_0xb337[124]][mxConstants[_0xb337[2061]]];if(_0xb337[1516]== typeof _0xbfbfx2){var _0xbfbfx3=mxStyleRegistry[_0xb337[433]](_0xbfbfx2);null==_0xbfbfx3&&this[_0xb337[2295]]()&&(_0xbfbfx3=mxUtils[_0xb337[1545]](_0xbfbfx2));_0xbfbfx2=_0xbfbfx3};return _0xb337[279]== typeof _0xbfbfx2?_0xbfbfx2:null};mxGraphView[_0xb337[202]][_0xb337[2297]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx2=_0xbfbfx2[_0xb337[439]];var _0xbfbfx5=null;if(null!=_0xbfbfx2&&(_0xbfbfx4||2<_0xbfbfx2[_0xb337[67]]||null==_0xbfbfx3)){_0xbfbfx5=_0xbfbfx2[_0xb337[67]],_0xbfbfx5=_0xbfbfx2[_0xbfbfx4?Math[_0xb337[243]](1,_0xbfbfx5-1):Math[_0xb337[160]](0,_0xbfbfx5-2)]};null==_0xbfbfx5&&null!=_0xbfbfx3&&(_0xbfbfx5= new mxPoint(_0xbfbfx3[_0xb337[241]](),_0xbfbfx3[_0xb337[242]]()));return _0xbfbfx5};mxGraphView[_0xb337[202]][_0xb337[1710]]=function(_0xbfbfx2,_0xbfbfx3){for(var _0xbfbfx4=this[_0xb337[1179]][_0xb337[502]](),_0xbfbfx5=_0xbfbfx4[_0xb337[1709]](_0xbfbfx2,_0xbfbfx3),_0xbfbfx9=_0xbfbfx5;null!=_0xbfbfx5&&_0xbfbfx5!=this[_0xb337[1741]];){if(!this[_0xb337[1179]][_0xb337[1714]](_0xbfbfx9)||this[_0xb337[1179]][_0xb337[1742]](_0xbfbfx5)){_0xbfbfx9=_0xbfbfx5};_0xbfbfx5=_0xbfbfx4[_0xb337[1197]](_0xbfbfx5)};_0xbfbfx4[_0xb337[1197]](_0xbfbfx9)==_0xbfbfx4[_0xb337[501]]()&&(_0xbfbfx9=null);return _0xbfbfx9};mxGraphView[_0xb337[202]][_0xb337[2284]]=function(_0xbfbfx2){var _0xbfbfx3=_0xbfbfx2[_0xb337[439]];_0xbfbfx2[_0xb337[67]]=0;if(null!=_0xbfbfx3&&0<_0xbfbfx3[_0xb337[67]]){var _0xbfbfx4=_0xbfbfx3[0],_0xbfbfx5=_0xbfbfx3[_0xbfbfx3[_0xb337[67]]-1];if(null==_0xbfbfx4||null==_0xbfbfx5){_0xbfbfx2[_0xb337[246]]!=this[_0xb337[1741]]&&this[_0xb337[200]](_0xbfbfx2[_0xb337[246]],!0)}else {if(_0xbfbfx4[_0xb337[235]]!=_0xbfbfx5[_0xb337[235]]||_0xbfbfx4[_0xb337[236]]!=_0xbfbfx5[_0xb337[236]]){var _0xbfbfx9=_0xbfbfx5[_0xb337[235]]-_0xbfbfx4[_0xb337[235]],_0xbfbfxa=_0xbfbfx5[_0xb337[236]]-_0xbfbfx4[_0xb337[236]];_0xbfbfx2[_0xb337[2085]]=Math[_0xb337[428]](_0xbfbfx9*_0xbfbfx9+_0xbfbfxa*_0xbfbfxa)}else {_0xbfbfx2[_0xb337[2085]]=0};var _0xbfbfx5=0,_0xbfbfx12=[],_0xbfbfxa=_0xbfbfx4;if(null!=_0xbfbfxa){for(var _0xbfbfx4=_0xbfbfxa[_0xb337[235]],_0xbfbfx13=_0xbfbfxa[_0xb337[236]],_0xbfbfx14=_0xbfbfx4,_0xbfbfx15=_0xbfbfx13,_0xbfbfx16=1;_0xbfbfx16<_0xbfbfx3[_0xb337[67]];_0xbfbfx16++){var _0xbfbfx17=_0xbfbfx3[_0xbfbfx16];null!=_0xbfbfx17&&(_0xbfbfx9=_0xbfbfxa[_0xb337[235]]-_0xbfbfx17[_0xb337[235]],_0xbfbfxa=_0xbfbfxa[_0xb337[236]]-_0xbfbfx17[_0xb337[236]],_0xbfbfx9=Math[_0xb337[428]](_0xbfbfx9*_0xbfbfx9+_0xbfbfxa*_0xbfbfxa),_0xbfbfx12[_0xb337[207]](_0xbfbfx9),_0xbfbfx5+=_0xbfbfx9,_0xbfbfxa=_0xbfbfx17,_0xbfbfx4=Math[_0xb337[243]](_0xbfbfxa[_0xb337[235]],_0xbfbfx4),_0xbfbfx13=Math[_0xb337[243]](_0xbfbfxa[_0xb337[236]],_0xbfbfx13),_0xbfbfx14=Math[_0xb337[160]](_0xbfbfxa[_0xb337[235]],_0xbfbfx14),_0xbfbfx15=Math[_0xb337[160]](_0xbfbfxa[_0xb337[236]],_0xbfbfx15))};_0xbfbfx2[_0xb337[67]]=_0xbfbfx5;_0xbfbfx2[_0xb337[2086]]=_0xbfbfx12;_0xbfbfx2[_0xb337[235]]=_0xbfbfx4;_0xbfbfx2[_0xb337[236]]=_0xbfbfx13;_0xbfbfx2[_0xb337[117]]=Math[_0xb337[160]](1,_0xbfbfx14-_0xbfbfx4);_0xbfbfx2[_0xb337[119]]=Math[_0xb337[160]](1,_0xbfbfx15-_0xbfbfx13)}}}};mxGraphView[_0xb337[202]][_0xb337[245]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=_0xbfbfx2[_0xb337[241]](),_0xbfbfx5=_0xbfbfx2[_0xb337[242]]();if(null!=_0xbfbfx2[_0xb337[2086]]&&(null==_0xbfbfx3||_0xbfbfx3[_0xb337[1500]])){for(var _0xbfbfx9=_0xbfbfx2[_0xb337[439]][_0xb337[67]],_0xbfbfxa=((null!=_0xbfbfx3?_0xbfbfx3[_0xb337[235]]/2:0)+0.5)*_0xbfbfx2[_0xb337[67]],_0xbfbfx12=_0xbfbfx2[_0xb337[2086]][0],_0xbfbfx13=0,_0xbfbfx14=1;_0xbfbfxa>_0xbfbfx13+_0xbfbfx12&&_0xbfbfx14<_0xbfbfx9-1;){_0xbfbfx13+=_0xbfbfx12,_0xbfbfx12=_0xbfbfx2[_0xb337[2086]][_0xbfbfx14++]};_0xbfbfx9=0==_0xbfbfx12?0:(_0xbfbfxa-_0xbfbfx13)/_0xbfbfx12;_0xbfbfxa=_0xbfbfx2[_0xb337[439]][_0xbfbfx14-1];_0xbfbfx14=_0xbfbfx2[_0xb337[439]][_0xbfbfx14];if(null!=_0xbfbfxa&&null!=_0xbfbfx14){_0xbfbfx13=_0xbfbfx4=_0xbfbfx5=0;if(null!=_0xbfbfx3){var _0xbfbfx5=_0xbfbfx3[_0xb337[236]],_0xbfbfx15=_0xbfbfx3[_0xb337[1368]];null!=_0xbfbfx15&&(_0xbfbfx4=_0xbfbfx15[_0xb337[235]],_0xbfbfx13=_0xbfbfx15[_0xb337[236]])};_0xbfbfx15=_0xbfbfx14[_0xb337[235]]-_0xbfbfxa[_0xb337[235]];_0xbfbfx14=_0xbfbfx14[_0xb337[236]]-_0xbfbfxa[_0xb337[236]];_0xbfbfx4=_0xbfbfxa[_0xb337[235]]+_0xbfbfx15*_0xbfbfx9+((0==_0xbfbfx12?0:_0xbfbfx14/_0xbfbfx12)*_0xbfbfx5+_0xbfbfx4)*this[_0xb337[255]];_0xbfbfx5=_0xbfbfxa[_0xb337[236]]+_0xbfbfx14*_0xbfbfx9-((0==_0xbfbfx12?0:_0xbfbfx15/_0xbfbfx12)*_0xbfbfx5-_0xbfbfx13)*this[_0xb337[255]]}}else {null!=_0xbfbfx3&&(_0xbfbfx15=_0xbfbfx3[_0xb337[1368]],null!=_0xbfbfx15&&(_0xbfbfx4+=_0xbfbfx15[_0xb337[235]],_0xbfbfx5+=_0xbfbfx15[_0xb337[236]]))};return new mxPoint(_0xbfbfx4,_0xbfbfx5)};mxGraphView[_0xb337[202]][_0xb337[2308]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=this[_0xb337[1179]][_0xb337[502]]()[_0xb337[1721]](_0xbfbfx2[_0xb337[246]]);if(null!=_0xbfbfx5){var _0xbfbfx9=_0xbfbfx2[_0xb337[439]][_0xb337[67]];if(_0xbfbfx5[_0xb337[1500]]&&1<_0xbfbfx9){for(var _0xbfbfx5=_0xbfbfx2[_0xb337[67]],_0xbfbfxa=_0xbfbfx2[_0xb337[2086]],_0xbfbfx12=_0xbfbfx2[_0xb337[439]][0],_0xbfbfx13=_0xbfbfx2[_0xb337[439]][1],_0xbfbfx14=mxUtils[_0xb337[440]](_0xbfbfx12[_0xb337[235]],_0xbfbfx12[_0xb337[236]],_0xbfbfx13[_0xb337[235]],_0xbfbfx13[_0xb337[236]],_0xbfbfx3,_0xbfbfx4),_0xbfbfx15=0,_0xbfbfx16=0,_0xbfbfx17=0,_0xbfbfx18=2;_0xbfbfx18<_0xbfbfx9;_0xbfbfx18++){_0xbfbfx16+=_0xbfbfxa[_0xbfbfx18-2],_0xbfbfx13=_0xbfbfx2[_0xb337[439]][_0xbfbfx18],_0xbfbfx12=mxUtils[_0xb337[440]](_0xbfbfx12[_0xb337[235]],_0xbfbfx12[_0xb337[236]],_0xbfbfx13[_0xb337[235]],_0xbfbfx13[_0xb337[236]],_0xbfbfx3,_0xbfbfx4),_0xbfbfx12<=_0xbfbfx14&&(_0xbfbfx14=_0xbfbfx12,_0xbfbfx15=_0xbfbfx18-1,_0xbfbfx17=_0xbfbfx16),_0xbfbfx12=_0xbfbfx13};_0xbfbfx9=_0xbfbfxa[_0xbfbfx15];_0xbfbfx12=_0xbfbfx2[_0xb337[439]][_0xbfbfx15];_0xbfbfx13=_0xbfbfx2[_0xb337[439]][_0xbfbfx15+1];_0xbfbfx14=_0xbfbfx13[_0xb337[235]];_0xbfbfxa=_0xbfbfx13[_0xb337[236]];_0xbfbfx2=_0xbfbfx12[_0xb337[235]]-_0xbfbfx14;_0xbfbfx15=_0xbfbfx12[_0xb337[236]]-_0xbfbfxa;_0xbfbfx14=_0xbfbfx3-_0xbfbfx14;_0xbfbfxa=_0xbfbfx4-_0xbfbfxa;_0xbfbfx14=_0xbfbfx2-_0xbfbfx14;_0xbfbfxa=_0xbfbfx15-_0xbfbfxa;_0xbfbfxa=_0xbfbfx14*_0xbfbfx2+_0xbfbfxa*_0xbfbfx15;_0xbfbfx2=Math[_0xb337[428]](0>=_0xbfbfxa?0:_0xbfbfxa*_0xbfbfxa/(_0xbfbfx2*_0xbfbfx2+_0xbfbfx15*_0xbfbfx15));_0xbfbfx2>_0xbfbfx9&&(_0xbfbfx2=_0xbfbfx9);_0xbfbfx9=Math[_0xb337[428]](mxUtils[_0xb337[440]](_0xbfbfx12[_0xb337[235]],_0xbfbfx12[_0xb337[236]],_0xbfbfx13[_0xb337[235]],_0xbfbfx13[_0xb337[236]],_0xbfbfx3,_0xbfbfx4));-1==mxUtils[_0xb337[2309]](_0xbfbfx12[_0xb337[235]],_0xbfbfx12[_0xb337[236]],_0xbfbfx13[_0xb337[235]],_0xbfbfx13[_0xb337[236]],_0xbfbfx3,_0xbfbfx4)&&(_0xbfbfx9= -_0xbfbfx9);return new mxPoint(-2*((_0xbfbfx5/2-_0xbfbfx17-_0xbfbfx2)/_0xbfbfx5),_0xbfbfx9/this[_0xb337[255]])}};return new mxPoint};mxGraphView[_0xb337[202]][_0xb337[2285]]=function(_0xbfbfx2){var _0xbfbfx3=_0xbfbfx2[_0xb337[439]];_0xbfbfx2[_0xb337[2079]][_0xb337[235]]=_0xbfbfx2[_0xb337[241]]();_0xbfbfx2[_0xb337[2079]][_0xb337[236]]=_0xbfbfx2[_0xb337[242]]();if(null!=_0xbfbfx3&&0<_0xbfbfx3[_0xb337[67]]&&null!=_0xbfbfx2[_0xb337[2086]]){var _0xbfbfx4=this[_0xb337[1179]][_0xb337[1198]](_0xbfbfx2[_0xb337[246]]);if(_0xbfbfx4[_0xb337[1500]]){var _0xbfbfx5=this[_0xb337[245]](_0xbfbfx2,_0xbfbfx4);null!=_0xbfbfx5&&(_0xbfbfx2[_0xb337[2079]]=_0xbfbfx5)}else {var _0xbfbfx5=_0xbfbfx3[0],_0xbfbfx9=_0xbfbfx3[_0xbfbfx3[_0xb337[67]]-1];if(null!=_0xbfbfx5&&null!=_0xbfbfx9){var _0xbfbfx3=_0xbfbfx9[_0xb337[235]]-_0xbfbfx5[_0xb337[235]],_0xbfbfxa=_0xbfbfx9[_0xb337[236]]-_0xbfbfx5[_0xb337[236]],_0xbfbfx12=_0xbfbfx9=0,_0xbfbfx4=_0xbfbfx4[_0xb337[1368]];null!=_0xbfbfx4&&(_0xbfbfx9=_0xbfbfx4[_0xb337[235]],_0xbfbfx12=_0xbfbfx4[_0xb337[236]]);_0xbfbfx4=_0xbfbfx5[_0xb337[236]]+_0xbfbfxa/2+_0xbfbfx12*this[_0xb337[255]];_0xbfbfx2[_0xb337[2079]][_0xb337[235]]=_0xbfbfx5[_0xb337[235]]+_0xbfbfx3/2+_0xbfbfx9*this[_0xb337[255]];_0xbfbfx2[_0xb337[2079]][_0xb337[236]]=_0xbfbfx4}}}};mxGraphView[_0xb337[202]][_0xb337[248]]=function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx3=_0xbfbfx3|| !1;var _0xbfbfx4=null;null!=_0xbfbfx2&&(_0xbfbfx4=this[_0xb337[1214]][_0xb337[203]](_0xbfbfx2),this[_0xb337[1179]][_0xb337[1714]](_0xbfbfx2)&&(null==_0xbfbfx4&&_0xbfbfx3&&this[_0xb337[1179]][_0xb337[1714]](_0xbfbfx2)?(_0xbfbfx4=this[_0xb337[1230]](_0xbfbfx2),this[_0xb337[1214]][_0xb337[204]](_0xbfbfx2,_0xbfbfx4)):_0xbfbfx3&&(null!=_0xbfbfx4&&this[_0xb337[2255]])&&(_0xbfbfx4[_0xb337[124]]=this[_0xb337[1179]][_0xb337[1705]](_0xbfbfx2))));return _0xbfbfx4};mxGraphView[_0xb337[202]][_0xb337[2287]]=function(){return this[_0xb337[2254]]};mxGraphView[_0xb337[202]][_0xb337[2310]]=function(_0xbfbfx2){this[_0xb337[2254]]=_0xbfbfx2};mxGraphView[_0xb337[202]][_0xb337[2295]]=function(){return this[_0xb337[2251]]};mxGraphView[_0xb337[202]][_0xb337[2311]]=function(_0xbfbfx2){this[_0xb337[2251]]=_0xbfbfx2};mxGraphView[_0xb337[202]][_0xb337[2312]]=function(){return this[_0xb337[1214]]};mxGraphView[_0xb337[202]][_0xb337[1517]]=function(_0xbfbfx2){this[_0xb337[1214]]=_0xbfbfx2};mxGraphView[_0xb337[202]][_0xb337[2313]]=function(_0xbfbfx2){if(null==_0xbfbfx2){return this[_0xb337[1214]]};for(var _0xbfbfx3=[],_0xbfbfx4=0;_0xbfbfx4<_0xbfbfx2[_0xb337[67]];_0xbfbfx4++){var _0xbfbfx5=this[_0xb337[248]](_0xbfbfx2[_0xbfbfx4]);null!=_0xbfbfx5&&_0xbfbfx3[_0xb337[207]](_0xbfbfx5)};return _0xbfbfx3};mxGraphView[_0xb337[202]][_0xb337[2265]]=function(_0xbfbfx2){var _0xbfbfx3=null;null!=_0xbfbfx2&&(_0xbfbfx3=this[_0xb337[1214]][_0xb337[205]](_0xbfbfx2),null!=_0xbfbfx3&&(this[_0xb337[1179]][_0xb337[259]][_0xb337[515]](_0xbfbfx3),_0xbfbfx3[_0xb337[515]]()));return _0xbfbfx3};mxGraphView[_0xb337[202]][_0xb337[1230]]=function(_0xbfbfx2){var _0xbfbfx3=this[_0xb337[1179]][_0xb337[1705]](_0xbfbfx2);_0xbfbfx2= new mxCellState(this,_0xbfbfx2,_0xbfbfx3);this[_0xb337[1179]][_0xb337[259]][_0xb337[2155]](_0xbfbfx2,this[_0xb337[2287]]());return _0xbfbfx2};mxGraphView[_0xb337[202]][_0xb337[2051]]=function(){return this[_0xb337[510]]};mxGraphView[_0xb337[202]][_0xb337[2052]]=function(){return this[_0xb337[2053]]};mxGraphView[_0xb337[202]][_0xb337[2024]]=function(){return this[_0xb337[505]]};mxGraphView[_0xb337[202]][_0xb337[1524]]=function(){return this[_0xb337[506]]};mxGraphView[_0xb337[202]][_0xb337[2314]]=function(_0xbfbfx2){_0xbfbfx2=mxEvent[_0xb337[728]](_0xbfbfx2);return _0xbfbfx2==this[_0xb337[1179]][_0xb337[526]]||_0xbfbfx2[_0xb337[265]]==this[_0xb337[2053]]||null!=_0xbfbfx2[_0xb337[265]]&&_0xbfbfx2[_0xb337[265]][_0xb337[265]]==this[_0xb337[2053]]||_0xbfbfx2==this[_0xb337[510]][_0xb337[265]]||_0xbfbfx2==this[_0xb337[510]]||_0xbfbfx2==this[_0xb337[2053]]||_0xbfbfx2==this[_0xb337[505]]||_0xbfbfx2==this[_0xb337[506]]};mxGraphView[_0xb337[202]][_0xb337[2315]]=function(_0xbfbfx2){var _0xbfbfx3=mxUtils[_0xb337[445]](this[_0xb337[1179]][_0xb337[526]]);_0xbfbfx2= new mxPoint(_0xbfbfx2[_0xb337[782]]-_0xbfbfx3[_0xb337[235]],_0xbfbfx2[_0xb337[784]]-_0xbfbfx3[_0xb337[236]]);var _0xbfbfx3=this[_0xb337[1179]][_0xb337[526]][_0xb337[359]],_0xbfbfx4=this[_0xb337[1179]][_0xb337[526]][_0xb337[159]];if(_0xbfbfx3>_0xbfbfx4&&_0xbfbfx2[_0xb337[235]]>_0xbfbfx4+2&&_0xbfbfx2[_0xb337[235]]<=_0xbfbfx3){return !0};_0xbfbfx3=this[_0xb337[1179]][_0xb337[526]][_0xb337[167]];_0xbfbfx4=this[_0xb337[1179]][_0xb337[526]][_0xb337[157]];return _0xbfbfx3>_0xbfbfx4&&_0xbfbfx2[_0xb337[236]]>_0xbfbfx4+2&&_0xbfbfx2[_0xb337[236]]<=_0xbfbfx3?!0:!1};mxGraphView[_0xb337[202]][_0xb337[176]]=function(){this[_0xb337[2163]]();var _0xbfbfx2=this[_0xb337[1179]];_0xbfbfx2[_0xb337[507]]==mxConstants[_0xb337[508]]?this[_0xb337[1569]]():_0xbfbfx2[_0xb337[507]]==mxConstants[_0xb337[1523]]?this[_0xb337[1571]]():this[_0xb337[1570]]()};mxGraphView[_0xb337[202]][_0xb337[2163]]=function(){var _0xbfbfx2=this[_0xb337[1179]],_0xbfbfx3=_0xbfbfx2[_0xb337[526]];if(null!=_0xbfbfx3){mxEvent[_0xb337[759]](_0xbfbfx3,mxUtils[_0xb337[885]](this,function(_0xbfbfx3){mxClient[_0xb337[754]]&&_0xbfbfx2[_0xb337[2203]]()&&_0xbfbfx2[_0xb337[2126]](!_0xbfbfx2[_0xb337[2134]]());this[_0xb337[2314]](_0xbfbfx3)&&(!mxClient[_0xb337[80]]&&!mxClient[_0xb337[76]]&&!mxClient[_0xb337[71]]&&!mxClient[_0xb337[75]]||!this[_0xb337[2315]](_0xbfbfx3))&&_0xbfbfx2[_0xb337[758]](mxEvent.MOUSE_DOWN, new mxMouseEvent(_0xbfbfx3))}),mxUtils[_0xb337[885]](this,function(_0xbfbfx3){this[_0xb337[2314]](_0xbfbfx3)&&_0xbfbfx2[_0xb337[758]](mxEvent.MOUSE_MOVE, new mxMouseEvent(_0xbfbfx3))}),mxUtils[_0xb337[885]](this,function(_0xbfbfx3){this[_0xb337[2314]](_0xbfbfx3)&&_0xbfbfx2[_0xb337[758]](mxEvent.MOUSE_UP, new mxMouseEvent(_0xbfbfx3))}));mxEvent[_0xb337[169]](_0xbfbfx3,_0xb337[760],mxUtils[_0xb337[885]](this,function(_0xbfbfx3){_0xbfbfx2[_0xb337[761]](_0xbfbfx3)}));var _0xbfbfx4=function(_0xbfbfx4){var _0xbfbfx9=null;mxClient[_0xb337[754]]&&(_0xbfbfx9=mxEvent[_0xb337[731]](_0xbfbfx4),_0xbfbfx4=mxEvent[_0xb337[733]](_0xbfbfx4),_0xbfbfx4=mxUtils[_0xb337[2196]](_0xbfbfx3,_0xbfbfx9,_0xbfbfx4),_0xbfbfx9=_0xbfbfx2[_0xb337[441]][_0xb337[248]](_0xbfbfx2[_0xb337[999]](_0xbfbfx4[_0xb337[235]],_0xbfbfx4[_0xb337[236]])));return _0xbfbfx9};_0xbfbfx2[_0xb337[1133]]({mouseDown:function(_0xbfbfx3,_0xbfbfx4){_0xbfbfx2[_0xb337[2316]][_0xb337[1032]]()},mouseMove:function(){},mouseUp:function(){}});this[_0xb337[2317]]=mxUtils[_0xb337[885]](this,function(_0xbfbfx3){null!=_0xbfbfx2[_0xb337[2276]]&&_0xbfbfx2[_0xb337[2276]][_0xb337[2277]]()&&_0xbfbfx2[_0xb337[2276]][_0xb337[801]]();this[_0xb337[2252]]&&(_0xbfbfx2[_0xb337[1009]]&&!mxEvent[_0xb337[721]](_0xbfbfx3))&&_0xbfbfx2[_0xb337[758]](mxEvent.MOUSE_MOVE, new mxMouseEvent(_0xbfbfx3,_0xbfbfx4(_0xbfbfx3)))});this[_0xb337[2318]]=mxUtils[_0xb337[885]](this,function(_0xbfbfx3){this[_0xb337[2252]]&&_0xbfbfx2[_0xb337[758]](mxEvent.MOUSE_UP, new mxMouseEvent(_0xbfbfx3))});mxEvent[_0xb337[759]](document,null,this[_0xb337[2317]],this[_0xb337[2318]])}};mxGraphView[_0xb337[202]][_0xb337[1570]]=function(){var _0xbfbfx2=this[_0xb337[1179]][_0xb337[526]];null!=_0xbfbfx2&&(this[_0xb337[510]]=this[_0xb337[2319]](_0xb337[118],_0xb337[118]),this[_0xb337[2053]]=this[_0xb337[2319]](_0xb337[942],_0xb337[942]),this[_0xb337[505]]=this[_0xb337[2319]](_0xb337[942],_0xb337[942]),this[_0xb337[506]]=this[_0xb337[2319]](_0xb337[942],_0xb337[942]),this[_0xb337[510]][_0xb337[62]](this[_0xb337[2053]]),this[_0xb337[510]][_0xb337[62]](this[_0xb337[505]]),this[_0xb337[510]][_0xb337[62]](this[_0xb337[506]]),_0xbfbfx2[_0xb337[62]](this[_0xb337[510]]),mxClient[_0xb337[496]]&&(_0xbfbfx2=mxUtils[_0xb337[885]](this,function(_0xbfbfx2){_0xbfbfx2=this[_0xb337[517]]();this[_0xb337[2320]](_0xbfbfx2[_0xb337[235]]+_0xbfbfx2[_0xb337[117]]+this[_0xb337[1179]][_0xb337[467]],_0xbfbfx2[_0xb337[236]]+_0xbfbfx2[_0xb337[119]]+this[_0xb337[1179]][_0xb337[467]])}),mxEvent[_0xb337[169]](window,_0xb337[129],_0xbfbfx2)))};mxGraphView[_0xb337[202]][_0xb337[2320]]=function(_0xbfbfx2,_0xbfbfx3){if(null!=this[_0xb337[1179]][_0xb337[526]]){var _0xbfbfx4=this[_0xb337[1179]][_0xb337[526]][_0xb337[167]];this[_0xb337[510]][_0xb337[124]][_0xb337[117]]=this[_0xb337[1179]][_0xb337[526]][_0xb337[359]]<_0xbfbfx2?_0xbfbfx2+_0xb337[168]:_0xb337[118];this[_0xb337[510]][_0xb337[124]][_0xb337[119]]=_0xbfbfx4<_0xbfbfx3?_0xbfbfx3+_0xb337[168]:_0xb337[118]}};mxGraphView[_0xb337[202]][_0xb337[2319]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=document[_0xb337[55]](_0xb337[529]);null!=_0xbfbfx2&&null!=_0xbfbfx3?(_0xbfbfx4[_0xb337[124]][_0xb337[491]]=_0xb337[492],_0xbfbfx4[_0xb337[124]][_0xb337[361]]=_0xb337[946],_0xbfbfx4[_0xb337[124]][_0xb337[125]]=_0xb337[946],_0xbfbfx4[_0xb337[124]][_0xb337[117]]=_0xbfbfx2,_0xbfbfx4[_0xb337[124]][_0xb337[119]]=_0xbfbfx3):_0xbfbfx4[_0xb337[124]][_0xb337[491]]=_0xb337[1500];return _0xbfbfx4};mxGraphView[_0xb337[202]][_0xb337[1571]]=function(){var _0xbfbfx2=this[_0xb337[1179]][_0xb337[526]];if(null!=_0xbfbfx2){var _0xbfbfx3=_0xbfbfx2[_0xb337[359]],_0xbfbfx4=_0xbfbfx2[_0xb337[167]];this[_0xb337[510]]=this[_0xb337[2321]](_0xbfbfx3,_0xbfbfx4);this[_0xb337[2053]]=this[_0xb337[2321]](_0xbfbfx3,_0xbfbfx4);this[_0xb337[505]]=this[_0xb337[2321]](_0xbfbfx3,_0xbfbfx4);this[_0xb337[506]]=this[_0xb337[2321]](_0xbfbfx3,_0xbfbfx4);this[_0xb337[510]][_0xb337[62]](this[_0xb337[2053]]);this[_0xb337[510]][_0xb337[62]](this[_0xb337[505]]);this[_0xb337[510]][_0xb337[62]](this[_0xb337[506]]);_0xbfbfx2[_0xb337[62]](this[_0xb337[510]])}};mxGraphView[_0xb337[202]][_0xb337[2321]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=document[_0xb337[55]](mxClient[_0xb337[463]]+_0xb337[1499]);_0xbfbfx4[_0xb337[124]][_0xb337[491]]=_0xb337[492];_0xbfbfx4[_0xb337[124]][_0xb337[361]]=_0xb337[946];_0xbfbfx4[_0xb337[124]][_0xb337[125]]=_0xb337[946];_0xbfbfx4[_0xb337[124]][_0xb337[117]]=_0xbfbfx2+_0xb337[168];_0xbfbfx4[_0xb337[124]][_0xb337[119]]=_0xbfbfx3+_0xb337[168];_0xbfbfx4[_0xb337[57]](_0xb337[1462],_0xbfbfx2+_0xb337[537]+_0xbfbfx3);_0xbfbfx4[_0xb337[57]](_0xb337[2322],_0xb337[2323]);return _0xbfbfx4};mxGraphView[_0xb337[202]][_0xb337[1569]]=function(){var _0xbfbfx2=this[_0xb337[1179]][_0xb337[526]];this[_0xb337[510]]=document[_0xb337[509]](mxConstants.NS_SVG,_0xb337[449]);this[_0xb337[2053]]=document[_0xb337[509]](mxConstants.NS_SVG,_0xb337[449]);this[_0xb337[510]][_0xb337[62]](this[_0xb337[2053]]);this[_0xb337[505]]=document[_0xb337[509]](mxConstants.NS_SVG,_0xb337[449]);this[_0xb337[510]][_0xb337[62]](this[_0xb337[505]]);this[_0xb337[506]]=document[_0xb337[509]](mxConstants.NS_SVG,_0xb337[449]);this[_0xb337[510]][_0xb337[62]](this[_0xb337[506]]);var _0xbfbfx3=document[_0xb337[509]](mxConstants.NS_SVG,_0xb337[571]);_0xbfbfx3[_0xb337[124]][_0xb337[117]]=_0xb337[118];_0xbfbfx3[_0xb337[124]][_0xb337[119]]=_0xb337[118];_0xbfbfx3[_0xb337[124]][_0xb337[495]]=_0xb337[694];_0xbfbfx3[_0xb337[62]](this[_0xb337[510]]);null!=_0xbfbfx2&&(_0xbfbfx2[_0xb337[62]](_0xbfbfx3),_0xb337[2324]==mxUtils[_0xb337[275]](_0xbfbfx2)[_0xb337[491]]&&(_0xbfbfx2[_0xb337[124]][_0xb337[491]]=_0xb337[1500]))};mxGraphView[_0xb337[202]][_0xb337[515]]=function(){var _0xbfbfx2=null!=this[_0xb337[510]]?this[_0xb337[510]][_0xb337[538]]:null;null==_0xbfbfx2&&(_0xbfbfx2=this[_0xb337[510]]);null!=_0xbfbfx2&&null!=_0xbfbfx2[_0xb337[265]]&&(this[_0xb337[200]](this[_0xb337[1741]],!0),mxEvent[_0xb337[938]](document,null,this[_0xb337[2317]],this[_0xb337[2318]]),mxEvent[_0xb337[762]](this[_0xb337[1179]][_0xb337[526]]),_0xbfbfx2[_0xb337[265]][_0xb337[266]](_0xbfbfx2),this[_0xb337[506]]=this[_0xb337[505]]=this[_0xb337[2053]]=this[_0xb337[510]]=this[_0xb337[2318]]=this[_0xb337[2317]]=null)};function mxCurrentRootChange(_0xbfbfx2,_0xbfbfx3){this[_0xb337[441]]=_0xbfbfx2;this[_0xb337[257]]=this[_0xb337[813]]=_0xbfbfx3;this[_0xb337[2325]]=null==_0xbfbfx3;if(!this[_0xb337[2325]]){for(var _0xbfbfx4=this[_0xb337[441]][_0xb337[1741]],_0xbfbfx5=this[_0xb337[441]][_0xb337[1179]][_0xb337[502]]();null!=_0xbfbfx4;){if(_0xbfbfx4==_0xbfbfx3){this[_0xb337[2325]]= !0;break};_0xbfbfx4=_0xbfbfx5[_0xb337[1197]](_0xbfbfx4)}}}mxCurrentRootChange[_0xb337[202]][_0xb337[350]]=function(){var _0xbfbfx2=this[_0xb337[441]][_0xb337[1741]];this[_0xb337[441]][_0xb337[1741]]=this[_0xb337[257]];this[_0xb337[257]]=_0xbfbfx2;_0xbfbfx2=this[_0xb337[441]][_0xb337[1179]][_0xb337[2326]](this[_0xb337[441]][_0xb337[1741]]);null!=_0xbfbfx2&&(this[_0xb337[441]][_0xb337[513]]= new mxPoint(-_0xbfbfx2[_0xb337[235]],-_0xbfbfx2[_0xb337[236]]));this[_0xb337[441]][_0xb337[746]]( new mxEventObject(this[_0xb337[2325]]?mxEvent[_0xb337[2327]]:mxEvent[_0xb337[2328]],_0xb337[813],this[_0xb337[441]][_0xb337[1741]],_0xb337[257],this[_0xb337[257]]));this[_0xb337[2325]]?(this[_0xb337[441]][_0xb337[200]](this[_0xb337[441]][_0xb337[1741]],!0),this[_0xb337[441]][_0xb337[2264]]()):this[_0xb337[441]][_0xb337[802]]();this[_0xb337[2325]]=!this[_0xb337[2325]]};function mxGraph(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){this[_0xb337[2329]]=null;this[_0xb337[2330]]=_0xbfbfx4;this[_0xb337[507]]=mxClient[_0xb337[48]]?mxConstants[_0xb337[508]]:_0xbfbfx4==mxConstants[_0xb337[2331]]&&mxClient[_0xb337[47]]?mxConstants[_0xb337[1523]]:_0xbfbfx4==mxConstants[_0xb337[2332]]?mxConstants[_0xb337[1656]]:_0xbfbfx4==mxConstants[_0xb337[2333]]?mxConstants[_0xb337[2209]]:mxConstants[_0xb337[2334]];this[_0xb337[251]]=null!=_0xbfbfx3?_0xbfbfx3: new mxGraphModel;this[_0xb337[2335]]=[];this[_0xb337[2336]]=[];this[_0xb337[259]]=this[_0xb337[2337]]();this[_0xb337[2339]](this[_0xb337[2338]]());this[_0xb337[2341]](null!=_0xbfbfx5?_0xbfbfx5:this[_0xb337[2340]]());this[_0xb337[441]]=this[_0xb337[2342]]();this[_0xb337[2343]]=mxUtils[_0xb337[885]](this,function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[1177]](_0xbfbfx3[_0xb337[720]](_0xb337[1061])[_0xb337[1065]])});this[_0xb337[251]][_0xb337[169]](mxEvent.CHANGE,this[_0xb337[2343]]);this[_0xb337[2344]]();null!=_0xbfbfx2&&this[_0xb337[176]](_0xbfbfx2);this[_0xb337[441]][_0xb337[2259]]()}mxLoadResources&&mxResources[_0xb337[99]](mxClient[_0xb337[86]]+_0xb337[2345]);mxGraph[_0xb337[202]]= new mxEventSource;mxGraph[_0xb337[202]][_0xb337[196]]=mxGraph;mxGraph[_0xb337[202]][_0xb337[2346]]=[];mxGraph[_0xb337[202]][_0xb337[2329]]=null;mxGraph[_0xb337[202]][_0xb337[1009]]= !1;mxGraph[_0xb337[202]][_0xb337[251]]=null;mxGraph[_0xb337[202]][_0xb337[441]]=null;mxGraph[_0xb337[202]][_0xb337[94]]=null;mxGraph[_0xb337[202]][_0xb337[2105]]=null;mxGraph[_0xb337[202]][_0xb337[2347]]=null;mxGraph[_0xb337[202]][_0xb337[259]]=null;mxGraph[_0xb337[202]][_0xb337[2335]]=null;mxGraph[_0xb337[202]][_0xb337[2330]]=null;mxGraph[_0xb337[202]][_0xb337[507]]=null;mxGraph[_0xb337[202]][_0xb337[1020]]=10;mxGraph[_0xb337[202]][_0xb337[991]]= !0;mxGraph[_0xb337[202]][_0xb337[2348]]= !0;mxGraph[_0xb337[202]][_0xb337[2349]]= !0;mxGraph[_0xb337[202]][_0xb337[2350]]=700;mxGraph[_0xb337[202]][_0xb337[2351]]=25;mxGraph[_0xb337[202]][_0xb337[2352]]=0;mxGraph[_0xb337[202]][_0xb337[2352]]=0;mxGraph[_0xb337[202]][_0xb337[2213]]=0;mxGraph[_0xb337[202]][_0xb337[2215]]= !0;mxGraph[_0xb337[202]][_0xb337[2353]]=4;mxGraph[_0xb337[202]][_0xb337[2354]]=0.5;mxGraph[_0xb337[202]][_0xb337[2355]]=null;mxGraph[_0xb337[202]][_0xb337[2356]]=null;mxGraph[_0xb337[202]][_0xb337[1617]]=null;mxGraph[_0xb337[202]][_0xb337[2273]]= !1;mxGraph[_0xb337[202]][_0xb337[2357]]= !1;mxGraph[_0xb337[202]][_0xb337[2358]]=_0xb337[579];mxGraph[_0xb337[202]][_0xb337[2359]]= !0;mxGraph[_0xb337[202]][_0xb337[2360]]=20;mxGraph[_0xb337[202]][_0xb337[2361]]= !1;mxGraph[_0xb337[202]][_0xb337[2013]]=mxConstants[_0xb337[516]];mxGraph[_0xb337[202]][_0xb337[2012]]=1.5;mxGraph[_0xb337[202]][_0xb337[984]]= !0;mxGraph[_0xb337[202]][_0xb337[2362]]= !0;mxGraph[_0xb337[202]][_0xb337[2363]]= !0;mxGraph[_0xb337[202]][_0xb337[2364]]= !1;mxGraph[_0xb337[202]][_0xb337[2365]]= !0;mxGraph[_0xb337[202]][_0xb337[2366]]= !0;mxGraph[_0xb337[202]][_0xb337[2367]]= !0;mxGraph[_0xb337[202]][_0xb337[2368]]= !1;mxGraph[_0xb337[202]][_0xb337[2369]]= !0;mxGraph[_0xb337[202]][_0xb337[2206]]= !0;mxGraph[_0xb337[202]][_0xb337[2370]]= !0;mxGraph[_0xb337[202]][_0xb337[2371]]= !0;mxGraph[_0xb337[202]][_0xb337[2372]]= !0;mxGraph[_0xb337[202]][_0xb337[2373]]= !0;mxGraph[_0xb337[202]][_0xb337[2374]]= !1;mxGraph[_0xb337[202]][_0xb337[2375]]= !1;mxGraph[_0xb337[202]][_0xb337[2376]]= !0;mxGraph[_0xb337[202]][_0xb337[2377]]= !0;mxGraph[_0xb337[202]][_0xb337[2378]]= !0;mxGraph[_0xb337[202]][_0xb337[2379]]= !0;mxGraph[_0xb337[202]][_0xb337[2380]]= !0;mxGraph[_0xb337[202]][_0xb337[2381]]= !1;mxGraph[_0xb337[202]][_0xb337[1012]]= !0;mxGraph[_0xb337[202]][_0xb337[2382]]= !1;mxGraph[_0xb337[202]][_0xb337[2383]]= !1;mxGraph[_0xb337[202]][_0xb337[2384]]= !1;mxGraph[_0xb337[202]][_0xb337[1013]]= !0;mxGraph[_0xb337[202]][_0xb337[2385]]=null;mxGraph[_0xb337[202]][_0xb337[2386]]=null;mxGraph[_0xb337[202]][_0xb337[2387]]=null;mxGraph[_0xb337[202]][_0xb337[2388]]=null;mxGraph[_0xb337[202]][_0xb337[2389]]= !1;mxGraph[_0xb337[202]][_0xb337[467]]=0;mxGraph[_0xb337[202]][_0xb337[2158]]= !0;mxGraph[_0xb337[202]][_0xb337[2159]]= !1;mxGraph[_0xb337[202]][_0xb337[2168]]= !0;mxGraph[_0xb337[202]][_0xb337[2390]]= !0;mxGraph[_0xb337[202]][_0xb337[2391]]= !0;mxGraph[_0xb337[202]][_0xb337[2392]]= !0;mxGraph[_0xb337[202]][_0xb337[2393]]= !0;mxGraph[_0xb337[202]][_0xb337[2394]]= !0;mxGraph[_0xb337[202]][_0xb337[2395]]=1.2;mxGraph[_0xb337[202]][_0xb337[2396]]= !1;mxGraph[_0xb337[202]][_0xb337[2397]]= !0;mxGraph[_0xb337[202]][_0xb337[2398]]= !0;mxGraph[_0xb337[202]][_0xb337[2399]]= !1;mxGraph[_0xb337[202]][_0xb337[2400]]= !1;mxGraph[_0xb337[202]][_0xb337[2401]]= !0;mxGraph[_0xb337[202]][_0xb337[2402]]= !1;mxGraph[_0xb337[202]][_0xb337[2293]]=mxEdgeStyle[_0xb337[2403]];mxGraph[_0xb337[202]][_0xb337[2404]]= !0;mxGraph[_0xb337[202]][_0xb337[2405]]= !1;mxGraph[_0xb337[202]][_0xb337[2406]]= !0;mxGraph[_0xb337[202]][_0xb337[2407]]= !1;mxGraph[_0xb337[202]][_0xb337[2408]]= !0;mxGraph[_0xb337[202]][_0xb337[2409]]= !0;mxGraph[_0xb337[202]][_0xb337[2410]]= !1;mxGraph[_0xb337[202]][_0xb337[2411]]= !0;mxGraph[_0xb337[202]][_0xb337[2412]]= !0;mxGraph[_0xb337[202]][_0xb337[2180]]=mxConstants[_0xb337[2065]];mxGraph[_0xb337[202]][_0xb337[2336]]=null;mxGraph[_0xb337[202]][_0xb337[2413]]=0.1;mxGraph[_0xb337[202]][_0xb337[2414]]=8;mxGraph[_0xb337[202]][_0xb337[1136]]=0;mxGraph[_0xb337[202]][_0xb337[1137]]=0;mxGraph[_0xb337[202]][_0xb337[2415]]= new mxImage(mxClient[_0xb337[88]]+_0xb337[2416],9,9);mxGraph[_0xb337[202]][_0xb337[2417]]= new mxImage(mxClient[_0xb337[88]]+_0xb337[2418],9,9);mxGraph[_0xb337[202]][_0xb337[2419]]= new mxImage(_0xb337[2420],16,16);mxGraph[_0xb337[202]][_0xb337[2421]]=_0xb337[130]!=mxClient[_0xb337[90]]?_0xb337[2422]:_0xb337[110];mxGraph[_0xb337[202]][_0xb337[2423]]=_0xb337[130]!=mxClient[_0xb337[90]]?_0xb337[2424]:_0xb337[110];mxGraph[_0xb337[202]][_0xb337[2425]]=_0xb337[130]!=mxClient[_0xb337[90]]?_0xb337[2426]:_0xb337[110];mxGraph[_0xb337[202]][_0xb337[176]]=function(_0xbfbfx2){this[_0xb337[526]]=_0xbfbfx2;this[_0xb337[2347]]=this[_0xb337[2427]]();this[_0xb337[441]][_0xb337[176]]();this[_0xb337[2258]]();mxClient[_0xb337[80]]&&(mxEvent[_0xb337[169]](window,_0xb337[2428],mxUtils[_0xb337[885]](this,function(){this[_0xb337[515]]()})),mxEvent[_0xb337[169]](_0xbfbfx2,_0xb337[2429],mxUtils[_0xb337[885]](this,function(){return this[_0xb337[2203]]()})));8==document[_0xb337[5]]&&_0xbfbfx2[_0xb337[1583]](_0xb337[1582],_0xb337[2430])};mxGraph[_0xb337[202]][_0xb337[2344]]=function(_0xbfbfx2){this[_0xb337[2276]]= new mxTooltipHandler(this);this[_0xb337[2276]][_0xb337[995]](!1);this[_0xb337[2316]]= new mxPanningHandler(this);this[_0xb337[2316]][_0xb337[2431]]= !1;this[_0xb337[2432]]= new mxSelectionCellsHandler(this);this[_0xb337[2433]]= new mxConnectionHandler(this);this[_0xb337[2433]][_0xb337[995]](!1);this[_0xb337[1011]]= new mxGraphHandler(this)};mxGraph[_0xb337[202]][_0xb337[2338]]=function(){return new mxGraphSelectionModel(this)};mxGraph[_0xb337[202]][_0xb337[2340]]=function(){return new mxStylesheet};mxGraph[_0xb337[202]][_0xb337[2342]]=function(){return new mxGraphView(this)};mxGraph[_0xb337[202]][_0xb337[2337]]=function(){return new mxCellRenderer};mxGraph[_0xb337[202]][_0xb337[2427]]=function(){return new mxCellEditor(this)};mxGraph[_0xb337[202]][_0xb337[502]]=function(){return this[_0xb337[251]]};mxGraph[_0xb337[202]][_0xb337[249]]=function(){return this[_0xb337[441]]};mxGraph[_0xb337[202]][_0xb337[2434]]=function(){return this[_0xb337[94]]};mxGraph[_0xb337[202]][_0xb337[2341]]=function(_0xbfbfx2){this[_0xb337[94]]=_0xbfbfx2};mxGraph[_0xb337[202]][_0xb337[2435]]=function(){return this[_0xb337[2105]]};mxGraph[_0xb337[202]][_0xb337[2339]]=function(_0xbfbfx2){this[_0xb337[2105]]=_0xbfbfx2};mxGraph[_0xb337[202]][_0xb337[2436]]=function(_0xbfbfx2){for(var _0xbfbfx3=[],_0xbfbfx4=0;_0xbfbfx4<_0xbfbfx2[_0xb337[67]];_0xbfbfx4++){var _0xbfbfx5=_0xbfbfx2[_0xbfbfx4];if(_0xbfbfx5[_0xb337[196]]!=mxRootChange){var _0xbfbfx9=null;_0xbfbfx5 instanceof mxChildChange&&null==_0xbfbfx5[_0xb337[257]]?_0xbfbfx9=_0xbfbfx5[_0xb337[247]]:null!=_0xbfbfx5[_0xb337[246]]&&_0xbfbfx5[_0xb337[246]] instanceof mxCell&&(_0xbfbfx9=_0xbfbfx5[_0xb337[246]]);null!=_0xbfbfx9&&0>mxUtils[_0xb337[2]](_0xbfbfx3,_0xbfbfx9)&&_0xbfbfx3[_0xb337[207]](_0xbfbfx9)}};return this[_0xb337[502]]()[_0xb337[1964]](_0xbfbfx3)};mxGraph[_0xb337[202]][_0xb337[1177]]=function(_0xbfbfx2){for(var _0xbfbfx3=0;_0xbfbfx3<_0xbfbfx2[_0xb337[67]];_0xbfbfx3++){this[_0xb337[2437]](_0xbfbfx2[_0xbfbfx3])};this[_0xb337[2439]](this[_0xb337[2438]](_0xbfbfx2));this[_0xb337[441]][_0xb337[2264]]();this[_0xb337[2258]]()};mxGraph[_0xb337[202]][_0xb337[2438]]=function(_0xbfbfx2){for(var _0xbfbfx3=[],_0xbfbfx4=0;_0xbfbfx4<_0xbfbfx2[_0xb337[67]];_0xbfbfx4++){var _0xbfbfx5=_0xbfbfx2[_0xbfbfx4];if(_0xbfbfx5 instanceof mxRootChange){break}else {_0xbfbfx5 instanceof mxChildChange?null!=_0xbfbfx5[_0xb337[257]]&&null==_0xbfbfx5[_0xb337[1101]]&&(_0xbfbfx3=_0xbfbfx3[_0xb337[1919]](this[_0xb337[251]][_0xb337[1939]](_0xbfbfx5[_0xb337[247]]))):_0xbfbfx5 instanceof mxVisibleChange&&(_0xbfbfx3=_0xbfbfx3[_0xb337[1919]](this[_0xb337[251]][_0xb337[1939]](_0xbfbfx5[_0xb337[246]])))}};return _0xbfbfx3};mxGraph[_0xb337[202]][_0xb337[2437]]=function(_0xbfbfx2){if(_0xbfbfx2 instanceof mxRootChange){this[_0xb337[2440]](),this[_0xb337[2441]](_0xbfbfx2[_0xb337[257]]),this[_0xb337[2398]]&&(this[_0xb337[441]][_0xb337[255]]=1,this[_0xb337[441]][_0xb337[513]][_0xb337[235]]=0,this[_0xb337[441]][_0xb337[513]][_0xb337[236]]=0),this[_0xb337[746]]( new mxEventObject(mxEvent.ROOT))}else {if(_0xbfbfx2 instanceof mxChildChange){var _0xbfbfx3=this[_0xb337[251]][_0xb337[1197]](_0xbfbfx2[_0xb337[247]]);null!=_0xbfbfx3?this[_0xb337[441]][_0xb337[2263]](_0xbfbfx2[_0xb337[247]],!0,!1,null!=_0xbfbfx2[_0xb337[257]]):(this[_0xb337[2441]](_0xbfbfx2[_0xb337[247]]),this[_0xb337[441]][_0xb337[1741]]==_0xbfbfx2[_0xb337[247]]&&this[_0xb337[2442]]());_0xbfbfx3!=_0xbfbfx2[_0xb337[257]]&&(null!=_0xbfbfx3&&this[_0xb337[441]][_0xb337[2263]](_0xbfbfx3,!1,!1),null!=_0xbfbfx2[_0xb337[257]]&&this[_0xb337[441]][_0xb337[2263]](_0xbfbfx2[_0xb337[257]],!1,!1))}else {_0xbfbfx2 instanceof mxTerminalChange||_0xbfbfx2 instanceof mxGeometryChange?this[_0xb337[441]][_0xb337[2263]](_0xbfbfx2[_0xb337[246]]):_0xbfbfx2 instanceof mxValueChange?this[_0xb337[441]][_0xb337[2263]](_0xbfbfx2[_0xb337[246]],!1,!1):_0xbfbfx2 instanceof mxStyleChange?(this[_0xb337[441]][_0xb337[2263]](_0xbfbfx2[_0xb337[246]],!0,!0,!1),this[_0xb337[441]][_0xb337[2265]](_0xbfbfx2[_0xb337[246]])):null!=_0xbfbfx2[_0xb337[246]]&&_0xbfbfx2[_0xb337[246]] instanceof mxCell&&this[_0xb337[2441]](_0xbfbfx2[_0xb337[246]])}}};mxGraph[_0xb337[202]][_0xb337[2441]]=function(_0xbfbfx2){for(var _0xbfbfx3=this[_0xb337[251]][_0xb337[262]](_0xbfbfx2),_0xbfbfx4=0;_0xbfbfx4<_0xbfbfx3;_0xbfbfx4++){this[_0xb337[2441]](this[_0xb337[251]][_0xb337[263]](_0xbfbfx2,_0xbfbfx4))};this[_0xb337[441]][_0xb337[2265]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2443]]=function(_0xbfbfx2,_0xbfbfx3){null==_0xbfbfx2[_0xb337[1211]]&&(_0xbfbfx2[_0xb337[1211]]=[]);_0xbfbfx2[_0xb337[1211]][_0xb337[207]](_0xbfbfx3);var _0xbfbfx4=this[_0xb337[441]][_0xb337[248]](_0xbfbfx2);null!=_0xbfbfx4&&this[_0xb337[259]][_0xb337[258]](_0xbfbfx4);this[_0xb337[746]]( new mxEventObject(mxEvent.ADD_OVERLAY,_0xb337[246],_0xbfbfx2,_0xb337[2201],_0xbfbfx3));return _0xbfbfx3};mxGraph[_0xb337[202]][_0xb337[2200]]=function(_0xbfbfx2){return _0xbfbfx2[_0xb337[1211]]};mxGraph[_0xb337[202]][_0xb337[2444]]=function(_0xbfbfx2,_0xbfbfx3){if(null==_0xbfbfx3){this[_0xb337[2445]](_0xbfbfx2)}else {var _0xbfbfx4=mxUtils[_0xb337[2]](_0xbfbfx2[_0xb337[1211]],_0xbfbfx3);0<=_0xbfbfx4?(_0xbfbfx2[_0xb337[1211]][_0xb337[300]](_0xbfbfx4,1),0==_0xbfbfx2[_0xb337[1211]][_0xb337[67]]&&(_0xbfbfx2[_0xb337[1211]]=null),_0xbfbfx4=this[_0xb337[441]][_0xb337[248]](_0xbfbfx2),null!=_0xbfbfx4&&this[_0xb337[259]][_0xb337[258]](_0xbfbfx4),this[_0xb337[746]]( new mxEventObject(mxEvent.REMOVE_OVERLAY,_0xb337[246],_0xbfbfx2,_0xb337[2201],_0xbfbfx3))):_0xbfbfx3=null};return _0xbfbfx3};mxGraph[_0xb337[202]][_0xb337[2445]]=function(_0xbfbfx2){var _0xbfbfx3=_0xbfbfx2[_0xb337[1211]];if(null!=_0xbfbfx3){_0xbfbfx2[_0xb337[1211]]=null;var _0xbfbfx4=this[_0xb337[441]][_0xb337[248]](_0xbfbfx2);null!=_0xbfbfx4&&this[_0xb337[259]][_0xb337[258]](_0xbfbfx4);for(_0xbfbfx4=0;_0xbfbfx4<_0xbfbfx3[_0xb337[67]];_0xbfbfx4++){this[_0xb337[746]]( new mxEventObject(mxEvent.REMOVE_OVERLAY,_0xb337[246],_0xbfbfx2,_0xb337[2201],_0xbfbfx3[_0xbfbfx4]))}};return _0xbfbfx3};mxGraph[_0xb337[202]][_0xb337[2446]]=function(_0xbfbfx2){_0xbfbfx2=null!=_0xbfbfx2?_0xbfbfx2:this[_0xb337[251]][_0xb337[501]]();this[_0xb337[2445]](_0xbfbfx2);for(var _0xbfbfx3=this[_0xb337[251]][_0xb337[262]](_0xbfbfx2),_0xbfbfx4=0;_0xbfbfx4<_0xbfbfx3;_0xbfbfx4++){var _0xbfbfx5=this[_0xb337[251]][_0xb337[263]](_0xbfbfx2,_0xbfbfx4);this[_0xb337[2446]](_0xbfbfx5)}};mxGraph[_0xb337[202]][_0xb337[2447]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){if(null!=_0xbfbfx3&&0<_0xbfbfx3[_0xb337[67]]){return _0xbfbfx4=null!=_0xbfbfx4?_0xbfbfx4:this[_0xb337[2419]],_0xbfbfx3= new mxCellOverlay(_0xbfbfx4,_0xb337[2448]+_0xbfbfx3+_0xb337[2449]),_0xbfbfx5&&_0xbfbfx3[_0xb337[169]](mxEvent.CLICK,mxUtils[_0xb337[885]](this,function(_0xbfbfx3,_0xbfbfx4){this[_0xb337[994]]()&&this[_0xb337[2450]](_0xbfbfx2)})),this[_0xb337[2443]](_0xbfbfx2,_0xbfbfx3)};this[_0xb337[2445]](_0xbfbfx2);return null};mxGraph[_0xb337[202]][_0xb337[855]]=function(_0xbfbfx2){this[_0xb337[2451]](null,_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2451]]=function(_0xbfbfx2,_0xbfbfx3){null==_0xbfbfx2&&(_0xbfbfx2=this[_0xb337[2452]](),null!=_0xbfbfx2&&!this[_0xb337[2453]](_0xbfbfx2)&&(_0xbfbfx2=null));null!=_0xbfbfx2&&(this[_0xb337[746]]( new mxEventObject(mxEvent.START_EDITING,_0xb337[246],_0xbfbfx2,_0xb337[763],_0xbfbfx3)),this[_0xb337[2347]][_0xb337[855]](_0xbfbfx2,_0xbfbfx3))};mxGraph[_0xb337[202]][_0xb337[2142]]=function(_0xbfbfx2,_0xbfbfx3){return this[_0xb337[2454]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2126]]=function(_0xbfbfx2){this[_0xb337[2347]][_0xb337[2126]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[850]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){this[_0xb337[251]][_0xb337[473]]();try{this[_0xb337[2456]](_0xbfbfx2,_0xbfbfx3,this[_0xb337[2455]](_0xbfbfx2)),this[_0xb337[746]]( new mxEventObject(mxEvent.LABEL_CHANGED,_0xb337[246],_0xbfbfx2,_0xb337[131],_0xbfbfx3,_0xb337[763],_0xbfbfx4))}finally{this[_0xb337[251]][_0xb337[476]]()};return _0xbfbfx2};mxGraph[_0xb337[202]][_0xb337[2456]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){this[_0xb337[251]][_0xb337[473]]();try{this[_0xb337[251]][_0xb337[1966]](_0xbfbfx2,_0xbfbfx3),_0xbfbfx4&&this[_0xb337[2457]](_0xbfbfx2,!1)}finally{this[_0xb337[251]][_0xb337[476]]()}};mxGraph[_0xb337[202]][_0xb337[859]]=function(_0xbfbfx2){this[_0xb337[2126]](!0);this[_0xb337[2433]][_0xb337[862]]();this[_0xb337[1011]][_0xb337[862]]();_0xbfbfx2=this[_0xb337[897]]();for(var _0xbfbfx3=0;_0xbfbfx3<_0xbfbfx2[_0xb337[67]];_0xbfbfx3++){var _0xbfbfx4=this[_0xb337[441]][_0xb337[248]](_0xbfbfx2[_0xbfbfx3]);null!=_0xbfbfx4&&null!=_0xbfbfx4[_0xb337[2458]]&&_0xbfbfx4[_0xb337[2458]][_0xb337[862]]()}};mxGraph[_0xb337[202]][_0xb337[173]]=function(_0xbfbfx2){var _0xbfbfx3=_0xbfbfx2[_0xb337[727]](),_0xbfbfx4=_0xbfbfx2[_0xb337[736]](),_0xbfbfx5= new mxEventObject(mxEvent.CLICK,_0xb337[763],_0xbfbfx3,_0xb337[246],_0xbfbfx4);_0xbfbfx2[_0xb337[721]]()&&_0xbfbfx5[_0xb337[722]]();this[_0xb337[746]](_0xbfbfx5);this[_0xb337[994]]()&&(!mxEvent[_0xb337[721]](_0xbfbfx3)&&!_0xbfbfx5[_0xb337[721]]())&&(null!=_0xbfbfx4?this[_0xb337[2459]](_0xbfbfx4,_0xbfbfx3):(_0xbfbfx4=null,this[_0xb337[2460]]()&&(_0xbfbfx4=this[_0xb337[2461]](_0xbfbfx2[_0xb337[734]](),_0xbfbfx2[_0xb337[735]]())),null!=_0xbfbfx4?this[_0xb337[2459]](_0xbfbfx4,_0xbfbfx3):this[_0xb337[2462]](_0xbfbfx3)||this[_0xb337[2440]]()))};mxGraph[_0xb337[202]][_0xb337[761]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4= new mxEventObject(mxEvent.DOUBLE_CLICK,_0xb337[763],_0xbfbfx2,_0xb337[246],_0xbfbfx3);this[_0xb337[746]](_0xbfbfx4);this[_0xb337[994]]()&&(!mxEvent[_0xb337[721]](_0xbfbfx2)&&!_0xbfbfx4[_0xb337[721]]()&&null!=_0xbfbfx3&&this[_0xb337[2453]](_0xbfbfx3))&&this[_0xb337[2451]](_0xbfbfx3,_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[1014]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){if(!this[_0xb337[2382]]&&(this[_0xb337[2384]]||mxUtils[_0xb337[1134]](this[_0xb337[526]]))){var _0xbfbfx9=this[_0xb337[526]];_0xbfbfx5=null!=_0xbfbfx5?_0xbfbfx5:20;if(_0xbfbfx2>=_0xbfbfx9[_0xb337[360]]&&_0xbfbfx3>=_0xbfbfx9[_0xb337[190]]&&_0xbfbfx2<=_0xbfbfx9[_0xb337[360]]+_0xbfbfx9[_0xb337[159]]&&_0xbfbfx3<=_0xbfbfx9[_0xb337[190]]+_0xbfbfx9[_0xb337[157]]){var _0xbfbfxa=_0xbfbfx9[_0xb337[360]]+_0xbfbfx9[_0xb337[159]]-_0xbfbfx2;if(_0xbfbfxa<_0xbfbfx5){if(_0xbfbfx2=_0xbfbfx9[_0xb337[360]],_0xbfbfx9[_0xb337[360]]+=_0xbfbfx5-_0xbfbfxa,_0xbfbfx4&&_0xbfbfx2==_0xbfbfx9[_0xb337[360]]){if(this[_0xb337[507]]==mxConstants[_0xb337[508]]){_0xbfbfx2=this[_0xb337[441]][_0xb337[2024]]()[_0xb337[538]];var _0xbfbfx12=this[_0xb337[526]][_0xb337[1526]]+_0xbfbfx5-_0xbfbfxa}else {_0xbfbfx12=Math[_0xb337[160]](_0xbfbfx9[_0xb337[159]],_0xbfbfx9[_0xb337[1526]])+_0xbfbfx5-_0xbfbfxa,_0xbfbfx2=this[_0xb337[441]][_0xb337[2051]]()};_0xbfbfx2[_0xb337[124]][_0xb337[117]]=_0xbfbfx12+_0xb337[168];_0xbfbfx9[_0xb337[360]]+=_0xbfbfx5-_0xbfbfxa}}else {_0xbfbfxa=_0xbfbfx2-_0xbfbfx9[_0xb337[360]],_0xbfbfxa<_0xbfbfx5&&(_0xbfbfx9[_0xb337[360]]-=_0xbfbfx5-_0xbfbfxa)};_0xbfbfxa=_0xbfbfx9[_0xb337[190]]+_0xbfbfx9[_0xb337[157]]-_0xbfbfx3;_0xbfbfxa<_0xbfbfx5?(_0xbfbfx2=_0xbfbfx9[_0xb337[190]],_0xbfbfx9[_0xb337[190]]+=_0xbfbfx5-_0xbfbfxa,_0xbfbfx2==_0xbfbfx9[_0xb337[190]]&&_0xbfbfx4&&(this[_0xb337[507]]==mxConstants[_0xb337[508]]?(_0xbfbfx2=this[_0xb337[441]][_0xb337[2024]]()[_0xb337[538]],_0xbfbfx3=this[_0xb337[526]][_0xb337[191]]+_0xbfbfx5-_0xbfbfxa):(_0xbfbfx3=Math[_0xb337[160]](_0xbfbfx9[_0xb337[157]],_0xbfbfx9[_0xb337[191]])+_0xbfbfx5-_0xbfbfxa,_0xbfbfx2=this[_0xb337[441]][_0xb337[2051]]()),_0xbfbfx2[_0xb337[124]][_0xb337[119]]=_0xbfbfx3+_0xb337[168],_0xbfbfx9[_0xb337[190]]+=_0xbfbfx5-_0xbfbfxa)):(_0xbfbfxa=_0xbfbfx3-_0xbfbfx9[_0xb337[190]],_0xbfbfxa<_0xbfbfx5&&(_0xbfbfx9[_0xb337[190]]-=_0xbfbfx5-_0xbfbfxa))}}else {this[_0xb337[2383]]&&!this[_0xb337[2316]][_0xb337[1124]]&&(null==this[_0xb337[2463]]&&(this[_0xb337[2463]]=this[_0xb337[2464]]()),this[_0xb337[2463]][_0xb337[1143]](_0xbfbfx2+this[_0xb337[1136]],_0xbfbfx3+this[_0xb337[1137]]))}};mxGraph[_0xb337[202]][_0xb337[2464]]=function(){return new mxPanningManager(this)};mxGraph[_0xb337[202]][_0xb337[2465]]=function(){function _0xbfbfx2(_0xbfbfx2){var _0xbfbfx3=0,_0xbfbfx3=_0xb337[2466]==_0xbfbfx2?2:_0xb337[2467]==_0xbfbfx2?4:_0xb337[2468]==_0xbfbfx2?6:parseInt(_0xbfbfx2);isNaN(_0xbfbfx3)&&(_0xbfbfx3=0);return _0xbfbfx3}var _0xbfbfx3=mxUtils[_0xb337[275]](this[_0xb337[526]]),_0xbfbfx4= new mxRectangle;_0xbfbfx4[_0xb337[235]]=_0xbfbfx2(_0xbfbfx3[_0xb337[2469]])+parseInt(_0xbfbfx3[_0xb337[357]]||0);_0xbfbfx4[_0xb337[236]]=_0xbfbfx2(_0xbfbfx3[_0xb337[2470]])+parseInt(_0xbfbfx3[_0xb337[2471]]||0);_0xbfbfx4[_0xb337[117]]=_0xbfbfx2(_0xbfbfx3[_0xb337[2472]])+parseInt(_0xbfbfx3[_0xb337[1164]]||0);_0xbfbfx4[_0xb337[119]]=_0xbfbfx2(_0xbfbfx3[_0xb337[2473]])+parseInt(_0xbfbfx3[_0xb337[2474]]||0);return _0xbfbfx4};mxGraph[_0xb337[202]][_0xb337[2475]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx2=this[_0xb337[441]][_0xb337[255]];var _0xbfbfx5=this[_0xb337[441]][_0xb337[513]],_0xbfbfx9=this[_0xb337[2013]],_0xbfbfxa=_0xbfbfx2*this[_0xb337[2012]],_0xbfbfx9= new mxRectangle(0,0,_0xbfbfx9[_0xb337[117]]*_0xbfbfxa,_0xbfbfx9[_0xb337[119]]*_0xbfbfxa);_0xbfbfx3=this[_0xb337[2357]]?Math[_0xb337[430]](_0xbfbfx3/_0xbfbfx9[_0xb337[117]]):1;_0xbfbfx4=this[_0xb337[2357]]?Math[_0xb337[430]](_0xbfbfx4/_0xbfbfx9[_0xb337[119]]):1;return new mxRectangle(0,0,_0xbfbfx3*_0xbfbfx9[_0xb337[117]]+2+_0xbfbfx5[_0xb337[235]]/_0xbfbfx2,_0xbfbfx4*_0xbfbfx9[_0xb337[119]]+2+_0xbfbfx5[_0xb337[236]]/_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2258]]=function(){var _0xbfbfx2=this[_0xb337[517]]();if(null!=this[_0xb337[526]]){var _0xbfbfx3=this[_0xb337[2476]](),_0xbfbfx4=Math[_0xb337[160]](0,_0xbfbfx2[_0xb337[235]]+_0xbfbfx2[_0xb337[117]]+1+_0xbfbfx3),_0xbfbfx3=Math[_0xb337[160]](0,_0xbfbfx2[_0xb337[236]]+_0xbfbfx2[_0xb337[119]]+1+_0xbfbfx3);null!=this[_0xb337[2387]]&&(_0xbfbfx4=Math[_0xb337[160]](_0xbfbfx4,this[_0xb337[2387]][_0xb337[117]]),_0xbfbfx3=Math[_0xb337[160]](_0xbfbfx3,this[_0xb337[2387]][_0xb337[119]]));this[_0xb337[2389]]&&this[_0xb337[2477]](_0xbfbfx4,_0xbfbfx3);if(this[_0xb337[2361]]||!mxClient[_0xb337[80]]&&this[_0xb337[2273]]){var _0xbfbfx5=this[_0xb337[2475]](_0xbfbfx2,_0xbfbfx4,_0xbfbfx3);null!=_0xbfbfx5&&(_0xbfbfx4=_0xbfbfx5[_0xb337[117]],_0xbfbfx3=_0xbfbfx5[_0xb337[119]])};null!=this[_0xb337[2386]]&&(_0xbfbfx4=Math[_0xb337[160]](_0xbfbfx4,this[_0xb337[2386]][_0xb337[117]]*this[_0xb337[441]][_0xb337[255]]),_0xbfbfx3=Math[_0xb337[160]](_0xbfbfx3,this[_0xb337[2386]][_0xb337[119]]*this[_0xb337[441]][_0xb337[255]]));_0xbfbfx4=Math[_0xb337[430]](_0xbfbfx4-1);_0xbfbfx3=Math[_0xb337[430]](_0xbfbfx3-1);this[_0xb337[507]]==mxConstants[_0xb337[508]]?(_0xbfbfx5=this[_0xb337[441]][_0xb337[2024]]()[_0xb337[538]],_0xbfbfx5[_0xb337[124]][_0xb337[2478]]=Math[_0xb337[160]](1,_0xbfbfx4)+_0xb337[168],_0xbfbfx5[_0xb337[124]][_0xb337[2479]]=Math[_0xb337[160]](1,_0xbfbfx3)+_0xb337[168],_0xbfbfx5[_0xb337[124]][_0xb337[117]]=_0xb337[118],_0xbfbfx5[_0xb337[124]][_0xb337[119]]=_0xb337[118]):mxClient[_0xb337[496]]?this[_0xb337[441]][_0xb337[2320]](Math[_0xb337[160]](1,_0xbfbfx4),Math[_0xb337[160]](1,_0xbfbfx3)):(this[_0xb337[441]][_0xb337[510]][_0xb337[124]][_0xb337[2478]]=Math[_0xb337[160]](1,_0xbfbfx4)+_0xb337[168],this[_0xb337[441]][_0xb337[510]][_0xb337[124]][_0xb337[2479]]=Math[_0xb337[160]](1,_0xbfbfx3)+_0xb337[168]);this[_0xb337[2480]](this[_0xb337[2357]],_0xbfbfx4-1,_0xbfbfx3-1)};this[_0xb337[746]]( new mxEventObject(mxEvent.SIZE,_0xb337[1562],_0xbfbfx2))};mxGraph[_0xb337[202]][_0xb337[2477]]=function(_0xbfbfx2,_0xbfbfx3){if(mxClient[_0xb337[80]]){if(mxClient[_0xb337[496]]){var _0xbfbfx4=this[_0xb337[2465]]();_0xbfbfx2+=Math[_0xb337[160]](2,_0xbfbfx4[_0xb337[235]]+_0xbfbfx4[_0xb337[117]]+1);_0xbfbfx3+=Math[_0xb337[160]](2,_0xbfbfx4[_0xb337[236]]+_0xbfbfx4[_0xb337[119]]+1)}else {9<=document[_0xb337[5]]?(_0xbfbfx2+=3,_0xbfbfx3+=5):(_0xbfbfx2+=1,_0xbfbfx3+=1)}}else {_0xbfbfx3+=1};null!=this[_0xb337[2388]]&&(_0xbfbfx2=Math[_0xb337[243]](this[_0xb337[2388]][_0xb337[117]],_0xbfbfx2),_0xbfbfx3=Math[_0xb337[243]](this[_0xb337[2388]][_0xb337[119]],_0xbfbfx3));this[_0xb337[526]][_0xb337[124]][_0xb337[117]]=Math[_0xb337[430]](_0xbfbfx2)+_0xb337[168];this[_0xb337[526]][_0xb337[124]][_0xb337[119]]=Math[_0xb337[430]](_0xbfbfx3)+_0xb337[168]};mxGraph[_0xb337[202]][_0xb337[2480]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=this[_0xb337[441]][_0xb337[255]],_0xbfbfx9=this[_0xb337[441]][_0xb337[513]],_0xbfbfxa=this[_0xb337[2013]],_0xbfbfx12=_0xbfbfx5*this[_0xb337[2012]],_0xbfbfx9= new mxRectangle(_0xbfbfx5*_0xbfbfx9[_0xb337[235]],_0xbfbfx5*_0xbfbfx9[_0xb337[236]],_0xbfbfxa[_0xb337[117]]*_0xbfbfx12,_0xbfbfxa[_0xb337[119]]*_0xbfbfx12);_0xbfbfx2=_0xbfbfx2&&Math[_0xb337[243]](_0xbfbfx9[_0xb337[117]],_0xbfbfx9[_0xb337[119]])>this[_0xb337[2360]];_0xbfbfx9[_0xb337[235]]=mxUtils[_0xb337[1454]](_0xbfbfx9[_0xb337[235]],_0xbfbfx9[_0xb337[117]]);_0xbfbfx9[_0xb337[236]]=mxUtils[_0xb337[1454]](_0xbfbfx9[_0xb337[236]],_0xbfbfx9[_0xb337[119]]);_0xbfbfxa=_0xbfbfx2?Math[_0xb337[430]]((_0xbfbfx3-_0xbfbfx9[_0xb337[235]])/_0xbfbfx9[_0xb337[117]]):0;_0xbfbfx2=_0xbfbfx2?Math[_0xb337[430]]((_0xbfbfx4-_0xbfbfx9[_0xb337[236]])/_0xbfbfx9[_0xb337[119]]):0;null==this[_0xb337[2481]]&&0<_0xbfbfxa&&(this[_0xb337[2481]]=[]);if(null!=this[_0xb337[2481]]){for(_0xbfbfx12=0;_0xbfbfx12<=_0xbfbfxa;_0xbfbfx12++){var _0xbfbfx13=[ new mxPoint(_0xbfbfx9[_0xb337[235]]+_0xbfbfx12*_0xbfbfx9[_0xb337[117]],1), new mxPoint(_0xbfbfx9[_0xb337[235]]+_0xbfbfx12*_0xbfbfx9[_0xb337[117]],_0xbfbfx4)];null!=this[_0xb337[2481]][_0xbfbfx12]?(this[_0xb337[2481]][_0xbfbfx12][_0xb337[255]]=1,this[_0xb337[2481]][_0xbfbfx12][_0xb337[1525]]=_0xbfbfx13,this[_0xb337[2481]][_0xbfbfx12][_0xb337[258]]()):(_0xbfbfx13= new mxPolyline(_0xbfbfx13,this[_0xb337[2358]],this[_0xb337[255]]),_0xbfbfx13[_0xb337[507]]=this[_0xb337[507]],_0xbfbfx13[_0xb337[1522]]=this[_0xb337[2359]],_0xbfbfx13[_0xb337[255]]=_0xbfbfx5,_0xbfbfx13[_0xb337[176]](this[_0xb337[441]][_0xb337[2053]]),_0xbfbfx13[_0xb337[258]](),this[_0xb337[2481]][_0xbfbfx12]=_0xbfbfx13)};for(_0xbfbfx12=_0xbfbfxa;_0xbfbfx12this[_0xb337[251]][_0xb337[262]](_0xbfbfx3)&&_0xbfbfx4--;this[_0xb337[251]][_0xb337[99]](_0xbfbfx3,_0xbfbfx2[_0xbfbfx13],_0xbfbfx4+_0xbfbfx13);this[_0xb337[2506]]()&&this[_0xb337[2507]](_0xbfbfx2[_0xbfbfx13])&&this[_0xb337[2508]](_0xbfbfx2[_0xbfbfx13]);(null==_0xbfbfx12||_0xbfbfx12)&&this[_0xb337[2509]](_0xbfbfx2[_0xbfbfx13]);null!=_0xbfbfx5&&this[_0xb337[852]](_0xbfbfx2[_0xbfbfx13],_0xbfbfx5,!0);null!=_0xbfbfx9&&this[_0xb337[852]](_0xbfbfx2[_0xbfbfx13],_0xbfbfx9,!1)}};this[_0xb337[746]]( new mxEventObject(mxEvent.CELLS_ADDED,_0xb337[895],_0xbfbfx2,_0xb337[1101],_0xbfbfx3,_0xb337[1983],_0xbfbfx4,_0xb337[1097],_0xbfbfx5,_0xb337[772],_0xbfbfx9,_0xb337[492],_0xbfbfxa))}finally{this[_0xb337[251]][_0xb337[476]]()}}};mxGraph[_0xb337[202]][_0xb337[842]]=function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx3=null!=_0xbfbfx3?_0xbfbfx3:!0;null==_0xbfbfx2&&(_0xbfbfx2=this[_0xb337[2510]](this[_0xb337[897]]()));_0xbfbfx3&&(_0xbfbfx2=this[_0xb337[2510]](this[_0xb337[2499]](_0xbfbfx2)));this[_0xb337[251]][_0xb337[473]]();try{this[_0xb337[843]](_0xbfbfx2),this[_0xb337[746]]( new mxEventObject(mxEvent.REMOVE_CELLS,_0xb337[895],_0xbfbfx2,_0xb337[2511],_0xbfbfx3))}finally{this[_0xb337[251]][_0xb337[476]]()};return _0xbfbfx2};mxGraph[_0xb337[202]][_0xb337[843]]=function(_0xbfbfx2){if(null!=_0xbfbfx2&&0<_0xbfbfx2[_0xb337[67]]){var _0xbfbfx3=this[_0xb337[441]][_0xb337[255]],_0xbfbfx4=this[_0xb337[441]][_0xb337[513]];this[_0xb337[251]][_0xb337[473]]();try{for(var _0xbfbfx5={},_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx2[_0xb337[67]];_0xbfbfx9++){var _0xbfbfxa=mxCellPath[_0xb337[385]](_0xbfbfx2[_0xbfbfx9]);_0xbfbfx5[_0xbfbfxa]=_0xbfbfx2[_0xbfbfx9]};for(_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx2[_0xb337[67]];_0xbfbfx9++){for(var _0xbfbfx12=this[_0xb337[1756]](_0xbfbfx2[_0xbfbfx9]),_0xbfbfx13=0;_0xbfbfx13<_0xbfbfx12[_0xb337[67]];_0xbfbfx13++){if(_0xbfbfxa=mxCellPath[_0xb337[385]](_0xbfbfx12[_0xbfbfx13]),null==_0xbfbfx5[_0xbfbfxa]){var _0xbfbfx14=this[_0xb337[251]][_0xb337[1721]](_0xbfbfx12[_0xbfbfx13]);if(null!=_0xbfbfx14){var _0xbfbfx15=this[_0xb337[441]][_0xb337[248]](_0xbfbfx12[_0xbfbfx13]);if(null!=_0xbfbfx15){var _0xbfbfx14=_0xbfbfx14[_0xb337[238]](),_0xbfbfx16=_0xbfbfx15[_0xb337[1710]](!0)==_0xbfbfx2[_0xbfbfx9],_0xbfbfx17=_0xbfbfx15[_0xb337[439]],_0xbfbfx18=_0xbfbfx16?0:_0xbfbfx17[_0xb337[67]]-1;_0xbfbfx14[_0xb337[2007]]( new mxPoint(_0xbfbfx17[_0xbfbfx18][_0xb337[235]]/_0xbfbfx3-_0xbfbfx4[_0xb337[235]],_0xbfbfx17[_0xbfbfx18][_0xb337[236]]/_0xbfbfx3-_0xbfbfx4[_0xb337[236]]),_0xbfbfx16);this[_0xb337[251]][_0xb337[1957]](_0xbfbfx12[_0xbfbfx13],null,_0xbfbfx16);this[_0xb337[251]][_0xb337[1724]](_0xbfbfx12[_0xbfbfx13],_0xbfbfx14)}}}};this[_0xb337[251]][_0xb337[205]](_0xbfbfx2[_0xbfbfx9])};this[_0xb337[746]]( new mxEventObject(mxEvent.CELLS_REMOVED,_0xb337[895],_0xbfbfx2))}finally{this[_0xb337[251]][_0xb337[476]]()}}};mxGraph[_0xb337[202]][_0xb337[853]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xbfbfx5=_0xbfbfx5||0;_0xbfbfx9=_0xbfbfx9||0;null==_0xbfbfx4&&(_0xbfbfx4=this[_0xb337[899]]([_0xbfbfx2])[0]);var _0xbfbfxa=this[_0xb337[251]][_0xb337[1197]](_0xbfbfx2),_0xbfbfx12=this[_0xb337[251]][_0xb337[1709]](_0xbfbfx2,!0);this[_0xb337[251]][_0xb337[473]]();try{this[_0xb337[835]](_0xbfbfx3,_0xbfbfx5,_0xbfbfx9,!1,!1),this[_0xb337[833]](_0xbfbfx3,_0xbfbfxa,this[_0xb337[251]][_0xb337[262]](_0xbfbfxa),null,null,!0),this[_0xb337[833]]([_0xbfbfx4],_0xbfbfxa,this[_0xb337[251]][_0xb337[262]](_0xbfbfxa),_0xbfbfx12,_0xbfbfx3[0],!1),this[_0xb337[852]](_0xbfbfx2,_0xbfbfx3[0],!0),this[_0xb337[746]]( new mxEventObject(mxEvent.SPLIT_EDGE,_0xb337[1989],_0xbfbfx2,_0xb337[895],_0xbfbfx3,_0xb337[2512],_0xbfbfx4,_0xb337[1126],_0xbfbfx5,_0xb337[1125],_0xbfbfx9))}finally{this[_0xb337[251]][_0xb337[476]]()};return _0xbfbfx4};mxGraph[_0xb337[202]][_0xb337[838]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){null==_0xbfbfx3&&(_0xbfbfx3=this[_0xb337[897]]());_0xbfbfx4&&(_0xbfbfx3=this[_0xb337[2499]](_0xbfbfx3));this[_0xb337[251]][_0xb337[473]]();try{this[_0xb337[839]](_0xbfbfx3,_0xbfbfx2),this[_0xb337[746]]( new mxEventObject(mxEvent.TOGGLE_CELLS,_0xb337[539],_0xbfbfx2,_0xb337[895],_0xbfbfx3,_0xb337[2511],_0xbfbfx4))}finally{this[_0xb337[251]][_0xb337[476]]()};return _0xbfbfx3};mxGraph[_0xb337[202]][_0xb337[839]]=function(_0xbfbfx2,_0xbfbfx3){if(null!=_0xbfbfx2&&0<_0xbfbfx2[_0xb337[67]]){this[_0xb337[251]][_0xb337[473]]();try{for(var _0xbfbfx4=0;_0xbfbfx4<_0xbfbfx2[_0xb337[67]];_0xbfbfx4++){this[_0xb337[251]][_0xb337[175]](_0xbfbfx2[_0xbfbfx4],_0xbfbfx3)}}finally{this[_0xb337[251]][_0xb337[476]]()}}};mxGraph[_0xb337[202]][_0xb337[847]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){_0xbfbfx3=null!=_0xbfbfx3?_0xbfbfx3:!1;null==_0xbfbfx4&&(_0xbfbfx4=this[_0xb337[2513]](this[_0xb337[897]](),_0xbfbfx2));this[_0xb337[2126]](!1);this[_0xb337[251]][_0xb337[473]]();try{this[_0xb337[848]](_0xbfbfx4,_0xbfbfx2,_0xbfbfx3,_0xbfbfx5),this[_0xb337[746]]( new mxEventObject(mxEvent.FOLD_CELLS,_0xb337[2514],_0xbfbfx2,_0xb337[2515],_0xbfbfx3,_0xb337[895],_0xbfbfx4))}finally{this[_0xb337[251]][_0xb337[476]]()};return _0xbfbfx4};mxGraph[_0xb337[202]][_0xb337[848]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){if(null!=_0xbfbfx2&&0<_0xbfbfx2[_0xb337[67]]){this[_0xb337[251]][_0xb337[473]]();try{for(var _0xbfbfx9=0;_0xbfbfx9<_0xbfbfx2[_0xb337[67]];_0xbfbfx9++){if((!_0xbfbfx5||this[_0xb337[2516]](_0xbfbfx2[_0xbfbfx9],_0xbfbfx3))&&_0xbfbfx3!=this[_0xb337[1742]](_0xbfbfx2[_0xbfbfx9])){if(this[_0xb337[251]][_0xb337[1972]](_0xbfbfx2[_0xbfbfx9],_0xbfbfx3),this[_0xb337[2517]](_0xbfbfx2[_0xbfbfx9],_0xbfbfx3),this[_0xb337[2507]](_0xbfbfx2[_0xbfbfx9])&&this[_0xb337[2508]](_0xbfbfx2[_0xbfbfx9]),_0xbfbfx4){var _0xbfbfxa=this[_0xb337[251]][_0xb337[1953]](_0xbfbfx2[_0xbfbfx9]);this[_0xb337[847]](_0xbfbfxa,_0xbfbfx3,_0xbfbfx4)}}};this[_0xb337[746]]( new mxEventObject(mxEvent.CELLS_FOLDED,_0xb337[895],_0xbfbfx2,_0xb337[2514],_0xbfbfx3,_0xb337[2515],_0xbfbfx4))}finally{this[_0xb337[251]][_0xb337[476]]()}}};mxGraph[_0xb337[202]][_0xb337[2517]]=function(_0xbfbfx2,_0xbfbfx3){if(null!=_0xbfbfx2){var _0xbfbfx4=this[_0xb337[251]][_0xb337[1721]](_0xbfbfx2);null!=_0xbfbfx4&&(_0xbfbfx4=_0xbfbfx4[_0xb337[238]](),this[_0xb337[2518]](_0xbfbfx2,_0xbfbfx4,_0xbfbfx3),_0xbfbfx4[_0xb337[2005]](),this[_0xb337[251]][_0xb337[1724]](_0xbfbfx2,_0xbfbfx4))}};mxGraph[_0xb337[202]][_0xb337[2518]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){if(null!=_0xbfbfx2&&null!=_0xbfbfx3){_0xbfbfx4=this[_0xb337[441]][_0xb337[248]](_0xbfbfx2);_0xbfbfx4=null!=_0xbfbfx4?_0xbfbfx4[_0xb337[124]]:this[_0xb337[1705]](_0xbfbfx2);if(null==_0xbfbfx3[_0xb337[2002]]){var _0xbfbfx5=_0xbfbfx3;this[_0xb337[2394]]&&(_0xbfbfx2=this[_0xb337[2519]](_0xbfbfx2),null!=_0xbfbfx2&&(_0xbfbfx5=_0xbfbfx2,_0xbfbfx2=mxUtils[_0xb337[433]](_0xbfbfx4,mxConstants.STYLE_STARTSIZE),0<_0xbfbfx2&&(_0xbfbfx5[_0xb337[119]]=Math[_0xb337[160]](_0xbfbfx5[_0xb337[119]],_0xbfbfx2))));_0xbfbfx3[_0xb337[2002]]= new mxRectangle(0,0,_0xbfbfx5[_0xb337[117]],_0xbfbfx5[_0xb337[119]])};if(null!=_0xbfbfx3[_0xb337[2002]]){_0xbfbfx3[_0xb337[2002]][_0xb337[235]]=_0xbfbfx3[_0xb337[235]];_0xbfbfx3[_0xb337[2002]][_0xb337[236]]=_0xbfbfx3[_0xb337[236]];var _0xbfbfx9=mxUtils[_0xb337[431]](_0xbfbfx4[mxConstants[_0xb337[2278]]]||_0xb337[468]);0!=_0xbfbfx9&&(_0xbfbfx2=_0xbfbfx3[_0xb337[2002]][_0xb337[241]]()-_0xbfbfx3[_0xb337[241]](),_0xbfbfx4=_0xbfbfx3[_0xb337[2002]][_0xb337[242]]()-_0xbfbfx3[_0xb337[242]](),_0xbfbfx5=Math[_0xb337[426]](_0xbfbfx9),_0xbfbfx9=Math[_0xb337[427]](_0xbfbfx9),_0xbfbfx3[_0xb337[2002]][_0xb337[235]]+=_0xbfbfx5*_0xbfbfx2-_0xbfbfx9*_0xbfbfx4-_0xbfbfx2,_0xbfbfx3[_0xb337[2002]][_0xb337[236]]+=_0xbfbfx9*_0xbfbfx2+_0xbfbfx5*_0xbfbfx4-_0xbfbfx4)}}};mxGraph[_0xb337[202]][_0xb337[2499]]=function(_0xbfbfx2){var _0xbfbfx3=_0xbfbfx2[_0xb337[1853]]();return _0xbfbfx3=_0xbfbfx3[_0xb337[1919]](this[_0xb337[2520]](_0xbfbfx2))};mxGraph[_0xb337[202]][_0xb337[2520]]=function(_0xbfbfx2){var _0xbfbfx3=[];if(null!=_0xbfbfx2){for(var _0xbfbfx4=0;_0xbfbfx4<_0xbfbfx2[_0xb337[67]];_0xbfbfx4++){for(var _0xbfbfx5=this[_0xb337[251]][_0xb337[1707]](_0xbfbfx2[_0xbfbfx4]),_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx5;_0xbfbfx9++){_0xbfbfx3[_0xb337[207]](this[_0xb337[251]][_0xb337[1708]](_0xbfbfx2[_0xbfbfx4],_0xbfbfx9))};_0xbfbfx5=this[_0xb337[251]][_0xb337[1953]](_0xbfbfx2[_0xbfbfx4]);_0xbfbfx3=_0xbfbfx3[_0xb337[1919]](this[_0xb337[2520]](_0xbfbfx5))}};return _0xbfbfx3};mxGraph[_0xb337[202]][_0xb337[858]]=function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx3=null!=_0xbfbfx3?_0xbfbfx3:!1;this[_0xb337[251]][_0xb337[473]]();try{this[_0xb337[2457]](_0xbfbfx2,_0xbfbfx3),this[_0xb337[746]]( new mxEventObject(mxEvent.UPDATE_CELL_SIZE,_0xb337[246],_0xbfbfx2,_0xb337[2521],_0xbfbfx3))}finally{this[_0xb337[251]][_0xb337[476]]()};return _0xbfbfx2};mxGraph[_0xb337[202]][_0xb337[2457]]=function(_0xbfbfx2,_0xbfbfx3){if(null!=_0xbfbfx2){this[_0xb337[251]][_0xb337[473]]();try{var _0xbfbfx4=this[_0xb337[2519]](_0xbfbfx2),_0xbfbfx5=this[_0xb337[251]][_0xb337[1721]](_0xbfbfx2);if(null!=_0xbfbfx4&&null!=_0xbfbfx5){var _0xbfbfx9=this[_0xb337[1742]](_0xbfbfx2),_0xbfbfx5=_0xbfbfx5[_0xb337[238]]();if(this[_0xb337[1730]](_0xbfbfx2)){var _0xbfbfxa=this[_0xb337[441]][_0xb337[248]](_0xbfbfx2),_0xbfbfx12=null!=_0xbfbfxa?_0xbfbfxa[_0xb337[124]]:this[_0xb337[1705]](_0xbfbfx2),_0xbfbfx13=this[_0xb337[251]][_0xb337[474]](_0xbfbfx2);null==_0xbfbfx13&&(_0xbfbfx13=_0xb337[110]);mxUtils[_0xb337[433]](_0xbfbfx12,mxConstants.STYLE_HORIZONTAL,!0)?(_0xbfbfx13=mxUtils[_0xb337[475]](_0xbfbfx13,mxConstants.STYLE_STARTSIZE,_0xbfbfx4[_0xb337[119]]+8),_0xbfbfx9&&(_0xbfbfx5[_0xb337[119]]=_0xbfbfx4[_0xb337[119]]+8),_0xbfbfx5[_0xb337[117]]=_0xbfbfx4[_0xb337[117]]):(_0xbfbfx13=mxUtils[_0xb337[475]](_0xbfbfx13,mxConstants.STYLE_STARTSIZE,_0xbfbfx4[_0xb337[117]]+8),_0xbfbfx9&&(_0xbfbfx5[_0xb337[117]]=_0xbfbfx4[_0xb337[117]]+8),_0xbfbfx5[_0xb337[119]]=_0xbfbfx4[_0xb337[119]]);this[_0xb337[251]][_0xb337[475]](_0xbfbfx2,_0xbfbfx13)}else {_0xbfbfx5[_0xb337[117]]=_0xbfbfx4[_0xb337[117]],_0xbfbfx5[_0xb337[119]]=_0xbfbfx4[_0xb337[119]]};if(!_0xbfbfx3&& !_0xbfbfx9){var _0xbfbfx14=this[_0xb337[441]][_0xb337[1799]](this[_0xb337[251]][_0xb337[1953]](_0xbfbfx2));if(null!=_0xbfbfx14){var _0xbfbfx15=this[_0xb337[441]][_0xb337[513]],_0xbfbfx16=this[_0xb337[441]][_0xb337[255]],_0xbfbfx17=(_0xbfbfx14[_0xb337[236]]+_0xbfbfx14[_0xb337[119]])/_0xbfbfx16-_0xbfbfx5[_0xb337[236]]-_0xbfbfx15[_0xb337[236]];_0xbfbfx5[_0xb337[117]]=Math[_0xb337[160]](_0xbfbfx5[_0xb337[117]],(_0xbfbfx14[_0xb337[235]]+_0xbfbfx14[_0xb337[117]])/_0xbfbfx16-_0xbfbfx5[_0xb337[235]]-_0xbfbfx15[_0xb337[235]]);_0xbfbfx5[_0xb337[119]]=Math[_0xb337[160]](_0xbfbfx5[_0xb337[119]],_0xbfbfx17)}};this[_0xb337[837]]([_0xbfbfx2],[_0xbfbfx5])}}finally{this[_0xb337[251]][_0xb337[476]]()}}};mxGraph[_0xb337[202]][_0xb337[2519]]=function(_0xbfbfx2){var _0xbfbfx3=null;if(null!=_0xbfbfx2){var _0xbfbfx4=this[_0xb337[441]][_0xb337[248]](_0xbfbfx2),_0xbfbfx5=null!=_0xbfbfx4?_0xbfbfx4[_0xb337[124]]:this[_0xb337[1705]](_0xbfbfx2);if(null!=_0xbfbfx5&&!this[_0xb337[251]][_0xb337[250]](_0xbfbfx2)){var _0xbfbfx9=_0xbfbfx5[mxConstants[_0xb337[2185]]]||mxConstants[_0xb337[483]],_0xbfbfxa=0,_0xbfbfx3=0;if((null!=this[_0xb337[1202]](_0xbfbfx4)||null!=_0xbfbfx5[mxConstants[_0xb337[2484]]])&&_0xbfbfx5[mxConstants[_0xb337[2059]]]==mxConstants[_0xb337[2522]]){_0xbfbfx5[mxConstants[_0xb337[2063]]]==mxConstants[_0xb337[481]]&&(_0xbfbfxa+=parseFloat(_0xbfbfx5[mxConstants[_0xb337[2523]]])||mxLabel[_0xb337[202]][_0xb337[1680]]),_0xbfbfx5[mxConstants[_0xb337[2064]]]!=mxConstants[_0xb337[479]]&&(_0xbfbfx3+=parseFloat(_0xbfbfx5[mxConstants[_0xb337[2524]]])||mxLabel[_0xb337[202]][_0xb337[1680]])};_0xbfbfxa+=2*(_0xbfbfx5[mxConstants[_0xb337[2143]]]||0);_0xbfbfxa+=_0xbfbfx5[mxConstants[_0xb337[2147]]]||0;_0xbfbfxa+=_0xbfbfx5[mxConstants[_0xb337[2145]]]||0;_0xbfbfx3+=2*(_0xbfbfx5[mxConstants[_0xb337[2143]]]||0);_0xbfbfx3+=_0xbfbfx5[mxConstants[_0xb337[2144]]]||0;_0xbfbfx3+=_0xbfbfx5[mxConstants[_0xb337[2146]]]||0;_0xbfbfx4=this[_0xb337[2205]](_0xbfbfx4);null!=_0xbfbfx4&&(_0xbfbfxa+=_0xbfbfx4[_0xb337[117]]+8);_0xbfbfx4=this[_0xb337[2183]](_0xbfbfx2);null!=_0xbfbfx4&&0<_0xbfbfx4[_0xb337[67]]?(this[_0xb337[2186]](_0xbfbfx2)||(_0xbfbfx4=_0xbfbfx4[_0xb337[230]](/\n/g,_0xb337[545])),_0xbfbfx9=mxUtils[_0xb337[2525]](_0xbfbfx4,_0xbfbfx9,_0xbfbfx5[mxConstants[_0xb337[2188]]]),_0xbfbfx2=_0xbfbfx9[_0xb337[117]]+_0xbfbfxa,_0xbfbfx3=_0xbfbfx9[_0xb337[119]]+_0xbfbfx3,mxUtils[_0xb337[433]](_0xbfbfx5,mxConstants.STYLE_HORIZONTAL,!0)||(_0xbfbfx5=_0xbfbfx3,_0xbfbfx3=_0xbfbfx2,_0xbfbfx2=_0xbfbfx5),this[_0xb337[991]]&&(_0xbfbfx2=this[_0xb337[1021]](_0xbfbfx2+this[_0xb337[1020]]/2),_0xbfbfx3=this[_0xb337[1021]](_0xbfbfx3+this[_0xb337[1020]]/2)),_0xbfbfx3= new mxRectangle(0,0,_0xbfbfx2,_0xbfbfx3)):(_0xbfbfx5=4*this[_0xb337[1020]],_0xbfbfx3= new mxRectangle(0,0,_0xbfbfx5,_0xbfbfx5))}};return _0xbfbfx3};mxGraph[_0xb337[202]][_0xb337[2216]]=function(_0xbfbfx2,_0xbfbfx3){if(0.2_0xbfbfx3?(_0xbfbfx3=_0xbfbfx2[_0xb337[119]]/_0xbfbfx3,_0xbfbfx4=(_0xbfbfx3-_0xbfbfx2[_0xb337[119]])/2,_0xbfbfx2[_0xb337[119]]=_0xbfbfx3,_0xbfbfx3=Math[_0xb337[243]](_0xbfbfx2[_0xb337[236]],_0xbfbfx4),_0xbfbfx2[_0xb337[236]]-=_0xbfbfx3,_0xbfbfx5=Math[_0xb337[243]](this[_0xb337[526]][_0xb337[191]],_0xbfbfx2[_0xb337[236]]+_0xbfbfx2[_0xb337[119]]),_0xbfbfx2[_0xb337[119]]=_0xbfbfx5-_0xbfbfx2[_0xb337[236]]):(_0xbfbfx3*=_0xbfbfx2[_0xb337[117]],_0xbfbfx4=(_0xbfbfx3-_0xbfbfx2[_0xb337[117]])/2,_0xbfbfx2[_0xb337[117]]=_0xbfbfx3,_0xbfbfx3=Math[_0xb337[243]](_0xbfbfx2[_0xb337[235]],_0xbfbfx4),_0xbfbfx2[_0xb337[235]]-=_0xbfbfx3,_0xbfbfx4=Math[_0xb337[243]](this[_0xb337[526]][_0xb337[1526]],_0xbfbfx2[_0xb337[235]]+_0xbfbfx2[_0xb337[117]]),_0xbfbfx2[_0xb337[117]]=_0xbfbfx4-_0xbfbfx2[_0xb337[235]]);_0xbfbfx3=this[_0xb337[526]][_0xb337[159]]/_0xbfbfx2[_0xb337[117]];_0xbfbfx4=this[_0xb337[441]][_0xb337[255]]*_0xbfbfx3;mxUtils[_0xb337[1134]](this[_0xb337[526]])?(this[_0xb337[441]][_0xb337[2262]](_0xbfbfx4),this[_0xb337[526]][_0xb337[360]]=Math[_0xb337[488]](_0xbfbfx2[_0xb337[235]]*_0xbfbfx3),this[_0xb337[526]][_0xb337[190]]=Math[_0xb337[488]](_0xbfbfx2[_0xb337[236]]*_0xbfbfx3)):this[_0xb337[441]][_0xb337[829]](_0xbfbfx4,this[_0xb337[441]][_0xb337[513]][_0xb337[235]]-_0xbfbfx2[_0xb337[235]]/this[_0xb337[441]][_0xb337[255]],this[_0xb337[441]][_0xb337[513]][_0xb337[236]]-_0xbfbfx2[_0xb337[236]]/this[_0xb337[441]][_0xb337[255]])};mxGraph[_0xb337[202]][_0xb337[933]]=function(_0xbfbfx2,_0xbfbfx3){if(null!=this[_0xb337[526]]){_0xbfbfx2=null!=_0xbfbfx2?_0xbfbfx2:0;_0xbfbfx3=null!=_0xbfbfx3?_0xbfbfx3:!1;var _0xbfbfx4=this[_0xb337[526]][_0xb337[159]],_0xbfbfx5=this[_0xb337[526]][_0xb337[157]],_0xbfbfx9=this[_0xb337[441]][_0xb337[517]]();_0xbfbfx3&&(null!=_0xbfbfx9[_0xb337[235]]&&null!=_0xbfbfx9[_0xb337[236]])&&(_0xbfbfx9[_0xb337[117]]+=_0xbfbfx9[_0xb337[235]],_0xbfbfx9[_0xb337[119]]+=_0xbfbfx9[_0xb337[236]],_0xbfbfx9[_0xb337[235]]=0,_0xbfbfx9[_0xb337[236]]=0);var _0xbfbfxa=this[_0xb337[441]][_0xb337[255]],_0xbfbfx12=_0xbfbfx9[_0xb337[117]]/_0xbfbfxa,_0xbfbfx13=_0xbfbfx9[_0xb337[119]]/_0xbfbfxa;null!=this[_0xb337[1617]]&&(_0xbfbfx12=Math[_0xb337[160]](_0xbfbfx12,this[_0xb337[1617]][_0xb337[117]]-_0xbfbfx9[_0xb337[235]]/_0xbfbfxa),_0xbfbfx13=Math[_0xb337[160]](_0xbfbfx13,this[_0xb337[1617]][_0xb337[119]]-_0xbfbfx9[_0xb337[236]]/_0xbfbfxa));var _0xbfbfx14=_0xbfbfx3?_0xbfbfx2:2*_0xbfbfx2,_0xbfbfx4=Math[_0xb337[519]](100*Math[_0xb337[243]](_0xbfbfx4/(_0xbfbfx12+_0xbfbfx14),_0xbfbfx5/(_0xbfbfx13+_0xbfbfx14)))/100;null!=this[_0xb337[2413]]&&(_0xbfbfx4=Math[_0xb337[160]](_0xbfbfx4,this[_0xb337[2413]]));null!=this[_0xb337[2414]]&&(_0xbfbfx4=Math[_0xb337[243]](_0xbfbfx4,this[_0xb337[2414]]));_0xbfbfx3?this[_0xb337[441]][_0xb337[255]]!=_0xbfbfx4&&this[_0xb337[441]][_0xb337[2262]](_0xbfbfx4):mxUtils[_0xb337[1134]](this[_0xb337[526]])?(this[_0xb337[441]][_0xb337[2262]](_0xbfbfx4),null!=_0xbfbfx9[_0xb337[235]]&&(this[_0xb337[526]][_0xb337[360]]=Math[_0xb337[488]](_0xbfbfx9[_0xb337[235]]/_0xbfbfxa)*_0xbfbfx4-_0xbfbfx2-Math[_0xb337[160]](0,(this[_0xb337[526]][_0xb337[159]]-_0xbfbfx12*_0xbfbfx4)/2)),null!=_0xbfbfx9[_0xb337[236]]&&(this[_0xb337[526]][_0xb337[190]]=Math[_0xb337[488]](_0xbfbfx9[_0xb337[236]]/_0xbfbfxa)*_0xbfbfx4-_0xbfbfx2-Math[_0xb337[160]](0,(this[_0xb337[526]][_0xb337[157]]-_0xbfbfx13*_0xbfbfx4)/2))):this[_0xb337[441]][_0xb337[829]](_0xbfbfx4,null!=_0xbfbfx9[_0xb337[235]]?Math[_0xb337[519]](this[_0xb337[441]][_0xb337[513]][_0xb337[235]]-_0xbfbfx9[_0xb337[235]]/_0xbfbfxa+_0xbfbfx2+1):_0xbfbfx2,null!=_0xbfbfx9[_0xb337[236]]?Math[_0xb337[519]](this[_0xb337[441]][_0xb337[513]][_0xb337[236]]-_0xbfbfx9[_0xb337[236]]/_0xbfbfxa+_0xbfbfx2+1):_0xbfbfx2)};return this[_0xb337[441]][_0xb337[255]]};mxGraph[_0xb337[202]][_0xb337[2564]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=-this[_0xb337[441]][_0xb337[513]][_0xb337[235]],_0xbfbfx5=-this[_0xb337[441]][_0xb337[513]][_0xb337[236]],_0xbfbfx9=this[_0xb337[441]][_0xb337[248]](_0xbfbfx2);null!=_0xbfbfx9&&(_0xbfbfx4= new mxRectangle(_0xbfbfx4+_0xbfbfx9[_0xb337[235]],_0xbfbfx5+_0xbfbfx9[_0xb337[236]],_0xbfbfx9[_0xb337[117]],_0xbfbfx9[_0xb337[119]]),_0xbfbfx3&&null!=this[_0xb337[526]]&&(_0xbfbfx5=this[_0xb337[526]][_0xb337[159]],_0xbfbfx9=this[_0xb337[526]][_0xb337[157]],_0xbfbfx4[_0xb337[235]]=_0xbfbfx4[_0xb337[241]]()-_0xbfbfx5/2,_0xbfbfx4[_0xb337[117]]=_0xbfbfx5,_0xbfbfx4[_0xb337[236]]=_0xbfbfx4[_0xb337[242]]()-_0xbfbfx9/2,_0xbfbfx4[_0xb337[119]]=_0xbfbfx9),this[_0xb337[2562]](_0xbfbfx4)&&this[_0xb337[441]][_0xb337[1147]](this[_0xb337[441]][_0xb337[513]][_0xb337[235]],this[_0xb337[441]][_0xb337[513]][_0xb337[236]]))};mxGraph[_0xb337[202]][_0xb337[2562]]=function(_0xbfbfx2){var _0xbfbfx3=!1;if(null!=_0xbfbfx2){var _0xbfbfx4=this[_0xb337[526]][_0xb337[359]],_0xbfbfx5=this[_0xb337[526]][_0xb337[167]],_0xbfbfx9=Math[_0xb337[243]](_0xbfbfx4,_0xbfbfx2[_0xb337[117]]),_0xbfbfxa=Math[_0xb337[243]](_0xbfbfx5,_0xbfbfx2[_0xb337[119]]);if(mxUtils[_0xb337[1134]](this[_0xb337[526]])){_0xbfbfx4=this[_0xb337[526]];_0xbfbfx2[_0xb337[235]]+=this[_0xb337[441]][_0xb337[513]][_0xb337[235]];_0xbfbfx2[_0xb337[236]]+=this[_0xb337[441]][_0xb337[513]][_0xb337[236]];var _0xbfbfx12=_0xbfbfx4[_0xb337[360]]-_0xbfbfx2[_0xb337[235]],_0xbfbfx5=Math[_0xb337[160]](_0xbfbfx12-_0xbfbfx4[_0xb337[360]],0);0<_0xbfbfx12?_0xbfbfx4[_0xb337[360]]-=_0xbfbfx12+2:(_0xbfbfx12=_0xbfbfx2[_0xb337[235]]+_0xbfbfx9-_0xbfbfx4[_0xb337[360]]-_0xbfbfx4[_0xb337[159]],0<_0xbfbfx12&&(_0xbfbfx4[_0xb337[360]]+=_0xbfbfx12+2));_0xbfbfx9=_0xbfbfx4[_0xb337[190]]-_0xbfbfx2[_0xb337[236]];_0xbfbfx12=Math[_0xb337[160]](0,_0xbfbfx9-_0xbfbfx4[_0xb337[190]]);0<_0xbfbfx9?_0xbfbfx4[_0xb337[190]]-=_0xbfbfx9+2:(_0xbfbfx9=_0xbfbfx2[_0xb337[236]]+_0xbfbfxa-_0xbfbfx4[_0xb337[190]]-_0xbfbfx4[_0xb337[157]],0<_0xbfbfx9&&(_0xbfbfx4[_0xb337[190]]+=_0xbfbfx9+2));!this[_0xb337[2365]]&&(0!=_0xbfbfx5||0!=_0xbfbfx12)&&this[_0xb337[441]][_0xb337[1147]](_0xbfbfx5,_0xbfbfx12)}else {var _0xbfbfx12=-this[_0xb337[441]][_0xb337[513]][_0xb337[235]],_0xbfbfx13=-this[_0xb337[441]][_0xb337[513]][_0xb337[236]],_0xbfbfx14=this[_0xb337[441]][_0xb337[255]];_0xbfbfx2[_0xb337[235]]+_0xbfbfx9>_0xbfbfx12+_0xbfbfx4&&(this[_0xb337[441]][_0xb337[513]][_0xb337[235]]-=(_0xbfbfx2[_0xb337[235]]+_0xbfbfx9-_0xbfbfx4-_0xbfbfx12)/_0xbfbfx14,_0xbfbfx3= !0);_0xbfbfx2[_0xb337[236]]+_0xbfbfxa>_0xbfbfx13+_0xbfbfx5&&(this[_0xb337[441]][_0xb337[513]][_0xb337[236]]-=(_0xbfbfx2[_0xb337[236]]+_0xbfbfxa-_0xbfbfx5-_0xbfbfx13)/_0xbfbfx14,_0xbfbfx3= !0);_0xbfbfx2[_0xb337[235]]<_0xbfbfx12&&(this[_0xb337[441]][_0xb337[513]][_0xb337[235]]+=(_0xbfbfx12-_0xbfbfx2[_0xb337[235]])/_0xbfbfx14,_0xbfbfx3= !0);_0xbfbfx2[_0xb337[236]]<_0xbfbfx13&&(this[_0xb337[441]][_0xb337[513]][_0xb337[236]]+=(_0xbfbfx13-_0xbfbfx2[_0xb337[236]])/_0xbfbfx14,_0xbfbfx3= !0);_0xbfbfx3&&(this[_0xb337[441]][_0xb337[802]](),null!=this[_0xb337[2432]]&&this[_0xb337[2432]][_0xb337[802]]())}};return _0xbfbfx3};mxGraph[_0xb337[202]][_0xb337[1198]]=function(_0xbfbfx2){return this[_0xb337[251]][_0xb337[1721]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[1714]]=function(_0xbfbfx2){return this[_0xb337[251]][_0xb337[174]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[1742]]=function(_0xbfbfx2){return this[_0xb337[251]][_0xb337[1971]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2565]]=function(_0xbfbfx2){return this[_0xb337[251]][_0xb337[1965]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2298]]=function(_0xbfbfx2){var _0xbfbfx3=_0xbfbfx2[_0xb337[124]][mxConstants[_0xb337[2566]]];if(null!=_0xbfbfx3){return _0xbfbfx3};_0xbfbfx2=this[_0xb337[441]][_0xb337[2291]](_0xbfbfx2);return _0xbfbfx2==mxEdgeStyle[_0xb337[2567]]||_0xbfbfx2==mxEdgeStyle[_0xb337[2568]]||_0xbfbfx2==mxEdgeStyle[_0xb337[2569]]||_0xbfbfx2==mxEdgeStyle[_0xb337[2570]]||_0xbfbfx2==mxEdgeStyle[_0xb337[2571]]||_0xbfbfx2==mxEdgeStyle[_0xb337[2572]]};mxGraph[_0xb337[202]][_0xb337[2573]]=function(_0xbfbfx2){var _0xbfbfx3=_0xbfbfx2[_0xb337[2089]](!0);_0xbfbfx2=_0xbfbfx2[_0xb337[2089]](!1);return null!=_0xbfbfx3&&_0xbfbfx3==_0xbfbfx2};mxGraph[_0xb337[202]][_0xb337[2574]]=function(_0xbfbfx2){return mxEvent[_0xb337[775]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2462]]=function(_0xbfbfx2){return mxClient[_0xb337[2575]]?mxEvent[_0xb337[2576]](_0xbfbfx2):mxEvent[_0xb337[775]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[1018]]=function(_0xbfbfx2){return null!=_0xbfbfx2&&!mxEvent[_0xb337[2577]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2578]]=function(_0xbfbfx2){return mxEvent[_0xb337[774]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2579]]=function(_0xbfbfx2){return mxEvent[_0xb337[2577]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2580]]=function(_0xbfbfx2){mxUtils[_0xb337[150]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2581]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){return null==this[_0xb337[2500]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4)};mxGraph[_0xb337[202]][_0xb337[2500]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){if(null!=_0xbfbfx2&&!this[_0xb337[2530]]()&&(null==_0xbfbfx3||null==_0xbfbfx4)){return _0xb337[110]};if(null!=_0xbfbfx2&&null==this[_0xb337[251]][_0xb337[1709]](_0xbfbfx2,!0)&&null==this[_0xb337[251]][_0xb337[1709]](_0xbfbfx2,!1)){return null};if(!this[_0xb337[2402]]&&_0xbfbfx3==_0xbfbfx4&&null!=_0xbfbfx3||!this[_0xb337[2582]](_0xbfbfx3,_0xbfbfx4)){return _0xb337[110]};if(null!=_0xbfbfx3&&null!=_0xbfbfx4){var _0xbfbfx5=_0xb337[110];if(!this[_0xb337[2404]]){var _0xbfbfx9=this[_0xb337[251]][_0xb337[1781]](_0xbfbfx3,_0xbfbfx4,!0);if(1<_0xbfbfx9[_0xb337[67]]||1==_0xbfbfx9[_0xb337[67]]&&_0xbfbfx9[0]!=_0xbfbfx2){_0xbfbfx5+=(mxResources[_0xb337[203]](this[_0xb337[2421]])||this[_0xb337[2421]])+_0xb337[192]}};var _0xbfbfx9=this[_0xb337[251]][_0xb337[1962]](_0xbfbfx3,!0,_0xbfbfx2),_0xbfbfxa=this[_0xb337[251]][_0xb337[1962]](_0xbfbfx4,!1,_0xbfbfx2);if(null!=this[_0xb337[2335]]){for(var _0xbfbfx12=0;_0xbfbfx12mxUtils[_0xb337[2]](_0xbfbfx2,_0xbfbfx4)?_0xbfbfx4:null};mxGraph[_0xb337[202]][_0xb337[902]]=function(){var _0xbfbfx2=this[_0xb337[2355]];null==_0xbfbfx2&&(_0xbfbfx2=this[_0xb337[2534]](),null==_0xbfbfx2&&(_0xbfbfx2=this[_0xb337[251]][_0xb337[501]](),_0xbfbfx2=this[_0xb337[251]][_0xb337[263]](_0xbfbfx2,0)));return _0xbfbfx2};mxGraph[_0xb337[202]][_0xb337[2687]]=function(_0xbfbfx2){this[_0xb337[2355]]=_0xbfbfx2};mxGraph[_0xb337[202]][_0xb337[2179]]=function(_0xbfbfx2){for(;null!=_0xbfbfx2&&!this[_0xb337[1730]](_0xbfbfx2);){_0xbfbfx2=this[_0xb337[251]][_0xb337[1197]](_0xbfbfx2)};return _0xbfbfx2};mxGraph[_0xb337[202]][_0xb337[2461]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx4=_0xbfbfx4||this[_0xb337[902]]();if(null!=_0xbfbfx4){for(var _0xbfbfx5=this[_0xb337[251]][_0xb337[262]](_0xbfbfx4),_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx5;_0xbfbfx9++){var _0xbfbfxa=this[_0xb337[251]][_0xb337[263]](_0xbfbfx4,_0xbfbfx9),_0xbfbfx12=this[_0xb337[2461]](_0xbfbfx2,_0xbfbfx3,_0xbfbfxa);if(null!=_0xbfbfx12){return _0xbfbfx12};if(this[_0xb337[1730]](_0xbfbfxa)&&(_0xbfbfx12=this[_0xb337[441]][_0xb337[248]](_0xbfbfxa),this[_0xb337[1825]](_0xbfbfx12,_0xbfbfx2,_0xbfbfx3))){return _0xbfbfxa}}};return null};mxGraph[_0xb337[202]][_0xb337[999]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xbfbfx5=null!=_0xbfbfx5?_0xbfbfx5:!0;_0xbfbfx9=null!=_0xbfbfx9?_0xbfbfx9:!0;_0xbfbfx4=null!=_0xbfbfx4?_0xbfbfx4:this[_0xb337[902]]();if(null!=_0xbfbfx4){for(var _0xbfbfxa=this[_0xb337[251]][_0xb337[262]](_0xbfbfx4)-1;0<=_0xbfbfxa;_0xbfbfxa--){var _0xbfbfx12=this[_0xb337[251]][_0xb337[263]](_0xbfbfx4,_0xbfbfxa),_0xbfbfx13=this[_0xb337[999]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx12,_0xbfbfx5,_0xbfbfx9);if(null!=_0xbfbfx13){return _0xbfbfx13};if(this[_0xb337[1714]](_0xbfbfx12)&&(_0xbfbfx9&&this[_0xb337[251]][_0xb337[250]](_0xbfbfx12)||_0xbfbfx5&&this[_0xb337[251]][_0xb337[1193]](_0xbfbfx12))){if(_0xbfbfx13=this[_0xb337[441]][_0xb337[248]](_0xbfbfx12),this[_0xb337[1825]](_0xbfbfx13,_0xbfbfx2,_0xbfbfx3)){return _0xbfbfx12}}}};return null};mxGraph[_0xb337[202]][_0xb337[1825]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){if(null!=_0xbfbfx2){var _0xbfbfx5=_0xbfbfx2[_0xb337[439]];if(null!=_0xbfbfx5){_0xbfbfx2=this[_0xb337[2353]]*this[_0xb337[2353]];for(var _0xbfbfx9=_0xbfbfx5[0],_0xbfbfxa=1;_0xbfbfxa<_0xbfbfx5[_0xb337[67]];_0xbfbfxa++){var _0xbfbfx12=_0xbfbfx5[_0xbfbfxa];if(mxUtils[_0xb337[440]](_0xbfbfx9[_0xb337[235]],_0xbfbfx9[_0xb337[236]],_0xbfbfx12[_0xb337[235]],_0xbfbfx12[_0xb337[236]],_0xbfbfx3,_0xbfbfx4)<=_0xbfbfx2){return !0};_0xbfbfx9=_0xbfbfx12}}else {if(_0xbfbfx9=mxUtils[_0xb337[431]](mxUtils[_0xb337[433]](_0xbfbfx2[_0xb337[124]],mxConstants.STYLE_ROTATION)||0),0!=_0xbfbfx9&&(_0xbfbfx5=Math[_0xb337[426]](-_0xbfbfx9),_0xbfbfx9=Math[_0xb337[427]](-_0xbfbfx9),_0xbfbfxa= new mxPoint(_0xbfbfx2[_0xb337[241]](),_0xbfbfx2[_0xb337[242]]()),_0xbfbfx9=mxUtils[_0xb337[432]]( new mxPoint(_0xbfbfx3,_0xbfbfx4),_0xbfbfx5,_0xbfbfx9,_0xbfbfxa),_0xbfbfx3=_0xbfbfx9[_0xb337[235]],_0xbfbfx4=_0xbfbfx9[_0xb337[236]]),mxUtils[_0xb337[442]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4)){return !0}}};return !1};mxGraph[_0xb337[202]][_0xb337[2688]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=this[_0xb337[249]]()[_0xb337[248]](_0xbfbfx2);_0xbfbfx2=this[_0xb337[1731]](_0xbfbfx2);if(null!=_0xbfbfx5){var _0xbfbfx9=this[_0xb337[249]]()[_0xb337[518]]();_0xbfbfx3-=_0xbfbfx5[_0xb337[235]];_0xbfbfx4-=_0xbfbfx5[_0xb337[236]];if(0<_0xbfbfx2[_0xb337[117]]&&0<_0xbfbfx3&&_0xbfbfx3>_0xbfbfx2[_0xb337[117]]*_0xbfbfx9||0<_0xbfbfx2[_0xb337[119]]&&0<_0xbfbfx4&&_0xbfbfx4>_0xbfbfx2[_0xb337[119]]*_0xbfbfx9){return !0}};return !1};mxGraph[_0xb337[202]][_0xb337[1728]]=function(_0xbfbfx2){return this[_0xb337[1955]](_0xbfbfx2,!0,!1)};mxGraph[_0xb337[202]][_0xb337[1956]]=function(_0xbfbfx2){return this[_0xb337[1955]](_0xbfbfx2,!1,!0)};mxGraph[_0xb337[202]][_0xb337[1955]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx2=null!=_0xbfbfx2?_0xbfbfx2:this[_0xb337[902]]();_0xbfbfx2=this[_0xb337[251]][_0xb337[1955]](_0xbfbfx2,null!=_0xbfbfx3?_0xbfbfx3:!1,null!=_0xbfbfx4?_0xbfbfx4:!1);_0xbfbfx3=[];for(_0xbfbfx4=0;_0xbfbfx4<_0xbfbfx2[_0xb337[67]];_0xbfbfx4++){this[_0xb337[1714]](_0xbfbfx2[_0xbfbfx4])&&_0xbfbfx3[_0xb337[207]](_0xbfbfx2[_0xbfbfx4])};return _0xbfbfx3};mxGraph[_0xb337[202]][_0xb337[1756]]=function(_0xbfbfx2,_0xbfbfx3){return this[_0xb337[264]](_0xbfbfx2,_0xbfbfx3,!0,!0,!1)};mxGraph[_0xb337[202]][_0xb337[1867]]=function(_0xbfbfx2,_0xbfbfx3){return this[_0xb337[264]](_0xbfbfx2,_0xbfbfx3,!0,!1,!1)};mxGraph[_0xb337[202]][_0xb337[1963]]=function(_0xbfbfx2,_0xbfbfx3){return this[_0xb337[264]](_0xbfbfx2,_0xbfbfx3,!1,!0,!1)};mxGraph[_0xb337[202]][_0xb337[264]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){_0xbfbfx4=null!=_0xbfbfx4?_0xbfbfx4:!0;_0xbfbfx5=null!=_0xbfbfx5?_0xbfbfx5:!0;_0xbfbfx9=null!=_0xbfbfx9?_0xbfbfx9:!0;_0xbfbfxa=null!=_0xbfbfxa?_0xbfbfxa:!1;for(var _0xbfbfx12=[],_0xbfbfx13=this[_0xb337[1742]](_0xbfbfx2),_0xbfbfx14=this[_0xb337[251]][_0xb337[262]](_0xbfbfx2),_0xbfbfx15=0;_0xbfbfx15<_0xbfbfx14;_0xbfbfx15++){var _0xbfbfx16=this[_0xb337[251]][_0xb337[263]](_0xbfbfx2,_0xbfbfx15);if(_0xbfbfx13||!this[_0xb337[1714]](_0xbfbfx16)){_0xbfbfx12=_0xbfbfx12[_0xb337[1919]](this[_0xb337[251]][_0xb337[264]](_0xbfbfx16,_0xbfbfx4,_0xbfbfx5))}};_0xbfbfx12=_0xbfbfx12[_0xb337[1919]](this[_0xb337[251]][_0xb337[264]](_0xbfbfx2,_0xbfbfx4,_0xbfbfx5));_0xbfbfx13=[];for(_0xbfbfx15=0;_0xbfbfx15<_0xbfbfx12[_0xb337[67]];_0xbfbfx15++){_0xbfbfx16=this[_0xb337[441]][_0xb337[248]](_0xbfbfx12[_0xbfbfx15]),_0xbfbfx14=null!=_0xbfbfx16?_0xbfbfx16[_0xb337[1710]](!0):this[_0xb337[441]][_0xb337[1710]](_0xbfbfx12[_0xbfbfx15],!0),_0xbfbfx16=null!=_0xbfbfx16?_0xbfbfx16[_0xb337[1710]](!1):this[_0xb337[441]][_0xb337[1710]](_0xbfbfx12[_0xbfbfx15],!1),(_0xbfbfx9&&_0xbfbfx14==_0xbfbfx16||_0xbfbfx14!=_0xbfbfx16&&(_0xbfbfx4&&_0xbfbfx16==_0xbfbfx2&&(null==_0xbfbfx3||this[_0xb337[1920]](_0xbfbfx14,_0xbfbfx3,_0xbfbfxa))||_0xbfbfx5&&_0xbfbfx14==_0xbfbfx2&&(null==_0xbfbfx3||this[_0xb337[1920]](_0xbfbfx16,_0xbfbfx3,_0xbfbfxa))))&&_0xbfbfx13[_0xb337[207]](_0xbfbfx12[_0xbfbfx15])};return _0xbfbfx13};mxGraph[_0xb337[202]][_0xb337[1920]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){return _0xbfbfx4?this[_0xb337[251]][_0xb337[1720]](_0xbfbfx3,_0xbfbfx2):this[_0xb337[251]][_0xb337[1197]](_0xbfbfx2)==_0xbfbfx3};mxGraph[_0xb337[202]][_0xb337[1808]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){_0xbfbfx4=null!=_0xbfbfx4?_0xbfbfx4:!0;_0xbfbfx5=null!=_0xbfbfx5?_0xbfbfx5:!0;var _0xbfbfx9=[],_0xbfbfxa={};if(null!=_0xbfbfx2){for(var _0xbfbfx12=0;_0xbfbfx12<_0xbfbfx2[_0xb337[67]];_0xbfbfx12++){var _0xbfbfx13=this[_0xb337[441]][_0xb337[248]](_0xbfbfx2[_0xbfbfx12]),_0xbfbfx14=null!=_0xbfbfx13?_0xbfbfx13[_0xb337[1710]](!0):this[_0xb337[441]][_0xb337[1710]](_0xbfbfx2[_0xbfbfx12],!0),_0xbfbfx13=null!=_0xbfbfx13?_0xbfbfx13[_0xb337[1710]](!1):this[_0xb337[441]][_0xb337[1710]](_0xbfbfx2[_0xbfbfx12],!1);if(_0xbfbfx14==_0xbfbfx3&&null!=_0xbfbfx13&&_0xbfbfx13!=_0xbfbfx3&&_0xbfbfx5){var _0xbfbfx15=mxCellPath[_0xb337[385]](_0xbfbfx13);null==_0xbfbfxa[_0xbfbfx15]&&(_0xbfbfxa[_0xbfbfx15]=_0xbfbfx13,_0xbfbfx9[_0xb337[207]](_0xbfbfx13))}else {_0xbfbfx13==_0xbfbfx3&&(null!=_0xbfbfx14&&_0xbfbfx14!=_0xbfbfx3&&_0xbfbfx4)&&(_0xbfbfx15=mxCellPath[_0xb337[385]](_0xbfbfx14),null==_0xbfbfxa[_0xbfbfx15]&&(_0xbfbfxa[_0xbfbfx15]=_0xbfbfx14,_0xbfbfx9[_0xb337[207]](_0xbfbfx14)))}}};return _0xbfbfx9};mxGraph[_0xb337[202]][_0xb337[1781]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx4=null!=_0xbfbfx4?_0xbfbfx4:!1;for(var _0xbfbfx5=this[_0xb337[264]](_0xbfbfx2),_0xbfbfx9=[],_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx5[_0xb337[67]];_0xbfbfxa++){var _0xbfbfx12=this[_0xb337[441]][_0xb337[248]](_0xbfbfx5[_0xbfbfxa]),_0xbfbfx13=null!=_0xbfbfx12?_0xbfbfx12[_0xb337[1710]](!0):this[_0xb337[441]][_0xb337[1710]](_0xbfbfx5[_0xbfbfxa],!0),_0xbfbfx12=null!=_0xbfbfx12?_0xbfbfx12[_0xb337[1710]](!1):this[_0xb337[441]][_0xb337[1710]](_0xbfbfx5[_0xbfbfxa],!1);(_0xbfbfx13==_0xbfbfx2&&_0xbfbfx12==_0xbfbfx3||!_0xbfbfx4&&_0xbfbfx13==_0xbfbfx3&&_0xbfbfx12==_0xbfbfx2)&&_0xbfbfx9[_0xb337[207]](_0xbfbfx5[_0xbfbfxa])};return _0xbfbfx9};mxGraph[_0xb337[202]][_0xb337[2689]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=mxUtils[_0xb337[2196]](this[_0xb337[526]],mxEvent[_0xb337[731]](_0xbfbfx2),mxEvent[_0xb337[733]](_0xbfbfx2)),_0xbfbfx5=this[_0xb337[441]][_0xb337[255]],_0xbfbfx9=this[_0xb337[441]][_0xb337[513]],_0xbfbfxa=!1!=_0xbfbfx3?this[_0xb337[1020]]/2:0;_0xbfbfx4[_0xb337[235]]=this[_0xb337[1021]](_0xbfbfx4[_0xb337[235]]/_0xbfbfx5-_0xbfbfx9[_0xb337[235]]-_0xbfbfxa);_0xbfbfx4[_0xb337[236]]=this[_0xb337[1021]](_0xbfbfx4[_0xb337[236]]/_0xbfbfx5-_0xbfbfx9[_0xb337[236]]-_0xbfbfxa);return _0xbfbfx4};mxGraph[_0xb337[202]][_0xb337[2690]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){_0xbfbfxa=null!=_0xbfbfxa?_0xbfbfxa:[];if(0<_0xbfbfx4||0<_0xbfbfx5){var _0xbfbfx12=_0xbfbfx2+_0xbfbfx4,_0xbfbfx13=_0xbfbfx3+_0xbfbfx5;_0xbfbfx9=_0xbfbfx9||this[_0xb337[902]]();if(null!=_0xbfbfx9){for(var _0xbfbfx14=this[_0xb337[251]][_0xb337[262]](_0xbfbfx9),_0xbfbfx15=0;_0xbfbfx15<_0xbfbfx14;_0xbfbfx15++){var _0xbfbfx16=this[_0xb337[251]][_0xb337[263]](_0xbfbfx9,_0xbfbfx15),_0xbfbfx17=this[_0xb337[441]][_0xb337[248]](_0xbfbfx16);if(this[_0xb337[1714]](_0xbfbfx16)&&null!=_0xbfbfx17){var _0xbfbfx18=_0xbfbfx17,_0xbfbfx17=mxUtils[_0xb337[433]](_0xbfbfx17[_0xb337[124]],mxConstants.STYLE_ROTATION)||0;0!=_0xbfbfx17&&(_0xbfbfx18=mxUtils[_0xb337[1613]](_0xbfbfx18,_0xbfbfx17));_0xbfbfx18[_0xb337[235]]>=_0xbfbfx2&&_0xbfbfx18[_0xb337[236]]+_0xbfbfx18[_0xb337[119]]<=_0xbfbfx13&&_0xbfbfx18[_0xb337[236]]>=_0xbfbfx3&&_0xbfbfx18[_0xb337[235]]+_0xbfbfx18[_0xb337[117]]<=_0xbfbfx12?_0xbfbfxa[_0xb337[207]](_0xbfbfx16):this[_0xb337[2690]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx16,_0xbfbfxa)}}}};return _0xbfbfxa};mxGraph[_0xb337[202]][_0xb337[2691]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){var _0xbfbfxa=[];if(_0xbfbfx5||_0xbfbfx9){if(null==_0xbfbfx4&&(_0xbfbfx4=this[_0xb337[902]]()),null!=_0xbfbfx4){for(var _0xbfbfx12=this[_0xb337[251]][_0xb337[262]](_0xbfbfx4),_0xbfbfx13=0;_0xbfbfx13<_0xbfbfx12;_0xbfbfx13++){var _0xbfbfx14=this[_0xb337[251]][_0xb337[263]](_0xbfbfx4,_0xbfbfx13),_0xbfbfx15=this[_0xb337[441]][_0xb337[248]](_0xbfbfx14);this[_0xb337[1714]](_0xbfbfx14)&&null!=_0xbfbfx15&&(!_0xbfbfx5||_0xbfbfx15[_0xb337[235]]>=_0xbfbfx2)&&(!_0xbfbfx9||_0xbfbfx15[_0xb337[236]]>=_0xbfbfx3)&&_0xbfbfxa[_0xb337[207]](_0xbfbfx14)}}};return _0xbfbfxa};mxGraph[_0xb337[202]][_0xb337[1757]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx3=null!=_0xbfbfx3?_0xbfbfx3:!1;_0xbfbfx4=null!=_0xbfbfx4?_0xbfbfx4:!1;var _0xbfbfx5=[];if(null!=_0xbfbfx2){for(var _0xbfbfx9=this[_0xb337[502]](),_0xbfbfxa=_0xbfbfx9[_0xb337[262]](_0xbfbfx2),_0xbfbfx12=null,_0xbfbfx13=0,_0xbfbfx14=0;_0xbfbfx14<_0xbfbfxa;_0xbfbfx14++){var _0xbfbfx15=_0xbfbfx9[_0xb337[263]](_0xbfbfx2,_0xbfbfx14);if(this[_0xb337[251]][_0xb337[1193]](_0xbfbfx15)&&this[_0xb337[1714]](_0xbfbfx15)){for(var _0xbfbfx16=this[_0xb337[1756]](_0xbfbfx15,_0xbfbfx3?_0xbfbfx2:null),_0xbfbfx17=0,_0xbfbfx18=0,_0xbfbfx19=0;_0xbfbfx19<_0xbfbfx16[_0xb337[67]];_0xbfbfx19++){this[_0xb337[441]][_0xb337[1710]](_0xbfbfx16[_0xbfbfx19],!0)==_0xbfbfx15?_0xbfbfx17++:_0xbfbfx18++};(_0xbfbfx4&&0==_0xbfbfx17&&0<_0xbfbfx18||!_0xbfbfx4&&0==_0xbfbfx18&&0<_0xbfbfx17)&&_0xbfbfx5[_0xb337[207]](_0xbfbfx15);_0xbfbfx16=_0xbfbfx4?_0xbfbfx18-_0xbfbfx17:_0xbfbfx17-_0xbfbfx18;_0xbfbfx16>_0xbfbfx13&&(_0xbfbfx13=_0xbfbfx16,_0xbfbfx12=_0xbfbfx15)}};0==_0xbfbfx5[_0xb337[67]]&&null!=_0xbfbfx12&&_0xbfbfx5[_0xb337[207]](_0xbfbfx12)};return _0xbfbfx5};mxGraph[_0xb337[202]][_0xb337[1706]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){if(null!=_0xbfbfx4&&null!=_0xbfbfx2){_0xbfbfx3=null!=_0xbfbfx3?_0xbfbfx3:!0;_0xbfbfx9=_0xbfbfx9||[];var _0xbfbfxa=mxCellPath[_0xb337[385]](_0xbfbfx2);if(null==_0xbfbfx9[_0xbfbfxa]&&(_0xbfbfx9[_0xbfbfxa]=_0xbfbfx2,_0xbfbfx5=_0xbfbfx4(_0xbfbfx2,_0xbfbfx5),null==_0xbfbfx5||_0xbfbfx5)){if(_0xbfbfx5=this[_0xb337[251]][_0xb337[1707]](_0xbfbfx2),0<_0xbfbfx5){for(_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx5;_0xbfbfxa++){var _0xbfbfx12=this[_0xb337[251]][_0xb337[1708]](_0xbfbfx2,_0xbfbfxa),_0xbfbfx13=this[_0xb337[251]][_0xb337[1709]](_0xbfbfx12,!0)==_0xbfbfx2;if(!_0xbfbfx3||_0xbfbfx13){_0xbfbfx13=this[_0xb337[251]][_0xb337[1709]](_0xbfbfx12,!_0xbfbfx13),this[_0xb337[1706]](_0xbfbfx13,_0xbfbfx3,_0xbfbfx4,_0xbfbfx12,_0xbfbfx9)}}}}}};mxGraph[_0xb337[202]][_0xb337[2692]]=function(_0xbfbfx2){return this[_0xb337[2435]]()[_0xb337[2097]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2693]]=function(){return this[_0xb337[2435]]()[_0xb337[1107]]()};mxGraph[_0xb337[202]][_0xb337[2440]]=function(){return this[_0xb337[2435]]()[_0xb337[200]]()};mxGraph[_0xb337[202]][_0xb337[2694]]=function(){return this[_0xb337[2435]]()[_0xb337[895]][_0xb337[67]]};mxGraph[_0xb337[202]][_0xb337[2452]]=function(){return this[_0xb337[2435]]()[_0xb337[895]][0]};mxGraph[_0xb337[202]][_0xb337[897]]=function(){return this[_0xb337[2435]]()[_0xb337[895]][_0xb337[1853]]()};mxGraph[_0xb337[202]][_0xb337[2450]]=function(_0xbfbfx2){this[_0xb337[2435]]()[_0xb337[2099]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[904]]=function(_0xbfbfx2){this[_0xb337[2435]]()[_0xb337[2100]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2695]]=function(_0xbfbfx2){this[_0xb337[2435]]()[_0xb337[2103]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2696]]=function(_0xbfbfx2){this[_0xb337[2435]]()[_0xb337[832]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2697]]=function(_0xbfbfx2){this[_0xb337[2435]]()[_0xb337[2104]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2439]]=function(_0xbfbfx2){this[_0xb337[2435]]()[_0xb337[842]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2698]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=this[_0xb337[2690]](_0xbfbfx2[_0xb337[235]],_0xbfbfx2[_0xb337[236]],_0xbfbfx2[_0xb337[117]],_0xbfbfx2[_0xb337[119]]);this[_0xb337[2699]](_0xbfbfx4,_0xbfbfx3);return _0xbfbfx4};mxGraph[_0xb337[202]][_0xb337[2700]]=function(){this[_0xb337[2701]](!0)};mxGraph[_0xb337[202]][_0xb337[2702]]=function(){this[_0xb337[2701]]()};mxGraph[_0xb337[202]][_0xb337[2703]]=function(){this[_0xb337[2701]](!1,!0)};mxGraph[_0xb337[202]][_0xb337[2704]]=function(){this[_0xb337[2701]](!1,!1,!0)};mxGraph[_0xb337[202]][_0xb337[2701]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=this[_0xb337[2105]],_0xbfbfx9=0<_0xbfbfx5[_0xb337[895]][_0xb337[67]]?_0xbfbfx5[_0xb337[895]][0]:null;1<_0xbfbfx5[_0xb337[895]][_0xb337[67]]&&_0xbfbfx5[_0xb337[200]]();var _0xbfbfx5=null!=_0xbfbfx9?this[_0xb337[251]][_0xb337[1197]](_0xbfbfx9):this[_0xb337[902]](),_0xbfbfxa=this[_0xb337[251]][_0xb337[262]](_0xbfbfx5);null==_0xbfbfx9&&0<_0xbfbfxa?(_0xbfbfx2=this[_0xb337[251]][_0xb337[263]](_0xbfbfx5,0),this[_0xb337[2450]](_0xbfbfx2)):(null==_0xbfbfx9||_0xbfbfx3)&&null!=this[_0xb337[441]][_0xb337[248]](_0xbfbfx5)&&null!=this[_0xb337[251]][_0xb337[1721]](_0xbfbfx5)?this[_0xb337[2534]]()!=_0xbfbfx5&&this[_0xb337[2450]](_0xbfbfx5):null!=_0xbfbfx9&&_0xbfbfx4?0_0xbfbfx3?_0xbfbfxa-1:_0xbfbfx3)),this[_0xb337[2450]](_0xbfbfx2))};mxGraph[_0xb337[202]][_0xb337[2705]]=function(_0xbfbfx2){_0xbfbfx2=_0xbfbfx2||this[_0xb337[902]]();_0xbfbfx2=this[_0xb337[251]][_0xb337[1953]](_0xbfbfx2);null!=_0xbfbfx2&&this[_0xb337[904]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2706]]=function(_0xbfbfx2){this[_0xb337[2707]](!0,!1,_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2708]]=function(_0xbfbfx2){this[_0xb337[2707]](!1,!0,_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2707]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx4=_0xbfbfx4||this[_0xb337[902]]();var _0xbfbfx5=mxUtils[_0xb337[885]](this,function(_0xbfbfx4){return null!=this[_0xb337[441]][_0xb337[248]](_0xbfbfx4)&&0==this[_0xb337[251]][_0xb337[262]](_0xbfbfx4)&&(this[_0xb337[251]][_0xb337[1193]](_0xbfbfx4)&&_0xbfbfx2||this[_0xb337[251]][_0xb337[250]](_0xbfbfx4)&&_0xbfbfx3)});_0xbfbfx4=this[_0xb337[251]][_0xb337[1921]](_0xbfbfx5,_0xbfbfx4);this[_0xb337[904]](_0xbfbfx4)};mxGraph[_0xb337[202]][_0xb337[2459]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=this[_0xb337[2692]](_0xbfbfx2);this[_0xb337[2462]](_0xbfbfx3)?_0xbfbfx4?this[_0xb337[2697]](_0xbfbfx2):this[_0xb337[2695]](_0xbfbfx2):(!_0xbfbfx4||1!=this[_0xb337[2694]]())&&this[_0xb337[2450]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2699]]=function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[2462]](_0xbfbfx3)?this[_0xb337[2696]](_0xbfbfx2):this[_0xb337[904]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[2709]]=function(_0xbfbfx2){var _0xbfbfx3=null;null!=_0xbfbfx2&&(this[_0xb337[251]][_0xb337[250]](_0xbfbfx2[_0xb337[246]])?(_0xbfbfx3=this[_0xb337[441]][_0xb337[2291]](_0xbfbfx2),_0xbfbfx3=this[_0xb337[2573]](_0xbfbfx2)||_0xbfbfx3==mxEdgeStyle[_0xb337[2568]]||_0xbfbfx3==mxEdgeStyle[_0xb337[2569]]||_0xbfbfx3==mxEdgeStyle[_0xb337[2570]]? new mxElbowEdgeHandler(_0xbfbfx2):_0xbfbfx3==mxEdgeStyle[_0xb337[2567]]||_0xbfbfx3==mxEdgeStyle[_0xb337[2572]]? new mxEdgeSegmentHandler(_0xbfbfx2): new mxEdgeHandler(_0xbfbfx2)):_0xbfbfx3= new mxVertexHandler(_0xbfbfx2));return _0xbfbfx3};mxGraph[_0xb337[202]][_0xb337[1133]]=function(_0xbfbfx2){null==this[_0xb337[2329]]&&(this[_0xb337[2329]]=[]);this[_0xb337[2329]][_0xb337[207]](_0xbfbfx2)};mxGraph[_0xb337[202]][_0xb337[1148]]=function(_0xbfbfx2){if(null!=this[_0xb337[2329]]){for(var _0xbfbfx3=0;_0xbfbfx3this[_0xb337[2351]]||null!=this[_0xb337[2714]]&&Math[_0xb337[425]](this[_0xb337[2714]]-_0xbfbfx3[_0xb337[732]]())>this[_0xb337[2351]]){_0xbfbfx5= !0};_0xbfbfx2==mxEvent[_0xb337[2715]]&&(this[_0xb337[2713]]=_0xbfbfx3[_0xb337[730]](),this[_0xb337[2714]]=_0xbfbfx3[_0xb337[732]]())};if((_0xbfbfx2!=mxEvent[_0xb337[2715]]||this[_0xb337[1009]])&&_0xbfbfx5){if(_0xbfbfx2==mxEvent[_0xb337[2715]]&&(this[_0xb337[1009]]= !1),!this[_0xb337[2203]]()&&(mxClient[_0xb337[71]]||mxClient[_0xb337[75]]||mxClient[_0xb337[76]]||mxClient[_0xb337[80]]&&mxClient[_0xb337[48]]||_0xbfbfx3[_0xb337[727]]()[_0xb337[772]]!=this[_0xb337[526]])){_0xbfbfx2==mxEvent[_0xb337[2716]]&&(this[_0xb337[1009]]&&this[_0xb337[1012]])&&this[_0xb337[1014]](_0xbfbfx3[_0xb337[734]](),_0xbfbfx3[_0xb337[735]](),this[_0xb337[1013]]);if(null!=this[_0xb337[2329]]){_0xbfbfx4=[_0xbfbfx4,_0xbfbfx3];_0xbfbfx3[_0xb337[727]]()[_0xb337[739]]= !0;for(_0xbfbfx5=0;_0xbfbfx5_0xbfbfx4[_0xb337[235]]&&(_0xbfbfxa-=_0xbfbfx4[_0xb337[235]]);0>_0xbfbfx4[_0xb337[236]]&&(_0xbfbfx12-=_0xbfbfx4[_0xb337[236]]);if(_0xbfbfx5[_0xb337[513]][_0xb337[235]]!=_0xbfbfxa||_0xbfbfx5[_0xb337[513]][_0xb337[236]]!=_0xbfbfx12){_0xbfbfx5[_0xb337[513]][_0xb337[235]]=_0xbfbfxa,_0xbfbfx5[_0xb337[513]][_0xb337[236]]=_0xbfbfx12,_0xbfbfx2= !0};var _0xbfbfx4=_0xbfbfx5[_0xb337[513]],_0xbfbfx9=this[_0xb337[1097]][_0xb337[249]]()[_0xb337[255]],_0xbfbfxa=_0xbfbfx9/_0xbfbfx5[_0xb337[255]],_0xbfbfx12=1/_0xbfbfx5[_0xb337[255]],_0xbfbfx13=this[_0xb337[1097]][_0xb337[526]];this[_0xb337[1562]]= new mxRectangle((_0xbfbfx4[_0xb337[235]]-_0xbfbfx3[_0xb337[235]]-this[_0xb337[1097]][_0xb337[1136]])/_0xbfbfx12,(_0xbfbfx4[_0xb337[236]]-_0xbfbfx3[_0xb337[236]]-this[_0xb337[1097]][_0xb337[1137]])/_0xbfbfx12,_0xbfbfx13[_0xb337[159]]/_0xbfbfxa,_0xbfbfx13[_0xb337[157]]/_0xbfbfxa);this[_0xb337[1562]][_0xb337[235]]+=this[_0xb337[1097]][_0xb337[526]][_0xb337[360]]*_0xbfbfx5[_0xb337[255]]/_0xbfbfx9;this[_0xb337[1562]][_0xb337[236]]+=this[_0xb337[1097]][_0xb337[526]][_0xb337[190]]*_0xbfbfx5[_0xb337[255]]/_0xbfbfx9;_0xbfbfx4=this[_0xb337[2732]][_0xb337[1562]];if(_0xbfbfx4[_0xb337[235]]!=this[_0xb337[1562]][_0xb337[235]]||_0xbfbfx4[_0xb337[236]]!=this[_0xb337[1562]][_0xb337[236]]||_0xbfbfx4[_0xb337[117]]!=this[_0xb337[1562]][_0xb337[117]]||_0xbfbfx4[_0xb337[119]]!=this[_0xb337[1562]][_0xb337[119]]){this[_0xb337[2732]][_0xb337[1562]]=this[_0xb337[1562]],this[_0xb337[2732]][_0xb337[258]]()};_0xbfbfx4=this[_0xb337[2734]][_0xb337[1562]];_0xbfbfx5= new mxRectangle(this[_0xb337[1562]][_0xb337[235]]+this[_0xb337[1562]][_0xb337[117]]-_0xbfbfx4[_0xb337[117]]/2,this[_0xb337[1562]][_0xb337[236]]+this[_0xb337[1562]][_0xb337[119]]-_0xbfbfx4[_0xb337[119]]/2,_0xbfbfx4[_0xb337[117]],_0xbfbfx4[_0xb337[119]]);if(_0xbfbfx4[_0xb337[235]]!=_0xbfbfx5[_0xb337[235]]||_0xbfbfx4[_0xb337[236]]!=_0xbfbfx5[_0xb337[236]]||_0xbfbfx4[_0xb337[117]]!=_0xbfbfx5[_0xb337[117]]||_0xbfbfx4[_0xb337[119]]!=_0xbfbfx5[_0xb337[119]]){this[_0xb337[2734]][_0xb337[1562]]=_0xbfbfx5,_0xb337[188]!=this[_0xb337[2734]][_0xb337[252]][_0xb337[124]][_0xb337[187]]&&this[_0xb337[2734]][_0xb337[258]]()};_0xbfbfx2&&this[_0xb337[2136]][_0xb337[441]][_0xb337[2259]]()}}};mxOutline[_0xb337[202]][_0xb337[787]]=function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[984]]&&this[_0xb337[2721]]&&(this[_0xb337[499]]=_0xbfbfx3[_0xb337[729]](this[_0xb337[2734]]),this[_0xb337[2739]]=_0xbfbfx3[_0xb337[730]](),this[_0xb337[2740]]=_0xbfbfx3[_0xb337[732]](),this[_0xb337[1124]]= !0,this[_0xb337[1097]][_0xb337[2365]]&&mxUtils[_0xb337[1134]](this[_0xb337[1097]][_0xb337[526]])?(this[_0xb337[2741]]=this[_0xb337[1097]][_0xb337[526]][_0xb337[360]],this[_0xb337[2742]]=this[_0xb337[1097]][_0xb337[526]][_0xb337[190]]):this[_0xb337[2742]]=this[_0xb337[2741]]=0);_0xbfbfx3[_0xb337[722]]()};mxOutline[_0xb337[202]][_0xb337[788]]=function(_0xbfbfx2,_0xbfbfx3){if(this[_0xb337[1124]]){this[_0xb337[2732]][_0xb337[252]][_0xb337[124]][_0xb337[495]]=this[_0xb337[2721]]?_0xb337[110]:_0xb337[130];this[_0xb337[2734]][_0xb337[252]][_0xb337[124]][_0xb337[495]]=this[_0xb337[2732]][_0xb337[252]][_0xb337[124]][_0xb337[495]];var _0xbfbfx4=_0xbfbfx3[_0xb337[730]]()-this[_0xb337[2739]],_0xbfbfx5=_0xbfbfx3[_0xb337[732]]()-this[_0xb337[2740]],_0xbfbfx9=null;if(this[_0xb337[499]]){_0xbfbfx9=this[_0xb337[1097]][_0xb337[526]],_0xbfbfx5=_0xbfbfx4/(_0xbfbfx9[_0xb337[159]]/_0xbfbfx9[_0xb337[157]]),_0xbfbfx9= new mxRectangle(this[_0xb337[1562]][_0xb337[235]],this[_0xb337[1562]][_0xb337[236]],Math[_0xb337[160]](1,this[_0xb337[1562]][_0xb337[117]]+_0xbfbfx4),Math[_0xb337[160]](1,this[_0xb337[1562]][_0xb337[119]]+_0xbfbfx5)),this[_0xb337[2732]][_0xb337[1562]]=_0xbfbfx9,this[_0xb337[2732]][_0xb337[258]]()}else {var _0xbfbfxa=this[_0xb337[2136]][_0xb337[249]]()[_0xb337[255]],_0xbfbfx9= new mxRectangle(this[_0xb337[1562]][_0xb337[235]]+_0xbfbfx4,this[_0xb337[1562]][_0xb337[236]]+_0xbfbfx5,this[_0xb337[1562]][_0xb337[117]],this[_0xb337[1562]][_0xb337[119]]);this[_0xb337[2732]][_0xb337[1562]]=_0xbfbfx9;this[_0xb337[2732]][_0xb337[258]]();_0xbfbfx4=_0xbfbfx4/_0xbfbfxa*this[_0xb337[1097]][_0xb337[249]]()[_0xb337[255]];_0xbfbfx5=_0xbfbfx5/_0xbfbfxa*this[_0xb337[1097]][_0xb337[249]]()[_0xb337[255]];this[_0xb337[1097]][_0xb337[1135]](-_0xbfbfx4-this[_0xb337[2741]],-_0xbfbfx5-this[_0xb337[2742]])};_0xbfbfx4=this[_0xb337[2734]][_0xb337[1562]];this[_0xb337[2734]][_0xb337[1562]]= new mxRectangle(_0xbfbfx9[_0xb337[235]]+_0xbfbfx9[_0xb337[117]]-_0xbfbfx4[_0xb337[117]]/2,_0xbfbfx9[_0xb337[236]]+_0xbfbfx9[_0xb337[119]]-_0xbfbfx4[_0xb337[119]]/2,_0xbfbfx4[_0xb337[117]],_0xbfbfx4[_0xb337[119]]);_0xb337[188]!=this[_0xb337[2734]][_0xb337[252]][_0xb337[124]][_0xb337[187]]&&this[_0xb337[2734]][_0xb337[258]]();_0xbfbfx3[_0xb337[722]]()}};mxOutline[_0xb337[202]][_0xb337[789]]=function(_0xbfbfx2,_0xbfbfx3){if(this[_0xb337[1124]]){var _0xbfbfx4=_0xbfbfx3[_0xb337[730]]()-this[_0xb337[2739]],_0xbfbfx5=_0xbfbfx3[_0xb337[732]]()-this[_0xb337[2740]];if(0=this[_0xb337[160]])||!this[_0xb337[1097]]&&(0==this[_0xb337[160]]||_0xbfbfxa>=this[_0xb337[160]]))){_0xbfbfx12+=this[_0xb337[2589]]+_0xb337[192]};null!=this[_0xb337[2744]]&&(null!=this[_0xb337[2745]]&&0=_0xbfbfxa){_0xbfbfx18=_0xbfbfx18[_0xb337[238]](),_0xbfbfx18[_0xb337[513]](-_0xbfbfx3,0)}else {var _0xbfbfx19=Math[_0xb337[160]](0,_0xbfbfx16[_0xb337[235]]-x0),_0xbfbfx18=_0xbfbfx18[_0xb337[238]]();_0xbfbfx18[_0xb337[513]](-_0xbfbfx13*_0xbfbfx19,0)}};if(this[_0xb337[2766]]()){if(_0xbfbfx16[_0xb337[236]]>=_0xbfbfx12){_0xbfbfx18=_0xbfbfx18[_0xb337[238]](),_0xbfbfx18[_0xb337[513]](0,-_0xbfbfx4)}else {var _0xbfbfx1a=Math[_0xb337[160]](0,_0xbfbfx16[_0xb337[236]]-_0xbfbfx9),_0xbfbfx18=_0xbfbfx18[_0xb337[238]]();_0xbfbfx18[_0xb337[513]](0,-_0xbfbfx14*_0xbfbfx1a)}};_0xbfbfx18!=_0xbfbfx17[_0xb337[1721]](_0xbfbfx2)&&(_0xbfbfx17[_0xb337[1724]](_0xbfbfx2,_0xbfbfx18),_0xbfbfx15&&_0xbfbfx5[_0xb337[2508]](_0xbfbfx2))}finally{_0xbfbfx17[_0xb337[476]]()}}}};mxSpaceManager[_0xb337[202]][_0xb337[2769]]=function(_0xbfbfx2){var _0xbfbfx3=this[_0xb337[1703]](),_0xbfbfx4=_0xbfbfx3[_0xb337[502]]()[_0xb337[1197]](_0xbfbfx2[_0xb337[246]]),_0xbfbfx5=this[_0xb337[2766]](),_0xbfbfx9=this[_0xb337[2764]]();return _0xbfbfx3[_0xb337[2691]](_0xbfbfx2[_0xb337[235]]+(_0xbfbfx5?0:_0xbfbfx2[_0xb337[117]]),_0xbfbfx2[_0xb337[236]]+(_0xbfbfx5&&_0xbfbfx9?0:_0xbfbfx2[_0xb337[119]]),_0xbfbfx4,_0xbfbfx9,_0xbfbfx5)};mxSpaceManager[_0xb337[202]][_0xb337[515]]=function(){this[_0xb337[1178]](null)};function mxSwimlaneManager(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){this[_0xb337[662]]=null!=_0xbfbfx3?_0xbfbfx3:!0;this[_0xb337[2771]]=null!=_0xbfbfx4?_0xbfbfx4:!0;this[_0xb337[2772]]=null!=_0xbfbfx5?_0xbfbfx5:!0;this[_0xb337[2773]]=mxUtils[_0xb337[885]](this,function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[994]]()&&this[_0xb337[2774]]()&&this[_0xb337[833]](_0xbfbfx3[_0xb337[720]](_0xb337[895]))});this[_0xb337[2758]]=mxUtils[_0xb337[885]](this,function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[994]]()&&this[_0xb337[2775]]()&&this[_0xb337[837]](_0xbfbfx3[_0xb337[720]](_0xb337[895]))});this[_0xb337[1178]](_0xbfbfx2)}mxSwimlaneManager[_0xb337[202]]= new mxEventSource;mxSwimlaneManager[_0xb337[202]][_0xb337[196]]=mxSwimlaneManager;mxSwimlaneManager[_0xb337[202]][_0xb337[1179]]=null;mxSwimlaneManager[_0xb337[202]][_0xb337[984]]= !0;mxSwimlaneManager[_0xb337[202]][_0xb337[662]]= !0;mxSwimlaneManager[_0xb337[202]][_0xb337[2771]]= !0;mxSwimlaneManager[_0xb337[202]][_0xb337[2772]]= !0;mxSwimlaneManager[_0xb337[202]][_0xb337[2773]]=null;mxSwimlaneManager[_0xb337[202]][_0xb337[2758]]=null;mxSwimlaneManager[_0xb337[202]][_0xb337[994]]=function(){return this[_0xb337[984]]};mxSwimlaneManager[_0xb337[202]][_0xb337[995]]=function(_0xbfbfx2){this[_0xb337[984]]=_0xbfbfx2};mxSwimlaneManager[_0xb337[202]][_0xb337[1737]]=function(){return this[_0xb337[662]]};mxSwimlaneManager[_0xb337[202]][_0xb337[2776]]=function(_0xbfbfx2){this[_0xb337[662]]=_0xbfbfx2};mxSwimlaneManager[_0xb337[202]][_0xb337[2774]]=function(){return this[_0xb337[2771]]};mxSwimlaneManager[_0xb337[202]][_0xb337[2777]]=function(_0xbfbfx2){this[_0xb337[2771]]=_0xbfbfx2};mxSwimlaneManager[_0xb337[202]][_0xb337[2775]]=function(){return this[_0xb337[2772]]};mxSwimlaneManager[_0xb337[202]][_0xb337[2778]]=function(_0xbfbfx2){this[_0xb337[2772]]=_0xbfbfx2};mxSwimlaneManager[_0xb337[202]][_0xb337[1703]]=function(){return this[_0xb337[1179]]};mxSwimlaneManager[_0xb337[202]][_0xb337[1178]]=function(_0xbfbfx2){null!=this[_0xb337[1179]]&&(this[_0xb337[1179]][_0xb337[745]](this[_0xb337[2773]]),this[_0xb337[1179]][_0xb337[745]](this[_0xb337[2758]]));this[_0xb337[1179]]=_0xbfbfx2;null!=this[_0xb337[1179]]&&(this[_0xb337[1179]][_0xb337[169]](mxEvent.ADD_CELLS,this[_0xb337[2773]]),this[_0xb337[1179]][_0xb337[169]](mxEvent.CELLS_RESIZED,this[_0xb337[2758]]))};mxSwimlaneManager[_0xb337[202]][_0xb337[2779]]=function(_0xbfbfx2){return !this[_0xb337[1703]]()[_0xb337[1730]](_0xbfbfx2)};mxSwimlaneManager[_0xb337[202]][_0xb337[2780]]=function(_0xbfbfx2){if(this[_0xb337[1179]][_0xb337[1730]](_0xbfbfx2)){var _0xbfbfx3=this[_0xb337[1179]][_0xb337[441]][_0xb337[248]](_0xbfbfx2);_0xbfbfx2=null!=_0xbfbfx3?_0xbfbfx3[_0xb337[124]]:this[_0xb337[1179]][_0xb337[1705]](_0xbfbfx2);return 1==mxUtils[_0xb337[433]](_0xbfbfx2,mxConstants.STYLE_HORIZONTAL,1)};return !this[_0xb337[1737]]()};mxSwimlaneManager[_0xb337[202]][_0xb337[833]]=function(_0xbfbfx2){if(null!=_0xbfbfx2){var _0xbfbfx3=this[_0xb337[1703]]()[_0xb337[502]]();_0xbfbfx3[_0xb337[473]]();try{for(var _0xbfbfx4=0;_0xbfbfx4<_0xbfbfx2[_0xb337[67]];_0xbfbfx4++){this[_0xb337[2779]](_0xbfbfx2[_0xbfbfx4])||this[_0xb337[2781]](_0xbfbfx2[_0xbfbfx4])}}finally{_0xbfbfx3[_0xb337[476]]()}}};mxSwimlaneManager[_0xb337[202]][_0xb337[2781]]=function(_0xbfbfx2){for(var _0xbfbfx3=this[_0xb337[1703]]()[_0xb337[502]](),_0xbfbfx4=_0xbfbfx3[_0xb337[1197]](_0xbfbfx2),_0xbfbfx5=_0xbfbfx3[_0xb337[262]](_0xbfbfx4),_0xbfbfx9=null,_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx5;_0xbfbfxa++){var _0xbfbfx12=_0xbfbfx3[_0xb337[263]](_0xbfbfx4,_0xbfbfxa);if(_0xbfbfx12!=_0xbfbfx2&&!this[_0xb337[2779]](_0xbfbfx12)&&(_0xbfbfx9=_0xbfbfx3[_0xb337[1721]](_0xbfbfx12),null!=_0xbfbfx9)){break}};null!=_0xbfbfx9&&this[_0xb337[2782]](_0xbfbfx2,_0xbfbfx9[_0xb337[117]],_0xbfbfx9[_0xb337[119]])};mxSwimlaneManager[_0xb337[202]][_0xb337[837]]=function(_0xbfbfx2){if(null!=_0xbfbfx2){var _0xbfbfx3=this[_0xb337[1703]]()[_0xb337[502]]();_0xbfbfx3[_0xb337[473]]();try{for(var _0xbfbfx4=0;_0xbfbfx4<_0xbfbfx2[_0xb337[67]];_0xbfbfx4++){if(!this[_0xb337[2779]](_0xbfbfx2[_0xbfbfx4])){var _0xbfbfx5=_0xbfbfx3[_0xb337[1721]](_0xbfbfx2[_0xbfbfx4]);if(null!=_0xbfbfx5){for(var _0xbfbfx9= new mxRectangle(0,0,_0xbfbfx5[_0xb337[117]],_0xbfbfx5[_0xb337[119]]),_0xbfbfxa=_0xbfbfx2[_0xbfbfx4],_0xbfbfx12=_0xbfbfxa;null!=_0xbfbfx12;){var _0xbfbfxa=_0xbfbfx12,_0xbfbfx12=_0xbfbfx3[_0xb337[1197]](_0xbfbfx12),_0xbfbfx13=this[_0xb337[1179]][_0xb337[1730]](_0xbfbfx12)?this[_0xb337[1179]][_0xb337[1731]](_0xbfbfx12): new mxRectangle;_0xbfbfx9[_0xb337[117]]+=_0xbfbfx13[_0xb337[117]];_0xbfbfx9[_0xb337[119]]+=_0xbfbfx13[_0xb337[119]]};this[_0xb337[2782]](_0xbfbfxa,_0xbfbfx9[_0xb337[117]],_0xbfbfx9[_0xb337[119]])}}}}finally{_0xbfbfx3[_0xb337[476]]()}}};mxSwimlaneManager[_0xb337[202]][_0xb337[2782]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=this[_0xb337[1703]]()[_0xb337[502]]();_0xbfbfx5[_0xb337[473]]();try{if(!this[_0xb337[2779]](_0xbfbfx2)){var _0xbfbfx9=_0xbfbfx5[_0xb337[1721]](_0xbfbfx2);if(null!=_0xbfbfx9){var _0xbfbfxa=this[_0xb337[2780]](_0xbfbfx2);if(_0xbfbfxa&&_0xbfbfx9[_0xb337[119]]!=_0xbfbfx4||!_0xbfbfxa&&_0xbfbfx9[_0xb337[117]]!=_0xbfbfx3){_0xbfbfx9=_0xbfbfx9[_0xb337[238]](),_0xbfbfxa?_0xbfbfx9[_0xb337[119]]=_0xbfbfx4:_0xbfbfx9[_0xb337[117]]=_0xbfbfx3,_0xbfbfx5[_0xb337[1724]](_0xbfbfx2,_0xbfbfx9)}}};var _0xbfbfx12=this[_0xb337[1179]][_0xb337[1730]](_0xbfbfx2)?this[_0xb337[1179]][_0xb337[1731]](_0xbfbfx2): new mxRectangle;_0xbfbfx3-=_0xbfbfx12[_0xb337[117]];_0xbfbfx4-=_0xbfbfx12[_0xb337[119]];for(var _0xbfbfx13=_0xbfbfx5[_0xb337[262]](_0xbfbfx2),_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx13;_0xbfbfx9++){var _0xbfbfx14=_0xbfbfx5[_0xb337[263]](_0xbfbfx2,_0xbfbfx9);this[_0xb337[2782]](_0xbfbfx14,_0xbfbfx3,_0xbfbfx4)}}finally{_0xbfbfx5[_0xb337[476]]()}};mxSwimlaneManager[_0xb337[202]][_0xb337[515]]=function(){this[_0xb337[1178]](null)};function mxTemporaryCellStates(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){this[_0xb337[441]]=_0xbfbfx2;_0xbfbfx3=null!=_0xbfbfx3?_0xbfbfx3:1;this[_0xb337[2783]]=_0xbfbfx2[_0xb337[517]]();this[_0xb337[2784]]=_0xbfbfx2[_0xb337[2312]]();this[_0xb337[2785]]=_0xbfbfx2[_0xb337[518]]();_0xbfbfx2[_0xb337[1517]]( new mxDictionary);_0xbfbfx2[_0xb337[2262]](_0xbfbfx3);if(null!=_0xbfbfx4){_0xbfbfx3=_0xbfbfx2[_0xb337[1230]]( new mxCell);for(var _0xbfbfx5=0;_0xbfbfx5<_0xbfbfx4[_0xb337[67]];_0xbfbfx5++){_0xbfbfx2[_0xb337[2267]](_0xbfbfx3,_0xbfbfx4[_0xbfbfx5])};for(var _0xbfbfx9=null,_0xbfbfx5=0;_0xbfbfx5<_0xbfbfx4[_0xb337[67]];_0xbfbfx5++){var _0xbfbfxa=_0xbfbfx2[_0xb337[2268]](_0xbfbfx3,_0xbfbfx4[_0xbfbfx5]);null==_0xbfbfx9?_0xbfbfx9=_0xbfbfxa:_0xbfbfx9[_0xb337[99]](_0xbfbfxa)};null==_0xbfbfx9&&(_0xbfbfx9= new mxRectangle);_0xbfbfx2[_0xb337[2256]](_0xbfbfx9)}}mxTemporaryCellStates[_0xb337[202]][_0xb337[441]]=null;mxTemporaryCellStates[_0xb337[202]][_0xb337[2784]]=null;mxTemporaryCellStates[_0xb337[202]][_0xb337[2783]]=null;mxTemporaryCellStates[_0xb337[202]][_0xb337[2785]]=null;mxTemporaryCellStates[_0xb337[202]][_0xb337[515]]=function(){this[_0xb337[441]][_0xb337[2262]](this[_0xb337[2785]]);this[_0xb337[441]][_0xb337[1517]](this[_0xb337[2784]]);this[_0xb337[441]][_0xb337[2256]](this[_0xb337[2783]])};function mxCellStatePreview(_0xbfbfx2){this[_0xb337[1179]]=_0xbfbfx2;this[_0xb337[2786]]={}}mxCellStatePreview[_0xb337[202]][_0xb337[1179]]=null;mxCellStatePreview[_0xb337[202]][_0xb337[2786]]=null;mxCellStatePreview[_0xb337[202]][_0xb337[2787]]=0;mxCellStatePreview[_0xb337[202]][_0xb337[1107]]=function(){return 0==this[_0xb337[2787]]};mxCellStatePreview[_0xb337[202]][_0xb337[1194]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xbfbfx5=null!=_0xbfbfx5?_0xbfbfx5:!0;_0xbfbfx9=null!=_0xbfbfx9?_0xbfbfx9:!0;var _0xbfbfxa=mxCellPath[_0xb337[385]](_0xbfbfx2[_0xb337[246]]),_0xbfbfx12=this[_0xb337[2786]][_0xbfbfxa];null==_0xbfbfx12?(_0xbfbfx12= new mxPoint(_0xbfbfx3,_0xbfbfx4),this[_0xb337[2786]][_0xbfbfxa]=_0xbfbfx12,this[_0xb337[2787]]++):_0xbfbfx5?(_0xbfbfx12[_0xb337[2788]]+=_0xbfbfx3,_0xbfbfx12[_0xb337[2789]]+=_0xbfbfx4):(_0xbfbfx12[_0xb337[2788]]=_0xbfbfx3,_0xbfbfx12[_0xb337[2789]]=_0xbfbfx4);_0xbfbfx9&&this[_0xb337[2790]](_0xbfbfx2);return _0xbfbfx12};mxCellStatePreview[_0xb337[202]][_0xb337[539]]=function(_0xbfbfx2){var _0xbfbfx3=this[_0xb337[1179]][_0xb337[502]](),_0xbfbfx4=_0xbfbfx3[_0xb337[501]](),_0xbfbfx5;for(_0xbfbfx5 in this[_0xb337[2786]]){var _0xbfbfx9=mxCellPath[_0xb337[2791]](_0xbfbfx4,_0xbfbfx5),_0xbfbfxa=this[_0xb337[1179]][_0xb337[441]][_0xb337[248]](_0xbfbfx9),_0xbfbfx12=this[_0xb337[2786]][_0xbfbfx5],_0xbfbfx9=this[_0xb337[1179]][_0xb337[441]][_0xb337[248]](_0xbfbfx3[_0xb337[1197]](_0xbfbfx9));this[_0xb337[2792]](_0xbfbfx9,_0xbfbfxa,_0xbfbfx12[_0xb337[235]],_0xbfbfx12[_0xb337[236]])};for(_0xbfbfx5 in this[_0xb337[2786]]){_0xbfbfx9=mxCellPath[_0xb337[2791]](_0xbfbfx4,_0xbfbfx5),_0xbfbfxa=this[_0xb337[1179]][_0xb337[441]][_0xb337[248]](_0xbfbfx9),_0xbfbfx12=this[_0xb337[2786]][_0xbfbfx5],_0xbfbfx9=this[_0xb337[1179]][_0xb337[441]][_0xb337[248]](_0xbfbfx3[_0xb337[1197]](_0xbfbfx9)),this[_0xb337[2793]](_0xbfbfx9,_0xbfbfxa,_0xbfbfx12[_0xb337[235]],_0xbfbfx12[_0xb337[236]],_0xbfbfx2)}};mxCellStatePreview[_0xb337[202]][_0xb337[2792]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){if(null!=_0xbfbfx3){var _0xbfbfx9=this[_0xb337[1179]][_0xb337[502]]();if(_0xbfbfx9[_0xb337[1193]](_0xbfbfx3[_0xb337[246]])){_0xbfbfx3[_0xb337[2080]]= !0;this[_0xb337[1179]][_0xb337[441]][_0xb337[2267]](_0xbfbfx2,_0xbfbfx3[_0xb337[246]]);_0xbfbfx2=_0xbfbfx9[_0xb337[1721]](_0xbfbfx3[_0xb337[246]]);var _0xbfbfxa=mxCellPath[_0xb337[385]](_0xbfbfx3[_0xb337[246]]);if((0!=_0xbfbfx4||0!=_0xbfbfx5)&&null!=_0xbfbfx2&&(!_0xbfbfx2[_0xb337[1500]]||null!=this[_0xb337[2786]][_0xbfbfxa])){_0xbfbfx3[_0xb337[235]]+=_0xbfbfx4,_0xbfbfx3[_0xb337[236]]+=_0xbfbfx5}};_0xbfbfx2=_0xbfbfx9[_0xb337[262]](_0xbfbfx3[_0xb337[246]]);for(_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx2;_0xbfbfxa++){this[_0xb337[2792]](_0xbfbfx3,this[_0xb337[1179]][_0xb337[441]][_0xb337[248]](_0xbfbfx9[_0xb337[263]](_0xbfbfx3[_0xb337[246]],_0xbfbfxa)),_0xbfbfx4,_0xbfbfx5)}}};mxCellStatePreview[_0xb337[202]][_0xb337[2793]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){if(null!=_0xbfbfx3){_0xbfbfx3[_0xb337[2080]]= !0;this[_0xb337[1179]][_0xb337[441]][_0xb337[2268]](_0xbfbfx2,_0xbfbfx3[_0xb337[246]]);var _0xbfbfxa=mxCellPath[_0xb337[385]](_0xbfbfx3[_0xb337[246]]),_0xbfbfx12=this[_0xb337[1179]][_0xb337[502]](),_0xbfbfx13=this[_0xb337[1179]][_0xb337[1198]](_0xbfbfx3[_0xb337[246]]);if((0!=_0xbfbfx4||0!=_0xbfbfx5)&&null!=_0xbfbfx13&&_0xbfbfx13[_0xb337[1500]]&&_0xbfbfx12[_0xb337[1193]](_0xbfbfx3[_0xb337[246]])&&(null==_0xbfbfx2||_0xbfbfx12[_0xb337[1193]](_0xbfbfx2[_0xb337[246]])||null!=this[_0xb337[2786]][_0xbfbfxa])){_0xbfbfx3[_0xb337[235]]+=_0xbfbfx4,_0xbfbfx3[_0xb337[236]]+=_0xbfbfx5,this[_0xb337[1179]][_0xb337[259]][_0xb337[258]](_0xbfbfx3)};null!=_0xbfbfx9&&_0xbfbfx9(_0xbfbfx3);_0xbfbfx2=_0xbfbfx12[_0xb337[262]](_0xbfbfx3[_0xb337[246]]);for(_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx2;_0xbfbfxa++){this[_0xb337[2793]](_0xbfbfx3,this[_0xb337[1179]][_0xb337[441]][_0xb337[248]](_0xbfbfx12[_0xb337[263]](_0xbfbfx3[_0xb337[246]],_0xbfbfxa)),_0xbfbfx4,_0xbfbfx5,_0xbfbfx9)}}};mxCellStatePreview[_0xb337[202]][_0xb337[2790]]=function(_0xbfbfx2){for(var _0xbfbfx3=this[_0xb337[1179]][_0xb337[502]](),_0xbfbfx4=_0xbfbfx3[_0xb337[1707]](_0xbfbfx2[_0xb337[246]]),_0xbfbfx5=0;_0xbfbfx5<_0xbfbfx4;_0xbfbfx5++){var _0xbfbfx9=this[_0xb337[1179]][_0xb337[441]][_0xb337[248]](_0xbfbfx3[_0xb337[1708]](_0xbfbfx2[_0xb337[246]],_0xbfbfx5));null!=_0xbfbfx9&&this[_0xb337[1194]](_0xbfbfx9,0,0)}};function mxConnectionConstraint(_0xbfbfx2,_0xbfbfx3){this[_0xb337[2546]]=_0xbfbfx2;this[_0xb337[591]]=null!=_0xbfbfx3?_0xbfbfx3:!0}mxConnectionConstraint[_0xb337[202]][_0xb337[2546]]=null;mxConnectionConstraint[_0xb337[202]][_0xb337[591]]=null;function mxGraphHandler(_0xbfbfx2){this[_0xb337[1179]]=_0xbfbfx2;this[_0xb337[1179]][_0xb337[1133]](this);this[_0xb337[2730]]=mxUtils[_0xb337[885]](this,function(){this[_0xb337[2794]]()});this[_0xb337[1179]][_0xb337[169]](mxEvent.PAN,this[_0xb337[2730]])}mxGraphHandler[_0xb337[202]][_0xb337[1179]]=null;mxGraphHandler[_0xb337[202]][_0xb337[2795]]=mxClient[_0xb337[80]]?20:50;mxGraphHandler[_0xb337[202]][_0xb337[984]]= !0;mxGraphHandler[_0xb337[202]][_0xb337[2796]]= !0;mxGraphHandler[_0xb337[202]][_0xb337[2797]]= !0;mxGraphHandler[_0xb337[202]][_0xb337[2798]]= !0;mxGraphHandler[_0xb337[202]][_0xb337[990]]= !1;mxGraphHandler[_0xb337[202]][_0xb337[2799]]=null;mxGraphHandler[_0xb337[202]][_0xb337[2800]]=null;mxGraphHandler[_0xb337[202]][_0xb337[2801]]=null;mxGraphHandler[_0xb337[202]][_0xb337[2802]]= !0;mxGraphHandler[_0xb337[202]][_0xb337[2803]]= !0;mxGraphHandler[_0xb337[202]][_0xb337[846]]= !0;mxGraphHandler[_0xb337[202]][_0xb337[2804]]= !1;mxGraphHandler[_0xb337[202]][_0xb337[2805]]= !0;mxGraphHandler[_0xb337[202]][_0xb337[923]]=6;mxGraphHandler[_0xb337[202]][_0xb337[2806]]=_0xb337[586];mxGraphHandler[_0xb337[202]][_0xb337[2807]]= !1;mxGraphHandler[_0xb337[202]][_0xb337[253]]=null;mxGraphHandler[_0xb337[202]][_0xb337[2808]]= !1;mxGraphHandler[_0xb337[202]][_0xb337[2809]]= !0;mxGraphHandler[_0xb337[202]][_0xb337[994]]=function(){return this[_0xb337[984]]};mxGraphHandler[_0xb337[202]][_0xb337[995]]=function(_0xbfbfx2){this[_0xb337[984]]=_0xbfbfx2};mxGraphHandler[_0xb337[202]][_0xb337[2810]]=function(){return this[_0xb337[2797]]};mxGraphHandler[_0xb337[202]][_0xb337[2811]]=function(_0xbfbfx2){this[_0xb337[2797]]=_0xbfbfx2};mxGraphHandler[_0xb337[202]][_0xb337[2812]]=function(){return this[_0xb337[2798]]};mxGraphHandler[_0xb337[202]][_0xb337[2813]]=function(_0xbfbfx2){this[_0xb337[2798]]=_0xbfbfx2};mxGraphHandler[_0xb337[202]][_0xb337[2814]]=function(){return this[_0xb337[2803]]};mxGraphHandler[_0xb337[202]][_0xb337[2815]]=function(_0xbfbfx2){this[_0xb337[2803]]=_0xbfbfx2};mxGraphHandler[_0xb337[202]][_0xb337[2816]]=function(){return this[_0xb337[846]]};mxGraphHandler[_0xb337[202]][_0xb337[2817]]=function(_0xbfbfx2){this[_0xb337[846]]=_0xbfbfx2};mxGraphHandler[_0xb337[202]][_0xb337[2818]]=function(_0xbfbfx2){return _0xbfbfx2[_0xb337[736]]()};mxGraphHandler[_0xb337[202]][_0xb337[2819]]=function(_0xbfbfx2){return this[_0xb337[1179]][_0xb337[2692]](_0xbfbfx2)};mxGraphHandler[_0xb337[202]][_0xb337[787]]=function(_0xbfbfx2,_0xbfbfx3){if(!_0xbfbfx3[_0xb337[721]]()&&this[_0xb337[994]]()&&this[_0xb337[1179]][_0xb337[994]]()&&!this[_0xb337[1179]][_0xb337[2579]](_0xbfbfx3[_0xb337[727]]())&&null!=_0xbfbfx3[_0xb337[248]]()){var _0xbfbfx4=this[_0xb337[2818]](_0xbfbfx3);this[_0xb337[246]]=null;this[_0xb337[2820]]=this[_0xb337[2819]](_0xbfbfx4);this[_0xb337[2814]]()&&!this[_0xb337[2820]]&&this[_0xb337[1179]][_0xb337[2459]](_0xbfbfx4,_0xbfbfx3[_0xb337[727]]());if(this[_0xb337[2812]]()){var _0xbfbfx5=this[_0xb337[1179]][_0xb337[251]],_0xbfbfx9=_0xbfbfx5[_0xb337[1721]](_0xbfbfx4);this[_0xb337[1179]][_0xb337[1712]](_0xbfbfx4)&&(!_0xbfbfx5[_0xb337[250]](_0xbfbfx4)||1_0xbfbfx5||Math[_0xb337[425]](_0xbfbfxa)>_0xbfbfx5){null==this[_0xb337[1016]]&&(this[_0xb337[1016]]= new mxCellHighlight(this[_0xb337[1179]],mxConstants.DROP_TARGET_COLOR,3));null==this[_0xb337[253]]&&(this[_0xb337[253]]=this[_0xb337[2825]](this[_0xb337[1562]]));var _0xbfbfx12=_0xbfbfx4[_0xb337[1018]](_0xbfbfx3[_0xb337[727]]()),_0xbfbfx5=!0;if(null!=this[_0xb337[2799]]&&this[_0xb337[2827]](_0xbfbfx3)){_0xbfbfxa=this[_0xb337[2799]][_0xb337[582]](this[_0xb337[1562]], new mxPoint(_0xbfbfx9,_0xbfbfxa),_0xbfbfx12),_0xbfbfx5= !1,_0xbfbfx9=_0xbfbfxa[_0xb337[235]],_0xbfbfxa=_0xbfbfxa[_0xb337[236]]}else {if(_0xbfbfx12){var _0xbfbfx13=_0xbfbfx4[_0xb337[249]]()[_0xb337[513]],_0xbfbfx14=_0xbfbfx4[_0xb337[249]]()[_0xb337[255]],_0xbfbfx12=this[_0xb337[1562]][_0xb337[235]]-(_0xbfbfx4[_0xb337[1021]](this[_0xb337[1562]][_0xb337[235]]/_0xbfbfx14-_0xbfbfx13[_0xb337[235]])+_0xbfbfx13[_0xb337[235]])*_0xbfbfx14,_0xbfbfx13=this[_0xb337[1562]][_0xb337[236]]-(_0xbfbfx4[_0xb337[1021]](this[_0xb337[1562]][_0xb337[236]]/_0xbfbfx14-_0xbfbfx13[_0xb337[236]])+_0xbfbfx13[_0xb337[236]])*_0xbfbfx14,_0xbfbfxa=this[_0xb337[1021]]( new mxPoint(_0xbfbfx9,_0xbfbfxa)),_0xbfbfx9=_0xbfbfxa[_0xb337[235]]-_0xbfbfx12,_0xbfbfxa=_0xbfbfxa[_0xb337[236]]-_0xbfbfx13}};null!=this[_0xb337[2799]]&&_0xbfbfx5&&this[_0xb337[2799]][_0xb337[801]]();_0xbfbfx4[_0xb337[2578]](_0xbfbfx3[_0xb337[727]]())&&(Math[_0xb337[425]](_0xbfbfx9)>Math[_0xb337[425]](_0xbfbfxa)?_0xbfbfxa=0:_0xbfbfx9=0);this[_0xb337[2800]]=_0xbfbfx9;this[_0xb337[2801]]=_0xbfbfxa;this[_0xb337[2794]]();_0xbfbfx5=null;_0xbfbfxa=_0xbfbfx3[_0xb337[736]]();_0xbfbfx4[_0xb337[1015]]()&&this[_0xb337[2796]]&&(_0xbfbfx5=_0xbfbfx4[_0xb337[564]](this[_0xb337[895]],_0xbfbfx3[_0xb337[727]](),_0xbfbfxa));_0xbfbfx12=_0xbfbfx5;for(_0xbfbfx13=_0xbfbfx4[_0xb337[502]]();null!=_0xbfbfx12&&_0xbfbfx12!=this[_0xb337[895]][0];){_0xbfbfx12=_0xbfbfx13[_0xb337[1197]](_0xbfbfx12)};var _0xbfbfx14=_0xbfbfx4[_0xb337[2574]](_0xbfbfx3[_0xb337[727]]())&&_0xbfbfx4[_0xb337[2617]]()&&this[_0xb337[2810]](),_0xbfbfx9=_0xbfbfx4[_0xb337[249]]()[_0xb337[248]](_0xbfbfx5),_0xbfbfx15=!1;null!=_0xbfbfx9&&null==_0xbfbfx12&&(_0xbfbfx13[_0xb337[1197]](this[_0xb337[246]])!=_0xbfbfx5||_0xbfbfx14)?(this[_0xb337[772]]!=_0xbfbfx5&&(this[_0xb337[772]]=_0xbfbfx5,this[_0xb337[2828]](mxConstants.DROP_TARGET_COLOR)),_0xbfbfx15= !0):(this[_0xb337[772]]=null,this[_0xb337[2804]]&&(null!=_0xbfbfxa&&1==this[_0xb337[895]][_0xb337[67]]&&_0xbfbfx4[_0xb337[502]]()[_0xb337[1193]](_0xbfbfxa)&&_0xbfbfx4[_0xb337[2565]](_0xbfbfxa))&&(_0xbfbfx9=_0xbfbfx4[_0xb337[249]]()[_0xb337[248]](_0xbfbfxa),null!=_0xbfbfx9&&(_0xbfbfx4=null==_0xbfbfx4[_0xb337[2500]](null,this[_0xb337[246]],_0xbfbfxa)?mxConstants[_0xb337[2829]]:mxConstants[_0xb337[2830]],this[_0xb337[2828]](_0xbfbfx4),_0xbfbfx15= !0)));null!=_0xbfbfx9&&_0xbfbfx15?this[_0xb337[1016]][_0xb337[1016]](_0xbfbfx9):this[_0xb337[1016]][_0xb337[801]]()};_0xbfbfx3[_0xb337[722]]();mxEvent[_0xb337[722]](_0xbfbfx3[_0xb337[727]]())}else {if((this[_0xb337[2812]]()||this[_0xb337[2810]]())&&this[_0xb337[2802]]&&!_0xbfbfx3[_0xb337[721]]()&&null!=_0xbfbfx3[_0xb337[248]]()&&!_0xbfbfx4[_0xb337[1009]]){_0xbfbfx9=_0xbfbfx4[_0xb337[2599]](_0xbfbfx3[_0xb337[736]]()),null==_0xbfbfx9&&(_0xbfbfx4[_0xb337[994]]()&&_0xbfbfx4[_0xb337[1712]](_0xbfbfx3[_0xb337[736]]()))&&(_0xbfbfx9=_0xbfbfx4[_0xb337[502]]()[_0xb337[250]](_0xbfbfx3[_0xb337[736]]())?mxConstants[_0xb337[2831]]:mxConstants[_0xb337[2832]]),_0xbfbfx3[_0xb337[248]]()[_0xb337[1609]](_0xbfbfx9),_0xbfbfx3[_0xb337[722]]()}}};mxGraphHandler[_0xb337[202]][_0xb337[2794]]=function(){null!=this[_0xb337[253]]&&(this[_0xb337[253]][_0xb337[1562]]= new mxRectangle(Math[_0xb337[488]](this[_0xb337[2826]][_0xb337[235]]+this[_0xb337[2800]]-this[_0xb337[1179]][_0xb337[1136]]),Math[_0xb337[488]](this[_0xb337[2826]][_0xb337[236]]+this[_0xb337[2801]]-this[_0xb337[1179]][_0xb337[1137]]),this[_0xb337[2826]][_0xb337[117]],this[_0xb337[2826]][_0xb337[119]]),this[_0xb337[253]][_0xb337[258]]())};mxGraphHandler[_0xb337[202]][_0xb337[2828]]=function(_0xbfbfx2){null!=this[_0xb337[1016]]&&this[_0xb337[1016]][_0xb337[2828]](_0xbfbfx2)};mxGraphHandler[_0xb337[202]][_0xb337[789]]=function(_0xbfbfx2,_0xbfbfx3){if(!_0xbfbfx3[_0xb337[721]]()){var _0xbfbfx4=this[_0xb337[1179]];if(null!=this[_0xb337[246]]&&null!=this[_0xb337[2823]]&&null!=this[_0xb337[253]]&&null!=this[_0xb337[2800]]&&null!=this[_0xb337[2801]]){var _0xbfbfx5=_0xbfbfx4[_0xb337[249]]()[_0xb337[255]],_0xbfbfx9=_0xbfbfx4[_0xb337[2574]](_0xbfbfx3[_0xb337[727]]())&&_0xbfbfx4[_0xb337[2617]]()&&this[_0xb337[2810]](),_0xbfbfxa=this[_0xb337[2800]]/_0xbfbfx5,_0xbfbfx5=this[_0xb337[2801]]/_0xbfbfx5,_0xbfbfx12=_0xbfbfx3[_0xb337[736]]();this[_0xb337[2804]]&&null==this[_0xb337[772]]&&null!=_0xbfbfx12&&_0xbfbfx4[_0xb337[502]]()[_0xb337[1193]](_0xbfbfx12)&&_0xbfbfx4[_0xb337[2565]](_0xbfbfx12)&&_0xbfbfx4[_0xb337[2581]](null,this[_0xb337[246]],_0xbfbfx12)?_0xbfbfx4[_0xb337[2433]][_0xb337[807]](this[_0xb337[246]],_0xbfbfx12,_0xbfbfx3[_0xb337[727]]()):(_0xbfbfx12=this[_0xb337[772]],_0xbfbfx4[_0xb337[2655]]()&&_0xbfbfx4[_0xb337[2686]](_0xbfbfx12,this[_0xb337[895]],_0xbfbfx3[_0xb337[727]]())?_0xbfbfx4[_0xb337[853]](_0xbfbfx12,this[_0xb337[895]],null,_0xbfbfxa,_0xbfbfx5):this[_0xb337[834]](this[_0xb337[895]],_0xbfbfxa,_0xbfbfx5,_0xbfbfx9,this[_0xb337[772]],_0xbfbfx3[_0xb337[727]]()))}else {this[_0xb337[2814]]()&&(this[_0xb337[2820]]&&null!=this[_0xb337[246]])&&this[_0xb337[2833]](_0xbfbfx3)}};this[_0xb337[2821]]&&_0xbfbfx3[_0xb337[722]]();this[_0xb337[862]]()};mxGraphHandler[_0xb337[202]][_0xb337[2833]]=function(_0xbfbfx2){this[_0xb337[1179]][_0xb337[2459]](this[_0xb337[246]],_0xbfbfx2[_0xb337[727]]())};mxGraphHandler[_0xb337[202]][_0xb337[862]]=function(){this[_0xb337[2834]]();this[_0xb337[2820]]=this[_0xb337[2821]]= !1;this[_0xb337[772]]=this[_0xb337[246]]=this[_0xb337[2823]]=this[_0xb337[2835]]=this[_0xb337[2801]]=this[_0xb337[2800]]=null};mxGraphHandler[_0xb337[202]][_0xb337[2836]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){if(this[_0xb337[1179]][_0xb337[502]]()[_0xb337[1193]](_0xbfbfx2)){_0xbfbfx2=this[_0xb337[1179]][_0xb337[249]]()[_0xb337[248]](_0xbfbfx2);_0xbfbfx4=mxUtils[_0xb337[2196]](this[_0xb337[1179]][_0xb337[526]],mxEvent[_0xb337[731]](_0xbfbfx4),mxEvent[_0xb337[733]](_0xbfbfx4));var _0xbfbfx5=mxUtils[_0xb337[431]](mxUtils[_0xb337[433]](_0xbfbfx2[_0xb337[124]],mxConstants.STYLE_ROTATION)||0);if(0!=_0xbfbfx5){_0xbfbfx3=Math[_0xb337[426]](-_0xbfbfx5);var _0xbfbfx5=Math[_0xb337[427]](-_0xbfbfx5),_0xbfbfx9= new mxPoint(_0xbfbfx2[_0xb337[241]](),_0xbfbfx2[_0xb337[242]]());_0xbfbfx4=mxUtils[_0xb337[432]](_0xbfbfx4,_0xbfbfx3,_0xbfbfx5,_0xbfbfx9)};return null!=_0xbfbfx2&&!mxUtils[_0xb337[442]](_0xbfbfx2,_0xbfbfx4[_0xb337[235]],_0xbfbfx4[_0xb337[236]])};return !1};mxGraphHandler[_0xb337[202]][_0xb337[834]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa){_0xbfbfx5&&(_0xbfbfx2=this[_0xb337[1179]][_0xb337[2615]](_0xbfbfx2));null==_0xbfbfx9&&(this[_0xb337[2816]]()&&this[_0xb337[2836]](this[_0xb337[1179]][_0xb337[502]]()[_0xb337[1197]](this[_0xb337[246]]),_0xbfbfx2,_0xbfbfxa))&&(_0xbfbfx9=this[_0xb337[1179]][_0xb337[902]]());_0xbfbfx2=this[_0xb337[1179]][_0xb337[834]](_0xbfbfx2,_0xbfbfx3-this[_0xb337[1179]][_0xb337[1136]]/this[_0xb337[1179]][_0xb337[441]][_0xb337[255]],_0xbfbfx4-this[_0xb337[1179]][_0xb337[1137]]/this[_0xb337[1179]][_0xb337[441]][_0xb337[255]],_0xbfbfx5,_0xbfbfx9,_0xbfbfxa);this[_0xb337[2814]]()&&this[_0xb337[2805]]&&this[_0xb337[1179]][_0xb337[2564]](_0xbfbfx2[0]);_0xbfbfx5&&this[_0xb337[1179]][_0xb337[904]](_0xbfbfx2)};mxGraphHandler[_0xb337[202]][_0xb337[2834]]=function(){null!=this[_0xb337[253]]&&(this[_0xb337[253]][_0xb337[515]](),this[_0xb337[253]]=null);null!=this[_0xb337[2799]]&&(this[_0xb337[2799]][_0xb337[515]](),this[_0xb337[2799]]=null);null!=this[_0xb337[1016]]&&(this[_0xb337[1016]][_0xb337[515]](),this[_0xb337[1016]]=null)};mxGraphHandler[_0xb337[202]][_0xb337[515]]=function(){this[_0xb337[1179]][_0xb337[1148]](this);this[_0xb337[1179]][_0xb337[745]](this[_0xb337[2730]]);this[_0xb337[2834]]()};function mxPanningHandler(_0xbfbfx2,_0xbfbfx3){null!=_0xbfbfx2&&(this[_0xb337[1179]]=_0xbfbfx2,this[_0xb337[1033]]=_0xbfbfx3,this[_0xb337[1179]][_0xb337[1133]](this),this[_0xb337[176]]())}mxPanningHandler[_0xb337[202]]= new mxPopupMenu;mxPanningHandler[_0xb337[202]][_0xb337[196]]=mxPanningHandler;mxPanningHandler[_0xb337[202]][_0xb337[1179]]=null;mxPanningHandler[_0xb337[202]][_0xb337[2837]]=null;mxPanningHandler[_0xb337[202]][_0xb337[2838]]=null;mxPanningHandler[_0xb337[202]][_0xb337[2839]]= !0;mxPanningHandler[_0xb337[202]][_0xb337[2840]]= !1;mxPanningHandler[_0xb337[202]][_0xb337[2841]]= !0;mxPanningHandler[_0xb337[202]][_0xb337[2842]]= !0;mxPanningHandler[_0xb337[202]][_0xb337[2843]]= !1;mxPanningHandler[_0xb337[202]][_0xb337[2844]]= !0;mxPanningHandler[_0xb337[202]][_0xb337[2845]]= !1;mxPanningHandler[_0xb337[202]][_0xb337[2431]]= !0;mxPanningHandler[_0xb337[202]][_0xb337[2846]]=function(){return this[_0xb337[2431]]};mxPanningHandler[_0xb337[202]][_0xb337[2847]]=function(_0xbfbfx2){this[_0xb337[2431]]=_0xbfbfx2};mxPanningHandler[_0xb337[202]][_0xb337[176]]=function(){mxPopupMenu[_0xb337[202]][_0xb337[176]][_0xb337[183]](this);mxEvent[_0xb337[169]](this[_0xb337[485]],mxClient[_0xb337[754]]?_0xb337[756]:_0xb337[752],mxUtils[_0xb337[885]](this,function(_0xbfbfx2){this[_0xb337[1179]][_0xb337[2276]][_0xb337[801]]()}))};mxPanningHandler[_0xb337[202]][_0xb337[2848]]=function(_0xbfbfx2){var _0xbfbfx3=_0xbfbfx2[_0xb337[727]]();return this[_0xb337[2840]]&&(this[_0xb337[2843]]||null==_0xbfbfx2[_0xb337[248]]())&&mxEvent[_0xb337[1158]](_0xbfbfx3)||mxEvent[_0xb337[775]](_0xbfbfx3)&&mxEvent[_0xb337[774]](_0xbfbfx3)||this[_0xb337[2839]]&&mxEvent[_0xb337[737]](_0xbfbfx3)};mxPanningHandler[_0xb337[202]][_0xb337[787]]=function(_0xbfbfx2,_0xbfbfx3){if(!_0xbfbfx3[_0xb337[721]]()&&this[_0xb337[994]]()){this[_0xb337[1032]]();this[_0xb337[2741]]=-this[_0xb337[1179]][_0xb337[526]][_0xb337[360]];this[_0xb337[2742]]=-this[_0xb337[1179]][_0xb337[526]][_0xb337[190]];var _0xbfbfx4=mxUtils[_0xb337[2196]](this[_0xb337[1179]][_0xb337[526]],_0xbfbfx3[_0xb337[730]](),_0xbfbfx3[_0xb337[732]]());this[_0xb337[2837]]=_0xbfbfx4[_0xb337[235]];this[_0xb337[2838]]=_0xbfbfx4[_0xb337[236]];this[_0xb337[2849]]=this[_0xb337[737]](_0xbfbfx3);this[_0xb337[2850]]=this[_0xb337[2846]]()&&this[_0xb337[2848]](_0xbfbfx3);this[_0xb337[2739]]=_0xbfbfx3[_0xb337[730]]();this[_0xb337[2740]]=_0xbfbfx3[_0xb337[732]]();this[_0xb337[2850]]&&this[_0xb337[2851]](_0xbfbfx3)}};mxPanningHandler[_0xb337[202]][_0xb337[2851]]=function(_0xbfbfx2){_0xbfbfx2[_0xb337[722]]()};mxPanningHandler[_0xb337[202]][_0xb337[788]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=_0xbfbfx3[_0xb337[730]]()-this[_0xb337[2739]],_0xbfbfx5=_0xbfbfx3[_0xb337[732]]()-this[_0xb337[2740]];if(this[_0xb337[1124]]){this[_0xb337[2844]]&&(this[_0xb337[2845]]&&(_0xbfbfx4=this[_0xb337[1179]][_0xb337[1021]](_0xbfbfx4),_0xbfbfx5=this[_0xb337[1179]][_0xb337[1021]](_0xbfbfx5)),this[_0xb337[1179]][_0xb337[1135]](_0xbfbfx4+this[_0xb337[2741]],_0xbfbfx5+this[_0xb337[2742]])),this[_0xb337[746]]( new mxEventObject(mxEvent.PAN,_0xb337[763],_0xbfbfx3)),_0xbfbfx3[_0xb337[722]]()}else {if(this[_0xb337[2850]]){var _0xbfbfx9=this[_0xb337[1124]];this[_0xb337[1124]]=Math[_0xb337[425]](_0xbfbfx4)>this[_0xb337[1179]][_0xb337[2353]]||Math[_0xb337[425]](_0xbfbfx5)>this[_0xb337[1179]][_0xb337[2353]];!_0xbfbfx9&&this[_0xb337[1124]]&&this[_0xb337[746]]( new mxEventObject(mxEvent.PAN_START,_0xb337[763],_0xbfbfx3))}}};mxPanningHandler[_0xb337[202]][_0xb337[789]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=Math[_0xb337[425]](_0xbfbfx3[_0xb337[730]]()-this[_0xb337[2739]]),_0xbfbfx5=Math[_0xb337[425]](_0xbfbfx3[_0xb337[732]]()-this[_0xb337[2740]]);if(this[_0xb337[1124]]){if(!this[_0xb337[1179]][_0xb337[2365]]||!mxUtils[_0xb337[1134]](this[_0xb337[1179]][_0xb337[526]])){_0xbfbfx4=_0xbfbfx3[_0xb337[730]]()-this[_0xb337[2739]];_0xbfbfx5=_0xbfbfx3[_0xb337[732]]()-this[_0xb337[2740]];this[_0xb337[2845]]&&(_0xbfbfx4=this[_0xb337[1179]][_0xb337[1021]](_0xbfbfx4),_0xbfbfx5=this[_0xb337[1179]][_0xb337[1021]](_0xbfbfx5));var _0xbfbfx9=this[_0xb337[1179]][_0xb337[249]]()[_0xb337[255]],_0xbfbfxa=this[_0xb337[1179]][_0xb337[249]]()[_0xb337[513]];this[_0xb337[1179]][_0xb337[1135]](0,0);this[_0xb337[1135]](_0xbfbfxa[_0xb337[235]]+_0xbfbfx4/_0xbfbfx9,_0xbfbfxa[_0xb337[236]]+_0xbfbfx5/_0xbfbfx9)};this[_0xb337[1124]]= !1;this[_0xb337[746]]( new mxEventObject(mxEvent.PAN_END,_0xb337[763],_0xbfbfx3));_0xbfbfx3[_0xb337[722]]()}else {this[_0xb337[2849]]&&(_0xbfbfx4this[_0xb337[1179]][_0xb337[2353]]||_0xbfbfx12>this[_0xb337[1179]][_0xb337[2353]])){this[_0xb337[253]]=this[_0xb337[2156]](),this[_0xb337[2928]](_0xbfbfx3)};null!=this[_0xb337[253]]&&(null!=this[_0xb337[2897]]?this[_0xb337[253]][_0xb337[1525]]=this[_0xb337[2897]][_0xb337[439]]:(_0xbfbfx4=[_0xbfbfxa],null!=this[_0xb337[2922]]&&(_0xbfbfx4=_0xbfbfx4[_0xb337[1919]](this[_0xb337[2922]])),_0xbfbfx4[_0xb337[207]](_0xbfbfx9),this[_0xb337[253]][_0xb337[1525]]=_0xbfbfx4),this[_0xb337[2932]]());mxEvent[_0xb337[722]](_0xbfbfx3[_0xb337[727]]());_0xbfbfx3[_0xb337[722]]()}else {!this[_0xb337[994]]()||!this[_0xb337[1179]][_0xb337[994]]()?this[_0xb337[2886]][_0xb337[862]]():this[_0xb337[257]]!=this[_0xb337[2915]]&&null==this[_0xb337[2897]]?(this[_0xb337[2906]](this[_0xb337[2904]]),this[_0xb337[2904]]=null,null!=this[_0xb337[2915]]&&null==this[_0xb337[267]]&&(this[_0xb337[2904]]=this[_0xb337[2913]](this[_0xb337[2915]]),null==this[_0xb337[2904]]&&(this[_0xb337[2915]][_0xb337[1609]](mxConstants.CURSOR_CONNECT),_0xbfbfx3[_0xb337[722]]())),this[_0xb337[257]]=this[_0xb337[2915]]):this[_0xb337[257]]==this[_0xb337[2915]]&&(null!=this[_0xb337[2915]]&&null==this[_0xb337[2904]]&&!this[_0xb337[1179]][_0xb337[1009]])&&_0xbfbfx3[_0xb337[722]]()};null!=this[_0xb337[2886]][_0xb337[2920]]&&this[_0xb337[2885]][_0xb337[862]]();if(!this[_0xb337[1179]][_0xb337[1009]]&&null!=this[_0xb337[2915]]&&null!=this[_0xb337[2904]]){_0xbfbfx4= !1;_0xbfbfxa=_0xbfbfx3[_0xb337[728]]();for(_0xbfbfx9=0;_0xbfbfx9this[_0xb337[1179]][_0xb337[2353]]||_0xbfbfx3>this[_0xb337[1179]][_0xb337[2353]])){null==this[_0xb337[2922]]&&(this[_0xb337[2922]]=[]),_0xbfbfx4=this[_0xb337[1179]][_0xb337[441]][_0xb337[255]],_0xbfbfx3= new mxPoint(this[_0xb337[1179]][_0xb337[1021]](_0xbfbfx2[_0xb337[734]]()/_0xbfbfx4)*_0xbfbfx4,this[_0xb337[1179]][_0xb337[1021]](_0xbfbfx2[_0xb337[735]]()/_0xbfbfx4)*_0xbfbfx4),this[_0xb337[2922]][_0xb337[207]](_0xbfbfx3)}};mxConnectionHandler[_0xb337[202]][_0xb337[789]]=function(_0xbfbfx2,_0xbfbfx3){if(!_0xbfbfx3[_0xb337[721]]()&&this[_0xb337[2908]]()){if(this[_0xb337[2887]]&&!this[_0xb337[2934]](_0xbfbfx3)){this[_0xb337[2935]](_0xbfbfx3);_0xbfbfx3[_0xb337[722]]();return};if(null==this[_0xb337[267]]){var _0xbfbfx4=null!=this[_0xb337[257]]?this[_0xb337[257]][_0xb337[246]]:null,_0xbfbfx5=null;null!=this[_0xb337[2886]][_0xb337[2920]]&&null!=this[_0xb337[2886]][_0xb337[2919]]&&(_0xbfbfx5=this[_0xb337[2886]][_0xb337[2919]][_0xb337[246]]);null==_0xbfbfx5&&this[_0xb337[2885]][_0xb337[2867]]()&&(_0xbfbfx5=this[_0xb337[2885]][_0xb337[2861]][_0xb337[246]]);this[_0xb337[807]](_0xbfbfx4,_0xbfbfx5,_0xbfbfx3[_0xb337[727]](),_0xbfbfx3[_0xb337[736]]())}else {null!=this[_0xb337[257]]&&(null!=this[_0xb337[2885]][_0xb337[2861]]&&this[_0xb337[257]][_0xb337[246]]==this[_0xb337[2885]][_0xb337[2861]][_0xb337[246]])&&this[_0xb337[1179]][_0xb337[2459]](this[_0xb337[2885]][_0xb337[1097]],evt),0_0xbfbfx12||Math[_0xb337[425]](_0xbfbfxa)>_0xbfbfx12){null==this[_0xb337[485]]&&(this[_0xb337[485]]=this[_0xb337[2156]]()),mxUtils[_0xb337[2440]](),this[_0xb337[2729]](_0xbfbfx5,_0xbfbfx4),_0xbfbfx3[_0xb337[722]]()}}};mxRubberband[_0xb337[202]][_0xb337[2156]]=function(){null==this[_0xb337[2952]]&&(this[_0xb337[2952]]=document[_0xb337[55]](_0xb337[485]),this[_0xb337[2952]][_0xb337[926]]=_0xb337[2956],mxUtils[_0xb337[254]](this[_0xb337[2952]],this[_0xb337[2951]]));this[_0xb337[1179]][_0xb337[526]][_0xb337[62]](this[_0xb337[2952]]);return this[_0xb337[2952]]};mxRubberband[_0xb337[202]][_0xb337[789]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=null!=this[_0xb337[485]];this[_0xb337[862]]();_0xbfbfx4&&(_0xbfbfx4= new mxRectangle(this[_0xb337[235]],this[_0xb337[236]],this[_0xb337[117]],this[_0xb337[119]]),this[_0xb337[1179]][_0xb337[2698]](_0xbfbfx4,_0xbfbfx3[_0xb337[727]]()),_0xbfbfx3[_0xb337[722]]())};mxRubberband[_0xb337[202]][_0xb337[862]]=function(){null!=this[_0xb337[485]]&&this[_0xb337[485]][_0xb337[265]][_0xb337[266]](this[_0xb337[485]]);null!=this[_0xb337[2955]]&&(mxEvent[_0xb337[745]](document,_0xb337[752],this[_0xb337[2955]]),this[_0xb337[2955]]=null);null!=this[_0xb337[981]]&&(mxEvent[_0xb337[745]](document,_0xb337[753],this[_0xb337[981]]),this[_0xb337[981]]=null);this[_0xb337[2954]]=this[_0xb337[2953]]=0;this[_0xb337[485]]=this[_0xb337[2823]]=null};mxRubberband[_0xb337[202]][_0xb337[2729]]=function(_0xbfbfx2,_0xbfbfx3){this[_0xb337[2953]]=_0xbfbfx2;this[_0xb337[2954]]=_0xbfbfx3;this[_0xb337[2950]]()};mxRubberband[_0xb337[202]][_0xb337[2950]]=function(){if(null!=this[_0xb337[485]]){var _0xbfbfx2=this[_0xb337[2953]]-this[_0xb337[1179]][_0xb337[1136]],_0xbfbfx3=this[_0xb337[2954]]-this[_0xb337[1179]][_0xb337[1137]];this[_0xb337[235]]=Math[_0xb337[243]](this[_0xb337[2823]][_0xb337[235]],_0xbfbfx2);this[_0xb337[236]]=Math[_0xb337[243]](this[_0xb337[2823]][_0xb337[236]],_0xbfbfx3);this[_0xb337[117]]=Math[_0xb337[160]](this[_0xb337[2823]][_0xb337[235]],_0xbfbfx2)-this[_0xb337[235]];this[_0xb337[119]]=Math[_0xb337[160]](this[_0xb337[2823]][_0xb337[236]],_0xbfbfx3)-this[_0xb337[236]];_0xbfbfx2=mxClient[_0xb337[47]]?this[_0xb337[1179]][_0xb337[1137]]:0;this[_0xb337[485]][_0xb337[124]][_0xb337[361]]=this[_0xb337[235]]+(mxClient[_0xb337[47]]?this[_0xb337[1179]][_0xb337[1136]]:0)+_0xb337[168];this[_0xb337[485]][_0xb337[124]][_0xb337[125]]=this[_0xb337[236]]+_0xbfbfx2+_0xb337[168];this[_0xb337[485]][_0xb337[124]][_0xb337[117]]=Math[_0xb337[160]](1,this[_0xb337[117]])+_0xb337[168];this[_0xb337[485]][_0xb337[124]][_0xb337[119]]=Math[_0xb337[160]](1,this[_0xb337[119]])+_0xb337[168]}};mxRubberband[_0xb337[202]][_0xb337[515]]=function(){this[_0xb337[2717]]||(this[_0xb337[2717]]= !0,this[_0xb337[1179]][_0xb337[1148]](this),this[_0xb337[1179]][_0xb337[745]](this[_0xb337[2730]]),this[_0xb337[862]](),null!=this[_0xb337[2952]]&&(this[_0xb337[2952]]=null))};function mxVertexHandler(_0xbfbfx2){null!=_0xbfbfx2&&(this[_0xb337[724]]=_0xbfbfx2,this[_0xb337[176]]())}mxVertexHandler[_0xb337[202]][_0xb337[1179]]=null;mxVertexHandler[_0xb337[202]][_0xb337[724]]=null;mxVertexHandler[_0xb337[202]][_0xb337[2957]]= !1;mxVertexHandler[_0xb337[202]][_0xb337[1983]]=null;mxVertexHandler[_0xb337[202]][_0xb337[2958]]= !0;mxVertexHandler[_0xb337[202]][_0xb337[2959]]=null;mxVertexHandler[_0xb337[202]][_0xb337[2353]]=0;mxVertexHandler[_0xb337[202]][_0xb337[2809]]= !1;mxVertexHandler[_0xb337[202]][_0xb337[2960]]= !0;mxVertexHandler[_0xb337[202]][_0xb337[176]]=function(){this[_0xb337[1179]]=this[_0xb337[724]][_0xb337[441]][_0xb337[1179]];this[_0xb337[2961]]=this[_0xb337[2962]](this[_0xb337[724]]);this[_0xb337[1562]]= new mxRectangle(this[_0xb337[2961]][_0xb337[235]],this[_0xb337[2961]][_0xb337[236]],this[_0xb337[2961]][_0xb337[117]],this[_0xb337[2961]][_0xb337[119]]);this[_0xb337[2732]]=this[_0xb337[2963]](this[_0xb337[1562]]);this[_0xb337[2732]][_0xb337[507]]=this[_0xb337[1179]][_0xb337[507]]!=mxConstants[_0xb337[508]]?mxConstants[_0xb337[1523]]:mxConstants[_0xb337[508]];this[_0xb337[2732]][_0xb337[1229]]= !1;this[_0xb337[2732]][_0xb337[176]](this[_0xb337[1179]][_0xb337[249]]()[_0xb337[1524]]());this[_0xb337[1179]][_0xb337[1712]](this[_0xb337[724]][_0xb337[246]])&&(this[_0xb337[2732]][_0xb337[252]][_0xb337[124]][_0xb337[270]]=mxConstants[_0xb337[2832]]);mxEvent[_0xb337[2733]](this[_0xb337[2732]][_0xb337[252]],this[_0xb337[1179]],this[_0xb337[724]]);if(0>=mxGraphHandler[_0xb337[202]][_0xb337[2795]]||this[_0xb337[1179]][_0xb337[2694]]()this[_0xb337[724]][_0xb337[117]]&&2>this[_0xb337[724]][_0xb337[119]])&&(this[_0xb337[2972]]=this[_0xb337[2735]](mxConstants.CURSOR_MOVABLE_VERTEX,null,null,mxConstants.LABEL_HANDLE_FILLCOLOR),this[_0xb337[2964]][_0xb337[207]](this[_0xb337[2972]]))}};if(this[_0xb337[2809]]&&(0>=mxGraphHandler[_0xb337[202]][_0xb337[2795]]||this[_0xb337[1179]][_0xb337[2694]]()_0xbfbfx12?180:0;0<_0xbfbfxa&&(this[_0xb337[2989]]-=180);this[_0xb337[2960]]&&(_0xbfbfxa=_0xbfbfx4[_0xb337[235]]-this[_0xb337[724]][_0xb337[241]](),_0xbfbfx12=_0xbfbfx4[_0xb337[236]]-this[_0xb337[724]][_0xb337[242]](),_0xbfbfxa=Math[_0xb337[425]](Math[_0xb337[428]](_0xbfbfxa*_0xbfbfxa+_0xbfbfx12*_0xbfbfx12)-this[_0xb337[724]][_0xb337[119]]/2-20),_0xbfbfxa=Math[_0xb337[160]](1,5*Math[_0xb337[243]](3,Math[_0xb337[160]](0,Math[_0xb337[488]](80/Math[_0xb337[425]](_0xbfbfxa))))),this[_0xb337[2989]]=Math[_0xb337[488]](this[_0xb337[2989]]/_0xbfbfxa)*_0xbfbfxa);this[_0xb337[2732]][_0xb337[603]]=this[_0xb337[2989]];this[_0xb337[2732]][_0xb337[258]]()}else {var _0xbfbfx13=mxUtils[_0xb337[431]](this[_0xb337[724]][_0xb337[124]][mxConstants[_0xb337[2278]]]||_0xb337[468]),_0xbfbfx14=Math[_0xb337[426]](-_0xbfbfx13),_0xbfbfx15=Math[_0xb337[427]](-_0xbfbfx13),_0xbfbfx16= new mxPoint(this[_0xb337[724]][_0xb337[241]](),this[_0xb337[724]][_0xb337[242]]()),_0xbfbfxa=_0xbfbfx4[_0xb337[235]]-this[_0xb337[2739]],_0xbfbfx12=_0xbfbfx4[_0xb337[236]]-this[_0xb337[2740]],_0xbfbfx4=this[_0xb337[1179]][_0xb337[441]][_0xb337[513]],_0xbfbfx17=_0xbfbfx15*_0xbfbfxa+_0xbfbfx14*_0xbfbfx12,_0xbfbfxa=_0xbfbfx14*_0xbfbfxa-_0xbfbfx15*_0xbfbfx12;this[_0xb337[1562]]=this[_0xb337[2991]](this[_0xb337[2961]],_0xbfbfxa,_0xbfbfx17,this[_0xb337[1983]],_0xbfbfx5,_0xbfbfx9,_0xbfbfx4);_0xbfbfx14=Math[_0xb337[426]](_0xbfbfx13);_0xbfbfx15=Math[_0xb337[427]](_0xbfbfx13);_0xbfbfx12= new mxPoint(this[_0xb337[1562]][_0xb337[241]](),this[_0xb337[1562]][_0xb337[242]]());_0xbfbfxa=_0xbfbfx12[_0xb337[235]]-_0xbfbfx16[_0xb337[235]];_0xbfbfx12=_0xbfbfx12[_0xb337[236]]-_0xbfbfx16[_0xb337[236]];_0xbfbfx16=_0xbfbfx15*_0xbfbfxa+_0xbfbfx14*_0xbfbfx12-_0xbfbfx12;this[_0xb337[1562]][_0xb337[235]]+=_0xbfbfx14*_0xbfbfxa-_0xbfbfx15*_0xbfbfx12-_0xbfbfxa;this[_0xb337[1562]][_0xb337[236]]+=_0xbfbfx16;this[_0xb337[2932]]()}};_0xbfbfx3[_0xb337[722]]()}else {null!=this[_0xb337[2986]](_0xbfbfx3)&&_0xbfbfx3[_0xb337[722]](!1)}};mxVertexHandler[_0xb337[202]][_0xb337[789]]=function(_0xbfbfx2,_0xbfbfx3){if(!_0xbfbfx3[_0xb337[721]]()&&null!=this[_0xb337[1983]]&&null!=this[_0xb337[724]]){var _0xbfbfx4= new mxPoint(_0xbfbfx3[_0xb337[734]](),_0xbfbfx3[_0xb337[735]]());this[_0xb337[1179]][_0xb337[502]]()[_0xb337[473]]();try{if(this[_0xb337[1983]]==mxEvent[_0xb337[2983]]){null!=this[_0xb337[2989]]&&this[_0xb337[2992]](this[_0xb337[724]][_0xb337[246]],this[_0xb337[2989]]-(this[_0xb337[724]][_0xb337[124]][mxConstants[_0xb337[2278]]]||0))}else {var _0xbfbfx5=this[_0xb337[1179]][_0xb337[1018]](_0xbfbfx3[_0xb337[727]]()),_0xbfbfx9=mxUtils[_0xb337[431]](this[_0xb337[724]][_0xb337[124]][mxConstants[_0xb337[2278]]]||_0xb337[468]),_0xbfbfxa=Math[_0xb337[426]](-_0xbfbfx9),_0xbfbfx12=Math[_0xb337[427]](-_0xbfbfx9),_0xbfbfx13=_0xbfbfx4[_0xb337[235]]-this[_0xb337[2739]],_0xbfbfx14=_0xbfbfx4[_0xb337[236]]-this[_0xb337[2740]],_0xbfbfx4=_0xbfbfx12*_0xbfbfx13+_0xbfbfxa*_0xbfbfx14,_0xbfbfx13=_0xbfbfxa*_0xbfbfx13-_0xbfbfx12*_0xbfbfx14,_0xbfbfx14=_0xbfbfx4,_0xbfbfx15=this[_0xb337[1179]][_0xb337[441]][_0xb337[255]];this[_0xb337[2526]](this[_0xb337[724]][_0xb337[246]],_0xbfbfx13/_0xbfbfx15,_0xbfbfx14/_0xbfbfx15,this[_0xb337[1983]],_0xbfbfx5)}}finally{this[_0xb337[1179]][_0xb337[502]]()[_0xb337[476]]()};this[_0xb337[862]]();_0xbfbfx3[_0xb337[722]]()}};mxVertexHandler[_0xb337[202]][_0xb337[2992]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=this[_0xb337[1179]][_0xb337[502]]();if(_0xbfbfx4[_0xb337[1193]](_0xbfbfx2)){var _0xbfbfx5=_0xbfbfx2==this[_0xb337[724]]?this[_0xb337[724]]:this[_0xb337[1179]][_0xb337[441]][_0xb337[248]](_0xbfbfx2);null!=_0xbfbfx5&&this[_0xb337[1179]][_0xb337[1717]](mxConstants.STYLE_ROTATION,(_0xbfbfx5[_0xb337[124]][mxConstants[_0xb337[2278]]]||0)+_0xbfbfx3,[_0xbfbfx2]);if(this[_0xb337[724]][_0xb337[246]]!=_0xbfbfx2&&(_0xbfbfx5=this[_0xb337[1179]][_0xb337[1198]](_0xbfbfx2),null!=_0xbfbfx5&&!_0xbfbfx5[_0xb337[1500]])){if(0!=_0xbfbfx3){var _0xbfbfx9=this[_0xb337[1179]][_0xb337[502]]()[_0xb337[1197]](_0xbfbfx2),_0xbfbfxa=this[_0xb337[1179]][_0xb337[1198]](_0xbfbfx9);if(!_0xbfbfx5[_0xb337[1500]]&&null!=_0xbfbfxa){var _0xbfbfx12=mxUtils[_0xb337[431]](_0xbfbfx3),_0xbfbfx9=Math[_0xb337[426]](_0xbfbfx12),_0xbfbfx12=Math[_0xb337[427]](_0xbfbfx12),_0xbfbfx13= new mxPoint(_0xbfbfx5[_0xb337[241]](),_0xbfbfx5[_0xb337[242]]()),_0xbfbfxa= new mxPoint(_0xbfbfxa[_0xb337[117]]/2,_0xbfbfxa[_0xb337[119]]/2),_0xbfbfx9=mxUtils[_0xb337[432]](_0xbfbfx13,_0xbfbfx9,_0xbfbfx12,_0xbfbfxa),_0xbfbfx5=_0xbfbfx5[_0xb337[238]]();_0xbfbfx5[_0xb337[235]]=_0xbfbfx9[_0xb337[235]]-_0xbfbfx5[_0xb337[117]]/2;_0xbfbfx5[_0xb337[236]]=_0xbfbfx9[_0xb337[236]]-_0xbfbfx5[_0xb337[119]]/2;_0xbfbfx4[_0xb337[1724]](_0xbfbfx2,_0xbfbfx5)}}else {_0xbfbfx5=_0xbfbfx5[_0xb337[238]](),_0xbfbfx5[_0xb337[235]]+=dx,_0xbfbfx5[_0xb337[236]]+=dy,_0xbfbfx4[_0xb337[1724]](_0xbfbfx2,_0xbfbfx5)}};_0xbfbfx5=_0xbfbfx4[_0xb337[262]](_0xbfbfx2);for(_0xbfbfx9=0;_0xbfbfx9<_0xbfbfx5;_0xbfbfx9++){this[_0xb337[2992]](_0xbfbfx4[_0xb337[263]](_0xbfbfx2,_0xbfbfx9),_0xbfbfx3)}}};mxVertexHandler[_0xb337[202]][_0xb337[862]]=function(){this[_0xb337[1983]]=this[_0xb337[2989]]=null;null!=this[_0xb337[2988]]&&(this[_0xb337[2988]][_0xb337[515]](),this[_0xb337[2988]]=null);null!=this[_0xb337[2732]]&&(this[_0xb337[2732]][_0xb337[252]][_0xb337[124]][_0xb337[495]]=_0xb337[497],this[_0xb337[2961]]=this[_0xb337[2962]](this[_0xb337[724]]),this[_0xb337[1562]]= new mxRectangle(this[_0xb337[2961]][_0xb337[235]],this[_0xb337[2961]][_0xb337[236]],this[_0xb337[2961]][_0xb337[117]],this[_0xb337[2961]][_0xb337[119]]),this[_0xb337[2932]]())};mxVertexHandler[_0xb337[202]][_0xb337[2526]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){var _0xbfbfxa=this[_0xb337[1179]][_0xb337[251]][_0xb337[1721]](_0xbfbfx2);if(null!=_0xbfbfxa){if(_0xbfbfx5==mxEvent[_0xb337[2987]]){_0xbfbfx5=this[_0xb337[1179]][_0xb337[441]][_0xb337[255]],_0xbfbfx3=(this[_0xb337[2972]][_0xb337[1562]][_0xb337[241]]()-this[_0xb337[2739]])/_0xbfbfx5,_0xbfbfx4=(this[_0xb337[2972]][_0xb337[1562]][_0xb337[242]]()-this[_0xb337[2740]])/_0xbfbfx5,_0xbfbfxa=_0xbfbfxa[_0xb337[238]](),null==_0xbfbfxa[_0xb337[1368]]?_0xbfbfxa[_0xb337[1368]]= new mxPoint(_0xbfbfx3,_0xbfbfx4):(_0xbfbfxa[_0xb337[1368]][_0xb337[235]]+=_0xbfbfx3,_0xbfbfxa[_0xb337[1368]][_0xb337[236]]+=_0xbfbfx4),this[_0xb337[1179]][_0xb337[251]][_0xb337[1724]](_0xbfbfx2,_0xbfbfxa)}else {_0xbfbfx5=this[_0xb337[2991]](_0xbfbfxa,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,1, new mxPoint(0,0));var _0xbfbfx12=mxUtils[_0xb337[431]](this[_0xb337[724]][_0xb337[124]][mxConstants[_0xb337[2278]]]||_0xb337[468]);if(0!=_0xbfbfx12){_0xbfbfx3=_0xbfbfx5[_0xb337[241]]()-_0xbfbfxa[_0xb337[241]]();_0xbfbfx4=_0xbfbfx5[_0xb337[242]]()-_0xbfbfxa[_0xb337[242]]();_0xbfbfx9=Math[_0xb337[426]](_0xbfbfx12);var _0xbfbfx13=Math[_0xb337[427]](_0xbfbfx12),_0xbfbfx12=_0xbfbfx9*_0xbfbfx3-_0xbfbfx13*_0xbfbfx4-_0xbfbfx3;_0xbfbfx3=_0xbfbfx13*_0xbfbfx3+_0xbfbfx9*_0xbfbfx4-_0xbfbfx4;_0xbfbfx4=_0xbfbfx5[_0xb337[235]]-_0xbfbfxa[_0xb337[235]];var _0xbfbfx14=_0xbfbfx5[_0xb337[236]]-_0xbfbfxa[_0xb337[236]],_0xbfbfx15=_0xbfbfx9*_0xbfbfx4-_0xbfbfx13*_0xbfbfx14;_0xbfbfx9=_0xbfbfx13*_0xbfbfx4+_0xbfbfx9*_0xbfbfx14;_0xbfbfx5[_0xb337[235]]+=_0xbfbfx12;_0xbfbfx5[_0xb337[236]]+=_0xbfbfx3;if(!this[_0xb337[1179]][_0xb337[1742]](_0xbfbfx2)&&(0!=_0xbfbfx12||0!=_0xbfbfx3)){_0xbfbfx4=_0xbfbfxa[_0xb337[235]]-_0xbfbfx5[_0xb337[235]]+_0xbfbfx15,_0xbfbfx14=_0xbfbfxa[_0xb337[236]]-_0xbfbfx5[_0xb337[236]]+_0xbfbfx9,this[_0xb337[2993]](_0xbfbfx2,_0xbfbfx4,_0xbfbfx14)}};this[_0xb337[1179]][_0xb337[2526]](_0xbfbfx2,_0xbfbfx5)}}};mxVertexHandler[_0xb337[202]][_0xb337[2993]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){for(var _0xbfbfx5=this[_0xb337[1179]][_0xb337[502]](),_0xbfbfx9=_0xbfbfx5[_0xb337[262]](_0xbfbfx2),_0xbfbfxa=0;_0xbfbfxa<_0xbfbfx9;_0xbfbfxa++){var _0xbfbfx12=_0xbfbfx5[_0xb337[263]](_0xbfbfx2,_0xbfbfxa);if(_0xbfbfx5[_0xb337[1193]](_0xbfbfx12)){var _0xbfbfx13=this[_0xb337[1179]][_0xb337[1198]](_0xbfbfx12);null!=_0xbfbfx13&&!_0xbfbfx13[_0xb337[1500]]&&(_0xbfbfx13=_0xbfbfx13[_0xb337[238]](),_0xbfbfx13[_0xb337[235]]+=_0xbfbfx3,_0xbfbfx13[_0xb337[236]]+=_0xbfbfx4,_0xbfbfx5[_0xb337[1724]](_0xbfbfx12,_0xbfbfx13))}}};mxVertexHandler[_0xb337[202]][_0xb337[2991]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9,_0xbfbfxa,_0xbfbfx12){if(this[_0xb337[2957]]){_0xbfbfx12=_0xbfbfx2[_0xb337[235]]+_0xbfbfx2[_0xb337[117]]+_0xbfbfx3;var _0xbfbfx13=_0xbfbfx2[_0xb337[236]]+_0xbfbfx2[_0xb337[119]]+_0xbfbfx4;_0xbfbfx9&&(_0xbfbfx12=this[_0xb337[1179]][_0xb337[1021]](_0xbfbfx12/_0xbfbfxa)*_0xbfbfxa,_0xbfbfx13=this[_0xb337[1179]][_0xb337[1021]](_0xbfbfx13/_0xbfbfxa)*_0xbfbfxa);_0xbfbfxa= new mxRectangle(_0xbfbfx2[_0xb337[235]],_0xbfbfx2[_0xb337[236]],0,0);_0xbfbfxa[_0xb337[99]]( new mxRectangle(_0xbfbfx12,_0xbfbfx13,0,0));return _0xbfbfxa};var _0xbfbfx13=_0xbfbfx2[_0xb337[235]]-_0xbfbfx12[_0xb337[235]]*_0xbfbfxa,_0xbfbfx14=_0xbfbfx13+_0xbfbfx2[_0xb337[117]],_0xbfbfx15=_0xbfbfx2[_0xb337[236]]-_0xbfbfx12[_0xb337[236]]*_0xbfbfxa;_0xbfbfx2=_0xbfbfx15+_0xbfbfx2[_0xb337[119]];4<_0xbfbfx5?(_0xbfbfx2+=_0xbfbfx4,_0xbfbfx9&&(_0xbfbfx2=this[_0xb337[1179]][_0xb337[1021]](_0xbfbfx2/_0xbfbfxa)*_0xbfbfxa)):3>_0xbfbfx5&&(_0xbfbfx15+=_0xbfbfx4,_0xbfbfx9&&(_0xbfbfx15=this[_0xb337[1179]][_0xb337[1021]](_0xbfbfx15/_0xbfbfxa)*_0xbfbfxa));if(0==_0xbfbfx5||3==_0xbfbfx5||5==_0xbfbfx5){_0xbfbfx13+=_0xbfbfx3,_0xbfbfx9&&(_0xbfbfx13=this[_0xb337[1179]][_0xb337[1021]](_0xbfbfx13/_0xbfbfxa)*_0xbfbfxa)}else {if(2==_0xbfbfx5||4==_0xbfbfx5||7==_0xbfbfx5){_0xbfbfx14+=_0xbfbfx3,_0xbfbfx9&&(_0xbfbfx14=this[_0xb337[1179]][_0xb337[1021]](_0xbfbfx14/_0xbfbfxa)*_0xbfbfxa)}};_0xbfbfx9=_0xbfbfx14-_0xbfbfx13;_0xbfbfx2-=_0xbfbfx15;0>_0xbfbfx9&&(_0xbfbfx13+=_0xbfbfx9,_0xbfbfx9=Math[_0xb337[425]](_0xbfbfx9));0>_0xbfbfx2&&(_0xbfbfx15+=_0xbfbfx2,_0xbfbfx2=Math[_0xb337[425]](_0xbfbfx2));return new mxRectangle(_0xbfbfx13+_0xbfbfx12[_0xb337[235]]*_0xbfbfxa,_0xbfbfx15+_0xbfbfx12[_0xb337[236]]*_0xbfbfxa,_0xbfbfx9,_0xbfbfx2)};mxVertexHandler[_0xb337[202]][_0xb337[258]]=function(){this[_0xb337[2961]]=this[_0xb337[2962]](this[_0xb337[724]]);this[_0xb337[1562]]= new mxRectangle(this[_0xb337[724]][_0xb337[235]],this[_0xb337[724]][_0xb337[236]],this[_0xb337[724]][_0xb337[117]],this[_0xb337[724]][_0xb337[119]]);var _0xbfbfx2=this[_0xb337[724]];if(null!=this[_0xb337[2964]]){var _0xbfbfx3=_0xbfbfx2[_0xb337[235]]+_0xbfbfx2[_0xb337[117]],_0xbfbfx4=_0xbfbfx2[_0xb337[236]]+_0xbfbfx2[_0xb337[119]];if(this[_0xb337[2957]]){this[_0xb337[2985]](this[_0xb337[2964]][0],_0xbfbfx3,_0xbfbfx4)}else {var _0xbfbfx5=_0xbfbfx2[_0xb337[235]]+_0xbfbfx2[_0xb337[117]]/2,_0xbfbfx9=_0xbfbfx2[_0xb337[236]]+_0xbfbfx2[_0xb337[119]]/2;if(1=mxGraphHandler[_0xb337[202]][_0xb337[2795]]){this[_0xb337[2994]]=this[_0xb337[3001]]()};this[_0xb337[685]]= new mxPoint(this[_0xb337[724]][_0xb337[2079]][_0xb337[235]],this[_0xb337[724]][_0xb337[2079]][_0xb337[236]]);this[_0xb337[2972]]= new mxRectangleShape( new mxRectangle,mxConstants.LABEL_HANDLE_FILLCOLOR,mxConstants.HANDLE_STROKECOLOR);this[_0xb337[2972]][_0xb337[1229]]= !1;this[_0xb337[3002]](this[_0xb337[2972]]);this[_0xb337[2972]][_0xb337[252]][_0xb337[124]][_0xb337[270]]=mxConstants[_0xb337[3003]];mxEvent[_0xb337[2733]](this[_0xb337[2972]][_0xb337[252]],this[_0xb337[1179]],this[_0xb337[724]]);this[_0xb337[258]]()};mxEdgeHandler[_0xb337[202]][_0xb337[2999]]=function(_0xbfbfx2){return mxEvent[_0xb337[774]](_0xbfbfx2)};mxEdgeHandler[_0xb337[202]][_0xb337[3004]]=function(_0xbfbfx2){return mxEvent[_0xb337[774]](_0xbfbfx2)};mxEdgeHandler[_0xb337[202]][_0xb337[2998]]=function(_0xbfbfx2){return _0xbfbfx2[_0xb337[439]]};mxEdgeHandler[_0xb337[202]][_0xb337[2963]]=function(_0xbfbfx2){_0xbfbfx2= new mxPolyline(_0xbfbfx2,this[_0xb337[2975]]());_0xbfbfx2[_0xb337[1307]]=this[_0xb337[2976]]();_0xbfbfx2[_0xb337[1522]]=this[_0xb337[2977]]();return _0xbfbfx2};mxEdgeHandler[_0xb337[202]][_0xb337[2975]]=function(){return mxConstants[_0xb337[3005]]};mxEdgeHandler[_0xb337[202]][_0xb337[2976]]=function(){return mxConstants[_0xb337[3006]]};mxEdgeHandler[_0xb337[202]][_0xb337[2977]]=function(){return mxConstants[_0xb337[3007]]};mxEdgeHandler[_0xb337[202]][_0xb337[2907]]=function(_0xbfbfx2){return !0};mxEdgeHandler[_0xb337[202]][_0xb337[1689]]=function(){var _0xbfbfx2= new mxCellMarker(this[_0xb337[1179]]),_0xbfbfx3=this;_0xbfbfx2[_0xb337[736]]=function(_0xbfbfx2){var _0xbfbfx5=mxCellMarker[_0xb337[202]][_0xb337[736]][_0xb337[183]](this,arguments);if(!_0xbfbfx3[_0xb337[2907]](_0xbfbfx5)){return null};var _0xbfbfx9=_0xbfbfx3[_0xb337[1179]][_0xb337[502]]();if(_0xbfbfx5==_0xbfbfx3[_0xb337[724]][_0xb337[246]]||null!=_0xbfbfx5&&!_0xbfbfx3[_0xb337[1179]][_0xb337[2405]]&&_0xbfbfx9[_0xb337[250]](_0xbfbfx5)){_0xbfbfx5=null};return _0xbfbfx5};_0xbfbfx2[_0xb337[2872]]=function(_0xbfbfx2){var _0xbfbfx5=_0xbfbfx3[_0xb337[1179]][_0xb337[502]](),_0xbfbfx5=_0xbfbfx3[_0xb337[1179]][_0xb337[441]][_0xb337[2292]](_0xbfbfx2,_0xbfbfx3[_0xb337[1179]][_0xb337[441]][_0xb337[248]](_0xbfbfx5[_0xb337[1709]](_0xbfbfx3[_0xb337[724]][_0xb337[246]],!_0xbfbfx3[_0xb337[729]])),!_0xbfbfx3[_0xb337[729]]),_0xbfbfx5=null!=_0xbfbfx5?_0xbfbfx5[_0xb337[246]]:null;_0xbfbfx3[_0xb337[267]]=_0xbfbfx3[_0xb337[2909]](_0xbfbfx3[_0xb337[729]]?_0xbfbfx2[_0xb337[246]]:_0xbfbfx5,_0xbfbfx3[_0xb337[729]]?_0xbfbfx5:_0xbfbfx2[_0xb337[246]]);return null==_0xbfbfx3[_0xb337[267]]};return _0xbfbfx2};mxEdgeHandler[_0xb337[202]][_0xb337[2909]]=function(_0xbfbfx2,_0xbfbfx3){return this[_0xb337[1179]][_0xb337[2500]](this[_0xb337[724]][_0xb337[246]],_0xbfbfx2,_0xbfbfx3)};mxEdgeHandler[_0xb337[202]][_0xb337[3001]]=function(){for(var _0xbfbfx2=this[_0xb337[724]][_0xb337[246]],_0xbfbfx3=[],_0xbfbfx4=0;_0xbfbfx4_0xbfbfx16&&(_0xbfbfx4-=_0xbfbfx4+_0xbfbfx13-_0xbfbfx16);_0xbfbfx16=_0xbfbfx14[_0xb337[236]]+_0xbfbfx14[_0xb337[119]];_0xbfbfx5+_0xbfbfx15>_0xbfbfx16&&(_0xbfbfx5-=_0xbfbfx5+_0xbfbfx15-_0xbfbfx16)}}else {null!=_0xbfbfx13&&(_0xbfbfx4-=_0xbfbfx13[_0xb337[235]]*_0xbfbfxa,_0xbfbfx5-=_0xbfbfx13[_0xb337[236]]*_0xbfbfxa)}}};_0xbfbfx12=_0xbfbfx12[_0xb337[238]]();_0xbfbfx12[_0xb337[235]]=this[_0xb337[1179]][_0xb337[1021]](_0xbfbfx4/_0xbfbfxa-this[_0xb337[1179]][_0xb337[249]]()[_0xb337[513]][_0xb337[235]]-this[_0xb337[1179]][_0xb337[1020]]/2);_0xbfbfx12[_0xb337[236]]=this[_0xb337[1179]][_0xb337[1021]](_0xbfbfx5/_0xbfbfxa-this[_0xb337[1179]][_0xb337[249]]()[_0xb337[513]][_0xb337[236]]-this[_0xb337[1179]][_0xb337[1020]]/2);_0xbfbfx3[_0xb337[1724]](_0xbfbfx12);null==_0xbfbfx2&&(_0xbfbfx2=this[_0xb337[1179]][_0xb337[902]]());this[_0xb337[3228]](_0xbfbfx3);this[_0xb337[746]]( new mxEventObject(mxEvent.BEFORE_ADD_VERTEX,_0xb337[1988],_0xbfbfx3,_0xb337[1101],_0xbfbfx2));_0xbfbfx9[_0xb337[473]]();try{_0xbfbfx3=this[_0xb337[1179]][_0xb337[2103]](_0xbfbfx3,_0xbfbfx2),null!=_0xbfbfx3&&(this[_0xb337[1179]][_0xb337[2509]](_0xbfbfx3),this[_0xb337[746]]( new mxEventObject(mxEvent.ADD_VERTEX,_0xb337[1988],_0xbfbfx3)))}finally{_0xbfbfx9[_0xb337[476]]()};null!=_0xbfbfx3&&(this[_0xb337[1179]][_0xb337[2450]](_0xbfbfx3),this[_0xb337[1179]][_0xb337[2564]](_0xbfbfx3),this[_0xb337[746]]( new mxEventObject(mxEvent.AFTER_ADD_VERTEX,_0xb337[1988],_0xbfbfx3)));return _0xbfbfx3};mxEditor[_0xb337[202]][_0xb337[515]]=function(){this[_0xb337[2717]]||(this[_0xb337[2717]]= !0,null!=this[_0xb337[3112]]&&this[_0xb337[3112]][_0xb337[515]](),null!=this[_0xb337[2136]]&&this[_0xb337[2136]][_0xb337[515]](),null!=this[_0xb337[716]]&&this[_0xb337[716]][_0xb337[515]](),null!=this[_0xb337[3098]]&&this[_0xb337[3098]][_0xb337[515]](),null!=this[_0xb337[3203]]&&this[_0xb337[3203]][_0xb337[515]](),null!=this[_0xb337[3082]]&&this[_0xb337[3082]][_0xb337[515]](),null!=this[_0xb337[1179]]&&this[_0xb337[1179]][_0xb337[515]](),this[_0xb337[3118]]=this[_0xb337[879]]=null)};var mxCodecRegistry={codecs:[],aliases:[],register:function(_0xbfbfx2){if(null!=_0xbfbfx2){var _0xbfbfx3=_0xbfbfx2[_0xb337[718]]();mxCodecRegistry[_0xb337[3230]][_0xbfbfx3]=_0xbfbfx2;var _0xbfbfx4=mxUtils[_0xb337[197]](_0xbfbfx2[_0xb337[3231]][_0xb337[196]]);_0xbfbfx4!=_0xbfbfx3&&mxCodecRegistry[_0xb337[3232]](_0xbfbfx4,_0xbfbfx3)};return _0xbfbfx2},addAlias:function(_0xbfbfx2,_0xbfbfx3){mxCodecRegistry[_0xb337[3233]][_0xbfbfx2]=_0xbfbfx3},getCodec:function(_0xbfbfx2){var _0xbfbfx3=null;if(null!=_0xbfbfx2){var _0xbfbfx3=mxUtils[_0xb337[197]](_0xbfbfx2),_0xbfbfx4=mxCodecRegistry[_0xb337[3233]][_0xbfbfx3];null!=_0xbfbfx4&&(_0xbfbfx3=_0xbfbfx4);_0xbfbfx3=mxCodecRegistry[_0xb337[3230]][_0xbfbfx3];if(null==_0xbfbfx3){try{_0xbfbfx3= new mxObjectCodec( new _0xbfbfx2),mxCodecRegistry[_0xb337[3234]](_0xbfbfx3)}catch(d){}}};return _0xbfbfx3}};function mxCodec(_0xbfbfx2){this[_0xb337[395]]=_0xbfbfx2||mxUtils[_0xb337[306]]();this[_0xb337[68]]=[]}mxCodec[_0xb337[202]][_0xb337[395]]=null;mxCodec[_0xb337[202]][_0xb337[68]]=null;mxCodec[_0xb337[202]][_0xb337[3235]]= !1;mxCodec[_0xb337[202]][_0xb337[1104]]=function(_0xbfbfx2,_0xbfbfx3){return this[_0xb337[68]][_0xbfbfx2]=_0xbfbfx3};mxCodec[_0xb337[202]][_0xb337[3236]]=function(_0xbfbfx2){var _0xbfbfx3=null;null!=_0xbfbfx2&&(_0xbfbfx3=this[_0xb337[68]][_0xbfbfx2],null==_0xbfbfx3&&(_0xbfbfx3=this[_0xb337[1060]](_0xbfbfx2),null==_0xbfbfx3&&(_0xbfbfx2=this[_0xb337[1362]](_0xbfbfx2),null!=_0xbfbfx2&&(_0xbfbfx3=this[_0xb337[1093]](_0xbfbfx2)))));return _0xbfbfx3};mxCodec[_0xb337[202]][_0xb337[1060]]=function(_0xbfbfx2){return null};mxCodec[_0xb337[202]][_0xb337[1362]]=function(_0xbfbfx2,_0xbfbfx3){return mxUtils[_0xb337[290]](this[_0xb337[395]][_0xb337[158]],null!=_0xbfbfx3?_0xbfbfx3:_0xb337[1363],_0xbfbfx2)};mxCodec[_0xb337[202]][_0xb337[1103]]=function(_0xbfbfx2){var _0xbfbfx3=null;null!=_0xbfbfx2&&(_0xbfbfx3=this[_0xb337[3237]](_0xbfbfx2),null==_0xbfbfx3&&_0xbfbfx2 instanceof mxCell&&(_0xbfbfx3=_0xbfbfx2[_0xb337[1103]](),null==_0xbfbfx3&&(_0xbfbfx3=mxCellPath[_0xb337[385]](_0xbfbfx2),0==_0xbfbfx3[_0xb337[67]]&&(_0xbfbfx3=_0xb337[813]))));return _0xbfbfx3};mxCodec[_0xb337[202]][_0xb337[3237]]=function(_0xbfbfx2){return null};mxCodec[_0xb337[202]][_0xb337[514]]=function(_0xbfbfx2){var _0xbfbfx3=null;if(null!=_0xbfbfx2&&null!=_0xbfbfx2[_0xb337[196]]){var _0xbfbfx4=mxCodecRegistry[_0xb337[3238]](_0xbfbfx2[_0xb337[196]]);null!=_0xbfbfx4?_0xbfbfx3=_0xbfbfx4[_0xb337[514]](this,_0xbfbfx2):mxUtils[_0xb337[1331]](_0xbfbfx2)?_0xbfbfx3=mxClient[_0xb337[80]]?_0xbfbfx2[_0xb337[511]](!0):this[_0xb337[395]][_0xb337[3239]](_0xbfbfx2,!0):mxLog[_0xb337[283]](_0xb337[3240]+mxUtils[_0xb337[197]](_0xbfbfx2[_0xb337[196]]))};return _0xbfbfx3};mxCodec[_0xb337[202]][_0xb337[1093]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=null;if(null!=_0xbfbfx2&&_0xbfbfx2[_0xb337[288]]==mxConstants[_0xb337[289]]){var _0xbfbfx5=null;try{_0xbfbfx5=eval(_0xbfbfx2[_0xb337[301]])}catch(e){};try{var _0xbfbfxa=mxCodecRegistry[_0xb337[3238]](_0xbfbfx5);null!=_0xbfbfxa?_0xbfbfx4=_0xbfbfxa[_0xb337[1093]](this,_0xbfbfx2,_0xbfbfx3):(_0xbfbfx4=_0xbfbfx2[_0xb337[511]](!0),_0xbfbfx4[_0xb337[1390]](_0xb337[3069]))}catch(g){mxLog[_0xb337[143]](_0xb337[3241]+_0xbfbfx2[_0xb337[301]]+_0xb337[3186]+g[_0xb337[281]])}};return _0xbfbfx4};mxCodec[_0xb337[202]][_0xb337[3242]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx3[_0xb337[62]](this[_0xb337[514]](_0xbfbfx2));if(null==_0xbfbfx4||_0xbfbfx4){_0xbfbfx4=_0xbfbfx2[_0xb337[262]]();for(var _0xbfbfx5=0;_0xbfbfx5<_0xbfbfx4;_0xbfbfx5++){this[_0xb337[3242]](_0xbfbfx2[_0xb337[263]](_0xbfbfx5),_0xbfbfx3)}}};mxCodec[_0xb337[202]][_0xb337[3243]]=function(_0xbfbfx2){return null!=_0xbfbfx2&&_0xb337[279]== typeof _0xbfbfx2[_0xb337[3243]]?_0xbfbfx2[_0xb337[3243]]():!1};mxCodec[_0xb337[202]][_0xb337[3244]]=function(_0xbfbfx2,_0xbfbfx3){_0xbfbfx3=null!=_0xbfbfx3?_0xbfbfx3:!0;var _0xbfbfx4=null;if(null!=_0xbfbfx2&&_0xbfbfx2[_0xb337[288]]==mxConstants[_0xb337[289]]){_0xbfbfx4=mxCodecRegistry[_0xb337[3238]](_0xbfbfx2[_0xb337[301]]);if(!this[_0xb337[3243]](_0xbfbfx4)){for(var _0xbfbfx5=_0xbfbfx2[_0xb337[285]];null!=_0xbfbfx5&&!this[_0xb337[3243]](_0xbfbfx4);){_0xbfbfx4=mxCodecRegistry[_0xb337[3238]](_0xbfbfx5[_0xb337[301]]),_0xbfbfx5=_0xbfbfx5[_0xb337[287]]}};this[_0xb337[3243]](_0xbfbfx4)||(_0xbfbfx4=mxCodecRegistry[_0xb337[3238]](mxCell));_0xbfbfx4=_0xbfbfx4[_0xb337[1093]](this,_0xbfbfx2);_0xbfbfx3&&this[_0xb337[3245]](_0xbfbfx4)};return _0xbfbfx4};mxCodec[_0xb337[202]][_0xb337[3245]]=function(_0xbfbfx2){var _0xbfbfx3=_0xbfbfx2[_0xb337[1101]],_0xbfbfx4=_0xbfbfx2[_0xb337[1709]](!0),_0xbfbfx5=_0xbfbfx2[_0xb337[1709]](!1);_0xbfbfx2[_0xb337[1957]](null,!1);_0xbfbfx2[_0xb337[1957]](null,!0);_0xbfbfx2[_0xb337[1101]]=null;null!=_0xbfbfx3&&_0xbfbfx3[_0xb337[1937]](_0xbfbfx2);null!=_0xbfbfx4&&_0xbfbfx4[_0xb337[1960]](_0xbfbfx2,!0);null!=_0xbfbfx5&&_0xbfbfx5[_0xb337[1960]](_0xbfbfx2,!1)};mxCodec[_0xb337[202]][_0xb337[57]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){null!=_0xbfbfx3&&null!=_0xbfbfx4&&_0xbfbfx2[_0xb337[57]](_0xbfbfx3,_0xbfbfx4)};function mxObjectCodec(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){this[_0xb337[3231]]=_0xbfbfx2;this[_0xb337[3246]]=null!=_0xbfbfx3?_0xbfbfx3:[];this[_0xb337[3247]]=null!=_0xbfbfx4?_0xbfbfx4:[];this[_0xb337[3248]]=null!=_0xbfbfx5?_0xbfbfx5:[];this[_0xb337[3249]]={};for(var _0xbfbfx9 in this[_0xb337[3248]]){this[_0xb337[3249]][this[_0xb337[3248]][_0xbfbfx9]]=_0xbfbfx9}}mxObjectCodec[_0xb337[202]][_0xb337[3231]]=null;mxObjectCodec[_0xb337[202]][_0xb337[3246]]=null;mxObjectCodec[_0xb337[202]][_0xb337[3247]]=null;mxObjectCodec[_0xb337[202]][_0xb337[3248]]=null;mxObjectCodec[_0xb337[202]][_0xb337[3249]]=null;mxObjectCodec[_0xb337[202]][_0xb337[718]]=function(){return mxUtils[_0xb337[197]](this[_0xb337[3231]][_0xb337[196]])};mxObjectCodec[_0xb337[202]][_0xb337[3250]]=function(){return new this[_0xb337[3231]][_0xb337[196]]};mxObjectCodec[_0xb337[202]][_0xb337[3251]]=function(_0xbfbfx2){if(null!=_0xbfbfx2){var _0xbfbfx3=this[_0xb337[3249]][_0xbfbfx2];null!=_0xbfbfx3&&(_0xbfbfx2=_0xbfbfx3)};return _0xbfbfx2};mxObjectCodec[_0xb337[202]][_0xb337[3252]]=function(_0xbfbfx2){if(null!=_0xbfbfx2){var _0xbfbfx3=this[_0xb337[3248]][_0xbfbfx2];null!=_0xbfbfx3&&(_0xbfbfx2=_0xbfbfx3)};return _0xbfbfx2};mxObjectCodec[_0xb337[202]][_0xb337[3253]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){return _0xbfbfx3==mxObjectIdentity[_0xb337[195]]||0<=mxUtils[_0xb337[2]](this[_0xb337[3246]],_0xbfbfx3)};mxObjectCodec[_0xb337[202]][_0xb337[3254]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){return 0<=mxUtils[_0xb337[2]](this[_0xb337[3247]],_0xbfbfx3)};mxObjectCodec[_0xb337[202]][_0xb337[514]]=function(_0xbfbfx2,_0xbfbfx3){var _0xbfbfx4=_0xbfbfx2[_0xb337[395]][_0xb337[55]](this[_0xb337[718]]());_0xbfbfx3=this[_0xb337[3255]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4);this[_0xb337[3256]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4);return this[_0xb337[3257]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4)};mxObjectCodec[_0xb337[202]][_0xb337[3256]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx2[_0xb337[57]](_0xbfbfx4,_0xb337[1363],_0xbfbfx2[_0xb337[1103]](_0xbfbfx3));for(var _0xbfbfx5 in _0xbfbfx3){var _0xbfbfx9=_0xbfbfx5,_0xbfbfxa=_0xbfbfx3[_0xbfbfx9];null!=_0xbfbfxa&&!this[_0xb337[3253]](_0xbfbfx3,_0xbfbfx9,_0xbfbfxa,!0)&&(mxUtils[_0xb337[1946]](_0xbfbfx9)&&(_0xbfbfx9=null),this[_0xb337[3258]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx9,_0xbfbfxa,_0xbfbfx4))}};mxObjectCodec[_0xb337[202]][_0xb337[3258]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){if(null!=_0xbfbfx5){if(this[_0xb337[3254]](_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,!0)){var _0xbfbfxa=_0xbfbfx2[_0xb337[1103]](_0xbfbfx5);if(null==_0xbfbfxa){mxLog[_0xb337[283]](_0xb337[3259]+this[_0xb337[718]]()+_0xb337[87]+_0xbfbfx4+_0xb337[226]+_0xbfbfx5);return};_0xbfbfx5=_0xbfbfxa};_0xbfbfxa=this[_0xb337[3231]][_0xbfbfx4];if(null==_0xbfbfx4||_0xbfbfx2[_0xb337[3235]]||_0xbfbfxa!=_0xbfbfx5){_0xbfbfx4=this[_0xb337[3252]](_0xbfbfx4),this[_0xb337[3260]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9)}}};mxObjectCodec[_0xb337[202]][_0xb337[3260]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xb337[194]!= typeof _0xbfbfx5?this[_0xb337[3261]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9):this[_0xb337[3262]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9)};mxObjectCodec[_0xb337[202]][_0xb337[3261]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xbfbfx5=this[_0xb337[3263]](_0xbfbfx5);null==_0xbfbfx4?(_0xbfbfx3=_0xbfbfx2[_0xb337[395]][_0xb337[55]](_0xb337[99]),_0xb337[279]== typeof _0xbfbfx5?_0xbfbfx3[_0xb337[62]](_0xbfbfx2[_0xb337[395]][_0xb337[344]](_0xbfbfx5)):_0xbfbfx2[_0xb337[57]](_0xbfbfx3,_0xb337[131],_0xbfbfx5),_0xbfbfx9[_0xb337[62]](_0xbfbfx3)):_0xb337[279]!= typeof _0xbfbfx5&&_0xbfbfx2[_0xb337[57]](_0xbfbfx9,_0xbfbfx4,_0xbfbfx5)};mxObjectCodec[_0xb337[202]][_0xb337[3262]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){_0xbfbfx2=_0xbfbfx2[_0xb337[514]](_0xbfbfx5);null!=_0xbfbfx2?(null!=_0xbfbfx4&&_0xbfbfx2[_0xb337[57]](_0xb337[3069],_0xbfbfx4),_0xbfbfx9[_0xb337[62]](_0xbfbfx2)):mxLog[_0xb337[283]](_0xb337[3264]+this[_0xb337[718]]()+_0xb337[87]+_0xbfbfx4+_0xb337[3186]+_0xbfbfx5)};mxObjectCodec[_0xb337[202]][_0xb337[3263]]=function(_0xbfbfx2){if(_0xb337[82]== typeof _0xbfbfx2[_0xb337[67]]&&(!0==_0xbfbfx2||!1==_0xbfbfx2)){_0xbfbfx2=!0==_0xbfbfx2?_0xb337[500]:_0xb337[468]};return _0xbfbfx2};mxObjectCodec[_0xb337[202]][_0xb337[3265]]=function(_0xbfbfx2){mxUtils[_0xb337[1946]](_0xbfbfx2)&&(_0xbfbfx2=parseFloat(_0xbfbfx2));return _0xbfbfx2};mxObjectCodec[_0xb337[202]][_0xb337[3255]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){return _0xbfbfx3};mxObjectCodec[_0xb337[202]][_0xb337[3257]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){return _0xbfbfx4};mxObjectCodec[_0xb337[202]][_0xb337[1093]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=_0xbfbfx3[_0xb337[284]](_0xb337[1363]),_0xbfbfx9=_0xbfbfx2[_0xb337[68]][_0xbfbfx5];null==_0xbfbfx9&&(_0xbfbfx9=_0xbfbfx4||this[_0xb337[3250]](),null!=_0xbfbfx5&&_0xbfbfx2[_0xb337[1104]](_0xbfbfx5,_0xbfbfx9));_0xbfbfx3=this[_0xb337[3266]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx9);this[_0xb337[3267]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx9);return this[_0xb337[3268]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx9)};mxObjectCodec[_0xb337[202]][_0xb337[3267]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){null!=_0xbfbfx3&&(this[_0xb337[3269]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4),this[_0xb337[3270]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4))};mxObjectCodec[_0xb337[202]][_0xb337[3269]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx3=_0xbfbfx3[_0xb337[320]];if(null!=_0xbfbfx3){for(var _0xbfbfx5=0;_0xbfbfx5<_0xbfbfx3[_0xb337[67]];_0xbfbfx5++){this[_0xb337[3271]](_0xbfbfx2,_0xbfbfx3[_0xbfbfx5],_0xbfbfx4)}}};mxObjectCodec[_0xb337[202]][_0xb337[3271]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=_0xbfbfx3[_0xb337[301]];if(_0xb337[3069]!=_0xbfbfx5&&_0xb337[1363]!=_0xbfbfx5){_0xbfbfx3=this[_0xb337[3265]](_0xbfbfx3[_0xb337[318]]);var _0xbfbfx9=this[_0xb337[3251]](_0xbfbfx5);if(this[_0xb337[3254]](_0xbfbfx4,_0xbfbfx9,_0xbfbfx3,!1)){_0xbfbfx2=_0xbfbfx2[_0xb337[3236]](_0xbfbfx3);if(null==_0xbfbfx2){mxLog[_0xb337[283]](_0xb337[3272]+this[_0xb337[718]]()+_0xb337[87]+_0xbfbfx5+_0xb337[226]+_0xbfbfx3);return};_0xbfbfx3=_0xbfbfx2};this[_0xb337[3253]](_0xbfbfx4,_0xbfbfx5,_0xbfbfx3,!1)||(_0xbfbfx4[_0xbfbfx5]=_0xbfbfx3)}};mxObjectCodec[_0xb337[202]][_0xb337[3270]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){for(_0xbfbfx3=_0xbfbfx3[_0xb337[285]];null!=_0xbfbfx3;){var _0xbfbfx5=_0xbfbfx3[_0xb337[287]];_0xbfbfx3[_0xb337[288]]==mxConstants[_0xb337[289]]&&!this[_0xb337[3273]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4)&&this[_0xb337[3274]](_0xbfbfx2,_0xbfbfx3,_0xbfbfx4);_0xbfbfx3=_0xbfbfx5}};mxObjectCodec[_0xb337[202]][_0xb337[3274]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){var _0xbfbfx5=this[_0xb337[3251]](_0xbfbfx3[_0xb337[284]](_0xb337[3069]));if(null==_0xbfbfx5||!this[_0xb337[3253]](_0xbfbfx4,_0xbfbfx5,_0xbfbfx3,!1)){var _0xbfbfx9=this[_0xb337[3275]](_0xbfbfx4,_0xbfbfx5,_0xbfbfx3),_0xbfbfxa=null;_0xb337[99]==_0xbfbfx3[_0xb337[301]]?(_0xbfbfxa=_0xbfbfx3[_0xb337[284]](_0xb337[131]),null==_0xbfbfxa&&(_0xbfbfxa=mxUtils[_0xb337[1545]](mxUtils[_0xb337[329]](_0xbfbfx3)))):_0xbfbfxa=_0xbfbfx2[_0xb337[1093]](_0xbfbfx3,_0xbfbfx9);this[_0xb337[3276]](_0xbfbfx4,_0xbfbfx5,_0xbfbfxa,_0xbfbfx9)}};mxObjectCodec[_0xb337[202]][_0xb337[3275]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){_0xbfbfx2=_0xbfbfx2[_0xbfbfx3];_0xbfbfx2 instanceof Array&&0<_0xbfbfx2[_0xb337[67]]&&(_0xbfbfx2=null);return _0xbfbfx2};mxObjectCodec[_0xb337[202]][_0xb337[3276]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4,_0xbfbfx5){null!=_0xbfbfx4&&_0xbfbfx4!=_0xbfbfx5&&(null!=_0xbfbfx3&&0<_0xbfbfx3[_0xb337[67]]?_0xbfbfx2[_0xbfbfx3]=_0xbfbfx4:_0xbfbfx2[_0xb337[207]](_0xbfbfx4))};mxObjectCodec[_0xb337[202]][_0xb337[3273]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){if(_0xb337[3277]==_0xbfbfx3[_0xb337[301]]){_0xbfbfx3=_0xbfbfx3[_0xb337[284]](_0xb337[298]);if(null!=_0xbfbfx3){try{var _0xbfbfx5=mxUtils[_0xb337[219]](_0xbfbfx3)[_0xb337[874]]();null!=_0xbfbfx5&&_0xbfbfx2[_0xb337[1093]](_0xbfbfx5,_0xbfbfx4)}catch(e){}};return !0};return !1};mxObjectCodec[_0xb337[202]][_0xb337[3266]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){return _0xbfbfx3};mxObjectCodec[_0xb337[202]][_0xb337[3268]]=function(_0xbfbfx2,_0xbfbfx3,_0xbfbfx4){return _0xbfbfx4};mxCodecRegistry[_0xb337[3234]](function(){var _0xbfbfx2= new mxObjectCodec( new mxCell,[_0xb337[1954],_0xb337[1842],_0xb337[1211],_0xb337[1991]],[_0xb337[1101],_0xb337[1097],_0xb337[772]]);_0xbfbfx2[_0xb337[3243]]=function(){return !0};_0xbfbfx2[_0xb337[3253]]=function(_0xbfbfx2,_0xbfbfx4,_0xbfbfx5,_0xbfbfx9){return mxObjectCodec[_0xb337[202]][_0xb337[3253]][_0xb337[183]](this,arguments)||_0xbfbfx9&&_0xb337[131]==_0xbfbfx4&&_0xbfbfx5[_0xb337[288]]==mxConstants[_0xb337[289]]};_0xbfbfx2[_0xb337[3257]]=function(_0xbfbfx2,_0xbfbfx4,_0xbfbfx5){if(null!=_0xbfbfx4[_0xb337[131]]&&_0xbfbfx4[_0xb337[131]][_0xb337[288]]==mxConstants[_0xb337[289]]){var _0xbfbfx9=_0xbfbfx5;_0xbfbfx5=mxClient[_0xb337[80]]?_0xbfbfx4[_0xb337[131]][_0xb337[511]](!0):_0xbfbfx2[_0xb337[395]][_0xb337[3239]](_0xbfbfx4[_0xb337[131]],!0);_0xbfbfx5[_0xb337[62]](_0xbfbfx9);_0xbfbfx2=_0xbfbfx9[_0xb337[284]](_0xb337[1363]);_0xbfbfx5[_0xb337[57]](_0xb337[1363],_0xbfbfx2);_0xbfbfx9[_0xb337[1390]](_0xb337[1363])};return _0xbfbfx5};_0xbfbfx2[_0xb337[3266]]=function(_0xbfbfx2,_0xbfbfx4,_0xbfbfx5){var _0xbfbfx9=_0xbfbfx4,_0xbfbfxa=this[_0xb337[718]]();_0xbfbfx4[_0xb337[301]]!=_0xbfbfxa?(_0xbfbfx9=_0xbfbfx4[_0xb337[64]](_0xbfbfxa)[0],null!=_0xbfbfx9&&_0xbfbfx9[_0xb337[265]]==_0xbfbfx4?(mxUtils[_0xb337[3278]](_0xbfbfx9,!0),mxUtils[_0xb337[3278]](_0xbfbfx9,!1),_0xbfbfx9[_0xb337[265]][_0xb337[266]](_0xbfbfx9)):_0xbfbfx9=null,_0xbfbfx5[_0xb337[131]]=_0xbfbfx4[_0xb337[511]](!0),_0xbfbfx4=_0xbfbfx5[_0xb337[131]][_0xb337[284]](_0xb337[1363]),null!=_0xbfbfx4&&(_0xbfbfx5[_0xb337[1945]](_0xbfbfx4),_0xbfbfx5[_0xb337[131]][_0xb337[1390]](_0xb337[1363]))):_0xbfbfx5[_0xb337[1945]](_0xbfbfx4[_0xb337[284]](_0xb337[1363]));if(null!=_0xbfbfx9){for(_0xbfbfx4=0;_0xbfbfx4navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[1]),IS_OP:0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[9]),IS_OT:0>navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[10])&&0>navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[11])&&0>navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[12])&&0>navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[13])&&0>navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[14])&&0>navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[15]),IS_SF:0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[16])&&0>navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[17]),IS_GC:0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[17]),IS_MT:0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[18])&&0>navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[19])&&0>navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[20])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[21])&&0>navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[22])&&0>navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[23])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[24])&&0>navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[25])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[26])&&0>navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[27]),IS_SVG:0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[18])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[21])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[28])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[26])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[29])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[30])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[16])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[31])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[9]),NO_FO:0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[19])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[22])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[20])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[23])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[25])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[27])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[32])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[33])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[9])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[1])||0<=navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[34]),IS_VML:_0x7bc7[35]==navigator[_0x7bc7[37]][_0x7bc7[36]](),IS_MAC:0document[_0x7bc7[45]][_0x7bc7[44]][_0x7bc7[2]](_0x7bc7[43])&&0>document[_0x7bc7[45]][_0x7bc7[44]][_0x7bc7[2]](_0x7bc7[46]),isBrowserSupported:function(){return mxClient[_0x7bc7[47]]||mxClient[_0x7bc7[48]]},link:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx4=_0xfb0bx4||document;if(mxClient[_0x7bc7[49]]){_0xfb0bx4[_0x7bc7[53]](_0x7bc7[50]+_0xfb0bx2+_0x7bc7[51]+_0xfb0bx3+_0x7bc7[52])}else {var _0xfb0bx5=_0xfb0bx4[_0x7bc7[55]](_0x7bc7[54]);_0xfb0bx5[_0x7bc7[57]](_0x7bc7[56],_0xfb0bx2);_0xfb0bx5[_0x7bc7[57]](_0x7bc7[44],_0xfb0bx3);_0xfb0bx5[_0x7bc7[57]](_0x7bc7[58],_0x7bc7[59]);_0xfb0bx5[_0x7bc7[57]](_0x7bc7[60],_0x7bc7[61]);_0xfb0bx4[_0x7bc7[64]](_0x7bc7[63])[0][_0x7bc7[62]](_0xfb0bx5)}},include:function(_0xfb0bx2){document[_0x7bc7[53]](_0x7bc7[65]+_0xfb0bx2+_0x7bc7[66])},dispose:function(){for(var _0xfb0bx2=0;_0xfb0bx2_0xfb0bx5&&_0xfb0bx12?(_0xfb0bx5++,window[_0x7bc7[261]](_0xfb0bx9,_0xfb0bxa)):null!=_0xfb0bx4&&_0xfb0bx4()},_0xfb0bxa=30;_0xfb0bx9()},cascadeOpacity:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){for(var _0xfb0bx5=_0xfb0bx2[_0x7bc7[251]][_0x7bc7[262]](_0xfb0bx3),_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx5;_0xfb0bx9++){var _0xfb0bxa=_0xfb0bx2[_0x7bc7[251]][_0x7bc7[263]](_0xfb0bx3,_0xfb0bx9),_0xfb0bx12=_0xfb0bx2[_0x7bc7[249]]()[_0x7bc7[248]](_0xfb0bxa);null!=_0xfb0bx12&&(mxUtils[_0x7bc7[254]](_0xfb0bx12[_0x7bc7[253]][_0x7bc7[252]],_0xfb0bx4),mxEffects[_0x7bc7[260]](_0xfb0bx2,_0xfb0bxa,_0xfb0bx4))};_0xfb0bx3=_0xfb0bx2[_0x7bc7[251]][_0x7bc7[264]](_0xfb0bx3);if(null!=_0xfb0bx3){for(_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx3[_0x7bc7[67]];_0xfb0bx9++){_0xfb0bx5=_0xfb0bx2[_0x7bc7[249]]()[_0x7bc7[248]](_0xfb0bx3[_0xfb0bx9]),null!=_0xfb0bx5&&mxUtils[_0x7bc7[254]](_0xfb0bx5[_0x7bc7[253]][_0x7bc7[252]],_0xfb0bx4)}}},fadeOut:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){_0xfb0bx5=_0xfb0bx5||40;_0xfb0bx9=_0xfb0bx9||30;var _0xfb0bx12=_0xfb0bx3||100;mxUtils[_0x7bc7[254]](_0xfb0bx2,_0xfb0bx12);if(_0xfb0bxa||null==_0xfb0bxa){var _0xfb0bx13=function(){_0xfb0bx12=Math[_0x7bc7[160]](_0xfb0bx12-_0xfb0bx5,0);mxUtils[_0x7bc7[254]](_0xfb0bx2,_0xfb0bx12);0<_0xfb0bx12?window[_0x7bc7[261]](_0xfb0bx13,_0xfb0bx9):(_0xfb0bx2[_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[188],_0xfb0bx4&&_0xfb0bx2[_0x7bc7[265]]&&_0xfb0bx2[_0x7bc7[265]][_0x7bc7[266]](_0xfb0bx2))};window[_0x7bc7[261]](_0xfb0bx13,_0xfb0bx9)}else {_0xfb0bx2[_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[188],_0xfb0bx4&&_0xfb0bx2[_0x7bc7[265]]&&_0xfb0bx2[_0x7bc7[265]][_0x7bc7[266]](_0xfb0bx2)}}},mxUtils={errorResource:_0x7bc7[130]!=mxClient[_0x7bc7[90]]?_0x7bc7[267]:_0x7bc7[110],closeResource:_0x7bc7[130]!=mxClient[_0x7bc7[90]]?_0x7bc7[268]:_0x7bc7[110],errorImage:mxClient[_0x7bc7[88]]+_0x7bc7[269],removeCursors:function(_0xfb0bx2){null!=_0xfb0bx2[_0x7bc7[124]]&&(_0xfb0bx2[_0x7bc7[124]][_0x7bc7[270]]=_0x7bc7[110]);_0xfb0bx2=_0xfb0bx2[_0x7bc7[271]];if(null!=_0xfb0bx2){for(var _0xfb0bx3=_0xfb0bx2[_0x7bc7[67]],_0xfb0bx4=0;_0xfb0bx4<_0xfb0bx3;_0xfb0bx4+=1){mxUtils[_0x7bc7[272]](_0xfb0bx2[_0xfb0bx4])}}},getCurrentStyle:function(){return mxClient[_0x7bc7[80]]?function(_0xfb0bx2){return null!=_0xfb0bx2?_0xfb0bx2[_0x7bc7[273]]:null}:function(_0xfb0bx2){return null!=_0xfb0bx2?window[_0x7bc7[274]](_0xfb0bx2,_0x7bc7[110]):null}}(),hasScrollbars:function(_0xfb0bx2){_0xfb0bx2=mxUtils[_0x7bc7[275]](_0xfb0bx2);return null!=_0xfb0bx2&&(_0x7bc7[276]==_0xfb0bx2[_0x7bc7[277]]||_0x7bc7[278]==_0xfb0bx2[_0x7bc7[277]])},bind:function(_0xfb0bx2,_0xfb0bx3){return function(){return _0xfb0bx3[_0x7bc7[183]](_0xfb0bx2,arguments)}},eval:function(_0xfb0bx2){var _0xfb0bx3=null;if(0<=_0xfb0bx2[_0x7bc7[2]](_0x7bc7[279])){try{eval(_0x7bc7[280]+_0xfb0bx2),_0xfb0bx3=_mxJavaScriptExpression,_mxJavaScriptExpression=null}catch(c){mxLog[_0x7bc7[283]](c[_0x7bc7[281]]+_0x7bc7[282]+_0xfb0bx2)}}else {try{_0xfb0bx3=eval(_0xfb0bx2)}catch(d){mxLog[_0x7bc7[283]](d[_0x7bc7[281]]+_0x7bc7[282]+_0xfb0bx2)}};return _0xfb0bx3},findNode:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=_0xfb0bx2[_0x7bc7[284]](_0xfb0bx3);if(null!=_0xfb0bx5&&_0xfb0bx5==_0xfb0bx4){return _0xfb0bx2};for(_0xfb0bx2=_0xfb0bx2[_0x7bc7[285]];null!=_0xfb0bx2;){_0xfb0bx5=mxUtils[_0x7bc7[286]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4);if(null!=_0xfb0bx5){return _0xfb0bx5};_0xfb0bx2=_0xfb0bx2[_0x7bc7[287]]};return null},findNodeByAttribute:function(){return 9<=document[_0x7bc7[5]]?function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=null;if(null!=_0xfb0bx2){if(_0xfb0bx2[_0x7bc7[288]]==mxConstants[_0x7bc7[289]]&&_0xfb0bx2[_0x7bc7[284]](_0xfb0bx3)==_0xfb0bx4){_0xfb0bx5=_0xfb0bx2}else {for(_0xfb0bx2=_0xfb0bx2[_0x7bc7[285]];null!=_0xfb0bx2&&null==_0xfb0bx5;){_0xfb0bx5=mxUtils[_0x7bc7[290]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4),_0xfb0bx2=_0xfb0bx2[_0x7bc7[287]]}}};return _0xfb0bx5}:mxClient[_0x7bc7[80]]?function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){return null==_0xfb0bx2?null:_0xfb0bx2[_0x7bc7[295]][_0x7bc7[294]](_0x7bc7[291]+_0xfb0bx3+_0x7bc7[292]+_0xfb0bx4+_0x7bc7[293])}:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){return null==_0xfb0bx2?null:_0xfb0bx2[_0x7bc7[295]][_0x7bc7[297]](_0x7bc7[291]+_0xfb0bx3+_0x7bc7[292]+_0xfb0bx4+_0x7bc7[293],_0xfb0bx2[_0x7bc7[295]],null,XPathResult.ANY_TYPE,null)[_0x7bc7[296]]()}}(),getFunctionName:function(_0xfb0bx2){var _0xfb0bx3=null;if(null!=_0xfb0bx2){if(null!=_0xfb0bx2[_0x7bc7[298]]){_0xfb0bx3=_0xfb0bx2[_0x7bc7[298]]}else {_0xfb0bx2=_0xfb0bx2.toString();for(_0xfb0bx3=9;_0x7bc7[185]==_0xfb0bx2[_0x7bc7[225]](_0xfb0bx3);){_0xfb0bx3++};var _0xfb0bx4=_0xfb0bx2[_0x7bc7[2]](_0x7bc7[299],_0xfb0bx3),_0xfb0bx3=_0xfb0bx2[_0x7bc7[85]](_0xfb0bx3,_0xfb0bx4)}};return _0xfb0bx3},indexOf:function(_0xfb0bx2,_0xfb0bx3){if(null!=_0xfb0bx2&&null!=_0xfb0bx3){for(var _0xfb0bx4=0;_0xfb0bx4<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx4++){if(_0xfb0bx2[_0xfb0bx4]==_0xfb0bx3){return _0xfb0bx4}}};return -1},remove:function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=null;if(_0x7bc7[194]== typeof _0xfb0bx3){for(var _0xfb0bx5=mxUtils[_0x7bc7[2]](_0xfb0bx3,_0xfb0bx2);0<=_0xfb0bx5;){_0xfb0bx3[_0x7bc7[300]](_0xfb0bx5,1),_0xfb0bx4=_0xfb0bx2,_0xfb0bx5=mxUtils[_0x7bc7[2]](_0xfb0bx3,_0xfb0bx2)}};for(var _0xfb0bx9 in _0xfb0bx3){_0xfb0bx3[_0xfb0bx9]==_0xfb0bx2&&( delete _0xfb0bx3[_0xfb0bx9],_0xfb0bx4=_0xfb0bx2)};return _0xfb0bx4},isNode:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){return null!=_0xfb0bx2&&!isNaN(_0xfb0bx2[_0x7bc7[288]])&&(null==_0xfb0bx3||_0xfb0bx2[_0x7bc7[301]][_0x7bc7[216]]()==_0xfb0bx3[_0x7bc7[216]]())?null==_0xfb0bx4||_0xfb0bx2[_0x7bc7[284]](_0xfb0bx4)==_0xfb0bx5:!1},getChildNodes:function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx3=_0xfb0bx3||mxConstants[_0x7bc7[289]];for(var _0xfb0bx4=[],_0xfb0bx5=_0xfb0bx2[_0x7bc7[285]];null!=_0xfb0bx5;){_0xfb0bx5[_0x7bc7[288]]==_0xfb0bx3&&_0xfb0bx4[_0x7bc7[207]](_0xfb0bx5),_0xfb0bx5=_0xfb0bx5[_0x7bc7[287]]};return _0xfb0bx4},createXmlDocument:function(){var _0xfb0bx2=null;document[_0x7bc7[302]]&&document[_0x7bc7[302]][_0x7bc7[303]]?_0xfb0bx2=document[_0x7bc7[302]][_0x7bc7[303]](_0x7bc7[110],_0x7bc7[110],null):window[_0x7bc7[304]]&&(_0xfb0bx2= new ActiveXObject(_0x7bc7[305]));return _0xfb0bx2},parseXml:function(){return mxClient[_0x7bc7[80]]&&(_0x7bc7[82]=== typeof document[_0x7bc7[5]]||9>document[_0x7bc7[5]])?function(_0xfb0bx2){var _0xfb0bx3=mxUtils[_0x7bc7[306]]();_0xfb0bx3[_0x7bc7[307]]=_0x7bc7[308];_0xfb0bx3[_0x7bc7[309]](_0xfb0bx2);return _0xfb0bx3}:function(_0xfb0bx2){return ( new DOMParser)[_0x7bc7[311]](_0xfb0bx2,_0x7bc7[310])}}(),clearSelection:function(){if(document[_0x7bc7[312]]){return function(){document[_0x7bc7[312]][_0x7bc7[313]]()}};if(window[_0x7bc7[314]]){return function(){window[_0x7bc7[314]]()[_0x7bc7[315]]()}}}(),getPrettyXml:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=[];if(null!=_0xfb0bx2){if(_0xfb0bx3=_0xfb0bx3||_0x7bc7[316],_0xfb0bx4=_0xfb0bx4||_0x7bc7[110],_0xfb0bx2[_0x7bc7[288]]==mxConstants[_0x7bc7[317]]){_0xfb0bx5[_0x7bc7[207]](_0xfb0bx2[_0x7bc7[318]])}else {_0xfb0bx5[_0x7bc7[207]](_0xfb0bx4+_0x7bc7[319]+_0xfb0bx2[_0x7bc7[301]]);var _0xfb0bx9=_0xfb0bx2[_0x7bc7[320]];if(null!=_0xfb0bx9){for(var _0xfb0bxa=0;_0xfb0bxa<_0xfb0bx9[_0x7bc7[67]];_0xfb0bxa++){var _0xfb0bx12=mxUtils[_0x7bc7[321]](_0xfb0bx9[_0xfb0bxa][_0x7bc7[318]]);_0xfb0bx5[_0x7bc7[207]](_0x7bc7[185]+_0xfb0bx9[_0xfb0bxa][_0x7bc7[301]]+_0x7bc7[322]+_0xfb0bx12+_0x7bc7[323])}};_0xfb0bx9=_0xfb0bx2[_0x7bc7[285]];if(null!=_0xfb0bx9){for(_0xfb0bx5[_0x7bc7[207]](_0x7bc7[324]);null!=_0xfb0bx9;){_0xfb0bx5[_0x7bc7[207]](mxUtils[_0x7bc7[325]](_0xfb0bx9,_0xfb0bx3,_0xfb0bx4+_0xfb0bx3)),_0xfb0bx9=_0xfb0bx9[_0x7bc7[287]]};_0xfb0bx5[_0x7bc7[207]](_0xfb0bx4+_0x7bc7[326]+_0xfb0bx2[_0x7bc7[301]]+_0x7bc7[324])}else {_0xfb0bx5[_0x7bc7[207]](_0x7bc7[327])}}};return _0xfb0bx5[_0x7bc7[234]](_0x7bc7[110])},removeWhitespace:function(_0xfb0bx2,_0xfb0bx3){for(var _0xfb0bx4=_0xfb0bx3?_0xfb0bx2[_0x7bc7[328]]:_0xfb0bx2[_0x7bc7[287]];null!=_0xfb0bx4&&_0xfb0bx4[_0x7bc7[288]]==mxConstants[_0x7bc7[317]];){var _0xfb0bx5=_0xfb0bx3?_0xfb0bx4[_0x7bc7[328]]:_0xfb0bx4[_0x7bc7[287]],_0xfb0bx9=mxUtils[_0x7bc7[329]](_0xfb0bx4);0==mxUtils[_0x7bc7[330]](_0xfb0bx9)[_0x7bc7[67]]&&_0xfb0bx4[_0x7bc7[265]][_0x7bc7[266]](_0xfb0bx4);_0xfb0bx4=_0xfb0bx5}},htmlEntities:function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx2=(_0xfb0bx2||_0x7bc7[110])[_0x7bc7[230]](/&/g,_0x7bc7[331]);_0xfb0bx2=_0xfb0bx2[_0x7bc7[230]](/"/g,_0x7bc7[332]);_0xfb0bx2=_0xfb0bx2[_0x7bc7[230]](/\'/g,_0x7bc7[333]);_0xfb0bx2=_0xfb0bx2[_0x7bc7[230]](//g,_0x7bc7[335]);if(null==_0xfb0bx3||_0xfb0bx3){_0xfb0bx2=_0xfb0bx2[_0x7bc7[230]](/\n/g,_0x7bc7[336])};return _0xfb0bx2},isVml:function(_0xfb0bx2){return null!=_0xfb0bx2&&_0x7bc7[97]==_0xfb0bx2[_0x7bc7[337]]},getXml:function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=_0x7bc7[110];null!=_0xfb0bx2&&(_0xfb0bx4=_0xfb0bx2[_0x7bc7[338]],_0xfb0bx4=null==_0xfb0bx4?_0xfb0bx2[_0x7bc7[339]]?_0xfb0bx2[_0x7bc7[339]]:( new XMLSerializer)[_0x7bc7[340]](_0xfb0bx2):_0xfb0bx4[_0x7bc7[230]](/\r\n\t[\t]*/g,_0x7bc7[110])[_0x7bc7[230]](/>\r\n/g,_0x7bc7[341])[_0x7bc7[230]](/\r\n/g,_0x7bc7[192]));return _0xfb0bx4=_0xfb0bx4[_0x7bc7[230]](/\n/g,_0xfb0bx3||_0x7bc7[336])},getTextContent:function(_0xfb0bx2){var _0xfb0bx3=_0x7bc7[110];null!=_0xfb0bx2&&(null!=_0xfb0bx2[_0x7bc7[285]]&&(_0xfb0bx2=_0xfb0bx2[_0x7bc7[285]]),_0xfb0bx3=_0xfb0bx2[_0x7bc7[318]]||_0x7bc7[110]);return _0xfb0bx3},getInnerHtml:function(){return mxClient[_0x7bc7[80]]?function(_0xfb0bx2){return null!=_0xfb0bx2?_0xfb0bx2[_0x7bc7[339]]:_0x7bc7[110]}:function(_0xfb0bx2){return null!=_0xfb0bx2?( new XMLSerializer)[_0x7bc7[340]](_0xfb0bx2):_0x7bc7[110]}}(),getOuterHtml:function(){return mxClient[_0x7bc7[80]]?function(_0xfb0bx2){if(null!=_0xfb0bx2){if(null!=_0xfb0bx2[_0x7bc7[342]]){return _0xfb0bx2[_0x7bc7[342]]};var _0xfb0bx3=[];_0xfb0bx3[_0x7bc7[207]](_0x7bc7[319]+_0xfb0bx2[_0x7bc7[301]]);var _0xfb0bx4=_0xfb0bx2[_0x7bc7[320]];if(null!=_0xfb0bx4){for(var _0xfb0bx5=0;_0xfb0bx5<_0xfb0bx4[_0x7bc7[67]];_0xfb0bx5++){var _0xfb0bx9=_0xfb0bx4[_0xfb0bx5][_0x7bc7[318]];null!=_0xfb0bx9&&0<_0xfb0bx9[_0x7bc7[67]]&&(_0xfb0bx3[_0x7bc7[207]](_0x7bc7[185]),_0xfb0bx3[_0x7bc7[207]](_0xfb0bx4[_0xfb0bx5][_0x7bc7[301]]),_0xfb0bx3[_0x7bc7[207]](_0x7bc7[322]),_0xfb0bx3[_0x7bc7[207]](_0xfb0bx9),_0xfb0bx3[_0x7bc7[207]](_0x7bc7[323]))}};0==_0xfb0bx2[_0x7bc7[339]][_0x7bc7[67]]?_0xfb0bx3[_0x7bc7[207]](_0x7bc7[343]):(_0xfb0bx3[_0x7bc7[207]](_0x7bc7[341]),_0xfb0bx3[_0x7bc7[207]](_0xfb0bx2[_0x7bc7[339]]),_0xfb0bx3[_0x7bc7[207]](_0x7bc7[326]+_0xfb0bx2[_0x7bc7[301]]+_0x7bc7[341]));return _0xfb0bx3[_0x7bc7[234]](_0x7bc7[110])};return _0x7bc7[110]}:function(_0xfb0bx2){return null!=_0xfb0bx2?( new XMLSerializer)[_0x7bc7[340]](_0xfb0bx2):_0x7bc7[110]}}(),write:function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=_0xfb0bx2[_0x7bc7[295]][_0x7bc7[344]](_0xfb0bx3);null!=_0xfb0bx2&&_0xfb0bx2[_0x7bc7[62]](_0xfb0bx4);return _0xfb0bx4},writeln:function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=_0xfb0bx2[_0x7bc7[295]][_0x7bc7[344]](_0xfb0bx3);null!=_0xfb0bx2&&(_0xfb0bx2[_0x7bc7[62]](_0xfb0bx4),_0xfb0bx2[_0x7bc7[62]](document[_0x7bc7[55]](_0x7bc7[345])));return _0xfb0bx4},br:function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx3=_0xfb0bx3||1;for(var _0xfb0bx4=null,_0xfb0bx5=0;_0xfb0bx5<_0xfb0bx3;_0xfb0bx5++){null!=_0xfb0bx2&&(_0xfb0bx4=_0xfb0bx2[_0x7bc7[295]][_0x7bc7[55]](_0x7bc7[345]),_0xfb0bx2[_0x7bc7[62]](_0xfb0bx4))};return _0xfb0bx4},button:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx4=null!=_0xfb0bx4?_0xfb0bx4:document;_0xfb0bx4=_0xfb0bx4[_0x7bc7[55]](_0x7bc7[172]);mxUtils[_0x7bc7[53]](_0xfb0bx4,_0xfb0bx2);mxEvent[_0x7bc7[169]](_0xfb0bx4,_0x7bc7[173],function(_0xfb0bx2){_0xfb0bx3(_0xfb0bx2)});return _0xfb0bx4},para:function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=document[_0x7bc7[55]](_0x7bc7[346]);mxUtils[_0x7bc7[53]](_0xfb0bx4,_0xfb0bx3);null!=_0xfb0bx2&&_0xfb0bx2[_0x7bc7[62]](_0xfb0bx4);return _0xfb0bx4},addTransparentBackgroundFilter:function(_0xfb0bx2){_0xfb0bx2[_0x7bc7[124]][_0x7bc7[347]]+=_0x7bc7[348]+mxClient[_0x7bc7[88]]+_0x7bc7[349]},linkAction:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){return mxUtils[_0x7bc7[54]](_0xfb0bx2,_0xfb0bx3,function(){_0xfb0bx4[_0x7bc7[350]](_0xfb0bx5)},_0xfb0bx9)},linkInvoke:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){return mxUtils[_0x7bc7[54]](_0xfb0bx2,_0xfb0bx3,function(){_0xfb0bx4[_0xfb0bx5](_0xfb0bx9)},_0xfb0bxa)},link:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){var _0xfb0bx9=document[_0x7bc7[55]](_0x7bc7[351]);_0xfb0bx9[_0x7bc7[124]][_0x7bc7[352]]=_0x7bc7[353];_0xfb0bx9[_0x7bc7[124]][_0x7bc7[354]]=_0x7bc7[355];_0xfb0bx9[_0x7bc7[124]][_0x7bc7[270]]=_0x7bc7[356];null!=_0xfb0bx5&&(_0xfb0bx9[_0x7bc7[124]][_0x7bc7[357]]=_0xfb0bx5+_0x7bc7[168]);mxEvent[_0x7bc7[169]](_0xfb0bx9,_0x7bc7[173],_0xfb0bx4);mxUtils[_0x7bc7[53]](_0xfb0bx9,_0xfb0bx3);null!=_0xfb0bx2&&_0xfb0bx2[_0x7bc7[62]](_0xfb0bx9);return _0xfb0bx9},fit:function(_0xfb0bx2){var _0xfb0bx3=parseInt(_0xfb0bx2[_0x7bc7[358]]),_0xfb0bx4=parseInt(_0xfb0bx2[_0x7bc7[359]]),_0xfb0bx5=document[_0x7bc7[112]],_0xfb0bx9=document[_0x7bc7[158]],_0xfb0bxa=(_0xfb0bx5[_0x7bc7[360]]||_0xfb0bx9[_0x7bc7[360]])+(_0xfb0bx5[_0x7bc7[159]]||_0xfb0bx9[_0x7bc7[159]]);_0xfb0bx3+_0xfb0bx4>_0xfb0bxa&&(_0xfb0bx2[_0x7bc7[124]][_0x7bc7[361]]=Math[_0x7bc7[160]](_0xfb0bx5[_0x7bc7[360]]||_0xfb0bx9[_0x7bc7[360]],_0xfb0bxa-_0xfb0bx4)+_0x7bc7[168]);_0xfb0bx3=parseInt(_0xfb0bx2[_0x7bc7[362]]);_0xfb0bx4=parseInt(_0xfb0bx2[_0x7bc7[167]]);_0xfb0bxa=(_0xfb0bx5[_0x7bc7[190]]||_0xfb0bx9[_0x7bc7[190]])+Math[_0x7bc7[160]](_0xfb0bx5[_0x7bc7[157]]||0,_0xfb0bx9[_0x7bc7[157]]);_0xfb0bx3+_0xfb0bx4>_0xfb0bxa&&(_0xfb0bx2[_0x7bc7[124]][_0x7bc7[125]]=Math[_0x7bc7[160]](_0xfb0bx5[_0x7bc7[190]]||_0xfb0bx9[_0x7bc7[190]],_0xfb0bxa-_0xfb0bx4)+_0x7bc7[168])},open:function(_0xfb0bx2){if(mxClient[_0x7bc7[133]]){try{netscape[_0x7bc7[366]][_0x7bc7[365]][_0x7bc7[364]](_0x7bc7[363])}catch(b){return mxUtils[_0x7bc7[150]](_0x7bc7[367]),_0x7bc7[110]};var _0xfb0bx4=Components[_0x7bc7[372]][_0x7bc7[371]][_0x7bc7[370]](Components[_0x7bc7[369]][_0x7bc7[368]]);_0xfb0bx4[_0x7bc7[373]](_0xfb0bx2);if(!_0xfb0bx4[_0x7bc7[374]]()){return mxUtils[_0x7bc7[150]](_0x7bc7[375]),_0x7bc7[110]};_0xfb0bx2=Components[_0x7bc7[372]][_0x7bc7[377]][_0x7bc7[370]](Components[_0x7bc7[369]][_0x7bc7[376]]);_0xfb0bx2[_0x7bc7[176]](_0xfb0bx4,1,4,null);_0xfb0bx4=Components[_0x7bc7[372]][_0x7bc7[379]][_0x7bc7[370]](Components[_0x7bc7[369]][_0x7bc7[378]]);_0xfb0bx4[_0x7bc7[176]](_0xfb0bx2);return _0xfb0bx4[_0x7bc7[381]](_0xfb0bx4[_0x7bc7[380]]())};_0xfb0bx4=( new ActiveXObject(_0x7bc7[382])).OpenTextFile(_0xfb0bx2,1);_0xfb0bx2=_0xfb0bx4[_0x7bc7[383]]();_0xfb0bx4[_0x7bc7[268]]();return _0xfb0bx2},save:function(_0xfb0bx2,_0xfb0bx3){if(mxClient[_0x7bc7[133]]){try{netscape[_0x7bc7[366]][_0x7bc7[365]][_0x7bc7[364]](_0x7bc7[363])}catch(c){mxUtils[_0x7bc7[150]](_0x7bc7[384]);return};var _0xfb0bx5=Components[_0x7bc7[372]][_0x7bc7[371]][_0x7bc7[370]](Components[_0x7bc7[369]][_0x7bc7[368]]);_0xfb0bx5[_0x7bc7[373]](_0xfb0bx2);_0xfb0bx5[_0x7bc7[374]]()||_0xfb0bx5[_0x7bc7[385]](0,420);var _0xfb0bx9=Components[_0x7bc7[372]][_0x7bc7[387]][_0x7bc7[370]](Components[_0x7bc7[369]][_0x7bc7[386]]);_0xfb0bx9[_0x7bc7[176]](_0xfb0bx5,34,4,null);_0xfb0bx9[_0x7bc7[53]](_0xfb0bx3,_0xfb0bx3[_0x7bc7[67]]);_0xfb0bx9[_0x7bc7[388]]();_0xfb0bx9[_0x7bc7[268]]()}else {_0xfb0bx5=( new ActiveXObject(_0x7bc7[382])).CreateTextFile(_0xfb0bx2,!0),_0xfb0bx5.Write(_0xfb0bx3),_0xfb0bx5.Close()}},saveAs:function(_0xfb0bx2){var _0xfb0bx3=document[_0x7bc7[55]](_0x7bc7[389]);_0xfb0bx3[_0x7bc7[57]](_0x7bc7[390],_0x7bc7[110]);_0xfb0bx3[_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[188];document[_0x7bc7[112]][_0x7bc7[62]](_0xfb0bx3);try{if(mxClient[_0x7bc7[133]]){var _0xfb0bx4=_0xfb0bx3[_0x7bc7[391]];_0xfb0bx4[_0x7bc7[392]]();_0xfb0bx4[_0x7bc7[53]](_0xfb0bx2);_0xfb0bx4[_0x7bc7[268]]();try{netscape[_0x7bc7[366]][_0x7bc7[365]][_0x7bc7[364]](_0x7bc7[363]),_0xfb0bx3[_0x7bc7[393]](),saveDocument(_0xfb0bx4)}catch(d){mxUtils[_0x7bc7[150]](_0x7bc7[394])}}else {_0xfb0bx4=_0xfb0bx3[_0x7bc7[396]][_0x7bc7[395]],_0xfb0bx4[_0x7bc7[53]](_0xfb0bx2),_0xfb0bx4[_0x7bc7[398]](_0x7bc7[397],!1,document[_0x7bc7[45]])}}finally{document[_0x7bc7[112]][_0x7bc7[266]](_0xfb0bx3)}},copy:function(_0xfb0bx2){if(window[_0x7bc7[399]]){window[_0x7bc7[399]][_0x7bc7[401]](_0x7bc7[400],_0xfb0bx2)}else {netscape[_0x7bc7[366]][_0x7bc7[365]][_0x7bc7[364]](_0x7bc7[363]);var _0xfb0bx3=Components[_0x7bc7[372]][_0x7bc7[403]][_0x7bc7[370]](Components[_0x7bc7[369]][_0x7bc7[402]]);if(_0xfb0bx3){var _0xfb0bx4=Components[_0x7bc7[372]][_0x7bc7[405]][_0x7bc7[370]](Components[_0x7bc7[369]][_0x7bc7[404]]);if(_0xfb0bx4){_0xfb0bx4[_0x7bc7[407]](_0x7bc7[406]);var _0xfb0bx5=Components[_0x7bc7[372]][_0x7bc7[409]][_0x7bc7[370]](Components[_0x7bc7[369]][_0x7bc7[408]]);_0xfb0bx5[_0x7bc7[410]]=_0xfb0bx2;_0xfb0bx4[_0x7bc7[411]](_0x7bc7[406],_0xfb0bx5,2*_0xfb0bx2[_0x7bc7[67]]);_0xfb0bx3[_0x7bc7[401]](_0xfb0bx4,null,Components[_0x7bc7[369]][_0x7bc7[402]][_0x7bc7[412]])}}}},load:function(_0xfb0bx2){_0xfb0bx2= new mxXmlRequest(_0xfb0bx2,null,_0x7bc7[413],!1);_0xfb0bx2[_0x7bc7[414]]();return _0xfb0bx2},get:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){return ( new mxXmlRequest(_0xfb0bx2,null,_0x7bc7[413]))[_0x7bc7[414]](_0xfb0bx3,_0xfb0bx4)},post:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){return ( new mxXmlRequest(_0xfb0bx2,_0xfb0bx3))[_0x7bc7[414]](_0xfb0bx4,_0xfb0bx5)},submit:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){return ( new mxXmlRequest(_0xfb0bx2,_0xfb0bx3))[_0x7bc7[415]](_0xfb0bx4,_0xfb0bx5)},loadInto:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){mxClient[_0x7bc7[80]]?_0xfb0bx3[_0x7bc7[416]]=function(){4==_0xfb0bx3[_0x7bc7[417]]&&_0xfb0bx4()}:_0xfb0bx3[_0x7bc7[418]](_0x7bc7[219],_0xfb0bx4,!1);_0xfb0bx3[_0x7bc7[219]](_0xfb0bx2)},getValue:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx2=null!=_0xfb0bx2?_0xfb0bx2[_0xfb0bx3]:null;null==_0xfb0bx2&&(_0xfb0bx2=_0xfb0bx4);return _0xfb0bx2},getNumber:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx2=null!=_0xfb0bx2?_0xfb0bx2[_0xfb0bx3]:null;null==_0xfb0bx2&&(_0xfb0bx2=_0xfb0bx4||0);return Number(_0xfb0bx2)},getColor:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx2=null!=_0xfb0bx2?_0xfb0bx2[_0xfb0bx3]:null;null==_0xfb0bx2?_0xfb0bx2=_0xfb0bx4:_0xfb0bx2==mxConstants[_0x7bc7[217]]&&(_0xfb0bx2=null);return _0xfb0bx2},clone:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx4=null!=_0xfb0bx4?_0xfb0bx4:!1;var _0xfb0bx5=null;if(null!=_0xfb0bx2&&_0x7bc7[279]== typeof _0xfb0bx2[_0x7bc7[196]]){var _0xfb0bx5= new _0xfb0bx2[_0x7bc7[196]],_0xfb0bx9;for(_0xfb0bx9 in _0xfb0bx2){if(_0xfb0bx9!=mxObjectIdentity[_0x7bc7[195]]&&(null==_0xfb0bx3||0>mxUtils[_0x7bc7[2]](_0xfb0bx3,_0xfb0bx9))){_0xfb0bx5[_0xfb0bx9]=!_0xfb0bx4&&_0x7bc7[194]== typeof _0xfb0bx2[_0xfb0bx9]?mxUtils[_0x7bc7[238]](_0xfb0bx2[_0xfb0bx9]):_0xfb0bx2[_0xfb0bx9]}}};return _0xfb0bx5},equalPoints:function(_0xfb0bx2,_0xfb0bx3){if(null==_0xfb0bx2&&null!=_0xfb0bx3||null!=_0xfb0bx2&&null==_0xfb0bx3||null!=_0xfb0bx2&&null!=_0xfb0bx3&&_0xfb0bx2[_0x7bc7[67]]!=_0xfb0bx3[_0x7bc7[67]]){return !1};if(null!=_0xfb0bx2&&null!=_0xfb0bx3){for(var _0xfb0bx4=0;_0xfb0bx4<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx4++){if(_0xfb0bx2[_0xfb0bx4]==_0xfb0bx3[_0xfb0bx4]||null!=_0xfb0bx2[_0xfb0bx4]&&!_0xfb0bx2[_0xfb0bx4][_0x7bc7[237]](_0xfb0bx3[_0xfb0bx4])){return !1}}};return !0},equalEntries:function(_0xfb0bx2,_0xfb0bx3){if(null==_0xfb0bx2&&null!=_0xfb0bx3||null!=_0xfb0bx2&&null==_0xfb0bx3||null!=_0xfb0bx2&&null!=_0xfb0bx3&&_0xfb0bx2[_0x7bc7[67]]!=_0xfb0bx3[_0x7bc7[67]]){return !1};if(null!=_0xfb0bx2&&null!=_0xfb0bx3){for(var _0xfb0bx4 in _0xfb0bx2){if(_0xfb0bx2[_0xfb0bx4]!=_0xfb0bx3[_0xfb0bx4]){return !1}}};return !0},extend:function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=function(){};_0xfb0bx4[_0x7bc7[202]]=_0xfb0bx3[_0x7bc7[202]];_0xfb0bx2[_0x7bc7[202]]= new _0xfb0bx4;_0xfb0bx2[_0x7bc7[202]][_0x7bc7[196]]=_0xfb0bx2},toString:function(_0xfb0bx2){var _0xfb0bx3=_0x7bc7[110],_0xfb0bx4;for(_0xfb0bx4 in _0xfb0bx2){try{if(null==_0xfb0bx2[_0xfb0bx4]){_0xfb0bx3+=_0xfb0bx4+_0x7bc7[419]}else {if(_0x7bc7[279]== typeof _0xfb0bx2[_0xfb0bx4]){_0xfb0bx3+=_0xfb0bx4+_0x7bc7[420]}else {if(_0x7bc7[194]== typeof _0xfb0bx2[_0xfb0bx4]){var _0xfb0bx5=mxUtils[_0x7bc7[197]](_0xfb0bx2[_0xfb0bx4][_0x7bc7[196]]),_0xfb0bx3=_0xfb0bx3+(_0xfb0bx4+_0x7bc7[421]+_0xfb0bx5+_0x7bc7[422])}else {_0xfb0bx3+=_0xfb0bx4+_0x7bc7[423]+_0xfb0bx2[_0xfb0bx4]+_0x7bc7[192]}}}}catch(e){_0xfb0bx3+=_0xfb0bx4+_0x7bc7[226]+e[_0x7bc7[281]]}};return _0xfb0bx3},toRadians:function(_0xfb0bx2){return Math[_0x7bc7[424]]*_0xfb0bx2/180},arcToCurves:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12,_0xfb0bx13,_0xfb0bx14){_0xfb0bx13-=_0xfb0bx2;_0xfb0bx14-=_0xfb0bx3;if(0===_0xfb0bx4||0===_0xfb0bx5){return _0xfb0bx18};_0xfb0bx4=Math[_0x7bc7[425]](_0xfb0bx4);_0xfb0bx5=Math[_0x7bc7[425]](_0xfb0bx5);var _0xfb0bx15=-_0xfb0bx13/2,_0xfb0bx16=-_0xfb0bx14/2,_0xfb0bx17=Math[_0x7bc7[426]](_0xfb0bx9*Math[_0x7bc7[424]]/180),_0xfb0bx18=Math[_0x7bc7[427]](_0xfb0bx9*Math[_0x7bc7[424]]/180);_0xfb0bx9=_0xfb0bx17*_0xfb0bx15+_0xfb0bx18*_0xfb0bx16;var _0xfb0bx15=-1*_0xfb0bx18*_0xfb0bx15+_0xfb0bx17*_0xfb0bx16,_0xfb0bx16=_0xfb0bx9*_0xfb0bx9,_0xfb0bx19=_0xfb0bx15*_0xfb0bx15,_0xfb0bx1a=_0xfb0bx4*_0xfb0bx4,_0xfb0bx1b=_0xfb0bx5*_0xfb0bx5,_0xfb0bx1c=_0xfb0bx16/_0xfb0bx1a+_0xfb0bx19/_0xfb0bx1b;1<_0xfb0bx1c?(_0xfb0bx4*=Math[_0x7bc7[428]](_0xfb0bx1c),_0xfb0bx5*=Math[_0x7bc7[428]](_0xfb0bx1c),_0xfb0bxa=0):(_0xfb0bx1c=1,_0xfb0bxa===_0xfb0bx12&&(_0xfb0bx1c= -1),_0xfb0bxa=_0xfb0bx1c*Math[_0x7bc7[428]]((_0xfb0bx1a*_0xfb0bx1b-_0xfb0bx1a*_0xfb0bx19-_0xfb0bx1b*_0xfb0bx16)/(_0xfb0bx1a*_0xfb0bx19+_0xfb0bx1b*_0xfb0bx16)));_0xfb0bx16=_0xfb0bxa*_0xfb0bx4*_0xfb0bx15/_0xfb0bx5;_0xfb0bx19=-1*_0xfb0bxa*_0xfb0bx5*_0xfb0bx9/_0xfb0bx4;_0xfb0bx13=_0xfb0bx17*_0xfb0bx16-_0xfb0bx18*_0xfb0bx19+_0xfb0bx13/2;_0xfb0bx14=_0xfb0bx18*_0xfb0bx16+_0xfb0bx17*_0xfb0bx19+_0xfb0bx14/2;_0xfb0bx1a=Math[_0x7bc7[429]]((_0xfb0bx15-_0xfb0bx19)/_0xfb0bx5,(_0xfb0bx9-_0xfb0bx16)/_0xfb0bx4)-Math[_0x7bc7[429]](0,1);_0xfb0bxa=0<=_0xfb0bx1a?_0xfb0bx1a:2*Math[_0x7bc7[424]]+_0xfb0bx1a;_0xfb0bx1a=Math[_0x7bc7[429]]((-_0xfb0bx15-_0xfb0bx19)/_0xfb0bx5,(-_0xfb0bx9-_0xfb0bx16)/_0xfb0bx4)-Math[_0x7bc7[429]]((_0xfb0bx15-_0xfb0bx19)/_0xfb0bx5,(_0xfb0bx9-_0xfb0bx16)/_0xfb0bx4);_0xfb0bx9=0<=_0xfb0bx1a?_0xfb0bx1a:2*Math[_0x7bc7[424]]+_0xfb0bx1a;0==_0xfb0bx12&&0<_0xfb0bx9?_0xfb0bx9-=2*Math[_0x7bc7[424]]:0!=_0xfb0bx12&&0>_0xfb0bx9&&(_0xfb0bx9+=2*Math[_0x7bc7[424]]);_0xfb0bx12=2*_0xfb0bx9/Math[_0x7bc7[424]];_0xfb0bx12=Math[_0x7bc7[430]](0>_0xfb0bx12?-1*_0xfb0bx12:_0xfb0bx12);_0xfb0bx9/=_0xfb0bx12;_0xfb0bx15=8/3*Math[_0x7bc7[427]](_0xfb0bx9/4)*Math[_0x7bc7[427]](_0xfb0bx9/4)/Math[_0x7bc7[427]](_0xfb0bx9/2);_0xfb0bx16=_0xfb0bx17*_0xfb0bx4;_0xfb0bx17*=_0xfb0bx5;_0xfb0bx4*=_0xfb0bx18;_0xfb0bx5*=_0xfb0bx18;for(var _0xfb0bx1d=Math[_0x7bc7[426]](_0xfb0bxa),_0xfb0bx1e=Math[_0x7bc7[427]](_0xfb0bxa),_0xfb0bx19=-_0xfb0bx15*(_0xfb0bx16*_0xfb0bx1e+_0xfb0bx5*_0xfb0bx1d),_0xfb0bx1a=-_0xfb0bx15*(_0xfb0bx4*_0xfb0bx1e-_0xfb0bx17*_0xfb0bx1d),_0xfb0bx1c=_0xfb0bx1b=0,_0xfb0bx18=[],_0xfb0bx1f=0;_0xfb0bx1f<_0xfb0bx12;++_0xfb0bx1f){_0xfb0bxa+=_0xfb0bx9;var _0xfb0bx1d=Math[_0x7bc7[426]](_0xfb0bxa),_0xfb0bx1e=Math[_0x7bc7[427]](_0xfb0bxa),_0xfb0bx1b=_0xfb0bx16*_0xfb0bx1d-_0xfb0bx5*_0xfb0bx1e+_0xfb0bx13,_0xfb0bx1c=_0xfb0bx4*_0xfb0bx1d+_0xfb0bx17*_0xfb0bx1e+_0xfb0bx14,_0xfb0bx20=-_0xfb0bx15*(_0xfb0bx16*_0xfb0bx1e+_0xfb0bx5*_0xfb0bx1d),_0xfb0bx1d=-_0xfb0bx15*(_0xfb0bx4*_0xfb0bx1e-_0xfb0bx17*_0xfb0bx1d),_0xfb0bx1e=6*_0xfb0bx1f;_0xfb0bx18[_0xfb0bx1e]=Number(_0xfb0bx19+_0xfb0bx2);_0xfb0bx18[_0xfb0bx1e+1]=Number(_0xfb0bx1a+_0xfb0bx3);_0xfb0bx18[_0xfb0bx1e+2]=Number(_0xfb0bx1b-_0xfb0bx20+_0xfb0bx2);_0xfb0bx18[_0xfb0bx1e+3]=Number(_0xfb0bx1c-_0xfb0bx1d+_0xfb0bx3);_0xfb0bx18[_0xfb0bx1e+4]=Number(_0xfb0bx1b+_0xfb0bx2);_0xfb0bx18[_0xfb0bx1e+5]=Number(_0xfb0bx1c+_0xfb0bx3);_0xfb0bx19=_0xfb0bx1b+_0xfb0bx20;_0xfb0bx1a=_0xfb0bx1c+_0xfb0bx1d};return _0xfb0bx18},getBoundingBox:function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=null;if(null!=_0xfb0bx2&&null!=_0xfb0bx3&&0!=_0xfb0bx3){var _0xfb0bx5=mxUtils[_0x7bc7[431]](_0xfb0bx3),_0xfb0bx4=Math[_0x7bc7[426]](_0xfb0bx5),_0xfb0bx9=Math[_0x7bc7[427]](_0xfb0bx5),_0xfb0bxa= new mxPoint(_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx2[_0x7bc7[117]]/2,_0xfb0bx2[_0x7bc7[236]]+_0xfb0bx2[_0x7bc7[119]]/2),_0xfb0bx12= new mxPoint(_0xfb0bx2[_0x7bc7[235]],_0xfb0bx2[_0x7bc7[236]]),_0xfb0bx5= new mxPoint(_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx2[_0x7bc7[117]],_0xfb0bx2[_0x7bc7[236]]),_0xfb0bx13= new mxPoint(_0xfb0bx5[_0x7bc7[235]],_0xfb0bx2[_0x7bc7[236]]+_0xfb0bx2[_0x7bc7[119]]),_0xfb0bx14= new mxPoint(_0xfb0bx2[_0x7bc7[235]],_0xfb0bx13[_0x7bc7[236]]),_0xfb0bx12=mxUtils[_0x7bc7[432]](_0xfb0bx12,_0xfb0bx4,_0xfb0bx9,_0xfb0bxa),_0xfb0bx5=mxUtils[_0x7bc7[432]](_0xfb0bx5,_0xfb0bx4,_0xfb0bx9,_0xfb0bxa),_0xfb0bx13=mxUtils[_0x7bc7[432]](_0xfb0bx13,_0xfb0bx4,_0xfb0bx9,_0xfb0bxa),_0xfb0bx14=mxUtils[_0x7bc7[432]](_0xfb0bx14,_0xfb0bx4,_0xfb0bx9,_0xfb0bxa),_0xfb0bx4= new mxRectangle(_0xfb0bx12[_0x7bc7[235]],_0xfb0bx12[_0x7bc7[236]],0,0);_0xfb0bx4[_0x7bc7[99]]( new mxRectangle(_0xfb0bx5[_0x7bc7[235]],_0xfb0bx5[_0x7bc7[236]],0,0));_0xfb0bx4[_0x7bc7[99]]( new mxRectangle(_0xfb0bx13[_0x7bc7[235]],_0xfb0bx13[_0x7bc7[236]],0,0));_0xfb0bx4[_0x7bc7[99]]( new mxRectangle(_0xfb0bx14[_0x7bc7[235]],_0xfb0bx14[_0x7bc7[236]],0,0))};return _0xfb0bx4},getRotatedPoint:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){_0xfb0bx5=null!=_0xfb0bx5?_0xfb0bx5: new mxPoint;var _0xfb0bx9=_0xfb0bx2[_0x7bc7[235]]-_0xfb0bx5[_0x7bc7[235]];_0xfb0bx2=_0xfb0bx2[_0x7bc7[236]]-_0xfb0bx5[_0x7bc7[236]];return new mxPoint(_0xfb0bx9*_0xfb0bx3-_0xfb0bx2*_0xfb0bx4+_0xfb0bx5[_0x7bc7[235]],_0xfb0bx2*_0xfb0bx3+_0xfb0bx9*_0xfb0bx4+_0xfb0bx5[_0x7bc7[236]])},getPortConstraints:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){_0xfb0bx2=mxUtils[_0x7bc7[433]](_0xfb0bx2[_0x7bc7[124]],mxConstants.STYLE_PORT_CONSTRAINT,null);if(null==_0xfb0bx2){return _0xfb0bx5};_0xfb0bx5=_0xfb0bx2.toString();_0xfb0bx2=mxConstants[_0x7bc7[434]];0<=_0xfb0bx5[_0x7bc7[2]](mxConstants.DIRECTION_NORTH)&&(_0xfb0bx2|=mxConstants[_0x7bc7[435]]);0<=_0xfb0bx5[_0x7bc7[2]](mxConstants.DIRECTION_WEST)&&(_0xfb0bx2|=mxConstants[_0x7bc7[436]]);0<=_0xfb0bx5[_0x7bc7[2]](mxConstants.DIRECTION_SOUTH)&&(_0xfb0bx2|=mxConstants[_0x7bc7[437]]);0<=_0xfb0bx5[_0x7bc7[2]](mxConstants.DIRECTION_EAST)&&(_0xfb0bx2|=mxConstants[_0x7bc7[438]]);return _0xfb0bx2},reversePortConstraints:function(_0xfb0bx2){var _0xfb0bx3=0,_0xfb0bx3=(_0xfb0bx2&mxConstants[_0x7bc7[436]])<<3,_0xfb0bx3=_0xfb0bx3|(_0xfb0bx2&mxConstants[_0x7bc7[435]])<<1,_0xfb0bx3=_0xfb0bx3|(_0xfb0bx2&mxConstants[_0x7bc7[437]])>>1;return _0xfb0bx3|=(_0xfb0bx2&mxConstants[_0x7bc7[438]])>>3},findNearestSegment:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=-1;if(0<_0xfb0bx2[_0x7bc7[439]][_0x7bc7[67]]){for(var _0xfb0bx9=_0xfb0bx2[_0x7bc7[439]][0],_0xfb0bxa=null,_0xfb0bx12=1;_0xfb0bx12<_0xfb0bx2[_0x7bc7[439]][_0x7bc7[67]];_0xfb0bx12++){var _0xfb0bx13=_0xfb0bx2[_0x7bc7[439]][_0xfb0bx12],_0xfb0bx9=mxUtils[_0x7bc7[440]](_0xfb0bx9[_0x7bc7[235]],_0xfb0bx9[_0x7bc7[236]],_0xfb0bx13[_0x7bc7[235]],_0xfb0bx13[_0x7bc7[236]],_0xfb0bx3,_0xfb0bx4);if(null==_0xfb0bxa||_0xfb0bx9<_0xfb0bxa){_0xfb0bxa=_0xfb0bx9,_0xfb0bx5=_0xfb0bx12-1};_0xfb0bx9=_0xfb0bx13}};return _0xfb0bx5},rectangleIntersectsSegment:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=_0xfb0bx2[_0x7bc7[236]],_0xfb0bx9=_0xfb0bx2[_0x7bc7[235]],_0xfb0bxa=_0xfb0bx5+_0xfb0bx2[_0x7bc7[119]],_0xfb0bx12=_0xfb0bx9+_0xfb0bx2[_0x7bc7[117]];_0xfb0bx2=_0xfb0bx3[_0x7bc7[235]];var _0xfb0bx13=_0xfb0bx4[_0x7bc7[235]];_0xfb0bx3[_0x7bc7[235]]>_0xfb0bx4[_0x7bc7[235]]&&(_0xfb0bx2=_0xfb0bx4[_0x7bc7[235]],_0xfb0bx13=_0xfb0bx3[_0x7bc7[235]]);_0xfb0bx13>_0xfb0bx12&&(_0xfb0bx13=_0xfb0bx12);_0xfb0bx2<_0xfb0bx9&&(_0xfb0bx2=_0xfb0bx9);if(_0xfb0bx2>_0xfb0bx13){return !1};var _0xfb0bx9=_0xfb0bx3[_0x7bc7[236]],_0xfb0bx12=_0xfb0bx4[_0x7bc7[236]],_0xfb0bx14=_0xfb0bx4[_0x7bc7[235]]-_0xfb0bx3[_0x7bc7[235]];1E-7_0xfb0bx12&&(_0xfb0bx3=_0xfb0bx12,_0xfb0bx12=_0xfb0bx9,_0xfb0bx9=_0xfb0bx3);_0xfb0bx12>_0xfb0bxa&&(_0xfb0bx12=_0xfb0bxa);_0xfb0bx9<_0xfb0bx5&&(_0xfb0bx9=_0xfb0bx5);return _0xfb0bx9>_0xfb0bx12?!1:!0},contains:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){return _0xfb0bx2[_0x7bc7[235]]<=_0xfb0bx3&&_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx2[_0x7bc7[117]]>=_0xfb0bx3&&_0xfb0bx2[_0x7bc7[236]]<=_0xfb0bx4&&_0xfb0bx2[_0x7bc7[236]]+_0xfb0bx2[_0x7bc7[119]]>=_0xfb0bx4},intersects:function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=_0xfb0bx2[_0x7bc7[117]],_0xfb0bx5=_0xfb0bx2[_0x7bc7[119]],_0xfb0bx9=_0xfb0bx3[_0x7bc7[117]],_0xfb0bxa=_0xfb0bx3[_0x7bc7[119]];if(0>=_0xfb0bx9||0>=_0xfb0bxa||0>=_0xfb0bx4||0>=_0xfb0bx5){return !1};var _0xfb0bx12=_0xfb0bx2[_0x7bc7[235]],_0xfb0bx13=_0xfb0bx2[_0x7bc7[236]],_0xfb0bx14=_0xfb0bx3[_0x7bc7[235]],_0xfb0bx15=_0xfb0bx3[_0x7bc7[236]],_0xfb0bx9=_0xfb0bx9+_0xfb0bx14,_0xfb0bxa=_0xfb0bxa+_0xfb0bx15,_0xfb0bx4=_0xfb0bx4+_0xfb0bx12,_0xfb0bx5=_0xfb0bx5+_0xfb0bx13;return (_0xfb0bx9<_0xfb0bx14||_0xfb0bx9>_0xfb0bx12)&&(_0xfb0bxa<_0xfb0bx15||_0xfb0bxa>_0xfb0bx13)&&(_0xfb0bx4<_0xfb0bx12||_0xfb0bx4>_0xfb0bx14)&&(_0xfb0bx5<_0xfb0bx13||_0xfb0bx5>_0xfb0bx15)},intersectsHotspot:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){_0xfb0bx5=null!=_0xfb0bx5?_0xfb0bx5:1;_0xfb0bx9=null!=_0xfb0bx9?_0xfb0bx9:0;_0xfb0bxa=null!=_0xfb0bxa?_0xfb0bxa:0;if(0<_0xfb0bx5){var _0xfb0bx12=_0xfb0bx2[_0x7bc7[241]](),_0xfb0bx13=_0xfb0bx2[_0x7bc7[242]](),_0xfb0bx14=_0xfb0bx2[_0x7bc7[117]],_0xfb0bx15=_0xfb0bx2[_0x7bc7[119]],_0xfb0bx16=mxUtils[_0x7bc7[433]](_0xfb0bx2[_0x7bc7[124]],mxConstants.STYLE_STARTSIZE)*_0xfb0bx2[_0x7bc7[441]][_0x7bc7[255]];0<_0xfb0bx16&&(mxUtils[_0x7bc7[433]](_0xfb0bx2[_0x7bc7[124]],mxConstants.STYLE_HORIZONTAL,!0)?(_0xfb0bx13=_0xfb0bx2[_0x7bc7[236]]+_0xfb0bx16/2,_0xfb0bx15=_0xfb0bx16):(_0xfb0bx12=_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx16/2,_0xfb0bx14=_0xfb0bx16));_0xfb0bx14=Math[_0x7bc7[160]](_0xfb0bx9,_0xfb0bx14*_0xfb0bx5);_0xfb0bx15=Math[_0x7bc7[160]](_0xfb0bx9,_0xfb0bx15*_0xfb0bx5);0<_0xfb0bxa&&(_0xfb0bx14=Math[_0x7bc7[243]](_0xfb0bx14,_0xfb0bxa),_0xfb0bx15=Math[_0x7bc7[243]](_0xfb0bx15,_0xfb0bxa));_0xfb0bx5= new mxRectangle(_0xfb0bx12-_0xfb0bx14/2,_0xfb0bx13-_0xfb0bx15/2,_0xfb0bx14,_0xfb0bx15);_0xfb0bx12=mxUtils[_0x7bc7[431]](mxUtils[_0x7bc7[433]](_0xfb0bx2[_0x7bc7[124]],mxConstants.STYLE_ROTATION)||0);0!=_0xfb0bx12&&(_0xfb0bx9=Math[_0x7bc7[426]](-_0xfb0bx12),_0xfb0bxa=Math[_0x7bc7[427]](-_0xfb0bx12),_0xfb0bx12= new mxPoint(_0xfb0bx2[_0x7bc7[241]](),_0xfb0bx2[_0x7bc7[242]]()),_0xfb0bx2=mxUtils[_0x7bc7[432]]( new mxPoint(_0xfb0bx3,_0xfb0bx4),_0xfb0bx9,_0xfb0bxa,_0xfb0bx12),_0xfb0bx3=_0xfb0bx2[_0x7bc7[235]],_0xfb0bx4=_0xfb0bx2[_0x7bc7[236]]);return mxUtils[_0x7bc7[442]](_0xfb0bx5,_0xfb0bx3,_0xfb0bx4)};return !0},getOffset:function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=0,_0xfb0bx5=0;if(null!=_0xfb0bx3&&_0xfb0bx3){var _0xfb0bx9=document[_0x7bc7[112]],_0xfb0bxa=document[_0x7bc7[158]],_0xfb0bx4=_0xfb0bx4+(_0xfb0bx9[_0x7bc7[360]]||_0xfb0bxa[_0x7bc7[360]]),_0xfb0bx5=_0xfb0bx5+(_0xfb0bx9[_0x7bc7[190]]||_0xfb0bxa[_0x7bc7[190]])};for(;_0xfb0bx2[_0x7bc7[443]];){_0xfb0bx4+=_0xfb0bx2[_0x7bc7[358]],_0xfb0bx5+=_0xfb0bx2[_0x7bc7[362]],_0xfb0bx2=_0xfb0bx2[_0x7bc7[443]]};return new mxPoint(_0xfb0bx4,_0xfb0bx5)},getScrollOrigin:function(_0xfb0bx2){for(var _0xfb0bx3=document[_0x7bc7[112]],_0xfb0bx4=document[_0x7bc7[158]],_0xfb0bx5= new mxPoint(_0xfb0bx3[_0x7bc7[360]]||_0xfb0bx4[_0x7bc7[360]],_0xfb0bx3[_0x7bc7[190]]||_0xfb0bx4[_0x7bc7[190]]);null!=_0xfb0bx2&&_0xfb0bx2!=_0xfb0bx3&&_0xfb0bx2!=_0xfb0bx4;){!isNaN(_0xfb0bx2[_0x7bc7[360]])&&!isNaN(_0xfb0bx2[_0x7bc7[190]])&&(_0xfb0bx5[_0x7bc7[235]]+=_0xfb0bx2[_0x7bc7[360]],_0xfb0bx5[_0x7bc7[236]]+=_0xfb0bx2[_0x7bc7[190]]),_0xfb0bx2=_0xfb0bx2[_0x7bc7[265]]};return _0xfb0bx5},convertPoint:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=mxUtils[_0x7bc7[444]](_0xfb0bx2);_0xfb0bx2=mxUtils[_0x7bc7[445]](_0xfb0bx2);_0xfb0bx2[_0x7bc7[235]]-=_0xfb0bx5[_0x7bc7[235]];_0xfb0bx2[_0x7bc7[236]]-=_0xfb0bx5[_0x7bc7[236]];return new mxPoint(_0xfb0bx3-_0xfb0bx2[_0x7bc7[235]],_0xfb0bx4-_0xfb0bx2[_0x7bc7[236]])},ltrim:function(_0xfb0bx2,_0xfb0bx3){return _0xfb0bx2[_0x7bc7[230]](RegExp(_0x7bc7[446]+(_0xfb0bx3||_0x7bc7[447])+_0x7bc7[448],_0x7bc7[449]),_0x7bc7[110])},rtrim:function(_0xfb0bx2,_0xfb0bx3){return _0xfb0bx2[_0x7bc7[230]](RegExp(_0x7bc7[450]+(_0xfb0bx3||_0x7bc7[447])+_0x7bc7[451],_0x7bc7[449]),_0x7bc7[110])},trim:function(_0xfb0bx2,_0xfb0bx3){return mxUtils[_0x7bc7[453]](mxUtils[_0x7bc7[452]](_0xfb0bx2,_0xfb0bx3),_0xfb0bx3)},isNumeric:function(_0xfb0bx2){return null!=_0xfb0bx2&&(null==_0xfb0bx2[_0x7bc7[67]]||0<_0xfb0bx2[_0x7bc7[67]]&&0>_0xfb0bx2[_0x7bc7[2]](_0x7bc7[454])&&0>_0xfb0bx2[_0x7bc7[2]](_0x7bc7[455]))&&!isNaN(_0xfb0bx2)},mod:function(_0xfb0bx2,_0xfb0bx3){return (_0xfb0bx2%_0xfb0bx3+_0xfb0bx3)%_0xfb0bx3},intersection:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12,_0xfb0bx13){var _0xfb0bx14=(_0xfb0bx13-_0xfb0bxa)*(_0xfb0bx4-_0xfb0bx2)-(_0xfb0bx12-_0xfb0bx9)*(_0xfb0bx5-_0xfb0bx3);_0xfb0bx12=((_0xfb0bx12-_0xfb0bx9)*(_0xfb0bx3-_0xfb0bxa)-(_0xfb0bx13-_0xfb0bxa)*(_0xfb0bx2-_0xfb0bx9))/_0xfb0bx14;_0xfb0bx9=((_0xfb0bx4-_0xfb0bx2)*(_0xfb0bx3-_0xfb0bxa)-(_0xfb0bx5-_0xfb0bx3)*(_0xfb0bx2-_0xfb0bx9))/_0xfb0bx14;return 0<=_0xfb0bx12&&1>=_0xfb0bx12&&0<=_0xfb0bx9&&1>=_0xfb0bx9? new mxPoint(_0xfb0bx2+_0xfb0bx12*(_0xfb0bx4-_0xfb0bx2),_0xfb0bx3+_0xfb0bx12*(_0xfb0bx5-_0xfb0bx3)):null},ptSegDistSq:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){_0xfb0bx4-=_0xfb0bx2;_0xfb0bx5-=_0xfb0bx3;_0xfb0bx9-=_0xfb0bx2;_0xfb0bxa-=_0xfb0bx3;0>=_0xfb0bx9*_0xfb0bx4+_0xfb0bxa*_0xfb0bx5?_0xfb0bx4=0:(_0xfb0bx9=_0xfb0bx4-_0xfb0bx9,_0xfb0bxa=_0xfb0bx5-_0xfb0bxa,_0xfb0bx2=_0xfb0bx9*_0xfb0bx4+_0xfb0bxa*_0xfb0bx5,_0xfb0bx4=0>=_0xfb0bx2?0:_0xfb0bx2*_0xfb0bx2/(_0xfb0bx4*_0xfb0bx4+_0xfb0bx5*_0xfb0bx5));_0xfb0bx9=_0xfb0bx9*_0xfb0bx9+_0xfb0bxa*_0xfb0bxa-_0xfb0bx4;0>_0xfb0bx9&&(_0xfb0bx9=0);return _0xfb0bx9},relativeCcw:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){_0xfb0bx4-=_0xfb0bx2;_0xfb0bx5-=_0xfb0bx3;_0xfb0bx9-=_0xfb0bx2;_0xfb0bxa-=_0xfb0bx3;_0xfb0bx2=_0xfb0bx9*_0xfb0bx5-_0xfb0bxa*_0xfb0bx4;0==_0xfb0bx2&&(_0xfb0bx2=_0xfb0bx9*_0xfb0bx4+_0xfb0bxa*_0xfb0bx5,0<_0xfb0bx2&&(_0xfb0bx2=(_0xfb0bx9-_0xfb0bx4)*_0xfb0bx4+(_0xfb0bxa-_0xfb0bx5)*_0xfb0bx5,0>_0xfb0bx2&&(_0xfb0bx2=0)));return 0>_0xfb0bx2?-1:0<_0xfb0bx2?1:0},animateChanges:function(_0xfb0bx2,_0xfb0bx3){mxEffects[_0x7bc7[456]][_0x7bc7[183]](this,arguments)},cascadeOpacity:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){mxEffects[_0x7bc7[260]][_0x7bc7[183]](this,arguments)},fadeOut:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){mxEffects[_0x7bc7[457]][_0x7bc7[183]](this,arguments)},setOpacity:function(_0xfb0bx2,_0xfb0bx3){mxUtils[_0x7bc7[458]](_0xfb0bx2)?_0xfb0bx2[_0x7bc7[124]][_0x7bc7[347]]=100<=_0xfb0bx3?null:_0x7bc7[459]+_0xfb0bx3/5+_0x7bc7[460]:mxClient[_0x7bc7[80]]&&(_0x7bc7[82]=== typeof document[_0x7bc7[5]]||9>document[_0x7bc7[5]])?_0xfb0bx2[_0x7bc7[124]][_0x7bc7[347]]=100<=_0xfb0bx3?null:_0x7bc7[459]+_0xfb0bx3+_0x7bc7[460]:_0xfb0bx2[_0x7bc7[124]][_0x7bc7[461]]=_0xfb0bx3/100},createImage:function(_0xfb0bx2){var _0xfb0bx3=null;mxClient[_0x7bc7[49]]&&_0x7bc7[462]!=document[_0x7bc7[135]]?(_0xfb0bx3=document[_0x7bc7[55]](mxClient[_0x7bc7[463]]+_0x7bc7[464]),_0xfb0bx3[_0x7bc7[57]](_0x7bc7[390],_0xfb0bx2),_0xfb0bx3[_0x7bc7[124]][_0x7bc7[465]]=_0x7bc7[130]):(_0xfb0bx3=document[_0x7bc7[55]](_0x7bc7[466]),_0xfb0bx3[_0x7bc7[57]](_0x7bc7[390],_0xfb0bx2),_0xfb0bx3[_0x7bc7[57]](_0x7bc7[467],_0x7bc7[468]));return _0xfb0bx3},sortCells:function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx3=null!=_0xfb0bx3?_0xfb0bx3:!0;var _0xfb0bx4= new mxDictionary;_0xfb0bx2[_0x7bc7[470]](function(_0xfb0bx2,_0xfb0bx9){var _0xfb0bxa=_0xfb0bx4[_0x7bc7[203]](_0xfb0bx2);null==_0xfb0bxa&&(_0xfb0bxa=mxCellPath[_0x7bc7[385]](_0xfb0bx2)[_0x7bc7[224]](mxCellPath.PATH_SEPARATOR),_0xfb0bx4[_0x7bc7[204]](_0xfb0bx2,_0xfb0bxa));var _0xfb0bx12=_0xfb0bx4[_0x7bc7[203]](_0xfb0bx9);null==_0xfb0bx12&&(_0xfb0bx12=mxCellPath[_0x7bc7[385]](_0xfb0bx9)[_0x7bc7[224]](mxCellPath.PATH_SEPARATOR),_0xfb0bx4[_0x7bc7[204]](_0xfb0bx9,_0xfb0bx12));_0xfb0bxa=mxCellPath[_0x7bc7[469]](_0xfb0bxa,_0xfb0bx12);return 0==_0xfb0bxa?0:0<_0xfb0bxa==_0xfb0bx3?1:-1});return _0xfb0bx2},getStylename:function(_0xfb0bx2){return null!=_0xfb0bx2&&(_0xfb0bx2=_0xfb0bx2[_0x7bc7[224]](_0x7bc7[471])[0],0>_0xfb0bx2[_0x7bc7[2]](_0x7bc7[226]))?_0xfb0bx2:_0x7bc7[110]},getStylenames:function(_0xfb0bx2){var _0xfb0bx3=[];if(null!=_0xfb0bx2){_0xfb0bx2=_0xfb0bx2[_0x7bc7[224]](_0x7bc7[471]);for(var _0xfb0bx4=0;_0xfb0bx4<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx4++){0>_0xfb0bx2[_0xfb0bx4][_0x7bc7[2]](_0x7bc7[226])&&_0xfb0bx3[_0x7bc7[207]](_0xfb0bx2[_0xfb0bx4])}};return _0xfb0bx3},indexOfStylename:function(_0xfb0bx2,_0xfb0bx3){if(null!=_0xfb0bx2&&null!=_0xfb0bx3){for(var _0xfb0bx4=_0xfb0bx2[_0x7bc7[224]](_0x7bc7[471]),_0xfb0bx5=0,_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx4[_0x7bc7[67]];_0xfb0bx9++){if(_0xfb0bx4[_0xfb0bx9]==_0xfb0bx3){return _0xfb0bx5};_0xfb0bx5+=_0xfb0bx4[_0xfb0bx9][_0x7bc7[67]]+1}};return -1},addStylename:function(_0xfb0bx2,_0xfb0bx3){0>mxUtils[_0x7bc7[472]](_0xfb0bx2,_0xfb0bx3)&&(null==_0xfb0bx2?_0xfb0bx2=_0x7bc7[110]:0<_0xfb0bx2[_0x7bc7[67]]&&_0x7bc7[471]!=_0xfb0bx2[_0x7bc7[225]](_0xfb0bx2[_0x7bc7[67]]-1)&&(_0xfb0bx2+=_0x7bc7[471]),_0xfb0bx2+=_0xfb0bx3);return _0xfb0bx2},removeStylename:function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=[];if(null!=_0xfb0bx2){for(var _0xfb0bx5=_0xfb0bx2[_0x7bc7[224]](_0x7bc7[471]),_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx5[_0x7bc7[67]];_0xfb0bx9++){_0xfb0bx5[_0xfb0bx9]!=_0xfb0bx3&&_0xfb0bx4[_0x7bc7[207]](_0xfb0bx5[_0xfb0bx9])}};return _0xfb0bx4[_0x7bc7[234]](_0x7bc7[471])},removeAllStylenames:function(_0xfb0bx2){var _0xfb0bx3=[];if(null!=_0xfb0bx2){_0xfb0bx2=_0xfb0bx2[_0x7bc7[224]](_0x7bc7[471]);for(var _0xfb0bx4=0;_0xfb0bx4<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx4++){0<=_0xfb0bx2[_0xfb0bx4][_0x7bc7[2]](_0x7bc7[226])&&_0xfb0bx3[_0x7bc7[207]](_0xfb0bx2[_0xfb0bx4])}};return _0xfb0bx3[_0x7bc7[234]](_0x7bc7[471])},setCellStyles:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){if(null!=_0xfb0bx3&&0<_0xfb0bx3[_0x7bc7[67]]){_0xfb0bx2[_0x7bc7[473]]();try{for(var _0xfb0bx9=0;_0xfb0bx9<_0xfb0bx3[_0x7bc7[67]];_0xfb0bx9++){if(null!=_0xfb0bx3[_0xfb0bx9]){var _0xfb0bxa=mxUtils[_0x7bc7[475]](_0xfb0bx2[_0x7bc7[474]](_0xfb0bx3[_0xfb0bx9]),_0xfb0bx4,_0xfb0bx5);_0xfb0bx2[_0x7bc7[475]](_0xfb0bx3[_0xfb0bx9],_0xfb0bxa)}}}finally{_0xfb0bx2[_0x7bc7[476]]()}}},setStyle:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=null!=_0xfb0bx4&&(_0x7bc7[82]== typeof _0xfb0bx4[_0x7bc7[67]]||0<_0xfb0bx4[_0x7bc7[67]]);if(null==_0xfb0bx2||0==_0xfb0bx2[_0x7bc7[67]]){_0xfb0bx5&&(_0xfb0bx2=_0xfb0bx3+_0x7bc7[226]+_0xfb0bx4)}else {var _0xfb0bx9=_0xfb0bx2[_0x7bc7[2]](_0xfb0bx3+_0x7bc7[226]);0>_0xfb0bx9?_0xfb0bx5&&(_0xfb0bx5=_0x7bc7[471]==_0xfb0bx2[_0x7bc7[225]](_0xfb0bx2[_0x7bc7[67]]-1)?_0x7bc7[110]:_0x7bc7[471],_0xfb0bx2=_0xfb0bx2+_0xfb0bx5+_0xfb0bx3+_0x7bc7[226]+_0xfb0bx4):(_0xfb0bx3=_0xfb0bx5?_0xfb0bx3+_0x7bc7[226]+_0xfb0bx4:_0x7bc7[110],_0xfb0bx4=_0xfb0bx2[_0x7bc7[2]](_0x7bc7[471],_0xfb0bx9),_0xfb0bx5||_0xfb0bx4++,_0xfb0bx2=_0xfb0bx2[_0x7bc7[85]](0,_0xfb0bx9)+_0xfb0bx3+(_0xfb0bx4>_0xfb0bx9?_0xfb0bx2[_0x7bc7[85]](_0xfb0bx4):_0x7bc7[110]))};return _0xfb0bx2},setCellStyleFlags:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){if(null!=_0xfb0bx3&&0<_0xfb0bx3[_0x7bc7[67]]){_0xfb0bx2[_0x7bc7[473]]();try{for(var _0xfb0bxa=0;_0xfb0bxa<_0xfb0bx3[_0x7bc7[67]];_0xfb0bxa++){if(null!=_0xfb0bx3[_0xfb0bxa]){var _0xfb0bx12=mxUtils[_0x7bc7[477]](_0xfb0bx2[_0x7bc7[474]](_0xfb0bx3[_0xfb0bxa]),_0xfb0bx4,_0xfb0bx5,_0xfb0bx9);_0xfb0bx2[_0x7bc7[475]](_0xfb0bx3[_0xfb0bxa],_0xfb0bx12)}}}finally{_0xfb0bx2[_0x7bc7[476]]()}}},setStyleFlag:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){if(null==_0xfb0bx2||0==_0xfb0bx2[_0x7bc7[67]]){_0xfb0bx2=_0xfb0bx5||null==_0xfb0bx5?_0xfb0bx3+_0x7bc7[226]+_0xfb0bx4:_0xfb0bx3+_0x7bc7[478]}else {var _0xfb0bx9=_0xfb0bx2[_0x7bc7[2]](_0xfb0bx3+_0x7bc7[226]);if(0>_0xfb0bx9){_0xfb0bx9=_0x7bc7[471]==_0xfb0bx2[_0x7bc7[225]](_0xfb0bx2[_0x7bc7[67]]-1)?_0x7bc7[110]:_0x7bc7[471],_0xfb0bx2=_0xfb0bx5||null==_0xfb0bx5?_0xfb0bx2+_0xfb0bx9+_0xfb0bx3+_0x7bc7[226]+_0xfb0bx4:_0xfb0bx2+_0xfb0bx9+_0xfb0bx3+_0x7bc7[478]}else {var _0xfb0bxa=_0xfb0bx2[_0x7bc7[2]](_0x7bc7[471],_0xfb0bx9),_0xfb0bx12=_0x7bc7[110],_0xfb0bx12=0>_0xfb0bxa?_0xfb0bx2[_0x7bc7[85]](_0xfb0bx9+_0xfb0bx3[_0x7bc7[67]]+1):_0xfb0bx2[_0x7bc7[85]](_0xfb0bx9+_0xfb0bx3[_0x7bc7[67]]+1,_0xfb0bxa),_0xfb0bx12=null==_0xfb0bx5?parseInt(_0xfb0bx12)^_0xfb0bx4:_0xfb0bx5?parseInt(_0xfb0bx12)|_0xfb0bx4:parseInt(_0xfb0bx12)& ~_0xfb0bx4;_0xfb0bx2=_0xfb0bx2[_0x7bc7[85]](0,_0xfb0bx9)+_0xfb0bx3+_0x7bc7[226]+_0xfb0bx12+(0<=_0xfb0bxa?_0xfb0bx2[_0x7bc7[85]](_0xfb0bxa):_0x7bc7[110])}};return _0xfb0bx2},getAlignmentAsPoint:function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=0,_0xfb0bx5=0;_0xfb0bx2==mxConstants[_0x7bc7[479]]?_0xfb0bx4= -0.5:_0xfb0bx2==mxConstants[_0x7bc7[480]]&&(_0xfb0bx4= -1);_0xfb0bx3==mxConstants[_0x7bc7[481]]?_0xfb0bx5= -0.5:_0xfb0bx3==mxConstants[_0x7bc7[482]]&&(_0xfb0bx5= -1);return new mxPoint(_0xfb0bx4,_0xfb0bx5)},getSizeForString:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx3=null!=_0xfb0bx3?_0xfb0bx3:mxConstants[_0x7bc7[483]];_0xfb0bx4=null!=_0xfb0bx4?_0xfb0bx4:mxConstants[_0x7bc7[484]];var _0xfb0bx5=document[_0x7bc7[55]](_0x7bc7[485]);_0xfb0bx5[_0x7bc7[124]][_0x7bc7[486]]=_0xfb0bx4;_0xfb0bx5[_0x7bc7[124]][_0x7bc7[487]]=Math[_0x7bc7[488]](_0xfb0bx3)+_0x7bc7[168];_0xfb0bx5[_0x7bc7[124]][_0x7bc7[489]]=Math[_0x7bc7[488]](_0xfb0bx3*mxConstants[_0x7bc7[490]])+_0x7bc7[168];_0xfb0bx5[_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[492];_0xfb0bx5[_0x7bc7[124]][_0x7bc7[493]]=_0x7bc7[494];_0xfb0bx5[_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[188];_0xfb0bx5[_0x7bc7[124]][_0x7bc7[495]]=mxClient[_0x7bc7[496]]?_0x7bc7[497]:_0x7bc7[498];_0xfb0bx5[_0x7bc7[124]][_0x7bc7[499]]=_0x7bc7[500];_0xfb0bx5[_0x7bc7[339]]=_0xfb0bx2;document[_0x7bc7[112]][_0x7bc7[62]](_0xfb0bx5);_0xfb0bx2= new mxRectangle(0,0,_0xfb0bx5[_0x7bc7[359]],_0xfb0bx5[_0x7bc7[167]]);document[_0x7bc7[112]][_0x7bc7[266]](_0xfb0bx5);return _0xfb0bx2},getViewXml:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0xfb0bx5=null!=_0xfb0bx5?_0xfb0bx5:0;_0xfb0bx9=null!=_0xfb0bx9?_0xfb0bx9:0;_0xfb0bx3=null!=_0xfb0bx3?_0xfb0bx3:1;null==_0xfb0bx4&&(_0xfb0bx4=[_0xfb0bx2[_0x7bc7[502]]()[_0x7bc7[501]]()]);var _0xfb0bxa=_0xfb0bx2[_0x7bc7[249]](),_0xfb0bx12=null,_0xfb0bx13=_0xfb0bxa[_0x7bc7[503]]();_0xfb0bxa[_0x7bc7[504]](!1);var _0xfb0bx14=_0xfb0bxa[_0x7bc7[505]],_0xfb0bx15=_0xfb0bxa[_0x7bc7[506]];_0xfb0bx2[_0x7bc7[507]]==mxConstants[_0x7bc7[508]]?(_0xfb0bxa[_0x7bc7[505]]=document[_0x7bc7[509]](mxConstants.NS_SVG,_0x7bc7[449]),_0xfb0bxa[_0x7bc7[510]][_0x7bc7[62]](_0xfb0bxa[_0x7bc7[505]]),_0xfb0bxa[_0x7bc7[506]]=document[_0x7bc7[509]](mxConstants.NS_SVG,_0x7bc7[449])):(_0xfb0bxa[_0x7bc7[505]]=_0xfb0bxa[_0x7bc7[505]][_0x7bc7[511]](!1),_0xfb0bxa[_0x7bc7[510]][_0x7bc7[62]](_0xfb0bxa[_0x7bc7[505]]),_0xfb0bxa[_0x7bc7[506]]=_0xfb0bxa[_0x7bc7[506]][_0x7bc7[511]](!1));_0xfb0bxa[_0x7bc7[510]][_0x7bc7[62]](_0xfb0bxa[_0x7bc7[506]]);var _0xfb0bx16=_0xfb0bxa[_0x7bc7[512]]();_0xfb0bxa[_0x7bc7[513]]= new mxPoint(_0xfb0bx5,_0xfb0bx9);_0xfb0bx3= new mxTemporaryCellStates(_0xfb0bx2[_0x7bc7[249]](),_0xfb0bx3,_0xfb0bx4);try{_0xfb0bx12=( new mxCodec)[_0x7bc7[514]](_0xfb0bx2[_0x7bc7[249]]())}finally{_0xfb0bx3[_0x7bc7[515]](),_0xfb0bxa[_0x7bc7[513]]=_0xfb0bx16,_0xfb0bxa[_0x7bc7[510]][_0x7bc7[266]](_0xfb0bxa[_0x7bc7[505]]),_0xfb0bxa[_0x7bc7[510]][_0x7bc7[266]](_0xfb0bxa[_0x7bc7[506]]),_0xfb0bxa[_0x7bc7[505]]=_0xfb0bx14,_0xfb0bxa[_0x7bc7[506]]=_0xfb0bx15,_0xfb0bxa[_0x7bc7[504]](_0xfb0bx13)};return _0xfb0bx12},getScaleForPageCount:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){if(1>_0xfb0bx2){return 1};_0xfb0bx4=null!=_0xfb0bx4?_0xfb0bx4:mxConstants[_0x7bc7[516]];_0xfb0bx5=null!=_0xfb0bx5?_0xfb0bx5:0;var _0xfb0bx9=_0xfb0bx4[_0x7bc7[117]]-2*_0xfb0bx5;_0xfb0bx4=_0xfb0bx4[_0x7bc7[119]]-2*_0xfb0bx5;_0xfb0bx5=_0xfb0bx3[_0x7bc7[517]]()[_0x7bc7[238]]();_0xfb0bx3=_0xfb0bx3[_0x7bc7[249]]()[_0x7bc7[518]]();_0xfb0bx5[_0x7bc7[117]]/=_0xfb0bx3;_0xfb0bx5[_0x7bc7[119]]/=_0xfb0bx3;_0xfb0bx3=_0xfb0bx5[_0x7bc7[117]];_0xfb0bx4=_0xfb0bx3/_0xfb0bx5[_0x7bc7[119]]/(_0xfb0bx9/_0xfb0bx4);_0xfb0bx5=Math[_0x7bc7[428]](_0xfb0bx2);var _0xfb0bxa=Math[_0x7bc7[428]](_0xfb0bx4);_0xfb0bx4=_0xfb0bx5*_0xfb0bxa;_0xfb0bx5/=_0xfb0bxa;if(1>_0xfb0bx4&&_0xfb0bx5>_0xfb0bx2){var _0xfb0bx12=_0xfb0bx5/_0xfb0bx2;_0xfb0bx5=_0xfb0bx2;_0xfb0bx4/=_0xfb0bx12};1>_0xfb0bx5&&_0xfb0bx4>_0xfb0bx2&&(_0xfb0bx12=_0xfb0bx4/_0xfb0bx2,_0xfb0bx4=_0xfb0bx2,_0xfb0bx5/=_0xfb0bx12);_0xfb0bx12=Math[_0x7bc7[430]](_0xfb0bx4)*Math[_0x7bc7[430]](_0xfb0bx5);for(_0xfb0bxa=0;_0xfb0bx12>_0xfb0bx2;){var _0xfb0bx12=Math[_0x7bc7[519]](_0xfb0bx4)/_0xfb0bx4,_0xfb0bx13=Math[_0x7bc7[519]](_0xfb0bx5)/_0xfb0bx5;1==_0xfb0bx12&&(_0xfb0bx12=Math[_0x7bc7[519]](_0xfb0bx4-1)/_0xfb0bx4);1==_0xfb0bx13&&(_0xfb0bx13=Math[_0x7bc7[519]](_0xfb0bx5-1)/_0xfb0bx5);_0xfb0bx12=_0xfb0bx12>_0xfb0bx13?_0xfb0bx12:_0xfb0bx13;_0xfb0bx4*=_0xfb0bx12;_0xfb0bx5*=_0xfb0bx12;_0xfb0bx12=Math[_0x7bc7[430]](_0xfb0bx4)*Math[_0x7bc7[430]](_0xfb0bx5);_0xfb0bxa++;if(10<_0xfb0bxa){break}};return 0.99999*(_0xfb0bx9*_0xfb0bx4/_0xfb0bx3)},show:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){_0xfb0bx4=null!=_0xfb0bx4?_0xfb0bx4:0;_0xfb0bx5=null!=_0xfb0bx5?_0xfb0bx5:0;null==_0xfb0bx3?_0xfb0bx3=window[_0x7bc7[392]]()[_0x7bc7[395]]:_0xfb0bx3[_0x7bc7[392]]();var _0xfb0bx9=_0xfb0bx2[_0x7bc7[517]]();_0xfb0bx4=-_0xfb0bx9[_0x7bc7[235]]+_0xfb0bx4;_0xfb0bx5=-_0xfb0bx9[_0x7bc7[236]]+_0xfb0bx5;if(mxClient[_0x7bc7[80]]){for(var _0xfb0bx9=_0x7bc7[520],_0xfb0bxa=document[_0x7bc7[64]](_0x7bc7[521]),_0xfb0bx12=0;_0xfb0bx12<_0xfb0bxa[_0x7bc7[67]];_0xfb0bx12++){_0xfb0bx9+=_0xfb0bxa[_0xfb0bx12][_0x7bc7[342]]};_0xfb0bx9+=_0x7bc7[522];for(_0xfb0bx12=0;_0xfb0bx12document[_0x7bc7[5]])?function(_0xfb0bx2){mxEvent[_0x7bc7[169]](_0xfb0bx2,_0x7bc7[768],function(){return !1})}:function(_0xfb0bx2){_0xfb0bx2[_0x7bc7[57]](_0x7bc7[769],_0x7bc7[770])}}(),getSource:function(_0xfb0bx2){return null!=_0xfb0bx2[_0x7bc7[771]]?_0xfb0bx2[_0x7bc7[771]]:_0xfb0bx2[_0x7bc7[772]]},isConsumed:function(_0xfb0bx2){return null!=_0xfb0bx2[_0x7bc7[721]]&&_0xfb0bx2[_0x7bc7[721]]},isLeftMouseButton:function(_0xfb0bx2){return _0xfb0bx2[_0x7bc7[172]]==(mxClient[_0x7bc7[80]]&&(_0x7bc7[82]=== typeof document[_0x7bc7[5]]||9>document[_0x7bc7[5]])?1:0)},isRightMouseButton:function(_0xfb0bx2){return 2==_0xfb0bx2[_0x7bc7[172]]},isPopupTrigger:function(_0xfb0bx2){return mxEvent[_0x7bc7[773]](_0xfb0bx2)||mxEvent[_0x7bc7[774]](_0xfb0bx2)&&!mxEvent[_0x7bc7[775]](_0xfb0bx2)},isShiftDown:function(_0xfb0bx2){return null!=_0xfb0bx2?_0xfb0bx2[_0x7bc7[776]]:!1},isAltDown:function(_0xfb0bx2){return null!=_0xfb0bx2?_0xfb0bx2[_0x7bc7[777]]:!1},isControlDown:function(_0xfb0bx2){return null!=_0xfb0bx2?_0xfb0bx2[_0x7bc7[778]]:!1},isMetaDown:function(_0xfb0bx2){return null!=_0xfb0bx2?_0xfb0bx2[_0x7bc7[779]]:!1},getMainEvent:function(_0xfb0bx2){(_0x7bc7[755]==_0xfb0bx2[_0x7bc7[60]]||_0x7bc7[756]==_0xfb0bx2[_0x7bc7[60]])&&null!=_0xfb0bx2[_0x7bc7[780]]&&null!=_0xfb0bx2[_0x7bc7[780]][0]?_0xfb0bx2=_0xfb0bx2[_0x7bc7[780]][0]:_0x7bc7[757]==_0xfb0bx2[_0x7bc7[60]]&&(null!=_0xfb0bx2[_0x7bc7[781]]&&null!=_0xfb0bx2[_0x7bc7[781]][0])&&(_0xfb0bx2=_0xfb0bx2[_0x7bc7[781]][0]);return _0xfb0bx2},getClientX:function(_0xfb0bx2){return mxEvent[_0x7bc7[783]](_0xfb0bx2)[_0x7bc7[782]]},getClientY:function(_0xfb0bx2){return mxEvent[_0x7bc7[783]](_0xfb0bx2)[_0x7bc7[784]]},consume:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx4=null!=_0xfb0bx4?_0xfb0bx4:!0;if(null!=_0xfb0bx3?_0xfb0bx3:1){_0xfb0bx2[_0x7bc7[738]]?(_0xfb0bx4&&_0xfb0bx2[_0x7bc7[785]](),_0xfb0bx2[_0x7bc7[738]]()):_0xfb0bx4&&(_0xfb0bx2[_0x7bc7[786]]= !0)};_0xfb0bx2[_0x7bc7[721]]= !0;_0xfb0bx2[_0x7bc7[739]]= !1},LABEL_HANDLE:-1,ROTATION_HANDLE:-2,MOUSE_DOWN:_0x7bc7[787],MOUSE_MOVE:_0x7bc7[788],MOUSE_UP:_0x7bc7[789],ACTIVATE:_0x7bc7[790],RESIZE_START:_0x7bc7[791],RESIZE:_0x7bc7[129],RESIZE_END:_0x7bc7[792],MOVE_START:_0x7bc7[793],MOVE:_0x7bc7[582],MOVE_END:_0x7bc7[794],PAN_START:_0x7bc7[795],PAN:_0x7bc7[796],PAN_END:_0x7bc7[797],MINIMIZE:_0x7bc7[798],NORMALIZE:_0x7bc7[799],MAXIMIZE:_0x7bc7[800],HIDE:_0x7bc7[801],SHOW:_0x7bc7[539],CLOSE:_0x7bc7[268],DESTROY:_0x7bc7[515],REFRESH:_0x7bc7[802],SIZE:_0x7bc7[803],SELECT:_0x7bc7[804],FIRED:_0x7bc7[805],GET:_0x7bc7[203],RECEIVE:_0x7bc7[806],CONNECT:_0x7bc7[807],DISCONNECT:_0x7bc7[808],SUSPEND:_0x7bc7[809],RESUME:_0x7bc7[810],MARK:_0x7bc7[811],SESSION:_0x7bc7[812],ROOT:_0x7bc7[813],POST:_0x7bc7[814],OPEN:_0x7bc7[392],SAVE:_0x7bc7[815],BEFORE_ADD_VERTEX:_0x7bc7[816],ADD_VERTEX:_0x7bc7[817],AFTER_ADD_VERTEX:_0x7bc7[818],DONE:_0x7bc7[819],EXECUTE:_0x7bc7[350],EXECUTED:_0x7bc7[820],BEGIN_UPDATE:_0x7bc7[473],START_EDIT:_0x7bc7[821],END_UPDATE:_0x7bc7[476],END_EDIT:_0x7bc7[822],BEFORE_UNDO:_0x7bc7[823],UNDO:_0x7bc7[824],REDO:_0x7bc7[825],CHANGE:_0x7bc7[826],NOTIFY:_0x7bc7[827],LAYOUT_CELLS:_0x7bc7[828],CLICK:_0x7bc7[173],SCALE:_0x7bc7[255],TRANSLATE:_0x7bc7[513],SCALE_AND_TRANSLATE:_0x7bc7[829],UP:_0x7bc7[830],DOWN:_0x7bc7[831],ADD:_0x7bc7[99],REMOVE:_0x7bc7[205],CLEAR:_0x7bc7[200],ADD_CELLS:_0x7bc7[832],CELLS_ADDED:_0x7bc7[833],MOVE_CELLS:_0x7bc7[834],CELLS_MOVED:_0x7bc7[835],RESIZE_CELLS:_0x7bc7[836],CELLS_RESIZED:_0x7bc7[837],TOGGLE_CELLS:_0x7bc7[838],CELLS_TOGGLED:_0x7bc7[839],ORDER_CELLS:_0x7bc7[840],CELLS_ORDERED:_0x7bc7[841],REMOVE_CELLS:_0x7bc7[842],CELLS_REMOVED:_0x7bc7[843],GROUP_CELLS:_0x7bc7[844],UNGROUP_CELLS:_0x7bc7[845],REMOVE_CELLS_FROM_PARENT:_0x7bc7[846],FOLD_CELLS:_0x7bc7[847],CELLS_FOLDED:_0x7bc7[848],ALIGN_CELLS:_0x7bc7[849],LABEL_CHANGED:_0x7bc7[850],CONNECT_CELL:_0x7bc7[851],CELL_CONNECTED:_0x7bc7[852],SPLIT_EDGE:_0x7bc7[853],FLIP_EDGE:_0x7bc7[854],START_EDITING:_0x7bc7[855],ADD_OVERLAY:_0x7bc7[856],REMOVE_OVERLAY:_0x7bc7[857],UPDATE_CELL_SIZE:_0x7bc7[858],ESCAPE:_0x7bc7[859],CLICK:_0x7bc7[173],DOUBLE_CLICK:_0x7bc7[860],START:_0x7bc7[861],RESET:_0x7bc7[862]};function mxXmlRequest(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){this[_0x7bc7[863]]=_0xfb0bx2;this[_0x7bc7[864]]=_0xfb0bx3;this[_0x7bc7[865]]=_0xfb0bx4||_0x7bc7[866];this[_0x7bc7[307]]=null!=_0xfb0bx5?_0xfb0bx5:!0;this[_0x7bc7[867]]=_0xfb0bx9;this[_0x7bc7[868]]=_0xfb0bxa}mxXmlRequest[_0x7bc7[202]][_0x7bc7[863]]=null;mxXmlRequest[_0x7bc7[202]][_0x7bc7[864]]=null;mxXmlRequest[_0x7bc7[202]][_0x7bc7[865]]=null;mxXmlRequest[_0x7bc7[202]][_0x7bc7[307]]=null;mxXmlRequest[_0x7bc7[202]][_0x7bc7[869]]= !1;mxXmlRequest[_0x7bc7[202]][_0x7bc7[867]]=null;mxXmlRequest[_0x7bc7[202]][_0x7bc7[868]]=null;mxXmlRequest[_0x7bc7[202]][_0x7bc7[870]]=null;mxXmlRequest[_0x7bc7[202]][_0x7bc7[871]]=function(){return this[_0x7bc7[869]]};mxXmlRequest[_0x7bc7[202]][_0x7bc7[872]]=function(_0xfb0bx2){this[_0x7bc7[869]]=_0xfb0bx2};mxXmlRequest[_0x7bc7[202]][_0x7bc7[221]]=function(){return this[_0x7bc7[870]][_0x7bc7[873]]};mxXmlRequest[_0x7bc7[202]][_0x7bc7[220]]=function(){return 4==this[_0x7bc7[870]][_0x7bc7[417]]};mxXmlRequest[_0x7bc7[202]][_0x7bc7[874]]=function(){var _0xfb0bx2=this[_0x7bc7[875]]();return null!=_0xfb0bx2?_0xfb0bx2[_0x7bc7[158]]:null};mxXmlRequest[_0x7bc7[202]][_0x7bc7[875]]=function(){var _0xfb0bx2=this[_0x7bc7[870]][_0x7bc7[876]];if(9<=document[_0x7bc7[5]]||null==_0xfb0bx2||null==_0xfb0bx2[_0x7bc7[158]]){_0xfb0bx2=mxUtils[_0x7bc7[877]](this[_0x7bc7[870]][_0x7bc7[873]])};return _0xfb0bx2};mxXmlRequest[_0x7bc7[202]][_0x7bc7[221]]=function(){return this[_0x7bc7[870]][_0x7bc7[873]]};mxXmlRequest[_0x7bc7[202]][_0x7bc7[878]]=function(){return this[_0x7bc7[870]][_0x7bc7[879]]};mxXmlRequest[_0x7bc7[202]][_0x7bc7[385]]=function(){if(window[_0x7bc7[880]]){return function(){var _0xfb0bx2= new XMLHttpRequest;this[_0x7bc7[871]]()&&_0xfb0bx2[_0x7bc7[881]]&&_0xfb0bx2[_0x7bc7[881]](_0x7bc7[882]);return _0xfb0bx2}};if(_0x7bc7[82]!= typeof ActiveXObject){return function(){return new ActiveXObject(_0x7bc7[883])}}}();mxXmlRequest[_0x7bc7[202]][_0x7bc7[414]]=function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[870]]=this[_0x7bc7[385]]();null!=this[_0x7bc7[870]]&&(null!=_0xfb0bx2&&(this[_0x7bc7[870]][_0x7bc7[416]]=mxUtils[_0x7bc7[885]](this,function(){this[_0x7bc7[220]]()&&(_0xfb0bx2(this),this[_0x7bc7[884]]=null)})),this[_0x7bc7[870]][_0x7bc7[392]](this[_0x7bc7[865]],this[_0x7bc7[863]],this[_0x7bc7[307]],this[_0x7bc7[867]],this[_0x7bc7[868]]),this[_0x7bc7[886]](this[_0x7bc7[870]],this[_0x7bc7[864]]),this[_0x7bc7[870]][_0x7bc7[414]](this[_0x7bc7[864]]))};mxXmlRequest[_0x7bc7[202]][_0x7bc7[886]]=function(_0xfb0bx2,_0xfb0bx3){null!=_0xfb0bx3&&_0xfb0bx2[_0x7bc7[889]](_0x7bc7[887],_0x7bc7[888])};mxXmlRequest[_0x7bc7[202]][_0x7bc7[415]]=function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx2=_0xfb0bx2||document;var _0xfb0bx4=null;_0xfb0bx2==document&&(_0xfb0bx4=window[_0x7bc7[890]],window[_0x7bc7[890]]=null);var _0xfb0bx5=_0xfb0bx2[_0x7bc7[55]](_0x7bc7[891]);_0xfb0bx5[_0x7bc7[57]](_0x7bc7[865],this[_0x7bc7[865]]);_0xfb0bx5[_0x7bc7[57]](_0x7bc7[892],this[_0x7bc7[863]]);null!=_0xfb0bx3&&_0xfb0bx5[_0x7bc7[57]](_0x7bc7[772],_0xfb0bx3);_0xfb0bx5[_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[130];_0xfb0bx5[_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[188];for(var _0xfb0bx9=0navigator[_0x7bc7[3]][_0x7bc7[2]](_0x7bc7[186])&&(this[_0x7bc7[929]][_0x7bc7[124]][_0x7bc7[277]]=_0xfb0bx2?_0x7bc7[278]:_0x7bc7[188])};mxWindow[_0x7bc7[202]][_0x7bc7[790]]=function(){if(mxWindow[_0x7bc7[930]]!=this){var _0xfb0bx2=mxUtils[_0x7bc7[275]](this[_0x7bc7[166]]()),_0xfb0bx2=null!=_0xfb0bx2?_0xfb0bx2[_0x7bc7[931]]:3;if(mxWindow[_0x7bc7[930]]){var _0xfb0bx3=mxWindow[_0x7bc7[930]][_0x7bc7[166]]();null!=_0xfb0bx3&&null!=_0xfb0bx3[_0x7bc7[124]]&&(_0xfb0bx3[_0x7bc7[124]][_0x7bc7[931]]=_0xfb0bx2)};_0xfb0bx3=mxWindow[_0x7bc7[930]];this[_0x7bc7[166]]()[_0x7bc7[124]][_0x7bc7[931]]=parseInt(_0xfb0bx2)+1;mxWindow[_0x7bc7[930]]=this;this[_0x7bc7[746]]( new mxEventObject(mxEvent.ACTIVATE,_0x7bc7[932],_0xfb0bx3))}};mxWindow[_0x7bc7[202]][_0x7bc7[166]]=function(){return this[_0x7bc7[485]]};mxWindow[_0x7bc7[202]][_0x7bc7[933]]=function(){mxUtils[_0x7bc7[933]](this[_0x7bc7[485]])};mxWindow[_0x7bc7[202]][_0x7bc7[934]]=function(){return null!=this[_0x7bc7[129]]?_0x7bc7[130]!=this[_0x7bc7[129]][_0x7bc7[124]][_0x7bc7[495]]:!1};mxWindow[_0x7bc7[202]][_0x7bc7[163]]=function(_0xfb0bx2){_0xfb0bx2?null==this[_0x7bc7[129]]?(this[_0x7bc7[129]]=document[_0x7bc7[55]](_0x7bc7[466]),this[_0x7bc7[129]][_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[492],this[_0x7bc7[129]][_0x7bc7[124]][_0x7bc7[553]]=_0x7bc7[935],this[_0x7bc7[129]][_0x7bc7[124]][_0x7bc7[699]]=_0x7bc7[935],this[_0x7bc7[129]][_0x7bc7[57]](_0x7bc7[390],mxClient[_0x7bc7[88]]+_0x7bc7[922]),this[_0x7bc7[129]][_0x7bc7[124]][_0x7bc7[270]]=_0x7bc7[936],mxEvent[_0x7bc7[759]](this[_0x7bc7[129]],mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){this[_0x7bc7[790]]();var _0xfb0bx4=mxEvent[_0x7bc7[731]](_0xfb0bx2),_0xfb0bx5=mxEvent[_0x7bc7[733]](_0xfb0bx2),_0xfb0bx9=this[_0x7bc7[485]][_0x7bc7[359]],_0xfb0bxa=this[_0x7bc7[485]][_0x7bc7[167]],_0xfb0bx12=mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){var _0xfb0bx3=mxEvent[_0x7bc7[731]](_0xfb0bx2)-_0xfb0bx4,_0xfb0bx12=mxEvent[_0x7bc7[733]](_0xfb0bx2)-_0xfb0bx5;this[_0x7bc7[937]](_0xfb0bx9+_0xfb0bx3,_0xfb0bxa+_0xfb0bx12);this[_0x7bc7[746]]( new mxEventObject(mxEvent.RESIZE,_0x7bc7[763],_0xfb0bx2));mxEvent[_0x7bc7[722]](_0xfb0bx2)}),_0xfb0bx13=mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){mxEvent[_0x7bc7[938]](document,null,_0xfb0bx12,_0xfb0bx13);this[_0x7bc7[746]]( new mxEventObject(mxEvent.RESIZE_END,_0x7bc7[763],_0xfb0bx2));mxEvent[_0x7bc7[722]](_0xfb0bx2)});mxEvent[_0x7bc7[759]](document,null,_0xfb0bx12,_0xfb0bx13);this[_0x7bc7[746]]( new mxEventObject(mxEvent.RESIZE_START,_0x7bc7[763],_0xfb0bx2));mxEvent[_0x7bc7[722]](_0xfb0bx2)}),null,null),this[_0x7bc7[485]][_0x7bc7[62]](this[_0x7bc7[129]])):this[_0x7bc7[129]][_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[497]:null!=this[_0x7bc7[129]]&&(this[_0x7bc7[129]][_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[130])};mxWindow[_0x7bc7[202]][_0x7bc7[937]]=function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx2=Math[_0x7bc7[160]](this[_0x7bc7[923]][_0x7bc7[117]],_0xfb0bx2);_0xfb0bx3=Math[_0x7bc7[160]](this[_0x7bc7[923]][_0x7bc7[119]],_0xfb0bx3);mxClient[_0x7bc7[80]]||(this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[117]]=_0xfb0bx2+_0x7bc7[168],this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[119]]=_0xfb0bx3+_0x7bc7[168]);this[_0x7bc7[116]][_0x7bc7[124]][_0x7bc7[117]]=_0xfb0bx2+_0x7bc7[168];this[_0x7bc7[116]][_0x7bc7[124]][_0x7bc7[119]]=_0xfb0bx3+_0x7bc7[168];mxClient[_0x7bc7[80]]||(this[_0x7bc7[929]][_0x7bc7[124]][_0x7bc7[119]]=this[_0x7bc7[485]][_0x7bc7[167]]-this[_0x7bc7[924]][_0x7bc7[167]]-2+_0x7bc7[168])};mxWindow[_0x7bc7[202]][_0x7bc7[909]]=function(_0xfb0bx2){this[_0x7bc7[798]][_0x7bc7[124]][_0x7bc7[495]]=_0xfb0bx2?_0x7bc7[110]:_0x7bc7[130]};mxWindow[_0x7bc7[202]][_0x7bc7[939]]=function(){return new mxRectangle(0,0,0,this[_0x7bc7[924]][_0x7bc7[167]])};mxWindow[_0x7bc7[202]][_0x7bc7[907]]=function(){this[_0x7bc7[798]]=document[_0x7bc7[55]](_0x7bc7[466]);this[_0x7bc7[798]][_0x7bc7[57]](_0x7bc7[390],this[_0x7bc7[915]]);this[_0x7bc7[798]][_0x7bc7[57]](_0x7bc7[611],_0x7bc7[699]);this[_0x7bc7[798]][_0x7bc7[57]](_0x7bc7[924],_0x7bc7[940]);this[_0x7bc7[798]][_0x7bc7[124]][_0x7bc7[270]]=_0x7bc7[356];this[_0x7bc7[798]][_0x7bc7[124]][_0x7bc7[941]]=_0x7bc7[942];this[_0x7bc7[798]][_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[130];this[_0x7bc7[924]][_0x7bc7[62]](this[_0x7bc7[798]]);var _0xfb0bx2=!1,_0xfb0bx3=null,_0xfb0bx4=null,_0xfb0bx5=mxUtils[_0x7bc7[885]](this,function(_0xfb0bx5){this[_0x7bc7[790]]();if(_0xfb0bx2){_0xfb0bx2= !1,this[_0x7bc7[798]][_0x7bc7[57]](_0x7bc7[390],this[_0x7bc7[915]]),this[_0x7bc7[798]][_0x7bc7[57]](_0x7bc7[924],_0x7bc7[940]),this[_0x7bc7[929]][_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[110],this[_0x7bc7[800]][_0x7bc7[124]][_0x7bc7[495]]=_0xfb0bx3,mxClient[_0x7bc7[80]]||(this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[119]]=_0xfb0bx4),this[_0x7bc7[116]][_0x7bc7[124]][_0x7bc7[119]]=_0xfb0bx4,null!=this[_0x7bc7[129]]&&(this[_0x7bc7[129]][_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[110]),this[_0x7bc7[746]]( new mxEventObject(mxEvent.NORMALIZE,_0x7bc7[763],_0xfb0bx5))}else {_0xfb0bx2= !0;this[_0x7bc7[798]][_0x7bc7[57]](_0x7bc7[390],this[_0x7bc7[917]]);this[_0x7bc7[798]][_0x7bc7[57]](_0x7bc7[924],_0x7bc7[943]);this[_0x7bc7[929]][_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[130];_0xfb0bx3=this[_0x7bc7[800]][_0x7bc7[124]][_0x7bc7[495]];this[_0x7bc7[800]][_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[130];_0xfb0bx4=this[_0x7bc7[116]][_0x7bc7[124]][_0x7bc7[119]];var _0xfb0bxa=this[_0x7bc7[939]]();0<_0xfb0bxa[_0x7bc7[119]]&&(mxClient[_0x7bc7[80]]||(this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[119]]=_0xfb0bxa[_0x7bc7[119]]+_0x7bc7[168]),this[_0x7bc7[116]][_0x7bc7[124]][_0x7bc7[119]]=_0xfb0bxa[_0x7bc7[119]]+_0x7bc7[168]);0<_0xfb0bxa[_0x7bc7[117]]&&(mxClient[_0x7bc7[80]]||(this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[117]]=_0xfb0bxa[_0x7bc7[117]]+_0x7bc7[168]),this[_0x7bc7[116]][_0x7bc7[124]][_0x7bc7[117]]=_0xfb0bxa[_0x7bc7[117]]+_0x7bc7[168]);null!=this[_0x7bc7[129]]&&(this[_0x7bc7[129]][_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[188]);this[_0x7bc7[746]]( new mxEventObject(mxEvent.MINIMIZE,_0x7bc7[763],_0xfb0bx5))};mxEvent[_0x7bc7[722]](_0xfb0bx5)});mxEvent[_0x7bc7[169]](this[_0x7bc7[798]],_0x7bc7[751],_0xfb0bx5);mxClient[_0x7bc7[754]]&&mxEvent[_0x7bc7[169]](this[_0x7bc7[798]],_0x7bc7[755],_0xfb0bx5)};mxWindow[_0x7bc7[202]][_0x7bc7[161]]=function(_0xfb0bx2){this[_0x7bc7[800]][_0x7bc7[124]][_0x7bc7[495]]=_0xfb0bx2?_0x7bc7[110]:_0x7bc7[130]};mxWindow[_0x7bc7[202]][_0x7bc7[906]]=function(){this[_0x7bc7[800]]=document[_0x7bc7[55]](_0x7bc7[466]);this[_0x7bc7[800]][_0x7bc7[57]](_0x7bc7[390],this[_0x7bc7[919]]);this[_0x7bc7[800]][_0x7bc7[57]](_0x7bc7[611],_0x7bc7[699]);this[_0x7bc7[800]][_0x7bc7[57]](_0x7bc7[924],_0x7bc7[944]);this[_0x7bc7[800]][_0x7bc7[124]][_0x7bc7[270]]=_0x7bc7[583];this[_0x7bc7[800]][_0x7bc7[124]][_0x7bc7[945]]=_0x7bc7[942];this[_0x7bc7[800]][_0x7bc7[124]][_0x7bc7[270]]=_0x7bc7[356];this[_0x7bc7[800]][_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[130];this[_0x7bc7[924]][_0x7bc7[62]](this[_0x7bc7[800]]);var _0xfb0bx2=!1,_0xfb0bx3=null,_0xfb0bx4=null,_0xfb0bx5=null,_0xfb0bx9=null,_0xfb0bxa=mxUtils[_0x7bc7[885]](this,function(_0xfb0bxa){this[_0x7bc7[790]]();if(_0x7bc7[130]!=this[_0x7bc7[800]][_0x7bc7[124]][_0x7bc7[495]]){if(_0xfb0bx2){_0xfb0bx2= !1;this[_0x7bc7[800]][_0x7bc7[57]](_0x7bc7[390],this[_0x7bc7[919]]);this[_0x7bc7[800]][_0x7bc7[57]](_0x7bc7[924],_0x7bc7[944]);this[_0x7bc7[929]][_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[110];this[_0x7bc7[798]][_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[110];this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[361]]=_0xfb0bx3+_0x7bc7[168];this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[125]]=_0xfb0bx4+_0x7bc7[168];if(!mxClient[_0x7bc7[80]]&&(this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[119]]=_0xfb0bx5,this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[117]]=_0xfb0bx9,_0xfb0bx13=mxUtils[_0x7bc7[275]](this[_0x7bc7[929]]),_0x7bc7[278]==_0xfb0bx13[_0x7bc7[277]]||null!=this[_0x7bc7[129]])){this[_0x7bc7[929]][_0x7bc7[124]][_0x7bc7[119]]=this[_0x7bc7[485]][_0x7bc7[167]]-this[_0x7bc7[924]][_0x7bc7[167]]-2+_0x7bc7[168]};this[_0x7bc7[116]][_0x7bc7[124]][_0x7bc7[119]]=_0xfb0bx5;this[_0x7bc7[116]][_0x7bc7[124]][_0x7bc7[117]]=_0xfb0bx9;null!=this[_0x7bc7[129]]&&(this[_0x7bc7[129]][_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[110]);this[_0x7bc7[746]]( new mxEventObject(mxEvent.NORMALIZE,_0x7bc7[763],_0xfb0bxa))}else {_0xfb0bx2= !0;this[_0x7bc7[800]][_0x7bc7[57]](_0x7bc7[390],this[_0x7bc7[917]]);this[_0x7bc7[800]][_0x7bc7[57]](_0x7bc7[924],_0x7bc7[943]);this[_0x7bc7[929]][_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[110];this[_0x7bc7[798]][_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[188];_0xfb0bx3=parseInt(this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[361]]);_0xfb0bx4=parseInt(this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[125]]);_0xfb0bx5=this[_0x7bc7[116]][_0x7bc7[124]][_0x7bc7[119]];_0xfb0bx9=this[_0x7bc7[116]][_0x7bc7[124]][_0x7bc7[117]];this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[361]]=_0x7bc7[946];this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[125]]=_0x7bc7[946];mxClient[_0x7bc7[80]]||(this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[119]]=document[_0x7bc7[112]][_0x7bc7[157]]-2+_0x7bc7[168],this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[117]]=document[_0x7bc7[112]][_0x7bc7[159]]-2+_0x7bc7[168]);this[_0x7bc7[116]][_0x7bc7[124]][_0x7bc7[117]]=document[_0x7bc7[112]][_0x7bc7[159]]-2+_0x7bc7[168];this[_0x7bc7[116]][_0x7bc7[124]][_0x7bc7[119]]=document[_0x7bc7[112]][_0x7bc7[157]]-2+_0x7bc7[168];null!=this[_0x7bc7[129]]&&(this[_0x7bc7[129]][_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[188]);if(!mxClient[_0x7bc7[80]]){var _0xfb0bx13=mxUtils[_0x7bc7[275]](this[_0x7bc7[929]]);if(_0x7bc7[278]==_0xfb0bx13[_0x7bc7[277]]||null!=this[_0x7bc7[129]]){this[_0x7bc7[929]][_0x7bc7[124]][_0x7bc7[119]]=this[_0x7bc7[485]][_0x7bc7[167]]-this[_0x7bc7[924]][_0x7bc7[167]]-2+_0x7bc7[168]}};this[_0x7bc7[746]]( new mxEventObject(mxEvent.MAXIMIZE,_0x7bc7[763],_0xfb0bxa))};mxEvent[_0x7bc7[722]](_0xfb0bxa)}});mxEvent[_0x7bc7[759]](this[_0x7bc7[800]],_0xfb0bxa);mxEvent[_0x7bc7[169]](this[_0x7bc7[924]],_0x7bc7[760],_0xfb0bxa)};mxWindow[_0x7bc7[202]][_0x7bc7[911]]=function(){this[_0x7bc7[924]][_0x7bc7[124]][_0x7bc7[270]]=_0x7bc7[582];mxEvent[_0x7bc7[759]](this[_0x7bc7[924]],mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){var _0xfb0bx3=mxEvent[_0x7bc7[731]](_0xfb0bx2),_0xfb0bx4=mxEvent[_0x7bc7[733]](_0xfb0bx2),_0xfb0bx5=this[_0x7bc7[730]](),_0xfb0bx9=this[_0x7bc7[732]](),_0xfb0bxa=mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){var _0xfb0bxa=mxEvent[_0x7bc7[731]](_0xfb0bx2)-_0xfb0bx3,_0xfb0bx12=mxEvent[_0x7bc7[733]](_0xfb0bx2)-_0xfb0bx4;this[_0x7bc7[947]](_0xfb0bx5+_0xfb0bxa,_0xfb0bx9+_0xfb0bx12);this[_0x7bc7[746]]( new mxEventObject(mxEvent.MOVE,_0x7bc7[763],_0xfb0bx2));mxEvent[_0x7bc7[722]](_0xfb0bx2)}),_0xfb0bx12=mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){mxEvent[_0x7bc7[938]](document,null,_0xfb0bxa,_0xfb0bx12);this[_0x7bc7[746]]( new mxEventObject(mxEvent.MOVE_END,_0x7bc7[763],_0xfb0bx2));mxEvent[_0x7bc7[722]](_0xfb0bx2)});mxEvent[_0x7bc7[759]](document,null,_0xfb0bxa,_0xfb0bx12);this[_0x7bc7[746]]( new mxEventObject(mxEvent.MOVE_START,_0x7bc7[763],_0xfb0bx2));mxEvent[_0x7bc7[722]](_0xfb0bx2)}))};mxWindow[_0x7bc7[202]][_0x7bc7[947]]=function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[361]]=_0xfb0bx2+_0x7bc7[168];this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[125]]=_0xfb0bx3+_0x7bc7[168]};mxWindow[_0x7bc7[202]][_0x7bc7[730]]=function(){return parseInt(this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[361]])};mxWindow[_0x7bc7[202]][_0x7bc7[732]]=function(){return parseInt(this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[125]])};mxWindow[_0x7bc7[202]][_0x7bc7[908]]=function(){this[_0x7bc7[948]]=document[_0x7bc7[55]](_0x7bc7[466]);this[_0x7bc7[948]][_0x7bc7[57]](_0x7bc7[390],this[_0x7bc7[913]]);this[_0x7bc7[948]][_0x7bc7[57]](_0x7bc7[611],_0x7bc7[699]);this[_0x7bc7[948]][_0x7bc7[57]](_0x7bc7[924],_0x7bc7[949]);this[_0x7bc7[948]][_0x7bc7[124]][_0x7bc7[945]]=_0x7bc7[935];this[_0x7bc7[948]][_0x7bc7[124]][_0x7bc7[270]]=_0x7bc7[356];this[_0x7bc7[948]][_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[130];this[_0x7bc7[924]][_0x7bc7[950]](this[_0x7bc7[948]],this[_0x7bc7[924]][_0x7bc7[285]]);mxEvent[_0x7bc7[759]](this[_0x7bc7[948]],mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){this[_0x7bc7[746]]( new mxEventObject(mxEvent.CLOSE,_0x7bc7[763],_0xfb0bx2));this[_0x7bc7[165]]?this[_0x7bc7[515]]():this[_0x7bc7[175]](!1);mxEvent[_0x7bc7[722]](_0xfb0bx2)}))};mxWindow[_0x7bc7[202]][_0x7bc7[951]]=function(_0xfb0bx2){this[_0x7bc7[618]]=document[_0x7bc7[55]](_0x7bc7[466]);this[_0x7bc7[618]][_0x7bc7[57]](_0x7bc7[390],_0xfb0bx2);this[_0x7bc7[618]][_0x7bc7[57]](_0x7bc7[611],_0x7bc7[361]);this[_0x7bc7[618]][_0x7bc7[124]][_0x7bc7[941]]=_0x7bc7[952];this[_0x7bc7[618]][_0x7bc7[124]][_0x7bc7[945]]=_0x7bc7[946];this[_0x7bc7[618]][_0x7bc7[124]][_0x7bc7[953]]=_0x7bc7[954];this[_0x7bc7[924]][_0x7bc7[950]](this[_0x7bc7[618]],this[_0x7bc7[924]][_0x7bc7[285]])};mxWindow[_0x7bc7[202]][_0x7bc7[164]]=function(_0xfb0bx2){this[_0x7bc7[948]][_0x7bc7[124]][_0x7bc7[495]]=_0xfb0bx2?_0x7bc7[110]:_0x7bc7[130]};mxWindow[_0x7bc7[202]][_0x7bc7[174]]=function(){return null!=this[_0x7bc7[485]]?_0x7bc7[188]!=this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[187]]:!1};mxWindow[_0x7bc7[202]][_0x7bc7[175]]=function(_0xfb0bx2){null!=this[_0x7bc7[485]]&&this[_0x7bc7[174]]()!=_0xfb0bx2&&(_0xfb0bx2?this[_0x7bc7[539]]():this[_0x7bc7[801]]())};mxWindow[_0x7bc7[202]][_0x7bc7[539]]=function(){this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[110];this[_0x7bc7[790]]();var _0xfb0bx2=mxUtils[_0x7bc7[275]](this[_0x7bc7[929]]);if(!mxClient[_0x7bc7[80]]&&(_0x7bc7[278]==_0xfb0bx2[_0x7bc7[277]]||null!=this[_0x7bc7[129]])){this[_0x7bc7[929]][_0x7bc7[124]][_0x7bc7[119]]=this[_0x7bc7[485]][_0x7bc7[167]]-this[_0x7bc7[924]][_0x7bc7[167]]-2+_0x7bc7[168]};this[_0x7bc7[746]]( new mxEventObject(mxEvent.SHOW))};mxWindow[_0x7bc7[202]][_0x7bc7[801]]=function(){this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[188];this[_0x7bc7[746]]( new mxEventObject(mxEvent.HIDE))};mxWindow[_0x7bc7[202]][_0x7bc7[515]]=function(){this[_0x7bc7[746]]( new mxEventObject(mxEvent.DESTROY));null!=this[_0x7bc7[485]]&&(mxEvent[_0x7bc7[762]](this[_0x7bc7[485]]),this[_0x7bc7[485]][_0x7bc7[265]][_0x7bc7[266]](this[_0x7bc7[485]]),this[_0x7bc7[485]]=null);this[_0x7bc7[929]]=this[_0x7bc7[905]]=this[_0x7bc7[924]]=null};function mxForm(_0xfb0bx2){this[_0x7bc7[116]]=document[_0x7bc7[55]](_0x7bc7[116]);this[_0x7bc7[116]][_0x7bc7[926]]=_0xfb0bx2;this[_0x7bc7[112]]=document[_0x7bc7[55]](_0x7bc7[120]);this[_0x7bc7[116]][_0x7bc7[62]](this[_0x7bc7[112]])}mxForm[_0x7bc7[202]][_0x7bc7[116]]=null;mxForm[_0x7bc7[202]][_0x7bc7[112]]= !1;mxForm[_0x7bc7[202]][_0x7bc7[955]]=function(){return this[_0x7bc7[116]]};mxForm[_0x7bc7[202]][_0x7bc7[956]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=document[_0x7bc7[55]](_0x7bc7[121]),_0xfb0bx5=document[_0x7bc7[55]](_0x7bc7[122]);_0xfb0bx4[_0x7bc7[62]](_0xfb0bx5);var _0xfb0bx5=document[_0x7bc7[55]](_0x7bc7[122]),_0xfb0bx9=document[_0x7bc7[55]](_0x7bc7[172]);mxUtils[_0x7bc7[53]](_0xfb0bx9,mxResources[_0x7bc7[203]](_0x7bc7[957])||_0x7bc7[958]);_0xfb0bx5[_0x7bc7[62]](_0xfb0bx9);mxEvent[_0x7bc7[169]](_0xfb0bx9,_0x7bc7[173],function(){_0xfb0bx2()});_0xfb0bx9=document[_0x7bc7[55]](_0x7bc7[172]);mxUtils[_0x7bc7[53]](_0xfb0bx9,mxResources[_0x7bc7[203]](_0x7bc7[959])||_0x7bc7[960]);_0xfb0bx5[_0x7bc7[62]](_0xfb0bx9);mxEvent[_0x7bc7[169]](_0xfb0bx9,_0x7bc7[173],function(){_0xfb0bx3()});_0xfb0bx4[_0x7bc7[62]](_0xfb0bx5);this[_0x7bc7[112]][_0x7bc7[62]](_0xfb0bx4)};mxForm[_0x7bc7[202]][_0x7bc7[961]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=document[_0x7bc7[55]](_0x7bc7[962]);_0xfb0bx4[_0x7bc7[57]](_0x7bc7[60],_0x7bc7[963]);_0xfb0bx4[_0x7bc7[131]]=_0xfb0bx3;return this[_0x7bc7[964]](_0xfb0bx2,_0xfb0bx4)};mxForm[_0x7bc7[202]][_0x7bc7[965]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=document[_0x7bc7[55]](_0x7bc7[962]);_0xfb0bx4[_0x7bc7[57]](_0x7bc7[60],_0x7bc7[966]);this[_0x7bc7[964]](_0xfb0bx2,_0xfb0bx4);_0xfb0bx3&&(_0xfb0bx4[_0x7bc7[967]]= !0);return _0xfb0bx4};mxForm[_0x7bc7[202]][_0x7bc7[968]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=document[_0x7bc7[55]](_0x7bc7[126]);mxClient[_0x7bc7[133]]&&_0xfb0bx4--;_0xfb0bx5[_0x7bc7[57]](_0x7bc7[969],_0xfb0bx4||2);_0xfb0bx5[_0x7bc7[131]]=_0xfb0bx3;return this[_0x7bc7[964]](_0xfb0bx2,_0xfb0bx5)};mxForm[_0x7bc7[202]][_0x7bc7[970]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=document[_0x7bc7[55]](_0x7bc7[804]);null!=_0xfb0bx4&&_0xfb0bx5[_0x7bc7[57]](_0x7bc7[803],_0xfb0bx4);_0xfb0bx3&&_0xfb0bx5[_0x7bc7[57]](_0x7bc7[971],_0x7bc7[128]);return this[_0x7bc7[964]](_0xfb0bx2,_0xfb0bx5)};mxForm[_0x7bc7[202]][_0x7bc7[972]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){var _0xfb0bx9=document[_0x7bc7[55]](_0x7bc7[973]);mxUtils[_0x7bc7[171]](_0xfb0bx9,_0xfb0bx3);_0xfb0bx9[_0x7bc7[57]](_0x7bc7[131],_0xfb0bx4);_0xfb0bx5&&_0xfb0bx9[_0x7bc7[57]](_0x7bc7[974],_0xfb0bx5);_0xfb0bx2[_0x7bc7[62]](_0xfb0bx9)};mxForm[_0x7bc7[202]][_0x7bc7[964]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=document[_0x7bc7[55]](_0x7bc7[121]),_0xfb0bx5=document[_0x7bc7[55]](_0x7bc7[122]);mxUtils[_0x7bc7[53]](_0xfb0bx5,_0xfb0bx2);_0xfb0bx4[_0x7bc7[62]](_0xfb0bx5);_0xfb0bx5=document[_0x7bc7[55]](_0x7bc7[122]);_0xfb0bx5[_0x7bc7[62]](_0xfb0bx3);_0xfb0bx4[_0x7bc7[62]](_0xfb0bx5);this[_0x7bc7[112]][_0x7bc7[62]](_0xfb0bx4);return _0xfb0bx3};function mxImage(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){this[_0x7bc7[390]]=_0xfb0bx2;this[_0x7bc7[117]]=_0xfb0bx3;this[_0x7bc7[119]]=_0xfb0bx4}mxImage[_0x7bc7[202]][_0x7bc7[390]]=null;mxImage[_0x7bc7[202]][_0x7bc7[117]]=null;mxImage[_0x7bc7[202]][_0x7bc7[119]]=null;function mxDivResizer(_0xfb0bx2,_0xfb0bx3){if(_0x7bc7[485]==_0xfb0bx2[_0x7bc7[301]][_0x7bc7[216]]()){null==_0xfb0bx3&&(_0xfb0bx3=window);this[_0x7bc7[485]]=_0xfb0bx2;var _0xfb0bx4=mxUtils[_0x7bc7[275]](_0xfb0bx2);null!=_0xfb0bx4&&(this[_0x7bc7[975]]=_0x7bc7[278]==_0xfb0bx4[_0x7bc7[117]],this[_0x7bc7[976]]=_0x7bc7[278]==_0xfb0bx4[_0x7bc7[119]]);mxEvent[_0x7bc7[169]](_0xfb0bx3,_0x7bc7[129],mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){this[_0x7bc7[977]]||(this[_0x7bc7[977]]= !0,this[_0x7bc7[129]](),this[_0x7bc7[977]]= !1)}));this[_0x7bc7[129]]()}}mxDivResizer[_0x7bc7[202]][_0x7bc7[975]]= !0;mxDivResizer[_0x7bc7[202]][_0x7bc7[976]]= !0;mxDivResizer[_0x7bc7[202]][_0x7bc7[977]]= !1;mxDivResizer[_0x7bc7[202]][_0x7bc7[129]]=function(){var _0xfb0bx2=this[_0x7bc7[978]](),_0xfb0bx3=this[_0x7bc7[979]](),_0xfb0bx4=parseInt(this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[361]]),_0xfb0bx5=parseInt(this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[699]]),_0xfb0bx9=parseInt(this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[125]]),_0xfb0bxa=parseInt(this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[553]]);this[_0x7bc7[975]]&&(!isNaN(_0xfb0bx4)&&!isNaN(_0xfb0bx5)&&0<=_0xfb0bx4&&0<=_0xfb0bx5&&0<_0xfb0bx2-_0xfb0bx5-_0xfb0bx4)&&(this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[117]]=_0xfb0bx2-_0xfb0bx5-_0xfb0bx4+_0x7bc7[168]);this[_0x7bc7[976]]&&(!isNaN(_0xfb0bx9)&&!isNaN(_0xfb0bxa)&&0<=_0xfb0bx9&&0<=_0xfb0bxa&&0<_0xfb0bx3-_0xfb0bx9-_0xfb0bxa)&&(this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[119]]=_0xfb0bx3-_0xfb0bx9-_0xfb0bxa+_0x7bc7[168])};mxDivResizer[_0x7bc7[202]][_0x7bc7[978]]=function(){return document[_0x7bc7[112]][_0x7bc7[159]]};mxDivResizer[_0x7bc7[202]][_0x7bc7[979]]=function(){return document[_0x7bc7[112]][_0x7bc7[157]]};function mxDragSource(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[980]]=_0xfb0bx2;this[_0x7bc7[981]]=_0xfb0bx3;mxEvent[_0x7bc7[759]](_0xfb0bx2,mxUtils[_0x7bc7[885]](this,this[_0x7bc7[787]]))}mxDragSource[_0x7bc7[202]][_0x7bc7[980]]=null;mxDragSource[_0x7bc7[202]][_0x7bc7[981]]=null;mxDragSource[_0x7bc7[202]][_0x7bc7[559]]=null;mxDragSource[_0x7bc7[202]][_0x7bc7[982]]=null;mxDragSource[_0x7bc7[202]][_0x7bc7[983]]=null;mxDragSource[_0x7bc7[202]][_0x7bc7[984]]= !0;mxDragSource[_0x7bc7[202]][_0x7bc7[985]]=null;mxDragSource[_0x7bc7[202]][_0x7bc7[986]]=null;mxDragSource[_0x7bc7[202]][_0x7bc7[987]]=null;mxDragSource[_0x7bc7[202]][_0x7bc7[988]]=null;mxDragSource[_0x7bc7[202]][_0x7bc7[989]]=null;mxDragSource[_0x7bc7[202]][_0x7bc7[561]]= !0;mxDragSource[_0x7bc7[202]][_0x7bc7[990]]= !0;mxDragSource[_0x7bc7[202]][_0x7bc7[991]]= !0;mxDragSource[_0x7bc7[202]][_0x7bc7[563]]= !0;mxDragSource[_0x7bc7[202]][_0x7bc7[992]]=100;mxDragSource[_0x7bc7[202]][_0x7bc7[993]]=70;mxDragSource[_0x7bc7[202]][_0x7bc7[994]]=function(){return this[_0x7bc7[984]]};mxDragSource[_0x7bc7[202]][_0x7bc7[995]]=function(_0xfb0bx2){this[_0x7bc7[984]]=_0xfb0bx2};mxDragSource[_0x7bc7[202]][_0x7bc7[996]]=function(){return this[_0x7bc7[990]]};mxDragSource[_0x7bc7[202]][_0x7bc7[562]]=function(_0xfb0bx2){this[_0x7bc7[990]]=_0xfb0bx2};mxDragSource[_0x7bc7[202]][_0x7bc7[997]]=function(){return this[_0x7bc7[991]]};mxDragSource[_0x7bc7[202]][_0x7bc7[998]]=function(_0xfb0bx2){this[_0x7bc7[991]]=_0xfb0bx2};mxDragSource[_0x7bc7[202]][_0x7bc7[565]]=function(_0xfb0bx2){return null};mxDragSource[_0x7bc7[202]][_0x7bc7[564]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){return _0xfb0bx2[_0x7bc7[999]](_0xfb0bx3,_0xfb0bx4)};mxDragSource[_0x7bc7[202]][_0x7bc7[566]]=function(_0xfb0bx2){return this[_0x7bc7[980]][_0x7bc7[511]](!0)};mxDragSource[_0x7bc7[202]][_0x7bc7[567]]=function(_0xfb0bx2){return null};mxDragSource[_0x7bc7[202]][_0x7bc7[787]]=function(_0xfb0bx2){this[_0x7bc7[984]]&&(!mxEvent[_0x7bc7[721]](_0xfb0bx2)&&null==this[_0x7bc7[1000]])&&(this[_0x7bc7[1001]](_0xfb0bx2),this[_0x7bc7[1000]]=mxUtils[_0x7bc7[885]](this,this[_0x7bc7[788]]),this[_0x7bc7[1002]]=mxUtils[_0x7bc7[885]](this,this[_0x7bc7[789]]),mxEvent[_0x7bc7[759]](document,null,this[_0x7bc7[1000]],this[_0x7bc7[1002]]),mxEvent[_0x7bc7[722]](_0xfb0bx2,!0,!1))};mxDragSource[_0x7bc7[202]][_0x7bc7[1001]]=function(_0xfb0bx2){this[_0x7bc7[982]]=this[_0x7bc7[566]](_0xfb0bx2);this[_0x7bc7[982]][_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[492];this[_0x7bc7[982]][_0x7bc7[124]][_0x7bc7[931]]=this[_0x7bc7[992]];mxUtils[_0x7bc7[254]](this[_0x7bc7[982]],this[_0x7bc7[993]])};mxDragSource[_0x7bc7[202]][_0x7bc7[1003]]=function(_0xfb0bx2){null!=this[_0x7bc7[982]]&&(null!=this[_0x7bc7[982]][_0x7bc7[265]]&&this[_0x7bc7[982]][_0x7bc7[265]][_0x7bc7[266]](this[_0x7bc7[982]]),this[_0x7bc7[982]]=null)};mxDragSource[_0x7bc7[202]][_0x7bc7[1004]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=mxEvent[_0x7bc7[731]](_0xfb0bx3),_0xfb0bx5=mxEvent[_0x7bc7[733]](_0xfb0bx3),_0xfb0bx9=mxUtils[_0x7bc7[445]](_0xfb0bx2[_0x7bc7[526]]),_0xfb0bxa=mxUtils[_0x7bc7[444]]();return _0xfb0bx4>=_0xfb0bx9[_0x7bc7[235]]-_0xfb0bxa[_0x7bc7[235]]&&_0xfb0bx5>=_0xfb0bx9[_0x7bc7[236]]-_0xfb0bxa[_0x7bc7[236]]&&_0xfb0bx4<=_0xfb0bx9[_0x7bc7[235]]-_0xfb0bxa[_0x7bc7[235]]+_0xfb0bx2[_0x7bc7[526]][_0x7bc7[359]]&&_0xfb0bx5<=_0xfb0bx9[_0x7bc7[236]]-_0xfb0bxa[_0x7bc7[236]]+_0xfb0bx2[_0x7bc7[526]][_0x7bc7[167]]};mxDragSource[_0x7bc7[202]][_0x7bc7[788]]=function(_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[565]](_0xfb0bx2);null!=_0xfb0bx3&&!this[_0x7bc7[1004]](_0xfb0bx3,_0xfb0bx2)&&(_0xfb0bx3=null);_0xfb0bx3!=this[_0x7bc7[985]]&&(null!=this[_0x7bc7[985]]&&this[_0x7bc7[1005]](this[_0x7bc7[985]]),this[_0x7bc7[985]]=_0xfb0bx3,null!=this[_0x7bc7[985]]&&this[_0x7bc7[1006]](this[_0x7bc7[985]]));null!=this[_0x7bc7[985]]&&this[_0x7bc7[1007]](this[_0x7bc7[985]],_0xfb0bx2);if(null!=this[_0x7bc7[982]]&&(null==this[_0x7bc7[983]]||_0x7bc7[189]!=this[_0x7bc7[983]][_0x7bc7[124]][_0x7bc7[187]])){var _0xfb0bx3=mxEvent[_0x7bc7[731]](_0xfb0bx2),_0xfb0bx4=mxEvent[_0x7bc7[733]](_0xfb0bx2);null==this[_0x7bc7[982]][_0x7bc7[265]]&&document[_0x7bc7[112]][_0x7bc7[62]](this[_0x7bc7[982]]);this[_0x7bc7[982]][_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[189];null!=this[_0x7bc7[559]]&&(_0xfb0bx3+=this[_0x7bc7[559]][_0x7bc7[235]],_0xfb0bx4+=this[_0x7bc7[559]][_0x7bc7[236]]);_0xfb0bx3+=document[_0x7bc7[112]][_0x7bc7[360]]||document[_0x7bc7[158]][_0x7bc7[360]];_0xfb0bx4+=document[_0x7bc7[112]][_0x7bc7[190]]||document[_0x7bc7[158]][_0x7bc7[190]];this[_0x7bc7[982]][_0x7bc7[124]][_0x7bc7[361]]=_0xfb0bx3+_0x7bc7[168];this[_0x7bc7[982]][_0x7bc7[124]][_0x7bc7[125]]=_0xfb0bx4+_0x7bc7[168]}else {null!=this[_0x7bc7[982]]&&(this[_0x7bc7[982]][_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[188])};mxEvent[_0x7bc7[722]](_0xfb0bx2)};mxDragSource[_0x7bc7[202]][_0x7bc7[789]]=function(_0xfb0bx2){if(null!=this[_0x7bc7[985]]){if(null!=this[_0x7bc7[987]]&&(null==this[_0x7bc7[983]]||_0x7bc7[188]!=this[_0x7bc7[983]][_0x7bc7[124]][_0x7bc7[187]])){var _0xfb0bx3=this[_0x7bc7[985]][_0x7bc7[441]][_0x7bc7[255]],_0xfb0bx4=this[_0x7bc7[985]][_0x7bc7[441]][_0x7bc7[513]];this[_0x7bc7[1008]](this[_0x7bc7[985]],_0xfb0bx2,this[_0x7bc7[986]],this[_0x7bc7[987]][_0x7bc7[235]]/_0xfb0bx3-_0xfb0bx4[_0x7bc7[235]],this[_0x7bc7[987]][_0x7bc7[236]]/_0xfb0bx3-_0xfb0bx4[_0x7bc7[236]])};this[_0x7bc7[1005]](this[_0x7bc7[985]])};this[_0x7bc7[1003]](_0xfb0bx2);mxEvent[_0x7bc7[938]](document,null,this[_0x7bc7[1000]],this[_0x7bc7[1002]]);this[_0x7bc7[985]]=this[_0x7bc7[1002]]=this[_0x7bc7[1000]]=null;mxEvent[_0x7bc7[722]](_0xfb0bx2)};mxDragSource[_0x7bc7[202]][_0x7bc7[1006]]=function(_0xfb0bx2){_0xfb0bx2[_0x7bc7[1009]]= !0;this[_0x7bc7[983]]=this[_0x7bc7[567]](_0xfb0bx2);this[_0x7bc7[996]]()&&null!=this[_0x7bc7[983]]&&(this[_0x7bc7[988]]= new mxGuide(_0xfb0bx2,_0xfb0bx2[_0x7bc7[1011]][_0x7bc7[1010]]()));this[_0x7bc7[563]]&&(this[_0x7bc7[989]]= new mxCellHighlight(_0xfb0bx2,mxConstants.DROP_TARGET_COLOR))};mxDragSource[_0x7bc7[202]][_0x7bc7[1005]]=function(_0xfb0bx2){this[_0x7bc7[987]]=this[_0x7bc7[986]]=null;_0xfb0bx2[_0x7bc7[1009]]= !1;null!=this[_0x7bc7[983]]&&(null!=this[_0x7bc7[983]][_0x7bc7[265]]&&this[_0x7bc7[983]][_0x7bc7[265]][_0x7bc7[266]](this[_0x7bc7[983]]),this[_0x7bc7[983]]=null);null!=this[_0x7bc7[988]]&&(this[_0x7bc7[988]][_0x7bc7[515]](),this[_0x7bc7[988]]=null);null!=this[_0x7bc7[989]]&&(this[_0x7bc7[989]][_0x7bc7[515]](),this[_0x7bc7[989]]=null)};mxDragSource[_0x7bc7[202]][_0x7bc7[1007]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=mxUtils[_0x7bc7[445]](_0xfb0bx2[_0x7bc7[526]]),_0xfb0bx5=mxUtils[_0x7bc7[444]](_0xfb0bx2[_0x7bc7[526]]),_0xfb0bx9=mxEvent[_0x7bc7[731]](_0xfb0bx3)-_0xfb0bx4[_0x7bc7[235]]+_0xfb0bx5[_0x7bc7[235]],_0xfb0bx4=mxEvent[_0x7bc7[733]](_0xfb0bx3)-_0xfb0bx4[_0x7bc7[236]]+_0xfb0bx5[_0x7bc7[236]];_0xfb0bx2[_0x7bc7[1012]]&&(null==this[_0x7bc7[561]]||this[_0x7bc7[561]])&&_0xfb0bx2[_0x7bc7[1014]](_0xfb0bx9,_0xfb0bx4,_0xfb0bx2[_0x7bc7[1013]]);null!=this[_0x7bc7[989]]&&_0xfb0bx2[_0x7bc7[1015]]()&&(this[_0x7bc7[986]]=this[_0x7bc7[564]](_0xfb0bx2,_0xfb0bx9,_0xfb0bx4),_0xfb0bx5=_0xfb0bx2[_0x7bc7[249]]()[_0x7bc7[248]](this[_0x7bc7[986]]),this[_0x7bc7[989]][_0x7bc7[1016]](_0xfb0bx5));if(null!=this[_0x7bc7[983]]){null==this[_0x7bc7[983]][_0x7bc7[265]]&&(_0xfb0bx2[_0x7bc7[526]][_0x7bc7[62]](this[_0x7bc7[983]]),this[_0x7bc7[983]][_0x7bc7[124]][_0x7bc7[931]]=_0x7bc7[1017],this[_0x7bc7[983]][_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[492]);var _0xfb0bx5=this[_0x7bc7[997]]()&&_0xfb0bx2[_0x7bc7[1018]](_0xfb0bx3),_0xfb0bxa=!0;if(null!=this[_0x7bc7[988]]&&this[_0x7bc7[988]][_0x7bc7[1019]](_0xfb0bx3)){var _0xfb0bxa=parseInt(this[_0x7bc7[983]][_0x7bc7[124]][_0x7bc7[117]]),_0xfb0bx12=parseInt(this[_0x7bc7[983]][_0x7bc7[124]][_0x7bc7[119]]),_0xfb0bxa= new mxRectangle(0,0,_0xfb0bxa,_0xfb0bx12),_0xfb0bx4= new mxPoint(_0xfb0bx9,_0xfb0bx4),_0xfb0bx4=this[_0x7bc7[988]][_0x7bc7[582]](_0xfb0bxa,_0xfb0bx4,_0xfb0bx5),_0xfb0bxa=!1,_0xfb0bx9=_0xfb0bx4[_0x7bc7[235]],_0xfb0bx4=_0xfb0bx4[_0x7bc7[236]]}else {if(_0xfb0bx5){var _0xfb0bx5=_0xfb0bx2[_0x7bc7[441]][_0x7bc7[255]],_0xfb0bx12=_0xfb0bx2[_0x7bc7[441]][_0x7bc7[513]],_0xfb0bx13=_0xfb0bx2[_0x7bc7[1020]]/2,_0xfb0bx9=(_0xfb0bx2[_0x7bc7[1021]](_0xfb0bx9/_0xfb0bx5-_0xfb0bx12[_0x7bc7[235]]-_0xfb0bx13)+_0xfb0bx12[_0x7bc7[235]])*_0xfb0bx5,_0xfb0bx4=(_0xfb0bx2[_0x7bc7[1021]](_0xfb0bx4/_0xfb0bx5-_0xfb0bx12[_0x7bc7[236]]-_0xfb0bx13)+_0xfb0bx12[_0x7bc7[236]])*_0xfb0bx5}};null!=this[_0x7bc7[988]]&&_0xfb0bxa&&this[_0x7bc7[988]][_0x7bc7[801]]();null!=this[_0x7bc7[1022]]&&(_0xfb0bx9+=this[_0x7bc7[1022]][_0x7bc7[235]],_0xfb0bx4+=this[_0x7bc7[1022]][_0x7bc7[236]]);this[_0x7bc7[983]][_0x7bc7[124]][_0x7bc7[361]]=Math[_0x7bc7[488]](_0xfb0bx9)+_0x7bc7[168];this[_0x7bc7[983]][_0x7bc7[124]][_0x7bc7[125]]=Math[_0x7bc7[488]](_0xfb0bx4)+_0x7bc7[168];this[_0x7bc7[983]][_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[189]};this[_0x7bc7[987]]= new mxPoint(_0xfb0bx9,_0xfb0bx4)};mxDragSource[_0x7bc7[202]][_0x7bc7[1008]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){this[_0x7bc7[981]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9);_0xfb0bx2[_0x7bc7[526]][_0x7bc7[393]]()};function mxToolbar(_0xfb0bx2){this[_0x7bc7[526]]=_0xfb0bx2}mxToolbar[_0x7bc7[202]]= new mxEventSource;mxToolbar[_0x7bc7[202]][_0x7bc7[196]]=mxToolbar;mxToolbar[_0x7bc7[202]][_0x7bc7[526]]=null;mxToolbar[_0x7bc7[202]][_0x7bc7[984]]= !0;mxToolbar[_0x7bc7[202]][_0x7bc7[1023]]= !1;mxToolbar[_0x7bc7[202]][_0x7bc7[1024]]= !0;mxToolbar[_0x7bc7[202]][_0x7bc7[1025]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){var _0xfb0bx12=document[_0x7bc7[55]](null!=_0xfb0bx3?_0x7bc7[466]:_0x7bc7[172]),_0xfb0bx13=_0xfb0bx9||(null!=_0xfb0bxa?_0x7bc7[1026]:_0x7bc7[1027]);_0xfb0bx12[_0x7bc7[926]]=_0xfb0bx13;_0xfb0bx12[_0x7bc7[57]](_0x7bc7[390],_0xfb0bx3);null!=_0xfb0bx2&&(null!=_0xfb0bx3?_0xfb0bx12[_0x7bc7[57]](_0x7bc7[924],_0xfb0bx2):mxUtils[_0x7bc7[53]](_0xfb0bx12,_0xfb0bx2));this[_0x7bc7[526]][_0x7bc7[62]](_0xfb0bx12);null!=_0xfb0bx4&&(mxEvent[_0x7bc7[169]](_0xfb0bx12,_0x7bc7[173],_0xfb0bx4),mxClient[_0x7bc7[754]]&&mxEvent[_0x7bc7[169]](_0xfb0bx12,_0x7bc7[757],_0xfb0bx4));_0xfb0bx2=mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){null!=_0xfb0bx5?_0xfb0bx12[_0x7bc7[57]](_0x7bc7[390],_0xfb0bx3):_0xfb0bx12[_0x7bc7[124]][_0x7bc7[1028]]=_0x7bc7[110]});mxEvent[_0x7bc7[759]](_0xfb0bx12,mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){null!=_0xfb0bx5?_0xfb0bx12[_0x7bc7[57]](_0x7bc7[390],_0xfb0bx5):_0xfb0bx12[_0x7bc7[124]][_0x7bc7[1028]]=_0x7bc7[579];if(null!=_0xfb0bxa){null==this[_0x7bc7[1029]]&&(this[_0x7bc7[1029]]= new mxPopupMenu,this[_0x7bc7[1029]][_0x7bc7[176]]());var _0xfb0bx3=this[_0x7bc7[1030]];this[_0x7bc7[1029]][_0x7bc7[1031]]()&&this[_0x7bc7[1029]][_0x7bc7[1032]]();_0xfb0bx3!=_0xfb0bx12&&(this[_0x7bc7[1030]]=_0xfb0bx12,this[_0x7bc7[1029]][_0x7bc7[1033]]=_0xfb0bxa,_0xfb0bx3= new mxPoint(_0xfb0bx12[_0x7bc7[358]],_0xfb0bx12[_0x7bc7[362]]+_0xfb0bx12[_0x7bc7[167]]),this[_0x7bc7[1029]][_0x7bc7[152]](_0xfb0bx3[_0x7bc7[235]],_0xfb0bx3[_0x7bc7[236]],null,_0xfb0bx2),this[_0x7bc7[1029]][_0x7bc7[1031]]()&&(_0xfb0bx12[_0x7bc7[926]]=_0xfb0bx13+_0x7bc7[1034],this[_0x7bc7[1029]][_0x7bc7[1032]]=function(){mxPopupMenu[_0x7bc7[202]][_0x7bc7[1032]][_0x7bc7[183]](this);_0xfb0bx12[_0x7bc7[926]]=_0xfb0bx13;this[_0x7bc7[1030]]=null}))}}),null,_0xfb0bx2);mxEvent[_0x7bc7[169]](_0xfb0bx12,_0x7bc7[1035],_0xfb0bx2);return _0xfb0bx12};mxToolbar[_0x7bc7[202]][_0x7bc7[970]]=function(_0xfb0bx2){var _0xfb0bx3=document[_0x7bc7[55]](_0x7bc7[485]);_0xfb0bx3[_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[497];_0xfb0bx3[_0x7bc7[926]]=_0x7bc7[1036];var _0xfb0bx4=document[_0x7bc7[55]](_0x7bc7[804]);_0xfb0bx4[_0x7bc7[926]]=_0xfb0bx2||_0x7bc7[1037];_0xfb0bx3[_0x7bc7[62]](_0xfb0bx4);this[_0x7bc7[526]][_0x7bc7[62]](_0xfb0bx3);return _0xfb0bx4};mxToolbar[_0x7bc7[202]][_0x7bc7[1038]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=document[_0x7bc7[55]](_0x7bc7[804]);_0xfb0bx4[_0x7bc7[926]]=_0xfb0bx3||_0x7bc7[1037];this[_0x7bc7[972]](_0xfb0bx4,_0xfb0bx2,null);mxEvent[_0x7bc7[169]](_0xfb0bx4,_0x7bc7[826],function(_0xfb0bx2){var _0xfb0bx3=_0xfb0bx4[_0x7bc7[1040]][_0xfb0bx4[_0x7bc7[1039]]];_0xfb0bx4[_0x7bc7[1039]]=0;null!=_0xfb0bx3[_0x7bc7[1041]]&&_0xfb0bx3[_0x7bc7[1041]](_0xfb0bx2)});this[_0x7bc7[526]][_0x7bc7[62]](_0xfb0bx4);return _0xfb0bx4};mxToolbar[_0x7bc7[202]][_0x7bc7[972]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=document[_0x7bc7[55]](_0x7bc7[973]);mxUtils[_0x7bc7[171]](_0xfb0bx5,_0xfb0bx3);_0x7bc7[279]== typeof _0xfb0bx4?_0xfb0bx5[_0x7bc7[1041]]=_0xfb0bx4:_0xfb0bx5[_0x7bc7[57]](_0x7bc7[131],_0xfb0bx4);_0xfb0bx2[_0x7bc7[62]](_0xfb0bx5);return _0xfb0bx5};mxToolbar[_0x7bc7[202]][_0x7bc7[1042]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){var _0xfb0bxa=document[_0x7bc7[55]](_0x7bc7[466]);_0xfb0bxa[_0x7bc7[1043]]=_0xfb0bx9||_0x7bc7[1026];_0xfb0bxa[_0x7bc7[926]]=_0xfb0bxa[_0x7bc7[1043]];_0xfb0bxa[_0x7bc7[57]](_0x7bc7[390],_0xfb0bx3);_0xfb0bxa[_0x7bc7[1044]]=_0xfb0bx5;null!=_0xfb0bx2&&_0xfb0bxa[_0x7bc7[57]](_0x7bc7[924],_0xfb0bx2);mxEvent[_0x7bc7[169]](_0xfb0bxa,_0x7bc7[173],mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){_0xfb0bx2=this[_0x7bc7[1045]][_0x7bc7[1044]];null!=_0xfb0bx2?(this[_0x7bc7[1045]][_0x7bc7[1044]]=this[_0x7bc7[1045]][_0x7bc7[284]](_0x7bc7[390]),this[_0x7bc7[1045]][_0x7bc7[57]](_0x7bc7[390],_0xfb0bx2)):this[_0x7bc7[1045]][_0x7bc7[926]]=this[_0x7bc7[1045]][_0x7bc7[1043]];this[_0x7bc7[1024]]&&(this[_0x7bc7[1046]]=_0xfb0bxa);this[_0x7bc7[1045]]=_0xfb0bxa;_0xfb0bx2=_0xfb0bxa[_0x7bc7[1044]];null!=_0xfb0bx2?(_0xfb0bxa[_0x7bc7[1044]]=_0xfb0bxa[_0x7bc7[284]](_0x7bc7[390]),_0xfb0bxa[_0x7bc7[57]](_0x7bc7[390],_0xfb0bx2)):_0xfb0bxa[_0x7bc7[926]]=_0xfb0bxa[_0x7bc7[1043]]+_0x7bc7[1034];this[_0x7bc7[746]]( new mxEventObject(mxEvent.SELECT));_0xfb0bx4()}));this[_0x7bc7[526]][_0x7bc7[62]](_0xfb0bxa);null==this[_0x7bc7[1046]]&&(this[_0x7bc7[1046]]=_0xfb0bxa,this[_0x7bc7[1047]](_0xfb0bxa),_0xfb0bx4());return _0xfb0bxa};mxToolbar[_0x7bc7[202]][_0x7bc7[1048]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){_0xfb0bxa=null!=_0xfb0bxa?_0xfb0bxa:!0;var _0xfb0bx12=document[_0x7bc7[55]](null!=_0xfb0bx3?_0x7bc7[466]:_0x7bc7[172]);_0xfb0bx12[_0x7bc7[1043]]=_0xfb0bx9||_0x7bc7[1026];_0xfb0bx12[_0x7bc7[926]]=_0xfb0bx12[_0x7bc7[1043]];_0xfb0bx12[_0x7bc7[57]](_0x7bc7[390],_0xfb0bx3);_0xfb0bx12[_0x7bc7[1044]]=_0xfb0bx5;null!=_0xfb0bx2&&_0xfb0bx12[_0x7bc7[57]](_0x7bc7[924],_0xfb0bx2);this[_0x7bc7[984]]&&_0xfb0bxa&&(mxEvent[_0x7bc7[169]](_0xfb0bx12,_0x7bc7[173],mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){this[_0x7bc7[1047]](_0xfb0bx12,_0xfb0bx4);this[_0x7bc7[1023]]= !1})),mxEvent[_0x7bc7[169]](_0xfb0bx12,_0x7bc7[760],mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){this[_0x7bc7[1047]](_0xfb0bx12,_0xfb0bx4);this[_0x7bc7[1023]]= !0})),null==this[_0x7bc7[1046]]&&(this[_0x7bc7[1046]]=_0xfb0bx12,this[_0x7bc7[1049]]=_0xfb0bx4,this[_0x7bc7[1047]](_0xfb0bx12,_0xfb0bx4)));this[_0x7bc7[526]][_0x7bc7[62]](_0xfb0bx12);return _0xfb0bx12};mxToolbar[_0x7bc7[202]][_0x7bc7[1047]]=function(_0xfb0bx2,_0xfb0bx3){if(this[_0x7bc7[1045]]!=_0xfb0bx2){if(null!=this[_0x7bc7[1045]]){var _0xfb0bx4=this[_0x7bc7[1045]][_0x7bc7[1044]];null!=_0xfb0bx4?(this[_0x7bc7[1045]][_0x7bc7[1044]]=this[_0x7bc7[1045]][_0x7bc7[284]](_0x7bc7[390]),this[_0x7bc7[1045]][_0x7bc7[57]](_0x7bc7[390],_0xfb0bx4)):this[_0x7bc7[1045]][_0x7bc7[926]]=this[_0x7bc7[1045]][_0x7bc7[1043]]};this[_0x7bc7[1045]]=_0xfb0bx2;_0xfb0bx4=this[_0x7bc7[1045]][_0x7bc7[1044]];null!=_0xfb0bx4?(this[_0x7bc7[1045]][_0x7bc7[1044]]=this[_0x7bc7[1045]][_0x7bc7[284]](_0x7bc7[390]),this[_0x7bc7[1045]][_0x7bc7[57]](_0x7bc7[390],_0xfb0bx4)):this[_0x7bc7[1045]][_0x7bc7[926]]=this[_0x7bc7[1045]][_0x7bc7[1043]]+_0x7bc7[1034];this[_0x7bc7[746]]( new mxEventObject(mxEvent.SELECT,_0x7bc7[279],_0xfb0bx3))}};mxToolbar[_0x7bc7[202]][_0x7bc7[1050]]=function(_0xfb0bx2){(_0xfb0bx2||!this[_0x7bc7[1023]])&&this[_0x7bc7[1045]]!=this[_0x7bc7[1046]]&&this[_0x7bc7[1047]](this[_0x7bc7[1046]],this[_0x7bc7[1049]])};mxToolbar[_0x7bc7[202]][_0x7bc7[1051]]=function(_0xfb0bx2){return this[_0x7bc7[1025]](null,_0xfb0bx2,null)};mxToolbar[_0x7bc7[202]][_0x7bc7[1052]]=function(){mxUtils[_0x7bc7[345]](this[_0x7bc7[526]])};mxToolbar[_0x7bc7[202]][_0x7bc7[1053]]=function(){var _0xfb0bx2=document[_0x7bc7[55]](_0x7bc7[1054]);_0xfb0bx2[_0x7bc7[124]][_0x7bc7[941]]=_0x7bc7[1055];_0xfb0bx2[_0x7bc7[57]](_0x7bc7[803],_0x7bc7[500]);this[_0x7bc7[526]][_0x7bc7[62]](_0xfb0bx2)};mxToolbar[_0x7bc7[202]][_0x7bc7[515]]=function(){mxEvent[_0x7bc7[762]](this[_0x7bc7[526]]);this[_0x7bc7[1045]]=this[_0x7bc7[1049]]=this[_0x7bc7[1046]]=this[_0x7bc7[526]]=null;null!=this[_0x7bc7[1029]]&&this[_0x7bc7[1029]][_0x7bc7[515]]()};function mxSession(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){this[_0x7bc7[251]]=_0xfb0bx2;this[_0x7bc7[1056]]=_0xfb0bx3;this[_0x7bc7[1057]]=_0xfb0bx4;this[_0x7bc7[1058]]=_0xfb0bx5;null!=_0xfb0bx2&&(this[_0x7bc7[1059]]= new mxCodec,this[_0x7bc7[1059]][_0x7bc7[1060]]=function(_0xfb0bx3){return _0xfb0bx2[_0x7bc7[736]](_0xfb0bx3)});_0xfb0bx2[_0x7bc7[169]](mxEvent.NOTIFY,mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=_0xfb0bx3[_0x7bc7[720]](_0x7bc7[1061]);(null!=_0xfb0bx4&&this[_0x7bc7[143]]||this[_0x7bc7[1062]]&&!this[_0x7bc7[1063]])&&this[_0x7bc7[827]](_0x7bc7[1064]+this[_0x7bc7[1067]](_0xfb0bx4[_0x7bc7[1065]],_0xfb0bx4[_0x7bc7[1066]])+_0x7bc7[1068])}))}mxSession[_0x7bc7[202]]= new mxEventSource;mxSession[_0x7bc7[202]][_0x7bc7[196]]=mxSession;mxSession[_0x7bc7[202]][_0x7bc7[251]]=null;mxSession[_0x7bc7[202]][_0x7bc7[1056]]=null;mxSession[_0x7bc7[202]][_0x7bc7[1057]]=null;mxSession[_0x7bc7[202]][_0x7bc7[1058]]=null;mxSession[_0x7bc7[202]][_0x7bc7[1059]]=null;mxSession[_0x7bc7[202]][_0x7bc7[1069]]=_0x7bc7[336];mxSession[_0x7bc7[202]][_0x7bc7[1070]]= !0;mxSession[_0x7bc7[202]][_0x7bc7[1071]]= !0;mxSession[_0x7bc7[202]][_0x7bc7[1072]]=0;mxSession[_0x7bc7[202]][_0x7bc7[1073]]=0;mxSession[_0x7bc7[202]][_0x7bc7[143]]= !1;mxSession[_0x7bc7[202]][_0x7bc7[1062]]= !1;mxSession[_0x7bc7[202]][_0x7bc7[1063]]= !1;mxSession[_0x7bc7[202]][_0x7bc7[1074]]= !1;mxSession[_0x7bc7[202]][_0x7bc7[861]]=function(){this[_0x7bc7[143]]?(this[_0x7bc7[1062]]= !0,this[_0x7bc7[746]]( new mxEventObject(mxEvent.CONNECT))):this[_0x7bc7[1062]]||this[_0x7bc7[203]](this[_0x7bc7[1056]],mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){this[_0x7bc7[1062]]= !0;this[_0x7bc7[746]]( new mxEventObject(mxEvent.CONNECT));this[_0x7bc7[1075]]()}))};mxSession[_0x7bc7[202]][_0x7bc7[809]]=function(){this[_0x7bc7[1062]]&&!this[_0x7bc7[1063]]&&(this[_0x7bc7[1063]]= !0,this[_0x7bc7[746]]( new mxEventObject(mxEvent.SUSPEND)))};mxSession[_0x7bc7[202]][_0x7bc7[810]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){this[_0x7bc7[1062]]&&this[_0x7bc7[1063]]&&(this[_0x7bc7[1063]]= !1,this[_0x7bc7[746]]( new mxEventObject(mxEvent.RESUME)),this[_0x7bc7[1074]]||this[_0x7bc7[1075]]())};mxSession[_0x7bc7[202]][_0x7bc7[1076]]=function(_0xfb0bx2){this[_0x7bc7[1062]]&&(this[_0x7bc7[1062]]= !1);this[_0x7bc7[746]]( new mxEventObject(mxEvent.DISCONNECT,_0x7bc7[1077],_0xfb0bx2))};mxSession[_0x7bc7[202]][_0x7bc7[1075]]=function(){this[_0x7bc7[1062]]&&!this[_0x7bc7[1063]]&&null!=this[_0x7bc7[1057]]?(this[_0x7bc7[1074]]= !0,this[_0x7bc7[203]](this[_0x7bc7[1057]],mxUtils[_0x7bc7[885]](this,function(){this[_0x7bc7[1075]]()}))):this[_0x7bc7[1074]]= !1};mxSession[_0x7bc7[202]][_0x7bc7[827]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){null!=_0xfb0bx2&&0<_0xfb0bx2[_0x7bc7[67]]&&(null!=this[_0x7bc7[1058]]&&(this[_0x7bc7[143]]?(mxLog[_0x7bc7[539]](),mxLog[_0x7bc7[143]](_0x7bc7[1078]+this[_0x7bc7[1058]]+_0x7bc7[1079]+_0xfb0bx2)):(_0xfb0bx2=_0x7bc7[1080]+_0xfb0bx2+_0x7bc7[1081],this[_0x7bc7[1070]]&&(_0xfb0bx2=encodeURIComponent(_0xfb0bx2)),mxUtils[_0x7bc7[814]](this[_0x7bc7[1058]],_0x7bc7[1082]+_0xfb0bx2,_0xfb0bx3,_0xfb0bx4))),this[_0x7bc7[1072]]+=_0xfb0bx2[_0x7bc7[67]],this[_0x7bc7[746]]( new mxEventObject(mxEvent.NOTIFY,_0x7bc7[863],this[_0x7bc7[1058]],_0x7bc7[338],_0xfb0bx2)))};mxSession[_0x7bc7[202]][_0x7bc7[203]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){if(_0x7bc7[82]!= typeof mxUtils){var _0xfb0bx5=mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){null!=_0xfb0bx4?_0xfb0bx4(_0xfb0bx2):this[_0x7bc7[1076]](_0xfb0bx2)});mxUtils[_0x7bc7[203]](_0xfb0bx2,mxUtils[_0x7bc7[885]](this,function(_0xfb0bx4){if(_0x7bc7[82]!= typeof mxUtils){if(_0xfb0bx4[_0x7bc7[220]]()&&404!=_0xfb0bx4[_0x7bc7[878]]()){if(this[_0x7bc7[1073]]+=_0xfb0bx4[_0x7bc7[221]]()[_0x7bc7[67]],this[_0x7bc7[746]]( new mxEventObject(mxEvent.GET,_0x7bc7[863],_0xfb0bx2,_0x7bc7[870],_0xfb0bx4)),this[_0x7bc7[1083]](_0xfb0bx4)){if(0<_0xfb0bx4[_0x7bc7[221]]()[_0x7bc7[67]]){var _0xfb0bxa=_0xfb0bx4[_0x7bc7[874]]();null==_0xfb0bxa?_0xfb0bx5(_0x7bc7[1084]+_0xfb0bx4[_0x7bc7[221]]()):this[_0x7bc7[806]](_0xfb0bxa)};null!=_0xfb0bx3&&_0xfb0bx3(_0xfb0bx4)}}else {_0xfb0bx5(_0x7bc7[1085])}}}),function(_0xfb0bx2){_0xfb0bx5(_0x7bc7[1086])})}};mxSession[_0x7bc7[202]][_0x7bc7[1083]]=function(_0xfb0bx2){return 0>_0xfb0bx2[_0x7bc7[221]]()[_0x7bc7[2]](_0x7bc7[1087])};mxSession[_0x7bc7[202]][_0x7bc7[1067]]=function(_0xfb0bx2,_0xfb0bx3){for(var _0xfb0bx4=_0x7bc7[110],_0xfb0bx5=_0xfb0bx3?-1:1,_0xfb0bx9=_0xfb0bx3?_0xfb0bx2[_0x7bc7[67]]-1:0;0<=_0xfb0bx9&&_0xfb0bx9<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx9+=_0xfb0bx5){var _0xfb0bxa=this[_0x7bc7[1059]][_0x7bc7[514]](_0xfb0bx2[_0xfb0bx9]),_0xfb0bx4=_0xfb0bx4+mxUtils[_0x7bc7[875]](_0xfb0bxa,this[_0x7bc7[1069]])};return _0xfb0bx4};mxSession[_0x7bc7[202]][_0x7bc7[806]]=function(_0xfb0bx2){if(null!=_0xfb0bx2&&_0xfb0bx2[_0x7bc7[288]]==mxConstants[_0x7bc7[289]]){var _0xfb0bx3=_0xfb0bx2[_0x7bc7[284]](_0x7bc7[1088]);null!=_0xfb0bx3&&(this[_0x7bc7[251]][_0x7bc7[1089]]=_0xfb0bx3+_0x7bc7[213]);for(_0xfb0bx3=_0xfb0bx2[_0x7bc7[285]];null!=_0xfb0bx3;){var _0xfb0bx4=_0xfb0bx3[_0x7bc7[301]][_0x7bc7[216]]();_0x7bc7[724]==_0xfb0bx4?this[_0x7bc7[1090]](_0xfb0bx3):_0x7bc7[1091]==_0xfb0bx4&&this[_0x7bc7[1092]](_0xfb0bx3);_0xfb0bx3=_0xfb0bx3[_0x7bc7[287]]};this[_0x7bc7[746]]( new mxEventObject(mxEvent.RECEIVE,_0x7bc7[252],_0xfb0bx2))}};mxSession[_0x7bc7[202]][_0x7bc7[1090]]=function(_0xfb0bx2){( new mxCodec(_0xfb0bx2[_0x7bc7[295]]))[_0x7bc7[1093]](_0xfb0bx2[_0x7bc7[285]],this[_0x7bc7[251]])};mxSession[_0x7bc7[202]][_0x7bc7[1092]]=function(_0xfb0bx2){for(_0xfb0bx2=_0xfb0bx2[_0x7bc7[285]];null!=_0xfb0bx2;){_0x7bc7[1061]==_0xfb0bx2[_0x7bc7[301]]&&this[_0x7bc7[1094]](_0xfb0bx2),_0xfb0bx2=_0xfb0bx2[_0x7bc7[287]]}};mxSession[_0x7bc7[202]][_0x7bc7[1094]]=function(_0xfb0bx2){_0xfb0bx2=this[_0x7bc7[1095]](_0xfb0bx2);if(0<_0xfb0bx2[_0x7bc7[67]]){var _0xfb0bx3=this[_0x7bc7[1096]](_0xfb0bx2);this[_0x7bc7[251]][_0x7bc7[746]]( new mxEventObject(mxEvent.CHANGE,_0x7bc7[1061],_0xfb0bx3,_0x7bc7[1065],_0xfb0bx2));this[_0x7bc7[251]][_0x7bc7[746]]( new mxEventObject(mxEvent.UNDO,_0x7bc7[1061],_0xfb0bx3));this[_0x7bc7[746]]( new mxEventObject(mxEvent.FIRED,_0x7bc7[1061],_0xfb0bx3))}};mxSession[_0x7bc7[202]][_0x7bc7[1096]]=function(_0xfb0bx2){var _0xfb0bx3= new mxUndoableEdit(this[_0x7bc7[251]],this[_0x7bc7[1071]]);_0xfb0bx3[_0x7bc7[1065]]=_0xfb0bx2;_0xfb0bx3[_0x7bc7[827]]=function(){_0xfb0bx3[_0x7bc7[1097]][_0x7bc7[746]]( new mxEventObject(mxEvent.CHANGE,_0x7bc7[1061],_0xfb0bx3,_0x7bc7[1065],_0xfb0bx3[_0x7bc7[1065]]));_0xfb0bx3[_0x7bc7[1097]][_0x7bc7[746]]( new mxEventObject(mxEvent.NOTIFY,_0x7bc7[1061],_0xfb0bx3,_0x7bc7[1065],_0xfb0bx3[_0x7bc7[1065]]))};return _0xfb0bx3};mxSession[_0x7bc7[202]][_0x7bc7[1095]]=function(_0xfb0bx2){this[_0x7bc7[1059]][_0x7bc7[395]]=_0xfb0bx2[_0x7bc7[295]];var _0xfb0bx3=[];for(_0xfb0bx2=_0xfb0bx2[_0x7bc7[285]];null!=_0xfb0bx2;){var _0xfb0bx4=this[_0x7bc7[1098]](_0xfb0bx2);null!=_0xfb0bx4&&_0xfb0bx3[_0x7bc7[207]](_0xfb0bx4);_0xfb0bx2=_0xfb0bx2[_0x7bc7[287]]};return _0xfb0bx3};mxSession[_0x7bc7[202]][_0x7bc7[1098]]=function(_0xfb0bx2){var _0xfb0bx3=null;_0xfb0bx2[_0x7bc7[288]]==mxConstants[_0x7bc7[289]]&&(_0xfb0bx3=_0x7bc7[1099]==_0xfb0bx2[_0x7bc7[301]]?( new mxCodec(_0xfb0bx2[_0x7bc7[295]]))[_0x7bc7[1093]](_0xfb0bx2):this[_0x7bc7[1059]][_0x7bc7[1093]](_0xfb0bx2),null!=_0xfb0bx3&&(_0xfb0bx3[_0x7bc7[251]]=this[_0x7bc7[251]],_0xfb0bx3[_0x7bc7[350]](),_0x7bc7[1100]==_0xfb0bx2[_0x7bc7[301]]&&null==_0xfb0bx3[_0x7bc7[1101]]&&this[_0x7bc7[1102]](_0xfb0bx3[_0x7bc7[247]])));return _0xfb0bx3};mxSession[_0x7bc7[202]][_0x7bc7[1102]]=function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[1059]][_0x7bc7[1104]](_0xfb0bx2[_0x7bc7[1103]](),_0xfb0bx2);for(var _0xfb0bx4=this[_0x7bc7[251]][_0x7bc7[262]](_0xfb0bx2),_0xfb0bx5=0;_0xfb0bx5<_0xfb0bx4;_0xfb0bx5++){this[_0x7bc7[1102]](this[_0x7bc7[251]][_0x7bc7[263]](_0xfb0bx2,_0xfb0bx5))}};function mxUndoableEdit(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[1097]]=_0xfb0bx2;this[_0x7bc7[1065]]=[];this[_0x7bc7[1105]]=null!=_0xfb0bx3?_0xfb0bx3:!0}mxUndoableEdit[_0x7bc7[202]][_0x7bc7[1097]]=null;mxUndoableEdit[_0x7bc7[202]][_0x7bc7[1065]]=null;mxUndoableEdit[_0x7bc7[202]][_0x7bc7[1105]]=null;mxUndoableEdit[_0x7bc7[202]][_0x7bc7[1066]]= !1;mxUndoableEdit[_0x7bc7[202]][_0x7bc7[1106]]= !1;mxUndoableEdit[_0x7bc7[202]][_0x7bc7[1107]]=function(){return 0==this[_0x7bc7[1065]][_0x7bc7[67]]};mxUndoableEdit[_0x7bc7[202]][_0x7bc7[1108]]=function(){return this[_0x7bc7[1105]]};mxUndoableEdit[_0x7bc7[202]][_0x7bc7[99]]=function(_0xfb0bx2){this[_0x7bc7[1065]][_0x7bc7[207]](_0xfb0bx2)};mxUndoableEdit[_0x7bc7[202]][_0x7bc7[827]]=function(){};mxUndoableEdit[_0x7bc7[202]][_0x7bc7[1109]]=function(){};mxUndoableEdit[_0x7bc7[202]][_0x7bc7[824]]=function(){if(!this[_0x7bc7[1066]]){this[_0x7bc7[1097]][_0x7bc7[746]]( new mxEventObject(mxEvent.START_EDIT));for(var _0xfb0bx2=this[_0x7bc7[1065]][_0x7bc7[67]]-1;0<=_0xfb0bx2;_0xfb0bx2--){var _0xfb0bx3=this[_0x7bc7[1065]][_0xfb0bx2];null!=_0xfb0bx3[_0x7bc7[350]]?_0xfb0bx3[_0x7bc7[350]]():null!=_0xfb0bx3[_0x7bc7[824]]&&_0xfb0bx3[_0x7bc7[824]]();this[_0x7bc7[1097]][_0x7bc7[746]]( new mxEventObject(mxEvent.EXECUTED,_0x7bc7[826],_0xfb0bx3))};this[_0x7bc7[1066]]= !0;this[_0x7bc7[1106]]= !1;this[_0x7bc7[1097]][_0x7bc7[746]]( new mxEventObject(mxEvent.END_EDIT))};this[_0x7bc7[827]]()};mxUndoableEdit[_0x7bc7[202]][_0x7bc7[825]]=function(){if(!this[_0x7bc7[1106]]){this[_0x7bc7[1097]][_0x7bc7[746]]( new mxEventObject(mxEvent.START_EDIT));for(var _0xfb0bx2=this[_0x7bc7[1065]][_0x7bc7[67]],_0xfb0bx3=0;_0xfb0bx3<_0xfb0bx2;_0xfb0bx3++){var _0xfb0bx4=this[_0x7bc7[1065]][_0xfb0bx3];null!=_0xfb0bx4[_0x7bc7[350]]?_0xfb0bx4[_0x7bc7[350]]():null!=_0xfb0bx4[_0x7bc7[825]]&&_0xfb0bx4[_0x7bc7[825]]();this[_0x7bc7[1097]][_0x7bc7[746]]( new mxEventObject(mxEvent.EXECUTED,_0x7bc7[826],_0xfb0bx4))};this[_0x7bc7[1066]]= !1;this[_0x7bc7[1106]]= !0;this[_0x7bc7[1097]][_0x7bc7[746]]( new mxEventObject(mxEvent.END_EDIT))};this[_0x7bc7[827]]()};function mxUndoManager(_0xfb0bx2){this[_0x7bc7[803]]=null!=_0xfb0bx2?_0xfb0bx2:100;this[_0x7bc7[200]]()}mxUndoManager[_0x7bc7[202]]= new mxEventSource;mxUndoManager[_0x7bc7[202]][_0x7bc7[196]]=mxUndoManager;mxUndoManager[_0x7bc7[202]][_0x7bc7[803]]=null;mxUndoManager[_0x7bc7[202]][_0x7bc7[1110]]=null;mxUndoManager[_0x7bc7[202]][_0x7bc7[1111]]=0;mxUndoManager[_0x7bc7[202]][_0x7bc7[1107]]=function(){return 0==this[_0x7bc7[1110]][_0x7bc7[67]]};mxUndoManager[_0x7bc7[202]][_0x7bc7[200]]=function(){this[_0x7bc7[1110]]=[];this[_0x7bc7[1111]]=0;this[_0x7bc7[746]]( new mxEventObject(mxEvent.CLEAR))};mxUndoManager[_0x7bc7[202]][_0x7bc7[1112]]=function(){return 0this[_0x7bc7[1111]]){for(var _0xfb0bx2=this[_0x7bc7[1110]][_0x7bc7[300]](this[_0x7bc7[1111]],this[_0x7bc7[1110]][_0x7bc7[67]]-this[_0x7bc7[1111]]),_0xfb0bx3=0;_0xfb0bx3<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx3++){_0xfb0bx2[_0xfb0bx3][_0x7bc7[1109]]()}}};var mxUrlConverter=function(_0xfb0bx2){var _0xfb0bx3=!0,_0xfb0bx4=null,_0xfb0bx5=null;return {isEnabled:function(){return _0xfb0bx3},setEnabled:function(_0xfb0bx2){_0xfb0bx3=_0xfb0bx2},getBaseUrl:function(){return _0xfb0bx4},setBaseUrl:function(_0xfb0bx2){_0xfb0bx4=_0xfb0bx2},getBaseDomain:function(){return _0xfb0bx4},setBaseDomain:function(_0xfb0bx2){_0xfb0bx4=_0xfb0bx2},isRelativeUrl:function(_0xfb0bx2){return _0x7bc7[43]!=_0xfb0bx2[_0x7bc7[85]](0,7)&&_0x7bc7[46]!=_0xfb0bx2[_0x7bc7[85]](0,8)&&_0x7bc7[1116]!=_0xfb0bx2[_0x7bc7[85]](0,10)},convert:function(_0xfb0bx2){if(_0xfb0bx3&&this[_0x7bc7[1117]](_0xfb0bx2)){if(null==_0xfb0bx4){_0xfb0bx5=location[_0x7bc7[1118]]+_0x7bc7[1119]+location[_0x7bc7[1120]];_0xfb0bx4=_0xfb0bx5+location[_0x7bc7[1121]];var _0xfb0bxa=_0xfb0bx4[_0x7bc7[1122]](_0x7bc7[84]);0<_0xfb0bxa&&(_0xfb0bx4=_0xfb0bx4[_0x7bc7[85]](0,_0xfb0bxa+1))};_0xfb0bx2=_0x7bc7[84]==_0xfb0bx2[_0x7bc7[225]](0)?_0xfb0bx5+_0xfb0bx2:_0xfb0bx4+_0xfb0bx2};return _0xfb0bx2}}};function mxPanningManager(_0xfb0bx2){this[_0x7bc7[1123]]=null;this[_0x7bc7[1124]]= !1;this[_0x7bc7[1125]]=this[_0x7bc7[1126]]=this[_0x7bc7[1127]]=this[_0x7bc7[1128]]=this[_0x7bc7[1129]]=this[_0x7bc7[1130]]=0;this[_0x7bc7[1131]]= !1;this[_0x7bc7[190]]=this[_0x7bc7[360]]=0;this[_0x7bc7[1132]]={mouseDown:function(_0xfb0bx2,_0xfb0bx3){},mouseMove:function(_0xfb0bx2,_0xfb0bx3){},mouseUp:mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[1124]]&&this[_0x7bc7[1076]]()})};_0xfb0bx2[_0x7bc7[1133]](this[_0x7bc7[1132]]);mxEvent[_0x7bc7[169]](document,_0x7bc7[753],mxUtils[_0x7bc7[885]](this,function(){this[_0x7bc7[1124]]&&this[_0x7bc7[1076]]()}));var _0xfb0bx3=mxUtils[_0x7bc7[885]](this,function(){this[_0x7bc7[1131]]=mxUtils[_0x7bc7[1134]](_0xfb0bx2[_0x7bc7[526]]);this[_0x7bc7[360]]=_0xfb0bx2[_0x7bc7[526]][_0x7bc7[360]];this[_0x7bc7[190]]=_0xfb0bx2[_0x7bc7[526]][_0x7bc7[190]];return window[_0x7bc7[1141]](mxUtils[_0x7bc7[885]](this,function(){this[_0x7bc7[1130]]-=this[_0x7bc7[1126]];this[_0x7bc7[1129]]-=this[_0x7bc7[1125]];if(this[_0x7bc7[1131]]){var _0xfb0bx3=-_0xfb0bx2[_0x7bc7[526]][_0x7bc7[360]]-Math[_0x7bc7[430]](this[_0x7bc7[1126]]),_0xfb0bx5=-_0xfb0bx2[_0x7bc7[526]][_0x7bc7[190]]-Math[_0x7bc7[430]](this[_0x7bc7[1125]]);_0xfb0bx2[_0x7bc7[1135]](_0xfb0bx3,_0xfb0bx5);_0xfb0bx2[_0x7bc7[1136]]=this[_0x7bc7[360]]-_0xfb0bx2[_0x7bc7[526]][_0x7bc7[360]];_0xfb0bx2[_0x7bc7[1137]]=this[_0x7bc7[190]]-_0xfb0bx2[_0x7bc7[526]][_0x7bc7[190]];_0xfb0bx2[_0x7bc7[746]]( new mxEventObject(mxEvent.PAN))}else {_0xfb0bx2[_0x7bc7[1135]](this[_0x7bc7[1138]](),this[_0x7bc7[1139]]())}}),this[_0x7bc7[1140]])});this[_0x7bc7[1142]]=function(){return active};this[_0x7bc7[1138]]=function(){return Math[_0x7bc7[488]](this[_0x7bc7[1130]])};this[_0x7bc7[1139]]=function(){return Math[_0x7bc7[488]](this[_0x7bc7[1129]])};this[_0x7bc7[861]]=function(){this[_0x7bc7[1128]]=_0xfb0bx2[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[235]];this[_0x7bc7[1127]]=_0xfb0bx2[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[236]];this[_0x7bc7[1124]]= !0};this[_0x7bc7[1143]]=function(_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){this[_0x7bc7[1124]]||this[_0x7bc7[861]]();this[_0x7bc7[360]]=_0xfb0bx2[_0x7bc7[526]][_0x7bc7[360]];this[_0x7bc7[190]]=_0xfb0bx2[_0x7bc7[526]][_0x7bc7[190]];_0xfb0bxa=null!=_0xfb0bxa?_0xfb0bxa:0;var _0xfb0bx12=_0xfb0bx2[_0x7bc7[526]];this[_0x7bc7[1126]]=_0xfb0bx4+(null!=_0xfb0bx9?_0xfb0bx9:0)-_0xfb0bx12[_0x7bc7[360]]-_0xfb0bx12[_0x7bc7[159]];0>this[_0x7bc7[1126]]&&Math[_0x7bc7[425]](this[_0x7bc7[1126]])this[_0x7bc7[1125]]&&Math[_0x7bc7[425]](this[_0x7bc7[1125]])(_0xfb0bx9[_0x7bc7[360]]||_0xfb0bxa[_0x7bc7[360]])+(_0xfb0bx9[_0x7bc7[159]]||_0xfb0bxa[_0x7bc7[159]])){_0xfb0bx3[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[361]]=_0xfb0bx2[_0x7bc7[485]][_0x7bc7[358]]-_0xfb0bx5+(mxClient[_0x7bc7[80]]?6:-6)+_0x7bc7[168]};mxUtils[_0x7bc7[933]](_0xfb0bx3[_0x7bc7[485]])}};mxPopupMenu[_0x7bc7[202]][_0x7bc7[1051]]=function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx2=_0xfb0bx2||this;if(this[_0x7bc7[1154]]&& !_0xfb0bx3){_0xfb0bx2[_0x7bc7[1159]]= !0}else {if(null!=_0xfb0bx2[_0x7bc7[120]]){_0xfb0bx2[_0x7bc7[1159]]= !1;var _0xfb0bx4=document[_0x7bc7[55]](_0x7bc7[121]),_0xfb0bx5=document[_0x7bc7[55]](_0x7bc7[122]);_0xfb0bx5[_0x7bc7[926]]=_0x7bc7[1162];_0xfb0bx5[_0x7bc7[124]][_0x7bc7[549]]=_0x7bc7[1172];_0xfb0bx4[_0x7bc7[62]](_0xfb0bx5);_0xfb0bx5=document[_0x7bc7[55]](_0x7bc7[122]);_0xfb0bx5[_0x7bc7[124]][_0x7bc7[549]]=_0x7bc7[1172];_0xfb0bx5[_0x7bc7[57]](_0x7bc7[1173],_0x7bc7[1174]);var _0xfb0bx9=document[_0x7bc7[55]](_0x7bc7[1054]);_0xfb0bx9[_0x7bc7[57]](_0x7bc7[803],_0x7bc7[500]);_0xfb0bx5[_0x7bc7[62]](_0xfb0bx9);_0xfb0bx4[_0x7bc7[62]](_0xfb0bx5);_0xfb0bx2[_0x7bc7[120]][_0x7bc7[62]](_0xfb0bx4)}}};mxPopupMenu[_0x7bc7[202]][_0x7bc7[152]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){if(null!=this[_0x7bc7[485]]&&null!=this[_0x7bc7[120]]&&null!=this[_0x7bc7[1033]]){this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[361]]=_0xfb0bx2+_0x7bc7[168];for(this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[125]]=_0xfb0bx3+_0x7bc7[168];null!=this[_0x7bc7[120]][_0x7bc7[285]];){mxEvent[_0x7bc7[762]](this[_0x7bc7[120]][_0x7bc7[285]]),this[_0x7bc7[120]][_0x7bc7[266]](this[_0x7bc7[120]][_0x7bc7[285]])};this[_0x7bc7[1152]]=0;this[_0x7bc7[1033]](this,_0xfb0bx4,_0xfb0bx5);0this[_0x7bc7[1180]]||this[_0x7bc7[1183]]>=this[_0x7bc7[1182]]&&_0xfb0bx2>this[_0x7bc7[1181]]?(this[_0x7bc7[815]](),this[_0x7bc7[862]]()):this[_0x7bc7[1183]]++};mxAutoSaveManager[_0x7bc7[202]][_0x7bc7[862]]=function(){this[_0x7bc7[1184]]=( new Date)[_0x7bc7[178]]();this[_0x7bc7[1183]]=0};mxAutoSaveManager[_0x7bc7[202]][_0x7bc7[515]]=function(){this[_0x7bc7[1178]](null)};function mxAnimation(_0xfb0bx2){this[_0x7bc7[1140]]=null!=_0xfb0bx2?_0xfb0bx2:20}mxAnimation[_0x7bc7[202]]= new mxEventSource;mxAnimation[_0x7bc7[202]][_0x7bc7[196]]=mxAnimation;mxAnimation[_0x7bc7[202]][_0x7bc7[1140]]=null;mxAnimation[_0x7bc7[202]][_0x7bc7[1123]]=null;mxAnimation[_0x7bc7[202]][_0x7bc7[1185]]=function(){null==this[_0x7bc7[1123]]&&(this[_0x7bc7[1123]]=window[_0x7bc7[1141]](mxUtils[_0x7bc7[885]](this,this[_0x7bc7[1186]]),this[_0x7bc7[1140]]))};mxAnimation[_0x7bc7[202]][_0x7bc7[1186]]=function(){this[_0x7bc7[746]]( new mxEventObject(mxEvent.EXECUTE))};mxAnimation[_0x7bc7[202]][_0x7bc7[1187]]=function(){null!=this[_0x7bc7[1123]]&&(window[_0x7bc7[1146]](this[_0x7bc7[1123]]),this[_0x7bc7[1123]]=null,this[_0x7bc7[746]]( new mxEventObject(mxEvent.DONE)))};function mxMorphing(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){mxAnimation[_0x7bc7[239]](this,_0xfb0bx5);this[_0x7bc7[1179]]=_0xfb0bx2;this[_0x7bc7[1188]]=null!=_0xfb0bx3?_0xfb0bx3:6;this[_0x7bc7[1189]]=null!=_0xfb0bx4?_0xfb0bx4:1.5}mxMorphing[_0x7bc7[202]]= new mxAnimation;mxMorphing[_0x7bc7[202]][_0x7bc7[196]]=mxMorphing;mxMorphing[_0x7bc7[202]][_0x7bc7[1179]]=null;mxMorphing[_0x7bc7[202]][_0x7bc7[1188]]=null;mxMorphing[_0x7bc7[202]][_0x7bc7[1190]]=0;mxMorphing[_0x7bc7[202]][_0x7bc7[1189]]=null;mxMorphing[_0x7bc7[202]][_0x7bc7[895]]=null;mxMorphing[_0x7bc7[202]][_0x7bc7[1186]]=function(){var _0xfb0bx2= new mxCellStatePreview(this[_0x7bc7[1179]]);if(null!=this[_0x7bc7[895]]){for(var _0xfb0bx3=0;_0xfb0bx3=this[_0x7bc7[1188]])&&this[_0x7bc7[1187]]()};mxMorphing[_0x7bc7[202]][_0x7bc7[539]]=function(_0xfb0bx2){_0xfb0bx2[_0x7bc7[539]]()};mxMorphing[_0x7bc7[202]][_0x7bc7[1191]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[248]](_0xfb0bx2),_0xfb0bx9=null;if(null!=_0xfb0bx5&&(_0xfb0bx9=this[_0x7bc7[1192]](_0xfb0bx5),this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[1193]](_0xfb0bx2)&&(0!=_0xfb0bx9[_0x7bc7[235]]||0!=_0xfb0bx9[_0x7bc7[236]]))){var _0xfb0bxa=this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[512]](),_0xfb0bx12=this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[518]]();_0xfb0bx9[_0x7bc7[235]]+=_0xfb0bxa[_0x7bc7[235]]*_0xfb0bx12;_0xfb0bx9[_0x7bc7[236]]+=_0xfb0bxa[_0x7bc7[236]]*_0xfb0bx12;_0xfb0bx3[_0x7bc7[1194]](_0xfb0bx5,-_0xfb0bx9[_0x7bc7[235]]/this[_0x7bc7[1189]],-_0xfb0bx9[_0x7bc7[236]]/this[_0x7bc7[1189]])};if(_0xfb0bx4&&!this[_0x7bc7[1195]](_0xfb0bx5,_0xfb0bx9)){_0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[262]](_0xfb0bx2);for(_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx5;_0xfb0bx9++){this[_0x7bc7[1191]](this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[263]](_0xfb0bx2,_0xfb0bx9),_0xfb0bx3,_0xfb0bx4)}}};mxMorphing[_0x7bc7[202]][_0x7bc7[1195]]=function(_0xfb0bx2,_0xfb0bx3){return null!=_0xfb0bx3&&(0!=_0xfb0bx3[_0x7bc7[235]]||0!=_0xfb0bx3[_0x7bc7[236]])};mxMorphing[_0x7bc7[202]][_0x7bc7[1192]]=function(_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[1196]](_0xfb0bx2[_0x7bc7[246]]),_0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[512]](),_0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[518]]();_0xfb0bx2= new mxPoint(_0xfb0bx2[_0x7bc7[235]]/_0xfb0bx5-_0xfb0bx4[_0x7bc7[235]],_0xfb0bx2[_0x7bc7[236]]/_0xfb0bx5-_0xfb0bx4[_0x7bc7[236]]);return new mxPoint((_0xfb0bx3[_0x7bc7[235]]-_0xfb0bx2[_0x7bc7[235]])*_0xfb0bx5,(_0xfb0bx3[_0x7bc7[236]]-_0xfb0bx2[_0x7bc7[236]])*_0xfb0bx5)};mxMorphing[_0x7bc7[202]][_0x7bc7[1196]]=function(_0xfb0bx2){var _0xfb0bx3=null;null!=_0xfb0bx2&&(_0xfb0bx3=this[_0x7bc7[1196]](this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[1197]](_0xfb0bx2)),_0xfb0bx2=this[_0x7bc7[1179]][_0x7bc7[1198]](_0xfb0bx2),null!=_0xfb0bx2&&(_0xfb0bx3[_0x7bc7[235]]+=_0xfb0bx2[_0x7bc7[235]],_0xfb0bx3[_0x7bc7[236]]+=_0xfb0bx2[_0x7bc7[236]]));null==_0xfb0bx3&&(_0xfb0bx3=this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[512]](),_0xfb0bx3= new mxPoint(-_0xfb0bx3[_0x7bc7[235]],-_0xfb0bx3[_0x7bc7[236]]));return _0xfb0bx3};function mxImageBundle(_0xfb0bx2){this[_0x7bc7[1199]]=[];this[_0x7bc7[1200]]=null!=_0xfb0bx2?_0xfb0bx2:!1}mxImageBundle[_0x7bc7[202]][_0x7bc7[1199]]=null;mxImageBundle[_0x7bc7[202]][_0x7bc7[1199]]=null;mxImageBundle[_0x7bc7[202]][_0x7bc7[1201]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){this[_0x7bc7[1199]][_0xfb0bx2]={value:_0xfb0bx3,fallback:_0xfb0bx4}};mxImageBundle[_0x7bc7[202]][_0x7bc7[1202]]=function(_0xfb0bx2){var _0xfb0bx3=null;null!=_0xfb0bx2&&(_0xfb0bx2=this[_0x7bc7[1199]][_0xfb0bx2],null!=_0xfb0bx2&&(_0xfb0bx3=this[_0x7bc7[1200]]?_0xfb0bx2[_0x7bc7[1203]]:_0xfb0bx2[_0x7bc7[131]]));return _0xfb0bx3};function mxImageExport(){}mxImageExport[_0x7bc7[202]][_0x7bc7[1204]]= !1;mxImageExport[_0x7bc7[202]][_0x7bc7[1205]]=function(_0xfb0bx2,_0xfb0bx3){null!=_0xfb0bx2&&(this[_0x7bc7[1207]](_0xfb0bx2,_0xfb0bx3,this[_0x7bc7[1206]]),this[_0x7bc7[1204]]&&this[_0x7bc7[1207]](_0xfb0bx2,_0xfb0bx3,this[_0x7bc7[1208]]))};mxImageExport[_0x7bc7[202]][_0x7bc7[1207]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){if(null!=_0xfb0bx2){_0xfb0bx4(_0xfb0bx2,_0xfb0bx3);for(var _0xfb0bx5=_0xfb0bx2[_0x7bc7[441]][_0x7bc7[1179]],_0xfb0bx9=_0xfb0bx5[_0x7bc7[251]][_0x7bc7[262]](_0xfb0bx2[_0x7bc7[246]]),_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx9;_0xfb0bxa++){var _0xfb0bx12=_0xfb0bx5[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx5[_0x7bc7[251]][_0x7bc7[263]](_0xfb0bx2[_0x7bc7[246]],_0xfb0bxa));this[_0x7bc7[1207]](_0xfb0bx12,_0xfb0bx3,_0xfb0bx4)}}};mxImageExport[_0x7bc7[202]][_0x7bc7[1206]]=function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx2[_0x7bc7[253]] instanceof mxShape&&(_0xfb0bx3[_0x7bc7[815]](),_0xfb0bx2[_0x7bc7[253]][_0x7bc7[1209]](_0xfb0bx3),_0xfb0bx3[_0x7bc7[1210]]());null!=_0xfb0bx2[_0x7bc7[963]]&&(_0xfb0bx3[_0x7bc7[815]](),_0xfb0bx2[_0x7bc7[963]][_0x7bc7[1209]](_0xfb0bx3),_0xfb0bx3[_0x7bc7[1210]]())};mxImageExport[_0x7bc7[202]][_0x7bc7[1208]]=function(_0xfb0bx2,_0xfb0bx3){null!=_0xfb0bx2[_0x7bc7[1211]]&&_0xfb0bx2[_0x7bc7[1211]][_0x7bc7[209]](function(_0xfb0bx2,_0xfb0bx5){_0xfb0bx5 instanceof mxShape&&_0xfb0bx5[_0x7bc7[1209]](_0xfb0bx3)})};function mxAbstractCanvas2D(){this[_0x7bc7[1212]]=this[_0x7bc7[1213]]();this[_0x7bc7[862]]()}mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[724]]=null;mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[1214]]=null;mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[1215]]=null;mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[1216]]= !0;mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[1217]]=0;mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[1218]]=0;mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[1219]]=_0x7bc7[1220];mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[1221]]=_0x7bc7[1222];mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[1223]]=_0x7bc7[1224];mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[1225]]=_0x7bc7[1226];mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[1227]]=_0x7bc7[1228];mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[1229]]= !1;mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[1213]]=function(){return new mxUrlConverter};mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[862]]=function(){this[_0x7bc7[724]]=this[_0x7bc7[1230]]();this[_0x7bc7[1214]]=[]};mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[1230]]=function(){return {dx:0,dy:0,scale:1,alpha:1,fillColor:null,fillAlpha:1,gradientColor:null,gradientAlpha:1,gradientDirection:null,strokeColor:null,strokeWidth:1,dashed:!1,dashPattern:_0x7bc7[1231],lineCap:_0x7bc7[1232],lineJoin:_0x7bc7[1233],miterLimit:10,fontColor:_0x7bc7[1234],fontBackgroundColor:null,fontBorderColor:null,fontSize:mxConstants[_0x7bc7[483]],fontFamily:mxConstants[_0x7bc7[484]],fontStyle:0,shadow:!1,shadowColor:mxConstants[_0x7bc7[1235]],shadowAlpha:mxConstants[_0x7bc7[1236]],shadowDx:mxConstants[_0x7bc7[1237]],shadowDy:mxConstants[_0x7bc7[1238]],rotation:0,rotationCx:0,rotationCy:0}};mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[1239]]=function(_0xfb0bx2){return Math[_0x7bc7[488]](parseFloat(_0xfb0bx2))};mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[1240]]=function(){if(null!=this[_0x7bc7[1215]]&&(this[_0x7bc7[1215]][_0x7bc7[207]](arguments[0]),2_0xfb0bx4?_0x7bc7[1367]+_0xfb0bx4:_0x7bc7[110];_0xfb0bx9=this[_0x7bc7[55]](_0x7bc7[1076]);_0xfb0bx9[_0x7bc7[57]](_0x7bc7[1368],_0x7bc7[1366]);_0xfb0bx9[_0x7bc7[57]](_0x7bc7[124],_0x7bc7[1369]+_0xfb0bx2+_0xfb0bx4);_0xfb0bxa[_0x7bc7[62]](_0xfb0bx9);_0xfb0bx4=1>_0xfb0bx5?_0x7bc7[1367]+_0xfb0bx5:_0x7bc7[110];_0xfb0bx9=this[_0x7bc7[55]](_0x7bc7[1076]);_0xfb0bx9[_0x7bc7[57]](_0x7bc7[1368],_0x7bc7[118]);_0xfb0bx9[_0x7bc7[57]](_0x7bc7[124],_0x7bc7[1369]+_0xfb0bx3+_0xfb0bx4);_0xfb0bxa[_0x7bc7[62]](_0xfb0bx9);return _0xfb0bxa};mxSvgCanvas2D[_0x7bc7[202]][_0x7bc7[1370]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=this[_0x7bc7[252]],_0xfb0bx5=this[_0x7bc7[724]];if(null!=_0xfb0bx4){if(_0x7bc7[1215]==_0xfb0bx4[_0x7bc7[301]]){if(null!=this[_0x7bc7[1215]]&&0_0xfb0bx2[_0x7bc7[1244]]&&this[_0x7bc7[252]][_0x7bc7[57]](_0x7bc7[1379],_0xfb0bx2[_0x7bc7[1244]]);null!=_0xfb0bx2[_0x7bc7[604]]&&(null!=_0xfb0bx2[_0x7bc7[606]]?(_0xfb0bx2=this[_0x7bc7[1361]](_0xfb0bx2[_0x7bc7[604]],_0xfb0bx2[_0x7bc7[606]],_0xfb0bx2[_0x7bc7[1247]],_0xfb0bx2[_0x7bc7[1248]],_0xfb0bx2[_0x7bc7[607]]),this[_0x7bc7[252]][_0x7bc7[57]](_0x7bc7[1334],_0x7bc7[1380]+_0xfb0bx2+_0x7bc7[460])):this[_0x7bc7[252]][_0x7bc7[57]](_0x7bc7[1334],_0xfb0bx2[_0x7bc7[604]][_0x7bc7[216]]()))};mxSvgCanvas2D[_0x7bc7[202]][_0x7bc7[1374]]=function(){var _0xfb0bx2=this[_0x7bc7[724]];this[_0x7bc7[252]][_0x7bc7[57]](_0x7bc7[1336],_0xfb0bx2[_0x7bc7[608]][_0x7bc7[216]]());1>_0xfb0bx2[_0x7bc7[1244]]&&this[_0x7bc7[252]][_0x7bc7[57]](_0x7bc7[1381],_0xfb0bx2[_0x7bc7[1244]]);var _0xfb0bx3=Math[_0x7bc7[160]](1,this[_0x7bc7[1239]](_0xfb0bx2[_0x7bc7[610]]*_0xfb0bx2[_0x7bc7[255]]));1!=_0xfb0bx3&&this[_0x7bc7[252]][_0x7bc7[57]](_0x7bc7[1382],_0xfb0bx3);_0x7bc7[1215]==this[_0x7bc7[252]][_0x7bc7[301]]&&this[_0x7bc7[1383]]();_0xfb0bx2[_0x7bc7[648]]&&this[_0x7bc7[252]][_0x7bc7[57]](_0x7bc7[1384],this[_0x7bc7[1385]](_0xfb0bx3))};mxSvgCanvas2D[_0x7bc7[202]][_0x7bc7[1383]]=function(){var _0xfb0bx2=this[_0x7bc7[724]];null!=_0xfb0bx2[_0x7bc7[1256]]&&_0x7bc7[1233]!=_0xfb0bx2[_0x7bc7[1256]]&&this[_0x7bc7[252]][_0x7bc7[57]](_0x7bc7[1386],_0xfb0bx2[_0x7bc7[1256]]);if(null!=_0xfb0bx2[_0x7bc7[1254]]){var _0xfb0bx3=_0xfb0bx2[_0x7bc7[1254]];_0x7bc7[1232]==_0xfb0bx3&&(_0xfb0bx3=_0x7bc7[1387]);_0x7bc7[1387]!=_0xfb0bx3&&this[_0x7bc7[252]][_0x7bc7[57]](_0x7bc7[1388],_0xfb0bx3)};null!=_0xfb0bx2[_0x7bc7[1258]]&&(!this[_0x7bc7[1341]]||10!=_0xfb0bx2[_0x7bc7[1258]])&&this[_0x7bc7[252]][_0x7bc7[57]](_0x7bc7[1389],_0xfb0bx2[_0x7bc7[1258]])};mxSvgCanvas2D[_0x7bc7[202]][_0x7bc7[1385]]=function(_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[724]][_0x7bc7[649]][_0x7bc7[224]](_0x7bc7[185]),_0xfb0bx4=[];if(0<_0xfb0bx3[_0x7bc7[67]]){for(var _0xfb0bx5=0;_0xfb0bx5<_0xfb0bx3[_0x7bc7[67]];_0xfb0bx5++){_0xfb0bx4[_0xfb0bx5]=Number(_0xfb0bx3[_0xfb0bx5])*_0xfb0bx2}};return _0xfb0bx4[_0x7bc7[234]](_0x7bc7[185])};mxSvgCanvas2D[_0x7bc7[202]][_0x7bc7[1376]]=function(_0xfb0bx2){_0xfb0bx2=_0xfb0bx2[_0x7bc7[511]](!0);var _0xfb0bx3=parseFloat(_0xfb0bx2[_0x7bc7[284]](_0x7bc7[1382])||1)+this[_0x7bc7[1345]];_0xfb0bx2[_0x7bc7[57]](_0x7bc7[1377],_0x7bc7[1336]);_0xfb0bx2[_0x7bc7[57]](_0x7bc7[187],_0x7bc7[188]);_0xfb0bx2[_0x7bc7[1390]](_0x7bc7[1384]);_0xfb0bx2[_0x7bc7[57]](_0x7bc7[1382],_0xfb0bx3);_0xfb0bx2[_0x7bc7[57]](_0x7bc7[1334],_0x7bc7[130]);_0xfb0bx2[_0x7bc7[57]](_0x7bc7[1336],mxClient[_0x7bc7[71]]?_0x7bc7[130]:_0x7bc7[1391]);return _0xfb0bx2};mxSvgCanvas2D[_0x7bc7[202]][_0x7bc7[1375]]=function(_0xfb0bx2){_0xfb0bx2=_0xfb0bx2[_0x7bc7[511]](!0);var _0xfb0bx3=this[_0x7bc7[724]];_0x7bc7[130]!=_0xfb0bx2[_0x7bc7[284]](_0x7bc7[1334])&&_0xfb0bx2[_0x7bc7[57]](_0x7bc7[1334],_0xfb0bx3[_0x7bc7[1269]]);_0x7bc7[130]!=_0xfb0bx2[_0x7bc7[284]](_0x7bc7[1336])&&_0xfb0bx2[_0x7bc7[57]](_0x7bc7[1336],_0xfb0bx3[_0x7bc7[1269]]);_0xfb0bx2[_0x7bc7[57]](_0x7bc7[535],_0x7bc7[536]+this[_0x7bc7[1239]](_0xfb0bx3[_0x7bc7[1273]]*_0xfb0bx3[_0x7bc7[255]])+_0x7bc7[537]+this[_0x7bc7[1239]](_0xfb0bx3[_0x7bc7[1274]]*_0xfb0bx3[_0x7bc7[255]])+_0x7bc7[460]+(_0xfb0bx3[_0x7bc7[535]]||_0x7bc7[110]));_0xfb0bx2[_0x7bc7[57]](_0x7bc7[461],_0xfb0bx3[_0x7bc7[1271]]);return _0xfb0bx2};mxSvgCanvas2D[_0x7bc7[202]][_0x7bc7[1294]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){if(0!=_0xfb0bx2||_0xfb0bx3||_0xfb0bx4){var _0xfb0bxa=this[_0x7bc7[724]];_0xfb0bx5+=_0xfb0bxa[_0x7bc7[1126]];_0xfb0bx9+=_0xfb0bxa[_0x7bc7[1125]];_0xfb0bx5*=_0xfb0bxa[_0x7bc7[255]];_0xfb0bx9*=_0xfb0bxa[_0x7bc7[255]];_0xfb0bxa[_0x7bc7[535]]=_0xfb0bxa[_0x7bc7[535]]||_0x7bc7[110];if(_0xfb0bx3&&_0xfb0bx4){_0xfb0bx2+=180}else {if(_0xfb0bx3^_0xfb0bx4){var _0xfb0bx12=_0xfb0bx3?_0xfb0bx5:0,_0xfb0bx13=_0xfb0bx3?-1:1,_0xfb0bx14=_0xfb0bx4?_0xfb0bx9:0,_0xfb0bx15=_0xfb0bx4?-1:1;_0xfb0bxa[_0x7bc7[535]]+=_0x7bc7[536]+this[_0x7bc7[1239]](_0xfb0bx12)+_0x7bc7[537]+this[_0x7bc7[1239]](_0xfb0bx14)+_0x7bc7[1392]+this[_0x7bc7[1239]](_0xfb0bx13)+_0x7bc7[537]+this[_0x7bc7[1239]](_0xfb0bx15)+_0x7bc7[1393]+this[_0x7bc7[1239]](-_0xfb0bx12)+_0x7bc7[537]+this[_0x7bc7[1239]](-_0xfb0bx14)+_0x7bc7[460]}};if(_0xfb0bx3?!_0xfb0bx4:_0xfb0bx4){_0xfb0bx2*= -1};0!=_0xfb0bx2&&(_0xfb0bxa[_0x7bc7[535]]+=_0x7bc7[1394]+this[_0x7bc7[1239]](_0xfb0bx2)+_0x7bc7[537]+this[_0x7bc7[1239]](_0xfb0bx5)+_0x7bc7[537]+this[_0x7bc7[1239]](_0xfb0bx9)+_0x7bc7[460]);_0xfb0bxa[_0x7bc7[603]]+=_0xfb0bx2;_0xfb0bxa[_0x7bc7[1395]]=_0xfb0bx5;_0xfb0bxa[_0x7bc7[1396]]=_0xfb0bx9}};mxSvgCanvas2D[_0x7bc7[202]][_0x7bc7[1275]]=function(){mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[1275]][_0x7bc7[183]](this,arguments);this[_0x7bc7[252]]=this[_0x7bc7[55]](_0x7bc7[1215])};mxSvgCanvas2D[_0x7bc7[202]][_0x7bc7[1319]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){var _0xfb0bx9=this[_0x7bc7[724]],_0xfb0bxa=this[_0x7bc7[55]](_0x7bc7[1319]);_0xfb0bxa[_0x7bc7[57]](_0x7bc7[235],this[_0x7bc7[1239]]((_0xfb0bx2+_0xfb0bx9[_0x7bc7[1126]])*_0xfb0bx9[_0x7bc7[255]]));_0xfb0bxa[_0x7bc7[57]](_0x7bc7[236],this[_0x7bc7[1239]]((_0xfb0bx3+_0xfb0bx9[_0x7bc7[1125]])*_0xfb0bx9[_0x7bc7[255]]));_0xfb0bxa[_0x7bc7[57]](_0x7bc7[117],this[_0x7bc7[1239]](_0xfb0bx4*_0xfb0bx9[_0x7bc7[255]]));_0xfb0bxa[_0x7bc7[57]](_0x7bc7[119],this[_0x7bc7[1239]](_0xfb0bx5*_0xfb0bx9[_0x7bc7[255]]));this[_0x7bc7[252]]=_0xfb0bxa};mxSvgCanvas2D[_0x7bc7[202]][_0x7bc7[1320]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){this[_0x7bc7[1319]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5);0<_0xfb0bx9&&this[_0x7bc7[252]][_0x7bc7[57]](_0x7bc7[1397],this[_0x7bc7[1239]](_0xfb0bx9*this[_0x7bc7[724]][_0x7bc7[255]]));0<_0xfb0bxa&&this[_0x7bc7[252]][_0x7bc7[57]](_0x7bc7[1398],this[_0x7bc7[1239]](_0xfb0bxa*this[_0x7bc7[724]][_0x7bc7[255]]))};mxSvgCanvas2D[_0x7bc7[202]][_0x7bc7[680]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){var _0xfb0bx9=this[_0x7bc7[724]],_0xfb0bxa=this[_0x7bc7[55]](_0x7bc7[680]);_0xfb0bxa[_0x7bc7[57]](_0x7bc7[1296],Math[_0x7bc7[488]]((_0xfb0bx2+_0xfb0bx4/2+_0xfb0bx9[_0x7bc7[1126]])*_0xfb0bx9[_0x7bc7[255]]));_0xfb0bxa[_0x7bc7[57]](_0x7bc7[1297],Math[_0x7bc7[488]]((_0xfb0bx3+_0xfb0bx5/2+_0xfb0bx9[_0x7bc7[1125]])*_0xfb0bx9[_0x7bc7[255]]));_0xfb0bxa[_0x7bc7[57]](_0x7bc7[1397],_0xfb0bx4/2*_0xfb0bx9[_0x7bc7[255]]);_0xfb0bxa[_0x7bc7[57]](_0x7bc7[1398],_0xfb0bx5/2*_0xfb0bx9[_0x7bc7[255]]);this[_0x7bc7[252]]=_0xfb0bxa};mxSvgCanvas2D[_0x7bc7[202]][_0x7bc7[618]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12,_0xfb0bx13){_0xfb0bx9=this[_0x7bc7[1212]][_0x7bc7[1321]](_0xfb0bx9);_0xfb0bxa=null!=_0xfb0bxa?_0xfb0bxa:!0;_0xfb0bx12=null!=_0xfb0bx12?_0xfb0bx12:!1;_0xfb0bx13=null!=_0xfb0bx13?_0xfb0bx13:!1;var _0xfb0bx14=this[_0x7bc7[724]];_0xfb0bx2+=_0xfb0bx14[_0x7bc7[1126]];_0xfb0bx3+=_0xfb0bx14[_0x7bc7[1125]];var _0xfb0bx15=this[_0x7bc7[55]](_0x7bc7[618]);_0xfb0bx15[_0x7bc7[57]](_0x7bc7[235],this[_0x7bc7[1239]](_0xfb0bx2*_0xfb0bx14[_0x7bc7[255]]));_0xfb0bx15[_0x7bc7[57]](_0x7bc7[236],this[_0x7bc7[1239]](_0xfb0bx3*_0xfb0bx14[_0x7bc7[255]]));_0xfb0bx15[_0x7bc7[57]](_0x7bc7[117],this[_0x7bc7[1239]](_0xfb0bx4*_0xfb0bx14[_0x7bc7[255]]));_0xfb0bx15[_0x7bc7[57]](_0x7bc7[119],this[_0x7bc7[1239]](_0xfb0bx5*_0xfb0bx14[_0x7bc7[255]]));null==_0xfb0bx15[_0x7bc7[1399]]?_0xfb0bx15[_0x7bc7[57]](_0x7bc7[1400],_0xfb0bx9):_0xfb0bx15[_0x7bc7[1399]](mxConstants.NS_XLINK,_0x7bc7[44],_0xfb0bx9);_0xfb0bxa||_0xfb0bx15[_0x7bc7[57]](_0x7bc7[1401],_0x7bc7[130]);1>_0xfb0bx14[_0x7bc7[1244]]&&_0xfb0bx15[_0x7bc7[57]](_0x7bc7[461],_0xfb0bx14[_0x7bc7[1244]]);_0xfb0bx9=this[_0x7bc7[724]][_0x7bc7[535]]||_0x7bc7[110];if(_0xfb0bx12||_0xfb0bx13){var _0xfb0bx16=_0xfb0bxa=1,_0xfb0bx17=0,_0xfb0bx18=0;_0xfb0bx12&&(_0xfb0bxa= -1,_0xfb0bx17=-_0xfb0bx4-2*_0xfb0bx2);_0xfb0bx13&&(_0xfb0bx16= -1,_0xfb0bx18=-_0xfb0bx5-2*_0xfb0bx3);_0xfb0bx9+=_0x7bc7[1402]+_0xfb0bxa+_0x7bc7[537]+_0xfb0bx16+_0x7bc7[1393]+_0xfb0bx17+_0x7bc7[537]+_0xfb0bx18+_0x7bc7[460]};0<_0xfb0bx9[_0x7bc7[67]]&&_0xfb0bx15[_0x7bc7[57]](_0x7bc7[535],_0xfb0bx9);this[_0x7bc7[813]][_0x7bc7[62]](_0xfb0bx15);this[_0x7bc7[1347]]&&(_0xfb0bx15[_0x7bc7[57]](_0x7bc7[124],_0x7bc7[1403]),_0xfb0bx15=this[_0x7bc7[55]](_0x7bc7[1319]),_0xfb0bx15[_0x7bc7[57]](_0x7bc7[187],_0x7bc7[188]),_0xfb0bx15[_0x7bc7[57]](_0x7bc7[1377],_0x7bc7[1334]),_0xfb0bx15[_0x7bc7[57]](_0x7bc7[235],this[_0x7bc7[1239]](_0xfb0bx2*_0xfb0bx14[_0x7bc7[255]])),_0xfb0bx15[_0x7bc7[57]](_0x7bc7[236],this[_0x7bc7[1239]](_0xfb0bx3*_0xfb0bx14[_0x7bc7[255]])),_0xfb0bx15[_0x7bc7[57]](_0x7bc7[117],this[_0x7bc7[1239]](_0xfb0bx4*_0xfb0bx14[_0x7bc7[255]])),_0xfb0bx15[_0x7bc7[57]](_0x7bc7[119],this[_0x7bc7[1239]](_0xfb0bx5*_0xfb0bx14[_0x7bc7[255]])),this[_0x7bc7[813]][_0x7bc7[62]](_0xfb0bx15))};mxSvgCanvas2D[_0x7bc7[202]][_0x7bc7[1404]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0xfb0bx4=this[_0x7bc7[724]];_0xfb0bx5=_0x7bc7[1405]+Math[_0x7bc7[488]](_0xfb0bx4[_0x7bc7[487]])+_0x7bc7[1406]+_0xfb0bx4[_0x7bc7[486]]+_0x7bc7[1407]+_0xfb0bx4[_0x7bc7[665]]+_0x7bc7[1408]+Math[_0x7bc7[488]](_0xfb0bx4[_0x7bc7[487]]*mxConstants[_0x7bc7[490]])+_0x7bc7[1409]+_0xfb0bx5;(_0xfb0bx4[_0x7bc7[666]]&mxConstants[_0x7bc7[1410]])==mxConstants[_0x7bc7[1410]]&&(_0xfb0bx5+=_0x7bc7[1411]);(_0xfb0bx4[_0x7bc7[666]]&mxConstants[_0x7bc7[1412]])==mxConstants[_0x7bc7[1412]]&&(_0xfb0bx5+=_0x7bc7[1413]);(_0xfb0bx4[_0x7bc7[666]]&mxConstants[_0x7bc7[1414]])==mxConstants[_0x7bc7[1414]]&&(_0xfb0bx5+=_0x7bc7[1415]);_0xfb0bx3==mxConstants[_0x7bc7[479]]?_0xfb0bx5+=_0x7bc7[1416]:_0xfb0bx3==mxConstants[_0x7bc7[480]]&&(_0xfb0bx5+=_0x7bc7[1417]);_0xfb0bx3=_0x7bc7[110];null!=_0xfb0bx4[_0x7bc7[1261]]&&(_0xfb0bx3+=_0x7bc7[1418]+_0xfb0bx4[_0x7bc7[1261]]+_0x7bc7[471]);null!=_0xfb0bx4[_0x7bc7[1263]]&&(_0xfb0bx3+=_0x7bc7[1419]+_0xfb0bx4[_0x7bc7[1263]]+_0x7bc7[471]);mxUtils[_0x7bc7[1331]](_0xfb0bx2)||(_0xfb0bx4=document[_0x7bc7[55]](_0x7bc7[126]),_0xfb0bx4[_0x7bc7[339]]=_0xfb0bx2[_0x7bc7[230]](/</g,_0x7bc7[1421])[_0x7bc7[230]](/>/g,_0x7bc7[1420])[_0x7bc7[230]](//g,_0x7bc7[335]),_0xfb0bx2=_0xfb0bx4[_0x7bc7[131]],_0xfb0bx9?_0xfb0bx5+=_0xfb0bx3:0<_0xfb0bx3[_0x7bc7[67]]&&(_0xfb0bx2=_0x7bc7[1422]+_0xfb0bx3+_0x7bc7[1423]+_0xfb0bx2+_0x7bc7[1424]));if(!mxClient[_0x7bc7[80]]&&document[_0x7bc7[509]]){return _0xfb0bx9=document[_0x7bc7[509]](_0x7bc7[577],_0x7bc7[485]),_0xfb0bx9[_0x7bc7[57]](_0x7bc7[124],_0xfb0bx5),mxUtils[_0x7bc7[1331]](_0xfb0bx2)?this[_0x7bc7[813]][_0x7bc7[295]]!=document?_0xfb0bx9[_0x7bc7[62]](_0xfb0bx2[_0x7bc7[511]](!0)):_0xfb0bx9[_0x7bc7[62]](_0xfb0bx2):_0xfb0bx9[_0x7bc7[339]]=_0xfb0bx2,_0xfb0bx9};mxUtils[_0x7bc7[1331]](_0xfb0bx2)&&this[_0x7bc7[813]][_0x7bc7[295]]!=document&&(_0xfb0bx2=_0xfb0bx2[_0x7bc7[342]]);_0xfb0bx2=_0xfb0bx2[_0x7bc7[230]](/
            /g,_0x7bc7[1426])[_0x7bc7[230]](/
            /g,_0x7bc7[1425]);return mxUtils[_0x7bc7[877]](_0x7bc7[1427]+_0xfb0bx5+_0x7bc7[1423]+_0xfb0bx2+_0x7bc7[1424])[_0x7bc7[158]]};mxSvgCanvas2D[_0x7bc7[202]][_0x7bc7[963]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12,_0xfb0bx13,_0xfb0bx14,_0xfb0bx15,_0xfb0bx16,_0xfb0bx17){if(this[_0x7bc7[1285]]&&null!=_0xfb0bx9){_0xfb0bx17=null!=_0xfb0bx17?_0xfb0bx17:0;var _0xfb0bx18=this[_0x7bc7[724]];_0xfb0bx2+=_0xfb0bx18[_0x7bc7[1126]];_0xfb0bx3+=_0xfb0bx18[_0x7bc7[1125]];if(this[_0x7bc7[1344]]&&_0x7bc7[1428]==_0xfb0bx14){var _0xfb0bx19=_0x7bc7[1429];_0xfb0bx16?(_0xfb0bx19+=_0x7bc7[1430],0<_0xfb0bx5&&(_0xfb0bx19+=_0x7bc7[1431]+Math[_0x7bc7[488]](_0xfb0bx5)+_0x7bc7[1409]),0<_0xfb0bx4&&(_0xfb0bx19+=_0x7bc7[1432]+Math[_0x7bc7[488]](_0xfb0bx4)+_0x7bc7[1409])):_0xfb0bx15&&(_0xfb0bx19+=_0x7bc7[1432]+Math[_0x7bc7[488]](_0xfb0bx4)+_0x7bc7[1409],0<_0xfb0bx5&&(_0xfb0bx19+=_0x7bc7[1431]+Math[_0x7bc7[488]](_0xfb0bx5)+_0x7bc7[1409]));_0xfb0bx13?(!_0xfb0bx16&&0<_0xfb0bx4&&(_0xfb0bx19+=_0x7bc7[1432]+Math[_0x7bc7[488]](_0xfb0bx4)+_0x7bc7[1409]),_0xfb0bx19+=_0x7bc7[1433]):_0xfb0bx19+=_0x7bc7[1434];_0xfb0bx13=this[_0x7bc7[55]](_0x7bc7[449]);1>_0xfb0bx18[_0x7bc7[1244]]&&_0xfb0bx13[_0x7bc7[57]](_0x7bc7[461],_0xfb0bx18[_0x7bc7[1244]]);_0xfb0bx14=this[_0x7bc7[55]](_0x7bc7[1435]);_0xfb0bx14[_0x7bc7[57]](_0x7bc7[1377],_0x7bc7[1378]);_0xfb0bx19=this[_0x7bc7[1404]](_0xfb0bx9,_0xfb0bxa,_0xfb0bx12,_0xfb0bx19,_0xfb0bx15);if(null!=_0xfb0bx19){_0xfb0bx13[_0x7bc7[62]](_0xfb0bx14);this[_0x7bc7[813]][_0x7bc7[62]](_0xfb0bx13);var _0xfb0bx1a=0;if(mxClient[_0x7bc7[80]]&&!mxClient[_0x7bc7[48]]){var _0xfb0bx1b=document[_0x7bc7[55]](_0x7bc7[485]);_0xfb0bx1b[_0x7bc7[124]][_0x7bc7[103]]=_0xfb0bx19[_0x7bc7[284]](_0x7bc7[124]);_0xfb0bx1b[_0x7bc7[124]][_0x7bc7[495]]=mxClient[_0x7bc7[496]]?_0x7bc7[497]:_0x7bc7[498];_0xfb0bx1b[_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[188];_0xfb0bx1b[_0x7bc7[339]]=mxUtils[_0x7bc7[1331]](_0xfb0bx9)?_0xfb0bx9[_0x7bc7[342]]:_0xfb0bx9;document[_0x7bc7[112]][_0x7bc7[62]](_0xfb0bx1b);_0xfb0bx1a=_0xfb0bx1b[_0x7bc7[359]];_0xfb0bx5=mxClient[_0x7bc7[496]]&&0<_0xfb0bx5&&_0xfb0bx16?Math[_0x7bc7[243]](_0xfb0bx5,_0xfb0bx1b[_0x7bc7[167]]+2):_0xfb0bx1b[_0x7bc7[167]];_0xfb0bx1b[_0x7bc7[265]][_0x7bc7[266]](_0xfb0bx1b);_0xfb0bx14[_0x7bc7[62]](_0xfb0bx19)}else {this[_0x7bc7[813]][_0x7bc7[295]]!=document?(_0xfb0bx19[_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[188],document[_0x7bc7[112]][_0x7bc7[62]](_0xfb0bx19),_0xfb0bx1a=_0xfb0bx19[_0x7bc7[359]],_0xfb0bx5=_0xfb0bx19[_0x7bc7[167]],_0xfb0bx14[_0x7bc7[62]](_0xfb0bx19),_0xfb0bx19[_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[110]):(_0xfb0bx14[_0x7bc7[62]](_0xfb0bx19),_0xfb0bx1a=_0xfb0bx19[_0x7bc7[359]],_0xfb0bx5=_0xfb0bx19[_0x7bc7[167]])};_0xfb0bx4=_0xfb0bx15?Math[_0x7bc7[160]](_0xfb0bx4,_0xfb0bx1a):_0xfb0bx1a;1>_0xfb0bx18[_0x7bc7[1244]]&&_0xfb0bx13[_0x7bc7[57]](_0x7bc7[461],_0xfb0bx18[_0x7bc7[1244]]);_0xfb0bx15=_0xfb0bx9=0;_0xfb0bxa==mxConstants[_0x7bc7[479]]?_0xfb0bx9-=_0xfb0bx4/2:_0xfb0bxa==mxConstants[_0x7bc7[480]]&&(_0xfb0bx9-=_0xfb0bx4);_0xfb0bx2+=_0xfb0bx9;_0xfb0bx12==mxConstants[_0x7bc7[481]]?_0xfb0bx15-=_0xfb0bx5/2:_0xfb0bx12==mxConstants[_0x7bc7[482]]&&(_0xfb0bx15-=_0xfb0bx5);_0xfb0bx3+=_0xfb0bx15;_0xfb0bxa=1!=_0xfb0bx18[_0x7bc7[255]]?_0x7bc7[1402]+_0xfb0bx18[_0x7bc7[255]]+_0x7bc7[460]:_0x7bc7[110];0!=_0xfb0bx18[_0x7bc7[603]]&&this[_0x7bc7[1216]]?(_0xfb0bxa+=_0x7bc7[1394]+_0xfb0bx18[_0x7bc7[603]]+_0x7bc7[537]+_0xfb0bx4/2+_0x7bc7[537]+_0xfb0bx5/2+_0x7bc7[460],_0xfb0bx3=this[_0x7bc7[1241]]((_0xfb0bx2+_0xfb0bx4/2)*_0xfb0bx18[_0x7bc7[255]],(_0xfb0bx3+_0xfb0bx5/2)*_0xfb0bx18[_0x7bc7[255]],_0xfb0bx18[_0x7bc7[603]],_0xfb0bx18[_0x7bc7[1395]],_0xfb0bx18[_0x7bc7[1396]]),_0xfb0bx2=_0xfb0bx3[_0x7bc7[235]]-_0xfb0bx4*_0xfb0bx18[_0x7bc7[255]]/2,_0xfb0bx3=_0xfb0bx3[_0x7bc7[236]]-_0xfb0bx5*_0xfb0bx18[_0x7bc7[255]]/2):(_0xfb0bx2*=_0xfb0bx18[_0x7bc7[255]],_0xfb0bx3*=_0xfb0bx18[_0x7bc7[255]]);0!=_0xfb0bx17&&(_0xfb0bxa+=_0x7bc7[1394]+_0xfb0bx17+_0x7bc7[537]+-_0xfb0bx9+_0x7bc7[537]+-_0xfb0bx15+_0x7bc7[460]);_0xfb0bx13[_0x7bc7[57]](_0x7bc7[535],_0x7bc7[536]+Math[_0x7bc7[488]](_0xfb0bx2)+_0x7bc7[537]+Math[_0x7bc7[488]](_0xfb0bx3)+_0x7bc7[460]+_0xfb0bxa);_0xfb0bx14[_0x7bc7[57]](_0x7bc7[117],Math[_0x7bc7[488]](Math[_0x7bc7[160]](1,_0xfb0bx4)));_0xfb0bx14[_0x7bc7[57]](_0x7bc7[119],Math[_0x7bc7[488]](Math[_0x7bc7[160]](1,_0xfb0bx5)))}}else {this[_0x7bc7[1436]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12,_0xfb0bx13,_0xfb0bx15,_0xfb0bx16,_0xfb0bx17)}}};mxSvgCanvas2D[_0x7bc7[202]][_0x7bc7[1437]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){_0xfb0bx2=Math[_0x7bc7[488]](_0xfb0bx2);_0xfb0bx3=Math[_0x7bc7[488]](_0xfb0bx3);_0xfb0bx4=Math[_0x7bc7[488]](_0xfb0bx4);_0xfb0bx5=Math[_0x7bc7[488]](_0xfb0bx5);for(var _0xfb0bx9=_0x7bc7[1438]+_0xfb0bx2+_0x7bc7[213]+_0xfb0bx3+_0x7bc7[213]+_0xfb0bx4+_0x7bc7[213]+_0xfb0bx5,_0xfb0bxa=0,_0xfb0bx12=_0xfb0bx9+_0x7bc7[213]+_0xfb0bxa;null!=document[_0x7bc7[1362]](_0xfb0bx12);){_0xfb0bx12=_0xfb0bx9+_0x7bc7[213]+ ++_0xfb0bxa};clip=this[_0x7bc7[55]](_0x7bc7[1439]);clip[_0x7bc7[57]](_0x7bc7[1363],_0xfb0bx12);_0xfb0bx9=this[_0x7bc7[55]](_0x7bc7[1319]);_0xfb0bx9[_0x7bc7[57]](_0x7bc7[235],_0xfb0bx2);_0xfb0bx9[_0x7bc7[57]](_0x7bc7[236],_0xfb0bx3);_0xfb0bx9[_0x7bc7[57]](_0x7bc7[117],_0xfb0bx4);_0xfb0bx9[_0x7bc7[57]](_0x7bc7[119],_0xfb0bx5);clip[_0x7bc7[62]](_0xfb0bx9);return clip};mxSvgCanvas2D[_0x7bc7[202]][_0x7bc7[1436]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12,_0xfb0bx13,_0xfb0bx14,_0xfb0bx15,_0xfb0bx16){_0xfb0bx16=null!=_0xfb0bx16?_0xfb0bx16:0;_0xfb0bx13=this[_0x7bc7[724]];var _0xfb0bx17=Math[_0x7bc7[488]](_0xfb0bx13[_0x7bc7[487]]),_0xfb0bx18=this[_0x7bc7[55]](_0x7bc7[449]),_0xfb0bx19=_0xfb0bx13[_0x7bc7[535]]||_0x7bc7[110];0!=_0xfb0bx16&&(_0xfb0bx19+=_0x7bc7[1394]+_0xfb0bx16+_0x7bc7[537]+this[_0x7bc7[1239]](_0xfb0bx2*_0xfb0bx13[_0x7bc7[255]])+_0x7bc7[537]+this[_0x7bc7[1239]](_0xfb0bx3*_0xfb0bx13[_0x7bc7[255]])+_0x7bc7[460]);if(_0xfb0bx15&&0<_0xfb0bx4&&0<_0xfb0bx5){var _0xfb0bx1a=_0xfb0bx2;_0xfb0bx16=_0xfb0bx3;_0xfb0bxa==mxConstants[_0x7bc7[479]]?_0xfb0bx1a-=_0xfb0bx4/2:_0xfb0bxa==mxConstants[_0x7bc7[480]]&&(_0xfb0bx1a-=_0xfb0bx4);_0xfb0bx12==mxConstants[_0x7bc7[481]]?_0xfb0bx16-=_0xfb0bx5/2:_0xfb0bx12==mxConstants[_0x7bc7[482]]&&(_0xfb0bx16-=_0xfb0bx5);_0xfb0bx16=this[_0x7bc7[1437]](_0xfb0bx1a*_0xfb0bx13[_0x7bc7[255]]-2,_0xfb0bx16*_0xfb0bx13[_0x7bc7[255]]-2,_0xfb0bx4*_0xfb0bx13[_0x7bc7[255]]+4,_0xfb0bx5*_0xfb0bx13[_0x7bc7[255]]+4);null!=this[_0x7bc7[1340]]?this[_0x7bc7[1340]][_0x7bc7[62]](_0xfb0bx16):this[_0x7bc7[813]][_0x7bc7[62]](_0xfb0bx16);_0xfb0bx18[_0x7bc7[57]](_0x7bc7[1440],_0x7bc7[1380]+_0xfb0bx16[_0x7bc7[284]](_0x7bc7[1363])+_0x7bc7[460])};this[_0x7bc7[1441]](_0xfb0bx18,_0xfb0bxa);_0xfb0bx16=_0xfb0bxa==mxConstants[_0x7bc7[480]]?_0x7bc7[1282]:_0xfb0bxa==mxConstants[_0x7bc7[479]]?_0x7bc7[554]:_0x7bc7[861];_0x7bc7[861]!=_0xfb0bx16&&_0xfb0bx18[_0x7bc7[57]](_0x7bc7[1442],_0xfb0bx16);(!this[_0x7bc7[1341]]||_0xfb0bx17!=mxConstants[_0x7bc7[483]])&&_0xfb0bx18[_0x7bc7[57]](_0x7bc7[1443],Math[_0x7bc7[488]](_0xfb0bx17*_0xfb0bx13[_0x7bc7[255]])+_0x7bc7[168]);0<_0xfb0bx19[_0x7bc7[67]]&&_0xfb0bx18[_0x7bc7[57]](_0x7bc7[535],_0xfb0bx19);1>_0xfb0bx13[_0x7bc7[1244]]&&_0xfb0bx18[_0x7bc7[57]](_0x7bc7[461],_0xfb0bx13[_0x7bc7[1244]]);var _0xfb0bx19=_0xfb0bx9[_0x7bc7[224]](_0x7bc7[192]),_0xfb0bx1a=Math[_0x7bc7[488]](_0xfb0bx17*mxConstants[_0x7bc7[490]]),_0xfb0bx1b=_0xfb0bx17+(_0xfb0bx19[_0x7bc7[67]]-1)*_0xfb0bx1a;_0xfb0bx16=_0xfb0bx3+_0xfb0bx17-1;_0xfb0bx12==mxConstants[_0x7bc7[481]]?(_0xfb0bx5=(this[_0x7bc7[1343]]&&_0xfb0bx15&&0<_0xfb0bx5?Math[_0x7bc7[243]](_0xfb0bx1b,_0xfb0bx5):_0xfb0bx1b)/2,_0xfb0bx16-=_0xfb0bx5+1):_0xfb0bx12==mxConstants[_0x7bc7[482]]&&(_0xfb0bx5=this[_0x7bc7[1343]]&&_0xfb0bx15&&0<_0xfb0bx5?Math[_0x7bc7[243]](_0xfb0bx1b,_0xfb0bx5):_0xfb0bx1b,_0xfb0bx16-=_0xfb0bx5+2);for(_0xfb0bx5=0;_0xfb0bx5<_0xfb0bx19[_0x7bc7[67]];_0xfb0bx5++){0<_0xfb0bx19[_0xfb0bx5][_0x7bc7[67]]&&0_0xfb0bx2[_0x7bc7[1244]]&&(_0xfb0bx3[_0x7bc7[461]]=100*_0xfb0bx2[_0x7bc7[1244]]+_0x7bc7[229])};return _0xfb0bx3};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[1465]]=function(){var _0xfb0bx2=this[_0x7bc7[724]],_0xfb0bx3=document[_0x7bc7[55]](mxClient[_0x7bc7[463]]+_0x7bc7[1477]);_0xfb0bx3[_0x7bc7[1478]]=_0xfb0bx2[_0x7bc7[1254]]||_0x7bc7[1232];_0xfb0bx3[_0x7bc7[1479]]=_0xfb0bx2[_0x7bc7[1256]]||_0x7bc7[1233];_0xfb0bx3[_0x7bc7[1313]]=_0xfb0bx2[_0x7bc7[1258]]||_0x7bc7[1480];1>_0xfb0bx2[_0x7bc7[1244]]&&(_0xfb0bx3[_0x7bc7[461]]=100*_0xfb0bx2[_0x7bc7[1244]]+_0x7bc7[229]);_0xfb0bx2[_0x7bc7[648]]&&(_0xfb0bx3[_0x7bc7[1481]]=this[_0x7bc7[1482]]());return _0xfb0bx3};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[1482]]=function(){var _0xfb0bx2=_0x7bc7[1483];if(null!=this[_0x7bc7[724]][_0x7bc7[649]]){var _0xfb0bx3=this[_0x7bc7[724]][_0x7bc7[649]][_0x7bc7[224]](_0x7bc7[185]);0<_0xfb0bx3[_0x7bc7[67]]&&1==_0xfb0bx3[0]&&(_0xfb0bx2=_0x7bc7[1484])};return _0xfb0bx2};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[1375]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=this[_0x7bc7[724]],_0xfb0bx9=-_0xfb0bx5[_0x7bc7[603]]*(Math[_0x7bc7[424]]/180),_0xfb0bxa=Math[_0x7bc7[426]](_0xfb0bx9),_0xfb0bx9=Math[_0x7bc7[427]](_0xfb0bx9),_0xfb0bx12=_0xfb0bx5[_0x7bc7[1273]]*_0xfb0bx5[_0x7bc7[255]],_0xfb0bx13=_0xfb0bx5[_0x7bc7[1274]]*_0xfb0bx5[_0x7bc7[255]];_0x7bc7[235]==this[_0x7bc7[813]][_0x7bc7[124]][_0x7bc7[1473]]?_0xfb0bx12*= -1:_0x7bc7[236]==this[_0x7bc7[813]][_0x7bc7[124]][_0x7bc7[1473]]&&(_0xfb0bx13*= -1);var _0xfb0bx14=_0xfb0bx2[_0x7bc7[511]](!0);_0xfb0bx14[_0x7bc7[124]][_0x7bc7[945]]=Math[_0x7bc7[488]](_0xfb0bx12*_0xfb0bxa-_0xfb0bx13*_0xfb0bx9)+_0x7bc7[168];_0xfb0bx14[_0x7bc7[124]][_0x7bc7[953]]=Math[_0x7bc7[488]](_0xfb0bx12*_0xfb0bx9+_0xfb0bx13*_0xfb0bxa)+_0x7bc7[168];8==document[_0x7bc7[5]]&&(_0xfb0bx14[_0x7bc7[1463]]=_0xfb0bx2[_0x7bc7[1463]],_0x7bc7[253]==_0xfb0bx2[_0x7bc7[301]]&&(_0xfb0bx14[_0x7bc7[1215]]=this[_0x7bc7[1215]][_0x7bc7[234]](_0x7bc7[185])+_0x7bc7[1461],_0xfb0bx14[_0x7bc7[124]][_0x7bc7[117]]=this[_0x7bc7[813]][_0x7bc7[124]][_0x7bc7[117]],_0xfb0bx14[_0x7bc7[124]][_0x7bc7[119]]=this[_0x7bc7[813]][_0x7bc7[124]][_0x7bc7[119]],_0xfb0bx14[_0x7bc7[1462]]=parseInt(_0xfb0bx2[_0x7bc7[124]][_0x7bc7[117]])+_0x7bc7[185]+parseInt(_0xfb0bx2[_0x7bc7[124]][_0x7bc7[119]])));_0xfb0bx4?(_0xfb0bx14[_0x7bc7[1306]]=_0xfb0bx5[_0x7bc7[1269]],_0xfb0bx14[_0x7bc7[62]](this[_0x7bc7[1485]]())):_0xfb0bx14[_0x7bc7[1464]]=_0x7bc7[308];_0xfb0bx3?_0xfb0bx14[_0x7bc7[62]](this[_0x7bc7[1486]]()):_0xfb0bx14[_0x7bc7[1468]]=_0x7bc7[308];return _0xfb0bx14};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[1486]]=function(){var _0xfb0bx2=document[_0x7bc7[55]](mxClient[_0x7bc7[463]]+_0x7bc7[1469]);_0xfb0bx2[_0x7bc7[352]]=this[_0x7bc7[724]][_0x7bc7[1269]];_0xfb0bx2[_0x7bc7[461]]=100*this[_0x7bc7[724]][_0x7bc7[1244]]*this[_0x7bc7[724]][_0x7bc7[1271]]+_0x7bc7[229];return _0xfb0bx2};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[1485]]=function(){var _0xfb0bx2=this[_0x7bc7[1465]]();_0xfb0bx2[_0x7bc7[461]]=100*this[_0x7bc7[724]][_0x7bc7[1244]]*this[_0x7bc7[724]][_0x7bc7[1271]]+_0x7bc7[229];return _0xfb0bx2};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[1294]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0xfb0bx3&&_0xfb0bx4?_0xfb0bx2+=180:_0xfb0bx3?this[_0x7bc7[813]][_0x7bc7[124]][_0x7bc7[1473]]=_0x7bc7[235]:_0xfb0bx4&&(this[_0x7bc7[813]][_0x7bc7[124]][_0x7bc7[1473]]=_0x7bc7[236]);if(_0xfb0bx3?!_0xfb0bx4:_0xfb0bx4){_0xfb0bx2*= -1};this[_0x7bc7[813]][_0x7bc7[124]][_0x7bc7[603]]=_0xfb0bx2;this[_0x7bc7[724]][_0x7bc7[603]]+=_0xfb0bx2;this[_0x7bc7[724]][_0x7bc7[1395]]=_0xfb0bx5;this[_0x7bc7[724]][_0x7bc7[1396]]=_0xfb0bx9};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[1275]]=function(){mxAbstractCanvas2D[_0x7bc7[202]][_0x7bc7[1275]][_0x7bc7[183]](this,arguments);this[_0x7bc7[252]]=document[_0x7bc7[55]](mxClient[_0x7bc7[463]]+_0x7bc7[1487]);this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[492]};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[1278]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){var _0xfb0bx9=this[_0x7bc7[724]],_0xfb0bxa=(this[_0x7bc7[1217]]+_0xfb0bx9[_0x7bc7[1126]])*_0xfb0bx9[_0x7bc7[255]],_0xfb0bx12=(this[_0x7bc7[1218]]+_0xfb0bx9[_0x7bc7[1125]])*_0xfb0bx9[_0x7bc7[255]];_0xfb0bx2=(_0xfb0bx2+_0xfb0bx9[_0x7bc7[1126]])*_0xfb0bx9[_0x7bc7[255]];_0xfb0bx3=(_0xfb0bx3+_0xfb0bx9[_0x7bc7[1125]])*_0xfb0bx9[_0x7bc7[255]];_0xfb0bx4=(_0xfb0bx4+_0xfb0bx9[_0x7bc7[1126]])*_0xfb0bx9[_0x7bc7[255]];_0xfb0bx5=(_0xfb0bx5+_0xfb0bx9[_0x7bc7[1125]])*_0xfb0bx9[_0x7bc7[255]];var _0xfb0bx12=_0xfb0bx12+2/3*(_0xfb0bx3-_0xfb0bx12),_0xfb0bx13=_0xfb0bx4+2/3*(_0xfb0bx2-_0xfb0bx4);_0xfb0bx3=_0xfb0bx5+2/3*(_0xfb0bx3-_0xfb0bx5);this[_0x7bc7[1215]][_0x7bc7[207]](_0x7bc7[1488]+this[_0x7bc7[1239]](_0xfb0bxa+2/3*(_0xfb0bx2-_0xfb0bxa))+_0x7bc7[185]+this[_0x7bc7[1239]](_0xfb0bx12)+_0x7bc7[185]+this[_0x7bc7[1239]](_0xfb0bx13)+_0x7bc7[185]+this[_0x7bc7[1239]](_0xfb0bx3)+_0x7bc7[185]+this[_0x7bc7[1239]](_0xfb0bx4)+_0x7bc7[185]+this[_0x7bc7[1239]](_0xfb0bx5));this[_0x7bc7[1217]]=_0xfb0bx4/_0xfb0bx9[_0x7bc7[255]]-_0xfb0bx9[_0x7bc7[1126]];this[_0x7bc7[1218]]=_0xfb0bx5/_0xfb0bx9[_0x7bc7[255]]-_0xfb0bx9[_0x7bc7[1125]]};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[1489]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){var _0xfb0bxa=this[_0x7bc7[724]];_0xfb0bx2=document[_0x7bc7[55]](_0xfb0bx2);_0xfb0bx2[_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[492];_0xfb0bx2[_0x7bc7[124]][_0x7bc7[361]]=this[_0x7bc7[1239]]((_0xfb0bx3+_0xfb0bxa[_0x7bc7[1126]])*_0xfb0bxa[_0x7bc7[255]])+_0x7bc7[168];_0xfb0bx2[_0x7bc7[124]][_0x7bc7[125]]=this[_0x7bc7[1239]]((_0xfb0bx4+_0xfb0bxa[_0x7bc7[1125]])*_0xfb0bxa[_0x7bc7[255]])+_0x7bc7[168];_0xfb0bx2[_0x7bc7[124]][_0x7bc7[117]]=this[_0x7bc7[1239]](_0xfb0bx5*_0xfb0bxa[_0x7bc7[255]])+_0x7bc7[168];_0xfb0bx2[_0x7bc7[124]][_0x7bc7[119]]=this[_0x7bc7[1239]](_0xfb0bx9*_0xfb0bxa[_0x7bc7[255]])+_0x7bc7[168];return _0xfb0bx2};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[1319]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){this[_0x7bc7[252]]=this[_0x7bc7[1489]](mxClient[_0x7bc7[463]]+_0x7bc7[1490],_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5)};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[1320]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){this[_0x7bc7[252]]=this[_0x7bc7[1489]](mxClient[_0x7bc7[463]]+_0x7bc7[1491],_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5);this[_0x7bc7[252]][_0x7bc7[57]](_0x7bc7[1492],Math[_0x7bc7[160]](100*_0xfb0bx9/_0xfb0bx4,100*_0xfb0bxa/_0xfb0bx5)+_0x7bc7[229])};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[680]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){this[_0x7bc7[252]]=this[_0x7bc7[1489]](mxClient[_0x7bc7[463]]+_0x7bc7[1493],_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5)};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[618]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12,_0xfb0bx13){var _0xfb0bx14=null;_0xfb0bxa?(_0xfb0bx14=this[_0x7bc7[1489]](mxClient[_0x7bc7[463]]+_0x7bc7[1490],_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5),_0xfb0bx14[_0x7bc7[1464]]=_0x7bc7[308],_0xfb0bx2=document[_0x7bc7[55]](mxClient[_0x7bc7[463]]+_0x7bc7[1469]),_0xfb0bx2[_0x7bc7[1322]]=_0xfb0bxa?_0x7bc7[1494]:_0x7bc7[1495],_0xfb0bx2[_0x7bc7[1294]]=_0x7bc7[128],_0xfb0bx2[_0x7bc7[60]]=_0x7bc7[1496],_0xfb0bx2[_0x7bc7[390]]=_0xfb0bx9,_0xfb0bx14[_0x7bc7[62]](_0xfb0bx2)):(_0xfb0bx14=this[_0x7bc7[1489]](mxClient[_0x7bc7[463]]+_0x7bc7[464],_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5),_0xfb0bx14[_0x7bc7[390]]=_0xfb0bx9);_0xfb0bx12&&_0xfb0bx13?_0xfb0bx14[_0x7bc7[124]][_0x7bc7[603]]=_0x7bc7[1497]:_0xfb0bx12?_0xfb0bx14[_0x7bc7[124]][_0x7bc7[1473]]=_0x7bc7[235]:_0xfb0bx13&&(_0xfb0bx14[_0x7bc7[124]][_0x7bc7[1473]]=_0x7bc7[236]);1>this[_0x7bc7[724]][_0x7bc7[1244]]&&(_0xfb0bx14[_0x7bc7[124]][_0x7bc7[347]]+=_0x7bc7[459]+100*this[_0x7bc7[724]][_0x7bc7[1244]]+_0x7bc7[460]);this[_0x7bc7[813]][_0x7bc7[62]](_0xfb0bx14)};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[1404]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){_0xfb0bx4=document[_0x7bc7[55]](_0x7bc7[485]);var _0xfb0bx9=this[_0x7bc7[724]],_0xfb0bxa=_0x7bc7[110];null!=_0xfb0bx9[_0x7bc7[1261]]&&(_0xfb0bxa+=_0x7bc7[1418]+_0xfb0bx9[_0x7bc7[1261]]+_0x7bc7[471]);null!=_0xfb0bx9[_0x7bc7[1263]]&&(_0xfb0bxa+=_0x7bc7[1419]+_0xfb0bx9[_0x7bc7[1263]]+_0x7bc7[471]);mxUtils[_0x7bc7[1331]](_0xfb0bx2)?_0xfb0bx4[_0x7bc7[62]](_0xfb0bx2):0<_0xfb0bxa[_0x7bc7[67]]&&!_0xfb0bx5?(_0xfb0bx5=document[_0x7bc7[55]](_0x7bc7[485]),_0xfb0bx5[_0x7bc7[124]][_0x7bc7[103]]=_0xfb0bxa,_0xfb0bx5[_0x7bc7[124]][_0x7bc7[495]]=mxClient[_0x7bc7[496]]?_0x7bc7[497]:_0x7bc7[498],_0xfb0bx5[_0x7bc7[124]][_0x7bc7[499]]=_0x7bc7[500],_0xfb0bx5[_0x7bc7[339]]=_0xfb0bx2,_0xfb0bx4[_0x7bc7[62]](_0xfb0bx5)):(_0xfb0bx4[_0x7bc7[124]][_0x7bc7[103]]=_0xfb0bxa,_0xfb0bx4[_0x7bc7[339]]=_0xfb0bx2);_0xfb0bx2=_0xfb0bx4[_0x7bc7[124]];_0xfb0bx2[_0x7bc7[487]]=Math[_0x7bc7[488]](_0xfb0bx9[_0x7bc7[487]]/this[_0x7bc7[1460]])+_0x7bc7[168];_0xfb0bx2[_0x7bc7[486]]=_0xfb0bx9[_0x7bc7[486]];_0xfb0bx2[_0x7bc7[352]]=_0xfb0bx9[_0x7bc7[665]];_0xfb0bx2[_0x7bc7[123]]=_0x7bc7[125];_0xfb0bx2[_0x7bc7[1165]]=_0xfb0bx3||_0x7bc7[361];_0xfb0bx2[_0x7bc7[489]]=Math[_0x7bc7[488]](_0xfb0bx9[_0x7bc7[487]]*mxConstants[_0x7bc7[490]]/this[_0x7bc7[1460]])+_0x7bc7[168];(_0xfb0bx9[_0x7bc7[666]]&mxConstants[_0x7bc7[1410]])==mxConstants[_0x7bc7[1410]]&&(_0xfb0bx2[_0x7bc7[1452]]=_0x7bc7[1447]);(_0xfb0bx9[_0x7bc7[666]]&mxConstants[_0x7bc7[1412]])==mxConstants[_0x7bc7[1412]]&&(_0xfb0bx2[_0x7bc7[666]]=_0x7bc7[1449]);(_0xfb0bx9[_0x7bc7[666]]&mxConstants[_0x7bc7[1414]])==mxConstants[_0x7bc7[1414]]&&(_0xfb0bx2[_0x7bc7[1498]]=_0x7bc7[355]);return _0xfb0bx4};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[963]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12,_0xfb0bx13,_0xfb0bx14,_0xfb0bx15,_0xfb0bx16,_0xfb0bx17){if(this[_0x7bc7[1285]]&&null!=_0xfb0bx9){var _0xfb0bx18=this[_0x7bc7[724]];if(_0x7bc7[1428]==_0xfb0bx14){null!=_0xfb0bx18[_0x7bc7[603]]&&(_0xfb0bx3=this[_0x7bc7[1241]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx18[_0x7bc7[603]],_0xfb0bx18[_0x7bc7[1395]],_0xfb0bx18[_0x7bc7[1396]]),_0xfb0bx2=_0xfb0bx3[_0x7bc7[235]],_0xfb0bx3=_0xfb0bx3[_0x7bc7[236]]);8==document[_0x7bc7[5]]?(_0xfb0bx2+=_0xfb0bx18[_0x7bc7[1126]],_0xfb0bx3+=_0xfb0bx18[_0x7bc7[1125]]):(_0xfb0bx2*=_0xfb0bx18[_0x7bc7[255]],_0xfb0bx3*=_0xfb0bx18[_0x7bc7[255]]);_0xfb0bx14=8==document[_0x7bc7[5]]?document[_0x7bc7[55]](mxClient[_0x7bc7[463]]+_0x7bc7[1499]):document[_0x7bc7[55]](_0x7bc7[485]);_0xfb0bx14[_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[492];_0xfb0bx14[_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[497];_0xfb0bx14[_0x7bc7[124]][_0x7bc7[361]]=this[_0x7bc7[1239]](_0xfb0bx2)+_0x7bc7[168];_0xfb0bx14[_0x7bc7[124]][_0x7bc7[125]]=this[_0x7bc7[1239]](_0xfb0bx3)+_0x7bc7[168];_0xfb0bx14[_0x7bc7[124]][_0x7bc7[499]]=_0xfb0bx18[_0x7bc7[255]];var _0xfb0bx19=document[_0x7bc7[55]](_0x7bc7[485]);_0xfb0bx19[_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[1500];_0xfb0bx19[_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[497];var _0xfb0bx1a=mxUtils[_0x7bc7[1501]](_0xfb0bxa,_0xfb0bx12),_0xfb0bx1b=_0xfb0bx1a[_0x7bc7[235]],_0xfb0bx1a=_0xfb0bx1a[_0x7bc7[236]];_0xfb0bx9=this[_0x7bc7[1404]](_0xfb0bx9,_0xfb0bxa,_0xfb0bx12,_0xfb0bx15);_0xfb0bxa=document[_0x7bc7[55]](_0x7bc7[485]);_0xfb0bx13?(!_0xfb0bx16&&0<_0xfb0bx4&&(_0xfb0bx9[_0x7bc7[124]][_0x7bc7[117]]=Math[_0x7bc7[488]](_0xfb0bx4)+_0x7bc7[168]),_0xfb0bx9[_0x7bc7[124]][_0x7bc7[493]]=_0x7bc7[1502]):_0xfb0bx9[_0x7bc7[124]][_0x7bc7[493]]=_0x7bc7[494];_0xfb0bx13=_0xfb0bx18[_0x7bc7[603]]+(_0xfb0bx17||0);this[_0x7bc7[1216]]&&0!=_0xfb0bx13?(_0xfb0bxa[_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[497],_0xfb0bxa[_0x7bc7[124]][_0x7bc7[499]]=_0x7bc7[500],_0xfb0bxa[_0x7bc7[62]](_0xfb0bx9),8==document[_0x7bc7[5]]&&_0x7bc7[529]!=this[_0x7bc7[813]][_0x7bc7[301]]?(_0xfb0bx19[_0x7bc7[62]](_0xfb0bxa),_0xfb0bx14[_0x7bc7[62]](_0xfb0bx19)):_0xfb0bx14[_0x7bc7[62]](_0xfb0bxa)):8==document[_0x7bc7[5]]?(_0xfb0bx19[_0x7bc7[62]](_0xfb0bx9),_0xfb0bx14[_0x7bc7[62]](_0xfb0bx19)):(_0xfb0bx9[_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[497],_0xfb0bx14[_0x7bc7[62]](_0xfb0bx9));_0x7bc7[529]!=this[_0x7bc7[813]][_0x7bc7[301]]?(_0xfb0bx17=document[_0x7bc7[55]](mxClient[_0x7bc7[463]]+_0x7bc7[1490]),_0xfb0bx17[_0x7bc7[1464]]=_0x7bc7[308],_0xfb0bx17[_0x7bc7[1468]]=_0x7bc7[308],_0xfb0bx17[_0x7bc7[62]](_0xfb0bx14),this[_0x7bc7[813]][_0x7bc7[62]](_0xfb0bx17)):this[_0x7bc7[813]][_0x7bc7[62]](_0xfb0bx14);_0xfb0bx16?(_0xfb0bx9[_0x7bc7[124]][_0x7bc7[277]]=_0x7bc7[188],0<_0xfb0bx4&&(_0xfb0bx9[_0x7bc7[124]][_0x7bc7[117]]=Math[_0x7bc7[488]](_0xfb0bx4)+_0x7bc7[168]),0<_0xfb0bx5&&8==document[_0x7bc7[5]]&&(_0xfb0bx9[_0x7bc7[124]][_0x7bc7[1503]]=Math[_0x7bc7[488]](_0xfb0bx5)+_0x7bc7[168])):_0xfb0bx15&&(_0xfb0bx9[_0x7bc7[124]][_0x7bc7[117]]=_0xfb0bx4+_0x7bc7[168],0<_0xfb0bx5&&(_0xfb0bx9[_0x7bc7[124]][_0x7bc7[1503]]=Math[_0x7bc7[488]](_0xfb0bx5)+_0x7bc7[168]));if(this[_0x7bc7[1216]]&&0!=_0xfb0bx13){_0xfb0bx4=_0xfb0bx13*(Math[_0x7bc7[424]]/180);_0xfb0bx13=parseFloat(parseFloat(Math[_0x7bc7[426]](_0xfb0bx4))[_0x7bc7[1293]](8));_0xfb0bx17=parseFloat(parseFloat(Math[_0x7bc7[427]](-_0xfb0bx4))[_0x7bc7[1293]](8));_0xfb0bx4%=2*Math[_0x7bc7[424]];0>_0xfb0bx4&&(_0xfb0bx4+=2*Math[_0x7bc7[424]]);_0xfb0bx4%=Math[_0x7bc7[424]];_0xfb0bx4>Math[_0x7bc7[424]]/2&&(_0xfb0bx4=Math[_0x7bc7[424]]-_0xfb0bx4);_0xfb0bx12=Math[_0x7bc7[426]](_0xfb0bx4);var _0xfb0bx1c=Math[_0x7bc7[427]](_0xfb0bx4);8==document[_0x7bc7[5]]&&(_0xfb0bx9[_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[498],_0xfb0bxa[_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[498],_0xfb0bx19[_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[498]);_0xfb0bx9[_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[188];document[_0x7bc7[112]][_0x7bc7[62]](_0xfb0bx9);_0xfb0bx4=_0xfb0bx9[_0x7bc7[359]];_0xfb0bx19=_0xfb0bx9[_0x7bc7[167]];if(mxClient[_0x7bc7[496]]&&(_0xfb0bx16||_0xfb0bx15)&&_0xfb0bx19>_0xfb0bx5){_0xfb0bx19=_0xfb0bx5,_0xfb0bx9[_0x7bc7[124]][_0x7bc7[119]]=_0xfb0bx19+_0x7bc7[168]};_0xfb0bx5=_0xfb0bx19;_0xfb0bx16=(_0xfb0bx5-_0xfb0bx5*_0xfb0bx12+_0xfb0bx4* -_0xfb0bx1c)/2-_0xfb0bx17*_0xfb0bx4*(_0xfb0bx1b+0.5)+_0xfb0bx13*_0xfb0bx5*(_0xfb0bx1a+0.5);_0xfb0bx15=(_0xfb0bx4-_0xfb0bx4*_0xfb0bx12+_0xfb0bx5* -_0xfb0bx1c)/2+_0xfb0bx13*_0xfb0bx4*(_0xfb0bx1b+0.5)+_0xfb0bx17*_0xfb0bx5*(_0xfb0bx1a+0.5);_0x7bc7[1504]==_0xfb0bx14[_0x7bc7[301]]&&_0x7bc7[529]==this[_0x7bc7[813]][_0x7bc7[301]]?(_0xfb0bx1b=document[_0x7bc7[55]](_0x7bc7[485]),_0xfb0bx1b[_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[498],_0xfb0bx1b[_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[492],_0xfb0bx1b[_0x7bc7[124]][_0x7bc7[361]]=this[_0x7bc7[1239]](_0xfb0bx2+(_0xfb0bx15-_0xfb0bx4/2)*_0xfb0bx18[_0x7bc7[255]])+_0x7bc7[168],_0xfb0bx1b[_0x7bc7[124]][_0x7bc7[125]]=this[_0x7bc7[1239]](_0xfb0bx3+(_0xfb0bx16-_0xfb0bx5/2)*_0xfb0bx18[_0x7bc7[255]])+_0x7bc7[168],_0xfb0bx14[_0x7bc7[265]][_0x7bc7[62]](_0xfb0bx1b),_0xfb0bx1b[_0x7bc7[62]](_0xfb0bx14)):(_0xfb0bx18=8==document[_0x7bc7[5]]?1:_0xfb0bx18[_0x7bc7[255]],_0xfb0bx14[_0x7bc7[124]][_0x7bc7[361]]=this[_0x7bc7[1239]](_0xfb0bx2+(_0xfb0bx15-_0xfb0bx4/2)*_0xfb0bx18)+_0x7bc7[168],_0xfb0bx14[_0x7bc7[124]][_0x7bc7[125]]=this[_0x7bc7[1239]](_0xfb0bx3+(_0xfb0bx16-_0xfb0bx5/2)*_0xfb0bx18)+_0x7bc7[168]);_0xfb0bxa[_0x7bc7[124]][_0x7bc7[347]]=_0x7bc7[1505]+_0xfb0bx13+_0x7bc7[1506]+_0xfb0bx17+_0x7bc7[1507]+-_0xfb0bx17+_0x7bc7[1508]+_0xfb0bx13+_0x7bc7[1509];_0xfb0bxa[_0x7bc7[124]][_0x7bc7[1028]]=this[_0x7bc7[1459]];1>this[_0x7bc7[724]][_0x7bc7[1244]]&&(_0xfb0bxa[_0x7bc7[124]][_0x7bc7[347]]+=_0x7bc7[459]+100*this[_0x7bc7[724]][_0x7bc7[1244]]+_0x7bc7[460]);_0xfb0bx9[_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[110];_0xfb0bxa[_0x7bc7[62]](_0xfb0bx9)}else {8!=document[_0x7bc7[5]]?(_0xfb0bx9[_0x7bc7[124]][_0x7bc7[123]]=_0x7bc7[125],1>this[_0x7bc7[724]][_0x7bc7[1244]]&&(_0xfb0bx14[_0x7bc7[124]][_0x7bc7[347]]=_0x7bc7[459]+100*this[_0x7bc7[724]][_0x7bc7[1244]]+_0x7bc7[460]),_0xfb0bx18=_0xfb0bx9[_0x7bc7[265]],_0xfb0bx9[_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[188],document[_0x7bc7[112]][_0x7bc7[62]](_0xfb0bx9),_0xfb0bx4=_0xfb0bx9[_0x7bc7[359]],_0xfb0bx19=_0xfb0bx9[_0x7bc7[167]],mxClient[_0x7bc7[496]]&&(_0xfb0bx16&&_0xfb0bx19>_0xfb0bx5)&&(_0xfb0bx19=_0xfb0bx5,_0xfb0bx9[_0x7bc7[124]][_0x7bc7[119]]=_0xfb0bx19+_0x7bc7[168]),_0xfb0bx5=_0xfb0bx19,_0xfb0bx9[_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[110],_0xfb0bx18[_0x7bc7[62]](_0xfb0bx9),_0xfb0bx14[_0x7bc7[124]][_0x7bc7[361]]=this[_0x7bc7[1239]](_0xfb0bx2+_0xfb0bx4*_0xfb0bx1b*this[_0x7bc7[724]][_0x7bc7[255]])+_0x7bc7[168],_0xfb0bx14[_0x7bc7[124]][_0x7bc7[125]]=this[_0x7bc7[1239]](_0xfb0bx3+_0xfb0bx5*_0xfb0bx1a*this[_0x7bc7[724]][_0x7bc7[255]])+_0x7bc7[168]):(1>this[_0x7bc7[724]][_0x7bc7[1244]]&&(_0xfb0bx9[_0x7bc7[124]][_0x7bc7[347]]=_0x7bc7[459]+100*this[_0x7bc7[724]][_0x7bc7[1244]]+_0x7bc7[460]),_0xfb0bx19[_0x7bc7[124]][_0x7bc7[361]]=100*_0xfb0bx1b+_0x7bc7[229],_0xfb0bx19[_0x7bc7[124]][_0x7bc7[125]]=100*_0xfb0bx1a+_0x7bc7[229])}}else {this[_0x7bc7[1436]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,mxUtils[_0x7bc7[321]](_0xfb0bx9,!1),_0xfb0bxa,_0xfb0bx12,_0xfb0bx13,_0xfb0bx14,_0xfb0bx15,_0xfb0bx16,_0xfb0bx17)}}};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[1436]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12,_0xfb0bx13,_0xfb0bx14,_0xfb0bx15,_0xfb0bx16,_0xfb0bx17){_0xfb0bx5=this[_0x7bc7[724]];_0xfb0bx2=(_0xfb0bx2+_0xfb0bx5[_0x7bc7[1126]])*_0xfb0bx5[_0x7bc7[255]];_0xfb0bx3=(_0xfb0bx3+_0xfb0bx5[_0x7bc7[1125]])*_0xfb0bx5[_0x7bc7[255]];_0xfb0bx4=document[_0x7bc7[55]](mxClient[_0x7bc7[463]]+_0x7bc7[1487]);_0xfb0bx4[_0x7bc7[124]][_0x7bc7[117]]=_0x7bc7[942];_0xfb0bx4[_0x7bc7[124]][_0x7bc7[119]]=_0x7bc7[942];_0xfb0bx4[_0x7bc7[1464]]=_0x7bc7[308];_0xfb0bx15=document[_0x7bc7[55]](mxClient[_0x7bc7[463]]+_0x7bc7[1469]);_0xfb0bx15[_0x7bc7[352]]=_0xfb0bx5[_0x7bc7[665]];_0xfb0bx15[_0x7bc7[461]]=100*_0xfb0bx5[_0x7bc7[1244]]+_0x7bc7[229];_0xfb0bx4[_0x7bc7[62]](_0xfb0bx15);_0xfb0bx15=document[_0x7bc7[55]](mxClient[_0x7bc7[463]]+_0x7bc7[1510]);_0xfb0bx15[_0x7bc7[1511]]=_0x7bc7[128];_0xfb0bx15[_0x7bc7[6]]=_0x7bc7[1512]+this[_0x7bc7[1239]](0)+_0x7bc7[185]+this[_0x7bc7[1239]](0)+_0x7bc7[1513]+this[_0x7bc7[1239]](1)+_0x7bc7[185]+this[_0x7bc7[1239]](0);_0xfb0bx4[_0x7bc7[62]](_0xfb0bx15);_0xfb0bx15=document[_0x7bc7[55]](mxClient[_0x7bc7[463]]+_0x7bc7[1514]);_0xfb0bx15[_0x7bc7[124]][_0x7bc7[103]]=_0x7bc7[1515]+_0xfb0bxa;_0xfb0bx15[_0x7bc7[124]][_0x7bc7[611]]=_0xfb0bxa;_0xfb0bx15[_0x7bc7[124]][_0x7bc7[486]]=_0xfb0bx5[_0x7bc7[486]];_0xfb0bx15[_0x7bc7[1516]]=_0xfb0bx9;_0xfb0bx15[_0x7bc7[747]]=_0x7bc7[128];_0xfb0bxa=Math[_0x7bc7[488]](_0xfb0bx5[_0x7bc7[487]]*_0xfb0bx5[_0x7bc7[255]]/this[_0x7bc7[1460]]);_0xfb0bx15[_0x7bc7[124]][_0x7bc7[487]]=_0xfb0bxa+_0x7bc7[168];(_0xfb0bx5[_0x7bc7[666]]&mxConstants[_0x7bc7[1410]])==mxConstants[_0x7bc7[1410]]&&(_0xfb0bx15[_0x7bc7[124]][_0x7bc7[1452]]=_0x7bc7[1447]);(_0xfb0bx5[_0x7bc7[666]]&mxConstants[_0x7bc7[1412]])==mxConstants[_0x7bc7[1412]]&&(_0xfb0bx15[_0x7bc7[124]][_0x7bc7[666]]=_0x7bc7[1449]);(_0xfb0bx5[_0x7bc7[666]]&mxConstants[_0x7bc7[1414]])==mxConstants[_0x7bc7[1414]]&&(_0xfb0bx15[_0x7bc7[124]][_0x7bc7[354]]=_0x7bc7[355]);_0xfb0bx9=_0xfb0bx9[_0x7bc7[224]](_0x7bc7[192]);_0xfb0bx5=_0xfb0bxa+(_0xfb0bx9[_0x7bc7[67]]-1)*_0xfb0bxa*mxConstants[_0x7bc7[490]];_0xfb0bxa=_0xfb0bx9=0;_0xfb0bx12==mxConstants[_0x7bc7[482]]?_0xfb0bxa=-_0xfb0bx5/2:_0xfb0bx12!=mxConstants[_0x7bc7[481]]&&(_0xfb0bxa=_0xfb0bx5/2);null!=_0xfb0bx17&&(_0xfb0bx4[_0x7bc7[124]][_0x7bc7[603]]=_0xfb0bx17,_0xfb0bx12=_0xfb0bx17*(Math[_0x7bc7[424]]/180),_0xfb0bx9=Math[_0x7bc7[427]](_0xfb0bx12)*_0xfb0bxa,_0xfb0bxa*=Math[_0x7bc7[426]](_0xfb0bx12));_0xfb0bx4[_0x7bc7[62]](_0xfb0bx15);_0xfb0bx4[_0x7bc7[124]][_0x7bc7[361]]=this[_0x7bc7[1239]](_0xfb0bx2-_0xfb0bx9)+_0x7bc7[168];_0xfb0bx4[_0x7bc7[124]][_0x7bc7[125]]=this[_0x7bc7[1239]](_0xfb0bx3+_0xfb0bxa)+_0x7bc7[168];this[_0x7bc7[813]][_0x7bc7[62]](_0xfb0bx4)};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[1336]]=function(){this[_0x7bc7[1370]](!1,!0)};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[1334]]=function(){this[_0x7bc7[1370]](!0,!1)};mxVmlCanvas2D[_0x7bc7[202]][_0x7bc7[1337]]=function(){this[_0x7bc7[1370]](!0,!0)};function mxGuide(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[1179]]=_0xfb0bx2;this[_0x7bc7[1517]](_0xfb0bx3)}mxGuide[_0x7bc7[202]][_0x7bc7[1179]]=null;mxGuide[_0x7bc7[202]][_0x7bc7[1214]]=null;mxGuide[_0x7bc7[202]][_0x7bc7[662]]= !0;mxGuide[_0x7bc7[202]][_0x7bc7[704]]= !0;mxGuide[_0x7bc7[202]][_0x7bc7[1518]]=null;mxGuide[_0x7bc7[202]][_0x7bc7[1519]]=null;mxGuide[_0x7bc7[202]][_0x7bc7[1517]]=function(_0xfb0bx2){this[_0x7bc7[1214]]=_0xfb0bx2};mxGuide[_0x7bc7[202]][_0x7bc7[1019]]=function(_0xfb0bx2){return !0};mxGuide[_0x7bc7[202]][_0x7bc7[1520]]=function(){return this[_0x7bc7[1179]][_0x7bc7[1020]]*this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[255]]/2};mxGuide[_0x7bc7[202]][_0x7bc7[1521]]=function(_0xfb0bx2){_0xfb0bx2= new mxPolyline([],mxConstants.GUIDE_COLOR,mxConstants.GUIDE_STROKEWIDTH);_0xfb0bx2[_0x7bc7[1522]]= !0;return _0xfb0bx2};mxGuide[_0x7bc7[202]][_0x7bc7[582]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){if(null!=this[_0x7bc7[1214]]&&(this[_0x7bc7[662]]||this[_0x7bc7[704]])&&null!=_0xfb0bx2&&null!=_0xfb0bx3){var _0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[513]],_0xfb0bx9=this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[255]],_0xfb0bxa=_0xfb0bx3[_0x7bc7[235]],_0xfb0bx12=_0xfb0bx3[_0x7bc7[236]],_0xfb0bx13=!1,_0xfb0bx14=!1,_0xfb0bx15=this[_0x7bc7[1520]](),_0xfb0bx16=_0xfb0bx15,_0xfb0bx17=_0xfb0bx15,_0xfb0bx15=_0xfb0bx2[_0x7bc7[238]]();_0xfb0bx15[_0x7bc7[235]]+=_0xfb0bx3[_0x7bc7[235]];_0xfb0bx15[_0x7bc7[236]]+=_0xfb0bx3[_0x7bc7[236]];var _0xfb0bx18=_0xfb0bx15[_0x7bc7[235]],_0xfb0bx19=_0xfb0bx15[_0x7bc7[235]]+_0xfb0bx15[_0x7bc7[117]],_0xfb0bx1a=_0xfb0bx15[_0x7bc7[241]](),_0xfb0bx1b=_0xfb0bx15[_0x7bc7[236]],_0xfb0bx1c=_0xfb0bx15[_0x7bc7[236]]+_0xfb0bx15[_0x7bc7[119]],_0xfb0bx1d=_0xfb0bx15[_0x7bc7[242]]();_0xfb0bx3=function(_0xfb0bx3){_0xfb0bx3+=this[_0x7bc7[1179]][_0x7bc7[1136]];var _0xfb0bx4=!1;Math[_0x7bc7[425]](_0xfb0bx3-_0xfb0bx1a)<_0xfb0bx16?(_0xfb0bxa=_0xfb0bx3-_0xfb0bx2[_0x7bc7[241]](),_0xfb0bx16=Math[_0x7bc7[425]](_0xfb0bx3-_0xfb0bx1a),_0xfb0bx4= !0):Math[_0x7bc7[425]](_0xfb0bx3-_0xfb0bx18)<_0xfb0bx16?(_0xfb0bxa=_0xfb0bx3-_0xfb0bx2[_0x7bc7[235]],_0xfb0bx16=Math[_0x7bc7[425]](_0xfb0bx3-_0xfb0bx18),_0xfb0bx4= !0):Math[_0x7bc7[425]](_0xfb0bx3-_0xfb0bx19)<_0xfb0bx16&&(_0xfb0bxa=_0xfb0bx3-_0xfb0bx2[_0x7bc7[235]]-_0xfb0bx2[_0x7bc7[117]],_0xfb0bx16=Math[_0x7bc7[425]](_0xfb0bx3-_0xfb0bx19),_0xfb0bx4= !0);if(_0xfb0bx4){null==this[_0x7bc7[1518]]&&(this[_0x7bc7[1518]]=this[_0x7bc7[1521]](!0),this[_0x7bc7[1518]][_0x7bc7[507]]=this[_0x7bc7[1179]][_0x7bc7[507]]!=mxConstants[_0x7bc7[508]]?mxConstants[_0x7bc7[1523]]:mxConstants[_0x7bc7[508]],this[_0x7bc7[1518]][_0x7bc7[1229]]= !1,this[_0x7bc7[1518]][_0x7bc7[176]](this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[1524]]()));var _0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[526]];_0xfb0bx3-=this[_0x7bc7[1179]][_0x7bc7[1136]];this[_0x7bc7[1518]][_0x7bc7[1525]]=[ new mxPoint(_0xfb0bx3,-this[_0x7bc7[1179]][_0x7bc7[1137]]), new mxPoint(_0xfb0bx3,_0xfb0bx5[_0x7bc7[191]]-3-this[_0x7bc7[1179]][_0x7bc7[1137]])]};_0xfb0bx13=_0xfb0bx13||_0xfb0bx4};for(var _0xfb0bx15=function(_0xfb0bx3){_0xfb0bx3+=this[_0x7bc7[1179]][_0x7bc7[1137]];var _0xfb0bx4=!1;Math[_0x7bc7[425]](_0xfb0bx3-_0xfb0bx1d)<_0xfb0bx17?(_0xfb0bx12=_0xfb0bx3-_0xfb0bx2[_0x7bc7[242]](),_0xfb0bx17=Math[_0x7bc7[425]](_0xfb0bx3-_0xfb0bx1d),_0xfb0bx4= !0):Math[_0x7bc7[425]](_0xfb0bx3-_0xfb0bx1b)<_0xfb0bx17?(_0xfb0bx12=_0xfb0bx3-_0xfb0bx2[_0x7bc7[236]],_0xfb0bx17=Math[_0x7bc7[425]](_0xfb0bx3-_0xfb0bx1b),_0xfb0bx4= !0):Math[_0x7bc7[425]](_0xfb0bx3-_0xfb0bx1c)<_0xfb0bx17&&(_0xfb0bx12=_0xfb0bx3-_0xfb0bx2[_0x7bc7[236]]-_0xfb0bx2[_0x7bc7[119]],_0xfb0bx17=Math[_0x7bc7[425]](_0xfb0bx3-_0xfb0bx1c),_0xfb0bx4= !0);if(_0xfb0bx4){null==this[_0x7bc7[1519]]&&(this[_0x7bc7[1519]]=this[_0x7bc7[1521]](!1),this[_0x7bc7[1519]][_0x7bc7[507]]=this[_0x7bc7[1179]][_0x7bc7[507]]!=mxConstants[_0x7bc7[508]]?mxConstants[_0x7bc7[1523]]:mxConstants[_0x7bc7[508]],this[_0x7bc7[1519]][_0x7bc7[1229]]= !1,this[_0x7bc7[1519]][_0x7bc7[176]](this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[1524]]()));var _0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[526]];_0xfb0bx3-=this[_0x7bc7[1179]][_0x7bc7[1137]];this[_0x7bc7[1519]][_0x7bc7[1525]]=[ new mxPoint(-this[_0x7bc7[1179]][_0x7bc7[1136]],_0xfb0bx3), new mxPoint(_0xfb0bx5[_0x7bc7[1526]]-3-this[_0x7bc7[1179]][_0x7bc7[1136]],_0xfb0bx3)]};_0xfb0bx14=_0xfb0bx14||_0xfb0bx4},_0xfb0bx1e=0;_0xfb0bx1ethis[_0x7bc7[461]]&&(_0xfb0bx3+=_0x7bc7[459]+this[_0x7bc7[461]]+_0x7bc7[460]);this[_0x7bc7[1596]]&&(_0xfb0bx3+=_0x7bc7[1632]+Math[_0x7bc7[488]](mxConstants[_0x7bc7[1237]]*this[_0x7bc7[255]])+_0x7bc7[1633]+Math[_0x7bc7[488]](mxConstants[_0x7bc7[1238]]*this[_0x7bc7[255]])+_0x7bc7[1634]+mxConstants[_0x7bc7[1235]]+_0x7bc7[1635]);if(this[_0x7bc7[1299]]){var _0xfb0bx4=this[_0x7bc7[1334]],_0xfb0bx5=this[_0x7bc7[1299]],_0xfb0bx9=_0x7bc7[468],_0xfb0bxa={east:0,south:1,west:2,north:3},_0xfb0bx12=null!=this[_0x7bc7[663]]?_0xfb0bxa[this[_0x7bc7[663]]]:0;null!=this[_0x7bc7[607]]&&(_0xfb0bx12=mxUtils[_0x7bc7[1454]](_0xfb0bx12+_0xfb0bxa[this[_0x7bc7[607]]]-1,4));1==_0xfb0bx12?(_0xfb0bx9=_0x7bc7[500],_0xfb0bxa=_0xfb0bx4,_0xfb0bx4=_0xfb0bx5,_0xfb0bx5=_0xfb0bxa):2==_0xfb0bx12?(_0xfb0bxa=_0xfb0bx4,_0xfb0bx4=_0xfb0bx5,_0xfb0bx5=_0xfb0bxa):3==_0xfb0bx12&&(_0xfb0bx9=_0x7bc7[500]);_0xfb0bx3+=_0x7bc7[1636]+_0xfb0bx4+_0x7bc7[1637]+_0xfb0bx5+_0x7bc7[1638]+_0xfb0bx9+_0x7bc7[1635]};_0xfb0bx2[_0x7bc7[124]][_0x7bc7[347]]=_0xfb0bx3};function mxEllipse(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){mxShape[_0x7bc7[239]](this);this[_0x7bc7[1562]]=_0xfb0bx2;this[_0x7bc7[1334]]=_0xfb0bx3;this[_0x7bc7[1336]]=_0xfb0bx4;this[_0x7bc7[1307]]=null!=_0xfb0bx5?_0xfb0bx5:1}mxUtils[_0x7bc7[1284]](mxEllipse,mxShape);mxEllipse[_0x7bc7[202]][_0x7bc7[1595]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0xfb0bx2[_0x7bc7[680]](_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9);_0xfb0bx2[_0x7bc7[1337]]()};function mxDoubleEllipse(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){mxShape[_0x7bc7[239]](this);this[_0x7bc7[1562]]=_0xfb0bx2;this[_0x7bc7[1334]]=_0xfb0bx3;this[_0x7bc7[1336]]=_0xfb0bx4;this[_0x7bc7[1307]]=null!=_0xfb0bx5?_0xfb0bx5:1}mxUtils[_0x7bc7[1284]](mxDoubleEllipse,mxShape);mxDoubleEllipse[_0x7bc7[202]][_0x7bc7[1460]]=10;mxDoubleEllipse[_0x7bc7[202]][_0x7bc7[1601]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0xfb0bx2[_0x7bc7[680]](_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9);_0xfb0bx2[_0x7bc7[1337]]()};mxDoubleEllipse[_0x7bc7[202]][_0x7bc7[1602]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){var _0xfb0bxa=Math[_0x7bc7[243]](4,Math[_0x7bc7[243]](_0xfb0bx5/5,_0xfb0bx9/5));_0xfb0bx5-=2*_0xfb0bxa;_0xfb0bx9-=2*_0xfb0bxa;0<_0xfb0bx5&&0<_0xfb0bx9&&_0xfb0bx2[_0x7bc7[680]](_0xfb0bx3+_0xfb0bxa,_0xfb0bx4+_0xfb0bxa,_0xfb0bx5,_0xfb0bx9);_0xfb0bx2[_0x7bc7[1336]]()};function mxRhombus(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){mxShape[_0x7bc7[239]](this);this[_0x7bc7[1562]]=_0xfb0bx2;this[_0x7bc7[1334]]=_0xfb0bx3;this[_0x7bc7[1336]]=_0xfb0bx4;this[_0x7bc7[1307]]=null!=_0xfb0bx5?_0xfb0bx5:1}mxUtils[_0x7bc7[1284]](mxRhombus,mxShape);mxRhombus[_0x7bc7[202]][_0x7bc7[1595]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){var _0xfb0bxa=_0xfb0bx5/2,_0xfb0bx12=_0xfb0bx9/2;_0xfb0bx2[_0x7bc7[1275]]();_0xfb0bx2[_0x7bc7[1276]](_0xfb0bx3+_0xfb0bxa,_0xfb0bx4);_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx3+_0xfb0bx5,_0xfb0bx4+_0xfb0bx12);_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx3+_0xfb0bxa,_0xfb0bx4+_0xfb0bx9);_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx3,_0xfb0bx4+_0xfb0bx12);_0xfb0bx2[_0x7bc7[268]]();_0xfb0bx2[_0x7bc7[1337]]()};function mxPolyline(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){mxShape[_0x7bc7[239]](this);this[_0x7bc7[1525]]=_0xfb0bx2;this[_0x7bc7[1336]]=_0xfb0bx3;this[_0x7bc7[1307]]=null!=_0xfb0bx4?_0xfb0bx4:1}mxUtils[_0x7bc7[1284]](mxPolyline,mxShape);mxPolyline[_0x7bc7[202]][_0x7bc7[1614]]=function(){return 0};mxPolyline[_0x7bc7[202]][_0x7bc7[1594]]=function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[1639]](_0xfb0bx2,_0xfb0bx3,this[_0x7bc7[1606]])};mxPolyline[_0x7bc7[202]][_0x7bc7[1639]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_ARCSIZE,mxConstants.LINE_ARCSIZE)/2,_0xfb0bx9=_0xfb0bx3[0],_0xfb0bxa=_0xfb0bx3[_0xfb0bx3[_0x7bc7[67]]-1];_0xfb0bx2[_0x7bc7[1275]]();_0xfb0bx2[_0x7bc7[1276]](_0xfb0bx9[_0x7bc7[235]],_0xfb0bx9[_0x7bc7[236]]);for(var _0xfb0bx12=1;_0xfb0bx12<_0xfb0bx3[_0x7bc7[67]]-1;_0xfb0bx12++){var _0xfb0bx13=_0xfb0bx3[_0xfb0bx12],_0xfb0bx14=_0xfb0bx9[_0x7bc7[235]]-_0xfb0bx13[_0x7bc7[235]],_0xfb0bx9=_0xfb0bx9[_0x7bc7[236]]-_0xfb0bx13[_0x7bc7[236]];if(_0xfb0bx4&&_0xfb0bx12<_0xfb0bx3[_0x7bc7[67]]-1&&(0!=_0xfb0bx14||0!=_0xfb0bx9)){var _0xfb0bx15=Math[_0x7bc7[428]](_0xfb0bx14*_0xfb0bx14+_0xfb0bx9*_0xfb0bx9),_0xfb0bx14=_0xfb0bx14*Math[_0x7bc7[243]](_0xfb0bx5,_0xfb0bx15/2)/_0xfb0bx15,_0xfb0bx9=_0xfb0bx9*Math[_0x7bc7[243]](_0xfb0bx5,_0xfb0bx15/2)/_0xfb0bx15;_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx13[_0x7bc7[235]]+_0xfb0bx14,_0xfb0bx13[_0x7bc7[236]]+_0xfb0bx9);_0xfb0bx9=_0xfb0bx3[_0xfb0bx12+1];_0xfb0bx14=_0xfb0bx9[_0x7bc7[235]]-_0xfb0bx13[_0x7bc7[235]];_0xfb0bx9=_0xfb0bx9[_0x7bc7[236]]-_0xfb0bx13[_0x7bc7[236]];_0xfb0bx15=Math[_0x7bc7[160]](1,Math[_0x7bc7[428]](_0xfb0bx14*_0xfb0bx14+_0xfb0bx9*_0xfb0bx9));_0xfb0bx14=_0xfb0bx14*Math[_0x7bc7[243]](_0xfb0bx5,_0xfb0bx15/2)/_0xfb0bx15;_0xfb0bx9=_0xfb0bx9*Math[_0x7bc7[243]](_0xfb0bx5,_0xfb0bx15/2)/_0xfb0bx15;_0xfb0bx14=_0xfb0bx13[_0x7bc7[235]]+_0xfb0bx14;_0xfb0bx9=_0xfb0bx13[_0x7bc7[236]]+_0xfb0bx9;_0xfb0bx2[_0x7bc7[1278]](_0xfb0bx13[_0x7bc7[235]],_0xfb0bx13[_0x7bc7[236]],_0xfb0bx14,_0xfb0bx9);_0xfb0bx13= new mxPoint(_0xfb0bx14,_0xfb0bx9)}else {_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx13[_0x7bc7[235]],_0xfb0bx13[_0x7bc7[236]])};_0xfb0bx9=_0xfb0bx13};_0xfb0bx2[_0x7bc7[1277]](_0xfb0bxa[_0x7bc7[235]],_0xfb0bxa[_0x7bc7[236]]);_0xfb0bx2[_0x7bc7[1336]]()};function mxArrow(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12){mxShape[_0x7bc7[239]](this);this[_0x7bc7[1525]]=_0xfb0bx2;this[_0x7bc7[1334]]=_0xfb0bx3;this[_0x7bc7[1336]]=_0xfb0bx4;this[_0x7bc7[1307]]=null!=_0xfb0bx5?_0xfb0bx5:1;this[_0x7bc7[1640]]=null!=_0xfb0bx9?_0xfb0bx9:mxConstants[_0x7bc7[1641]];this[_0x7bc7[657]]=null!=_0xfb0bxa?_0xfb0bxa:mxConstants[_0x7bc7[1642]];this[_0x7bc7[643]]=null!=_0xfb0bx12?_0xfb0bx12:mxConstants[_0x7bc7[1643]]}mxUtils[_0x7bc7[1284]](mxArrow,mxShape);mxArrow[_0x7bc7[202]][_0x7bc7[1594]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=mxConstants[_0x7bc7[1642]],_0xfb0bx5=mxConstants[_0x7bc7[1641]],_0xfb0bx9=mxConstants[_0x7bc7[1643]],_0xfb0bxa=_0xfb0bx3[0],_0xfb0bx12=_0xfb0bx3[_0xfb0bx3[_0x7bc7[67]]-1],_0xfb0bx13=_0xfb0bx12[_0x7bc7[235]]-_0xfb0bxa[_0x7bc7[235]],_0xfb0bx14=_0xfb0bx12[_0x7bc7[236]]-_0xfb0bxa[_0x7bc7[236]],_0xfb0bx15=Math[_0x7bc7[428]](_0xfb0bx13*_0xfb0bx13+_0xfb0bx14*_0xfb0bx14),_0xfb0bx16=_0xfb0bx15-2*_0xfb0bx4-_0xfb0bx9,_0xfb0bx13=_0xfb0bx13/_0xfb0bx15,_0xfb0bx14=_0xfb0bx14/_0xfb0bx15,_0xfb0bx15=_0xfb0bx5*_0xfb0bx14/3,_0xfb0bx5=-_0xfb0bx5*_0xfb0bx13/3,_0xfb0bx9=_0xfb0bxa[_0x7bc7[235]]-_0xfb0bx15/2+_0xfb0bx4*_0xfb0bx13,_0xfb0bxa=_0xfb0bxa[_0x7bc7[236]]-_0xfb0bx5/2+_0xfb0bx4*_0xfb0bx14,_0xfb0bx17=_0xfb0bx9+_0xfb0bx15,_0xfb0bx18=_0xfb0bxa+_0xfb0bx5,_0xfb0bx19=_0xfb0bx17+_0xfb0bx16*_0xfb0bx13,_0xfb0bx16=_0xfb0bx18+_0xfb0bx16*_0xfb0bx14,_0xfb0bx1a=_0xfb0bx19+_0xfb0bx15,_0xfb0bx1b=_0xfb0bx16+_0xfb0bx5,_0xfb0bx1c=_0xfb0bx1a-3*_0xfb0bx15,_0xfb0bx1d=_0xfb0bx1b-3*_0xfb0bx5;_0xfb0bx2[_0x7bc7[1275]]();_0xfb0bx2[_0x7bc7[1276]](_0xfb0bx9,_0xfb0bxa);_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx17,_0xfb0bx18);_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx19,_0xfb0bx16);_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx1a,_0xfb0bx1b);_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx12[_0x7bc7[235]]-_0xfb0bx4*_0xfb0bx13,_0xfb0bx12[_0x7bc7[236]]-_0xfb0bx4*_0xfb0bx14);_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx1c,_0xfb0bx1d);_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx1c+_0xfb0bx15,_0xfb0bx1d+_0xfb0bx5);_0xfb0bx2[_0x7bc7[268]]();_0xfb0bx2[_0x7bc7[1337]]()};function mxText(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12,_0xfb0bx13,_0xfb0bx14,_0xfb0bx15,_0xfb0bx16,_0xfb0bx17,_0xfb0bx18,_0xfb0bx19,_0xfb0bx1a,_0xfb0bx1b,_0xfb0bx1c,_0xfb0bx1d,_0xfb0bx1e,_0xfb0bx1f){mxShape[_0x7bc7[239]](this);this[_0x7bc7[131]]=_0xfb0bx2;this[_0x7bc7[1562]]=_0xfb0bx3;this[_0x7bc7[352]]=null!=_0xfb0bx9?_0xfb0bx9:_0x7bc7[586];this[_0x7bc7[611]]=null!=_0xfb0bx4?_0xfb0bx4:_0x7bc7[110];this[_0x7bc7[552]]=null!=_0xfb0bx5?_0xfb0bx5:_0x7bc7[110];this[_0x7bc7[1288]]=null!=_0xfb0bxa?_0xfb0bxa:mxConstants[_0x7bc7[484]];this[_0x7bc7[803]]=null!=_0xfb0bx12?_0xfb0bx12:mxConstants[_0x7bc7[483]];this[_0x7bc7[666]]=null!=_0xfb0bx13?_0xfb0bx13:mxConstants[_0x7bc7[1644]];this[_0x7bc7[657]]=parseInt(_0xfb0bx14||2);this[_0x7bc7[658]]=this[_0x7bc7[657]]+parseInt(_0xfb0bx15||0);this[_0x7bc7[661]]=this[_0x7bc7[657]]+parseInt(_0xfb0bx16||0);this[_0x7bc7[660]]=this[_0x7bc7[657]]+parseInt(_0xfb0bx17||0);this[_0x7bc7[659]]=this[_0x7bc7[657]]+parseInt(_0xfb0bx18||0);this[_0x7bc7[662]]=null!=_0xfb0bx19?_0xfb0bx19:!0;this[_0x7bc7[1537]]=_0xfb0bx1a;this[_0x7bc7[467]]=_0xfb0bx1b;this[_0x7bc7[1333]]=null!=_0xfb0bx1c?_0xfb0bx1c:!1;this[_0x7bc7[1645]]=null!=_0xfb0bx1d?_0xfb0bx1d:!1;this[_0x7bc7[277]]=null!=_0xfb0bx1e?_0xfb0bx1e:_0x7bc7[189];this[_0x7bc7[629]]=null!=_0xfb0bx1f?_0xfb0bx1f:0;this[_0x7bc7[603]]=0}mxUtils[_0x7bc7[1284]](mxText,mxShape);mxText[_0x7bc7[202]][_0x7bc7[1646]]=0;mxText[_0x7bc7[202]][_0x7bc7[1647]]=0;mxText[_0x7bc7[202]][_0x7bc7[1648]]=0;mxText[_0x7bc7[202]][_0x7bc7[1649]]=0;mxText[_0x7bc7[202]][_0x7bc7[1650]]= !0;mxText[_0x7bc7[202]][_0x7bc7[1616]]= -90;mxText[_0x7bc7[202]][_0x7bc7[1651]]= !0;mxText[_0x7bc7[202]][_0x7bc7[1652]]= !1;mxText[_0x7bc7[202]][_0x7bc7[1566]]=function(){return !1};mxText[_0x7bc7[202]][_0x7bc7[1567]]=function(){return 8!=document[_0x7bc7[5]]};mxText[_0x7bc7[202]][_0x7bc7[1568]]=function(){return 0};mxText[_0x7bc7[202]][_0x7bc7[1574]]=function(){return null!=this[_0x7bc7[1562]]&&!isNaN(this[_0x7bc7[1562]][_0x7bc7[235]])&&!isNaN(this[_0x7bc7[1562]][_0x7bc7[236]])&&!isNaN(this[_0x7bc7[1562]][_0x7bc7[117]])&&!isNaN(this[_0x7bc7[1562]][_0x7bc7[119]])};mxText[_0x7bc7[202]][_0x7bc7[1577]]=function(){var _0xfb0bx2=this[_0x7bc7[252]];8==document[_0x7bc7[5]]&&null!=_0xfb0bx2[_0x7bc7[285]]&&(_0xfb0bx2=_0xfb0bx2[_0x7bc7[285]],null!=_0xfb0bx2[_0x7bc7[285]]&&(_0xfb0bx2=_0xfb0bx2[_0x7bc7[285]]));this[_0x7bc7[1563]]=this[_0x7bc7[1562]][_0x7bc7[238]]();var _0xfb0bx3=this[_0x7bc7[1615]]();if(!this[_0x7bc7[1652]]&&null!=_0xfb0bx2&&_0x7bc7[1334]!=this[_0x7bc7[277]]&&(!this[_0x7bc7[1645]]||!this[_0x7bc7[1651]])){var _0xfb0bx4=null,_0xfb0bx5=null;if(null!=_0xfb0bx2[_0x7bc7[538]]){if(null!=_0xfb0bx2[_0x7bc7[285]]&&null!=_0xfb0bx2[_0x7bc7[285]][_0x7bc7[285]]&&_0x7bc7[1435]==_0xfb0bx2[_0x7bc7[285]][_0x7bc7[285]][_0x7bc7[301]]){_0xfb0bx2=_0xfb0bx2[_0x7bc7[285]][_0x7bc7[285]],_0xfb0bx4=this[_0x7bc7[1333]]?this[_0x7bc7[1562]][_0x7bc7[117]]:parseInt(_0xfb0bx2[_0x7bc7[284]](_0x7bc7[117]))*this[_0x7bc7[255]],_0xfb0bx5=parseInt(_0xfb0bx2[_0x7bc7[284]](_0x7bc7[119]))*this[_0x7bc7[255]]}else {_0xfb0bx3=_0xfb0bx2[_0x7bc7[1451]]();if(0==_0xfb0bx3[_0x7bc7[117]]&&0==_0xfb0bx3[_0x7bc7[119]]){return};this[_0x7bc7[1563]]= new mxRectangle(_0xfb0bx3[_0x7bc7[235]],_0xfb0bx3[_0x7bc7[236]],_0xfb0bx3[_0x7bc7[117]],_0xfb0bx3[_0x7bc7[119]]);_0xfb0bx3=0}}else {_0xfb0bx5=this[_0x7bc7[724]][_0x7bc7[441]][_0x7bc7[1653]],null!=this[_0x7bc7[359]]&&null!=this[_0x7bc7[167]]?(_0xfb0bx4=this[_0x7bc7[1333]]?this[_0x7bc7[1562]][_0x7bc7[117]]:this[_0x7bc7[359]]*this[_0x7bc7[255]],_0xfb0bx5=this[_0x7bc7[167]]*this[_0x7bc7[255]]):null!=_0xfb0bx5?(this[_0x7bc7[1441]](_0xfb0bx5),this[_0x7bc7[1654]](_0xfb0bx5),mxUtils[_0x7bc7[1331]](this[_0x7bc7[131]])?_0xfb0bx5[_0x7bc7[339]]=this[_0x7bc7[131]][_0x7bc7[342]]:(_0xfb0bx4=this[_0x7bc7[1650]]?this[_0x7bc7[131]][_0x7bc7[230]](/\n/g,_0x7bc7[1453]):this[_0x7bc7[131]],_0xfb0bx5[_0x7bc7[339]]=_0xfb0bx4),_0xfb0bx4=this[_0x7bc7[1333]]?this[_0x7bc7[1562]][_0x7bc7[117]]:_0xfb0bx5[_0x7bc7[359]]*this[_0x7bc7[255]],_0xfb0bx5=_0xfb0bx5[_0x7bc7[167]]*this[_0x7bc7[255]]):(_0xfb0bx4=this[_0x7bc7[1333]]?this[_0x7bc7[1562]][_0x7bc7[117]]:_0xfb0bx2[_0x7bc7[359]]*this[_0x7bc7[255]],_0xfb0bx5=_0xfb0bx2[_0x7bc7[167]]*this[_0x7bc7[255]])};null!=_0xfb0bx4&&null!=_0xfb0bx5&&(this[_0x7bc7[1563]]= new mxRectangle(this[_0x7bc7[1562]][_0x7bc7[235]]+this[_0x7bc7[1655]][_0x7bc7[235]]*_0xfb0bx4,this[_0x7bc7[1562]][_0x7bc7[236]]+this[_0x7bc7[1655]][_0x7bc7[236]]*_0xfb0bx5,_0xfb0bx4,_0xfb0bx5))}else {this[_0x7bc7[1563]][_0x7bc7[235]]+=this[_0x7bc7[1655]][_0x7bc7[235]]*this[_0x7bc7[1563]][_0x7bc7[117]],this[_0x7bc7[1563]][_0x7bc7[236]]+=this[_0x7bc7[1655]][_0x7bc7[236]]*this[_0x7bc7[1563]][_0x7bc7[119]]};null!=this[_0x7bc7[1563]]&&(0!=_0xfb0bx3&&(_0xfb0bx3=mxUtils[_0x7bc7[1613]](this[_0x7bc7[1563]],_0xfb0bx3),this[_0x7bc7[1563]][_0x7bc7[235]]=_0xfb0bx3[_0x7bc7[235]],this[_0x7bc7[1563]][_0x7bc7[236]]=_0xfb0bx3[_0x7bc7[236]],mxClient[_0x7bc7[496]]||(this[_0x7bc7[1563]][_0x7bc7[117]]=_0xfb0bx3[_0x7bc7[117]],this[_0x7bc7[1563]][_0x7bc7[119]]=_0xfb0bx3[_0x7bc7[119]])),this[_0x7bc7[1563]][_0x7bc7[235]]=Math[_0x7bc7[519]](this[_0x7bc7[1563]][_0x7bc7[235]]),this[_0x7bc7[1563]][_0x7bc7[236]]=Math[_0x7bc7[519]](this[_0x7bc7[1563]][_0x7bc7[236]]),this[_0x7bc7[1563]][_0x7bc7[117]]=Math[_0x7bc7[430]](this[_0x7bc7[1563]][_0x7bc7[117]]),this[_0x7bc7[1563]][_0x7bc7[119]]=Math[_0x7bc7[430]](this[_0x7bc7[1563]][_0x7bc7[119]]))};mxText[_0x7bc7[202]][_0x7bc7[1598]]=function(){return 0};mxText[_0x7bc7[202]][_0x7bc7[1615]]=function(){return null!=this[_0x7bc7[724]]&&null!=this[_0x7bc7[724]][_0x7bc7[253]]?this[_0x7bc7[724]][_0x7bc7[253]][_0x7bc7[1615]]():0};mxText[_0x7bc7[202]][_0x7bc7[1590]]=function(){return !this[_0x7bc7[662]]&&null!=this[_0x7bc7[724]]&&this[_0x7bc7[724]][_0x7bc7[441]][_0x7bc7[1179]][_0x7bc7[251]][_0x7bc7[1193]](this[_0x7bc7[724]][_0x7bc7[246]])};mxText[_0x7bc7[202]][_0x7bc7[1592]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){mxShape[_0x7bc7[202]][_0x7bc7[1592]][_0x7bc7[183]](this,arguments);_0xfb0bx2[_0x7bc7[1259]](this[_0x7bc7[352]]);_0xfb0bx2[_0x7bc7[1260]](this[_0x7bc7[1537]]);_0xfb0bx2[_0x7bc7[1262]](this[_0x7bc7[467]]);_0xfb0bx2[_0x7bc7[1265]](this[_0x7bc7[1288]]);_0xfb0bx2[_0x7bc7[1264]](this[_0x7bc7[803]]);_0xfb0bx2[_0x7bc7[1266]](this[_0x7bc7[666]])};mxText[_0x7bc7[202]][_0x7bc7[1586]]=function(){this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[361]]=Math[_0x7bc7[488]](this[_0x7bc7[1562]][_0x7bc7[235]])+_0x7bc7[168];this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[125]]=Math[_0x7bc7[488]](this[_0x7bc7[1562]][_0x7bc7[236]])+_0x7bc7[168];this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[117]]=_0x7bc7[942];this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[119]]=_0x7bc7[942];this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[277]]=_0x7bc7[189]};mxText[_0x7bc7[202]][_0x7bc7[1209]]=function(_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[255]],_0xfb0bx4=this[_0x7bc7[1562]][_0x7bc7[235]]/_0xfb0bx3,_0xfb0bx5=this[_0x7bc7[1562]][_0x7bc7[236]]/_0xfb0bx3,_0xfb0bx9=this[_0x7bc7[1562]][_0x7bc7[117]]/_0xfb0bx3,_0xfb0bx3=this[_0x7bc7[1562]][_0x7bc7[119]]/_0xfb0bx3;this[_0x7bc7[1591]](_0xfb0bx2,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bx3);this[_0x7bc7[1592]](_0xfb0bx2,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bx3);var _0xfb0bxa=mxUtils[_0x7bc7[1331]](this[_0x7bc7[131]])||this[_0x7bc7[507]]==mxConstants[_0x7bc7[1656]],_0xfb0bx12=_0xfb0bxa||_0xfb0bx2 instanceof mxVmlCanvas2D?_0x7bc7[1428]:_0x7bc7[110],_0xfb0bx13=this[_0x7bc7[131]];!_0xfb0bxa&&_0x7bc7[1428]==_0xfb0bx12&&(_0xfb0bx13=mxUtils[_0x7bc7[321]](_0xfb0bx13,!1));_0xfb0bx13=!mxUtils[_0x7bc7[1331]](this[_0x7bc7[131]])&&this[_0x7bc7[1650]]&&_0x7bc7[1428]==_0xfb0bx12?_0xfb0bx13[_0x7bc7[230]](/\n/g,_0x7bc7[1453]):_0xfb0bx13;_0xfb0bx2[_0x7bc7[963]](_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bx3,_0xfb0bx13,this[_0x7bc7[611]],this[_0x7bc7[552]],this[_0x7bc7[1333]],_0xfb0bx12,_0x7bc7[1334]==this[_0x7bc7[277]],this[_0x7bc7[1645]],this[_0x7bc7[1615]]())};mxText[_0x7bc7[202]][_0x7bc7[1575]]=function(){var _0xfb0bx2=this[_0x7bc7[252]][_0x7bc7[124]];_0xfb0bx2[_0x7bc7[461]]=1>this[_0x7bc7[461]]?this[_0x7bc7[461]]:_0x7bc7[110];_0xfb0bx2[_0x7bc7[277]]=_0x7bc7[110];_0xfb0bx2[_0x7bc7[117]]=_0x7bc7[110];_0xfb0bx2[_0x7bc7[119]]=_0x7bc7[110];this[_0x7bc7[1441]](this[_0x7bc7[252]]);this[_0x7bc7[1654]](this[_0x7bc7[252]]);this[_0x7bc7[1657]]();this[_0x7bc7[167]]=this[_0x7bc7[359]]=null;null!=mxClient[_0x7bc7[73]]?this[_0x7bc7[1658]]():this[_0x7bc7[1659]]()};mxText[_0x7bc7[202]][_0x7bc7[1658]]=function(){var _0xfb0bx2=this[_0x7bc7[1615]](),_0xfb0bx3=this[_0x7bc7[252]][_0x7bc7[124]],_0xfb0bx4=this[_0x7bc7[1655]][_0x7bc7[235]],_0xfb0bx5=this[_0x7bc7[1655]][_0x7bc7[236]];0!=_0xfb0bx2?(_0xfb0bx3[mxClient[_0x7bc7[73]]+_0x7bc7[1660]]=100*-_0xfb0bx4+_0x7bc7[1661]+100*-_0xfb0bx5+_0x7bc7[229],_0xfb0bx3[mxClient[_0x7bc7[73]]+_0x7bc7[1662]]=_0x7bc7[536]+100*_0xfb0bx4+_0x7bc7[1663]+100*_0xfb0bx5+_0x7bc7[1664]+this[_0x7bc7[255]]+_0x7bc7[1665]+_0xfb0bx2+_0x7bc7[1666]):(_0xfb0bx3[mxClient[_0x7bc7[73]]+_0x7bc7[1660]]=_0x7bc7[1667],_0xfb0bx3[mxClient[_0x7bc7[73]]+_0x7bc7[1662]]=_0x7bc7[1402]+this[_0x7bc7[255]]+_0x7bc7[1393]+100*_0xfb0bx4+_0x7bc7[1663]+100*_0xfb0bx5+_0x7bc7[1668]);_0xfb0bx3[_0x7bc7[361]]=Math[_0x7bc7[488]](this[_0x7bc7[1562]][_0x7bc7[235]])+_0x7bc7[168];_0xfb0bx3[_0x7bc7[125]]=Math[_0x7bc7[488]](this[_0x7bc7[1562]][_0x7bc7[236]])+_0x7bc7[168]};mxText[_0x7bc7[202]][_0x7bc7[1659]]=function(){var _0xfb0bx2=this[_0x7bc7[252]][_0x7bc7[124]],_0xfb0bx3=this[_0x7bc7[1655]][_0x7bc7[235]],_0xfb0bx4=this[_0x7bc7[1655]][_0x7bc7[236]],_0xfb0bx5=this[_0x7bc7[255]];_0xfb0bx2[_0x7bc7[347]]=_0x7bc7[110];var _0xfb0bx9=0,_0xfb0bxa=0,_0xfb0bx12=null!=this[_0x7bc7[724]]?this[_0x7bc7[724]][_0x7bc7[441]][_0x7bc7[1653]]:null;if(null!=_0xfb0bx12){_0xfb0bx12[_0x7bc7[124]][_0x7bc7[277]]=_0x7bc7[110];_0xfb0bx12[_0x7bc7[124]][_0x7bc7[119]]=_0x7bc7[110];_0xfb0bx12[_0x7bc7[124]][_0x7bc7[117]]=_0x7bc7[110];this[_0x7bc7[1441]](_0xfb0bx12);this[_0x7bc7[1654]](_0xfb0bx12);if(mxUtils[_0x7bc7[1331]](this[_0x7bc7[131]])){_0xfb0bx12[_0x7bc7[339]]=this[_0x7bc7[131]][_0x7bc7[342]]}else {var _0xfb0bx13=this[_0x7bc7[131]];this[_0x7bc7[507]]!=mxConstants[_0x7bc7[1656]]&&(_0xfb0bx13=mxUtils[_0x7bc7[321]](_0xfb0bx13,!1));_0xfb0bx13=this[_0x7bc7[1650]]?_0xfb0bx13[_0x7bc7[230]](/\n/g,_0x7bc7[1453]):_0xfb0bx13;_0xfb0bx12[_0x7bc7[339]]=_0xfb0bx13};_0xfb0bx9=_0xfb0bx12[_0x7bc7[359]]+2;_0xfb0bxa=_0xfb0bx12[_0x7bc7[167]]+2}else {_0xfb0bx9=this[_0x7bc7[252]][_0x7bc7[359]],_0xfb0bxa=this[_0x7bc7[252]][_0x7bc7[167]]+1};this[_0x7bc7[359]]=_0xfb0bx9;this[_0x7bc7[167]]=_0xfb0bxa;_0xfb0bx12=this[_0x7bc7[1562]][_0x7bc7[117]]/_0xfb0bx5;_0xfb0bx13=this[_0x7bc7[1562]][_0x7bc7[119]]/_0xfb0bx5;mxClient[_0x7bc7[496]]&&(this[_0x7bc7[1645]]||_0x7bc7[1334]==this[_0x7bc7[277]])&&0<_0xfb0bx13?(_0xfb0bx13=Math[_0x7bc7[243]](_0xfb0bx13,_0xfb0bxa),_0xfb0bx2[_0x7bc7[119]]=Math[_0x7bc7[488]](_0xfb0bx13+1)+_0x7bc7[168]):_0xfb0bx13=_0xfb0bxa;_0x7bc7[1334]!=this[_0x7bc7[277]]&&(mxClient[_0x7bc7[496]]&&(this[_0x7bc7[1645]]||this[_0x7bc7[1333]])&&0<_0xfb0bx12?(_0xfb0bx12=Math[_0x7bc7[243]](_0xfb0bx12,_0xfb0bx9),_0xfb0bx2[_0x7bc7[117]]=Math[_0x7bc7[488]](_0xfb0bx12)+_0x7bc7[168]):_0xfb0bx12=_0xfb0bx9);var _0xfb0bx13=_0xfb0bx13*_0xfb0bx5,_0xfb0bx12=_0xfb0bx12*_0xfb0bx5,_0xfb0bx9=this[_0x7bc7[1615]]()*(Math[_0x7bc7[424]]/180),_0xfb0bxa=parseFloat(parseFloat(Math[_0x7bc7[426]](_0xfb0bx9))[_0x7bc7[1293]](8)),_0xfb0bx14=parseFloat(parseFloat(Math[_0x7bc7[427]](-_0xfb0bx9))[_0x7bc7[1293]](8)),_0xfb0bx9=_0xfb0bx9%(2*Math[_0x7bc7[424]]);0>_0xfb0bx9&&(_0xfb0bx9+=2*Math[_0x7bc7[424]]);_0xfb0bx9%=Math[_0x7bc7[424]];_0xfb0bx9>Math[_0x7bc7[424]]/2&&(_0xfb0bx9=Math[_0x7bc7[424]]-_0xfb0bx9);var _0xfb0bx15=Math[_0x7bc7[426]](_0xfb0bx9),_0xfb0bx16=Math[_0x7bc7[427]](-_0xfb0bx9),_0xfb0bx3=_0xfb0bx12* -(_0xfb0bx3+0.5),_0xfb0bx4=_0xfb0bx13* -(_0xfb0bx4+0.5),_0xfb0bx17=(_0xfb0bx13-_0xfb0bx13*_0xfb0bx15+_0xfb0bx12*_0xfb0bx16)/2+_0xfb0bx14*_0xfb0bx3-_0xfb0bxa*_0xfb0bx4;0!=_0xfb0bx9&&(_0xfb0bx2[_0x7bc7[347]]=_0x7bc7[1505]+_0xfb0bxa+_0x7bc7[1506]+_0xfb0bx14+_0x7bc7[1507]+-_0xfb0bx14+_0x7bc7[1508]+_0xfb0bxa+_0x7bc7[1509]);_0xfb0bx2[_0x7bc7[499]]=_0xfb0bx5;_0xfb0bx2[_0x7bc7[361]]=Math[_0x7bc7[488]](this[_0x7bc7[1562]][_0x7bc7[235]]+((_0xfb0bx12-_0xfb0bx12*_0xfb0bx15+_0xfb0bx13*_0xfb0bx16)/2-_0xfb0bxa*_0xfb0bx3-_0xfb0bx14*_0xfb0bx4)-_0xfb0bx12/2)+_0x7bc7[168];_0xfb0bx2[_0x7bc7[125]]=Math[_0x7bc7[488]](this[_0x7bc7[1562]][_0x7bc7[236]]+_0xfb0bx17-_0xfb0bx13/2)+_0x7bc7[168]};mxText[_0x7bc7[202]][_0x7bc7[1657]]=function(){if(mxUtils[_0x7bc7[1331]](this[_0x7bc7[131]])){this[_0x7bc7[252]][_0x7bc7[339]]=_0x7bc7[110],this[_0x7bc7[252]][_0x7bc7[62]](this[_0x7bc7[131]])}else {var _0xfb0bx2=this[_0x7bc7[131]];this[_0x7bc7[507]]!=mxConstants[_0x7bc7[1656]]&&(_0xfb0bx2=mxUtils[_0x7bc7[321]](_0xfb0bx2,!1));var _0xfb0bx2=this[_0x7bc7[1650]]?_0xfb0bx2[_0x7bc7[230]](/\n/g,_0x7bc7[1453]):_0xfb0bx2,_0xfb0bx3=null!=this[_0x7bc7[1537]]&&this[_0x7bc7[1537]]!=mxConstants[_0x7bc7[217]]?this[_0x7bc7[1537]]:null,_0xfb0bx4=null!=this[_0x7bc7[467]]&&this[_0x7bc7[467]]!=mxConstants[_0x7bc7[217]]?this[_0x7bc7[467]]:null;if(null!=_0xfb0bx3||null!=_0xfb0bx4){if(_0x7bc7[1334]==this[_0x7bc7[277]]){null!=_0xfb0bx3&&(this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[1028]]=_0xfb0bx3),null!=_0xfb0bx4&&(this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[467]]=_0x7bc7[1669]+_0xfb0bx4)}else {var _0xfb0bx5=_0x7bc7[110];null!=_0xfb0bx3&&(_0xfb0bx5+=_0x7bc7[1418]+_0xfb0bx3+_0x7bc7[471]);null!=_0xfb0bx4&&(_0xfb0bx5+=_0x7bc7[1419]+_0xfb0bx4+_0x7bc7[471]);_0xfb0bx2=_0x7bc7[1670]+_0xfb0bx5+_0x7bc7[1671]+this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[489]]+_0x7bc7[1423]+_0xfb0bx2+_0x7bc7[1424];this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[489]]=_0x7bc7[110]}};this[_0x7bc7[252]][_0x7bc7[339]]=_0xfb0bx2}};mxText[_0x7bc7[202]][_0x7bc7[1441]]=function(_0xfb0bx2){_0xfb0bx2=_0xfb0bx2[_0x7bc7[124]];_0xfb0bx2[_0x7bc7[489]]=Math[_0x7bc7[488]](this[_0x7bc7[803]]*mxConstants[_0x7bc7[490]])+_0x7bc7[168];_0xfb0bx2[_0x7bc7[487]]=Math[_0x7bc7[488]](this[_0x7bc7[803]])+_0x7bc7[168];_0xfb0bx2[_0x7bc7[486]]=this[_0x7bc7[1288]];_0xfb0bx2[_0x7bc7[123]]=_0x7bc7[125];_0xfb0bx2[_0x7bc7[352]]=this[_0x7bc7[352]];_0xfb0bx2[_0x7bc7[1452]]=(this[_0x7bc7[666]]&mxConstants[_0x7bc7[1410]])==mxConstants[_0x7bc7[1410]]?_0x7bc7[1447]:_0x7bc7[110];_0xfb0bx2[_0x7bc7[666]]=(this[_0x7bc7[666]]&mxConstants[_0x7bc7[1412]])==mxConstants[_0x7bc7[1412]]?_0x7bc7[1449]:_0x7bc7[110];_0xfb0bx2[_0x7bc7[1498]]=(this[_0x7bc7[666]]&mxConstants[_0x7bc7[1414]])==mxConstants[_0x7bc7[1414]]?_0x7bc7[355]:_0x7bc7[110];_0xfb0bx2[_0x7bc7[1165]]=this[_0x7bc7[611]]==mxConstants[_0x7bc7[479]]?_0x7bc7[698]:this[_0x7bc7[611]]==mxConstants[_0x7bc7[480]]?_0x7bc7[699]:_0x7bc7[361]};mxText[_0x7bc7[202]][_0x7bc7[1654]]=function(_0xfb0bx2){var _0xfb0bx3=Math[_0x7bc7[488]](this[_0x7bc7[1562]][_0x7bc7[117]]/this[_0x7bc7[255]]),_0xfb0bx4=Math[_0x7bc7[488]](this[_0x7bc7[1562]][_0x7bc7[119]]/this[_0x7bc7[255]]);_0xfb0bx2=_0xfb0bx2[_0x7bc7[124]];this[_0x7bc7[1645]]?(_0xfb0bx2[_0x7bc7[277]]=_0x7bc7[188],0<_0xfb0bx4&&(_0xfb0bx2[_0x7bc7[1503]]=_0xfb0bx4+_0x7bc7[168]),0<_0xfb0bx3&&(_0xfb0bx2[_0x7bc7[117]]=_0xfb0bx3+_0x7bc7[168])):_0x7bc7[1334]==this[_0x7bc7[277]]&&(_0xfb0bx2[_0x7bc7[117]]=_0xfb0bx3+_0x7bc7[168],0<_0xfb0bx4&&(_0xfb0bx2[_0x7bc7[1503]]=_0xfb0bx4+_0x7bc7[168]));this[_0x7bc7[1333]]?(!this[_0x7bc7[1645]]&&0<_0xfb0bx3&&(_0xfb0bx2[_0x7bc7[117]]=_0xfb0bx3+_0x7bc7[168]),_0xfb0bx2[_0x7bc7[493]]=_0x7bc7[1502]):_0xfb0bx2[_0x7bc7[493]]=_0x7bc7[494]};mxText[_0x7bc7[202]][_0x7bc7[1672]]=function(){this[_0x7bc7[1655]]=mxUtils[_0x7bc7[1501]](this[_0x7bc7[611]],this[_0x7bc7[552]])};mxText[_0x7bc7[202]][_0x7bc7[1673]]=function(){var _0xfb0bx2=0,_0xfb0bx3=0,_0xfb0bx2=this[_0x7bc7[611]]==mxConstants[_0x7bc7[479]]?(this[_0x7bc7[659]]-this[_0x7bc7[661]])/2:this[_0x7bc7[611]]==mxConstants[_0x7bc7[480]]?-this[_0x7bc7[661]]-this[_0x7bc7[1649]]:this[_0x7bc7[659]]+this[_0x7bc7[1648]],_0xfb0bx3=this[_0x7bc7[552]]==mxConstants[_0x7bc7[481]]?(this[_0x7bc7[658]]-this[_0x7bc7[660]])/2:this[_0x7bc7[552]]==mxConstants[_0x7bc7[482]]?-this[_0x7bc7[660]]-this[_0x7bc7[1647]]:this[_0x7bc7[658]]+this[_0x7bc7[1646]];return new mxPoint(_0xfb0bx2,_0xfb0bx3)};function mxTriangle(){mxActor[_0x7bc7[239]](this)}mxUtils[_0x7bc7[1284]](mxTriangle,mxActor);mxTriangle[_0x7bc7[202]][_0x7bc7[1625]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0xfb0bx2[_0x7bc7[1276]](0,0);_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx5,0.5*_0xfb0bx9);_0xfb0bx2[_0x7bc7[1277]](0,_0xfb0bx9);_0xfb0bx2[_0x7bc7[268]]()};function mxHexagon(){mxActor[_0x7bc7[239]](this)}mxUtils[_0x7bc7[1284]](mxHexagon,mxActor);mxHexagon[_0x7bc7[202]][_0x7bc7[1625]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0xfb0bx2[_0x7bc7[1276]](0.25*_0xfb0bx5,0);_0xfb0bx2[_0x7bc7[1277]](0.75*_0xfb0bx5,0);_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx5,0.5*_0xfb0bx9);_0xfb0bx2[_0x7bc7[1277]](0.75*_0xfb0bx5,_0xfb0bx9);_0xfb0bx2[_0x7bc7[1277]](0.25*_0xfb0bx5,_0xfb0bx9);_0xfb0bx2[_0x7bc7[1277]](0,0.5*_0xfb0bx9);_0xfb0bx2[_0x7bc7[268]]()};function mxLine(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){mxShape[_0x7bc7[239]](this);this[_0x7bc7[1562]]=_0xfb0bx2;this[_0x7bc7[1336]]=_0xfb0bx3;this[_0x7bc7[1307]]=null!=_0xfb0bx4?_0xfb0bx4:1}mxUtils[_0x7bc7[1284]](mxLine,mxShape);mxLine[_0x7bc7[202]][_0x7bc7[1595]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0xfb0bx4+=_0xfb0bx9/2;_0xfb0bx2[_0x7bc7[1275]]();_0xfb0bx2[_0x7bc7[1276]](_0xfb0bx3,_0xfb0bx4);_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx3+_0xfb0bx5,_0xfb0bx4);_0xfb0bx2[_0x7bc7[1336]]()};function mxImageShape(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){mxShape[_0x7bc7[239]](this);this[_0x7bc7[1562]]=_0xfb0bx2;this[_0x7bc7[618]]=_0xfb0bx3;this[_0x7bc7[1334]]=_0xfb0bx4;this[_0x7bc7[1336]]=_0xfb0bx5;this[_0x7bc7[1307]]=null!=_0xfb0bx9?_0xfb0bx9:1;this[_0x7bc7[639]]= !1}mxUtils[_0x7bc7[1284]](mxImageShape,mxRectangleShape);mxImageShape[_0x7bc7[202]][_0x7bc7[1674]]= !0;mxImageShape[_0x7bc7[202]][_0x7bc7[1568]]=function(){return !mxClient[_0x7bc7[80]]?0.5:0};mxImageShape[_0x7bc7[202]][_0x7bc7[183]]=function(_0xfb0bx2){mxShape[_0x7bc7[202]][_0x7bc7[183]][_0x7bc7[183]](this,arguments);this[_0x7bc7[1299]]=this[_0x7bc7[1336]]=this[_0x7bc7[1334]]=null;null!=this[_0x7bc7[124]]&&(this[_0x7bc7[1334]]=mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_IMAGE_BACKGROUND),this[_0x7bc7[1336]]=mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_IMAGE_BORDER),this[_0x7bc7[1674]]=1==mxUtils[_0x7bc7[1550]](this[_0x7bc7[124]],mxConstants.STYLE_IMAGE_ASPECT,1),this[_0x7bc7[623]]=this[_0x7bc7[623]]||1==mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],_0x7bc7[1675],0),this[_0x7bc7[624]]=this[_0x7bc7[624]]||1==mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],_0x7bc7[1676],0))};mxImageShape[_0x7bc7[202]][_0x7bc7[1567]]=function(){return !this[_0x7bc7[1674]]};mxImageShape[_0x7bc7[202]][_0x7bc7[1570]]=function(){var _0xfb0bx2=document[_0x7bc7[55]](_0x7bc7[485]);_0xfb0bx2[_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[492];return _0xfb0bx2};mxImageShape[_0x7bc7[202]][_0x7bc7[1595]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){if(null!=this[_0x7bc7[618]]){var _0xfb0bxa=mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_IMAGE_BACKGROUND,null),_0xfb0bx12=mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_IMAGE_BORDER,null);if(null!=_0xfb0bxa||null!=_0xfb0bx12){_0xfb0bx2[_0x7bc7[1245]](_0xfb0bxa),_0xfb0bx2[_0x7bc7[1249]](_0xfb0bx12),_0xfb0bx2[_0x7bc7[1319]](_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9),_0xfb0bx2[_0x7bc7[1337]]()};_0xfb0bx2[_0x7bc7[618]](_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,this[_0x7bc7[618]],this[_0x7bc7[1674]],!1,!1)}else {mxRectangleShape[_0x7bc7[202]][_0x7bc7[1601]][_0x7bc7[183]](this,arguments)}};mxImageShape[_0x7bc7[202]][_0x7bc7[1575]]=function(){this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[361]]=Math[_0x7bc7[488]](this[_0x7bc7[1562]][_0x7bc7[235]])+_0x7bc7[168];this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[125]]=Math[_0x7bc7[488]](this[_0x7bc7[1562]][_0x7bc7[236]])+_0x7bc7[168];this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[117]]=Math[_0x7bc7[160]](0,Math[_0x7bc7[488]](this[_0x7bc7[1562]][_0x7bc7[117]]))+_0x7bc7[168];this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[119]]=Math[_0x7bc7[160]](0,Math[_0x7bc7[488]](this[_0x7bc7[1562]][_0x7bc7[119]]))+_0x7bc7[168];this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[1028]]=this[_0x7bc7[1334]]||_0x7bc7[110];this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[1630]]=this[_0x7bc7[1336]]||_0x7bc7[110];this[_0x7bc7[252]][_0x7bc7[339]]=_0x7bc7[110];if(null!=this[_0x7bc7[618]]){var _0xfb0bx2=document[_0x7bc7[55]](mxClient[_0x7bc7[49]]||null==mxClient[_0x7bc7[73]]&&0!=this[_0x7bc7[603]]?mxClient[_0x7bc7[463]]+_0x7bc7[464]:_0x7bc7[466]);_0xfb0bx2[_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[492];_0xfb0bx2[_0x7bc7[390]]=this[_0x7bc7[618]];var _0xfb0bx3=100>this[_0x7bc7[461]]?_0x7bc7[459]+this[_0x7bc7[461]]+_0x7bc7[460]:_0x7bc7[110];this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[347]]=_0xfb0bx3;this[_0x7bc7[623]]&&this[_0x7bc7[624]]?_0xfb0bx3+=_0x7bc7[1677]:this[_0x7bc7[623]]?_0xfb0bx3+=_0x7bc7[1678]:this[_0x7bc7[624]]&&(_0xfb0bx3+=_0x7bc7[1679]);_0xfb0bx2[_0x7bc7[124]][_0x7bc7[347]]!=_0xfb0bx3&&(_0xfb0bx2[_0x7bc7[124]][_0x7bc7[347]]=_0xfb0bx3);_0x7bc7[618]==_0xfb0bx2[_0x7bc7[301]]?_0xfb0bx2[_0x7bc7[124]][_0x7bc7[603]]=this[_0x7bc7[603]]:_0xfb0bx2[_0x7bc7[124]][mxClient[_0x7bc7[73]]+_0x7bc7[1662]]=_0x7bc7[1394]+this[_0x7bc7[603]]+_0x7bc7[1666];_0xfb0bx2[_0x7bc7[124]][_0x7bc7[117]]=this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[117]];_0xfb0bx2[_0x7bc7[124]][_0x7bc7[119]]=this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[119]];this[_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[1617]]=_0x7bc7[110];this[_0x7bc7[252]][_0x7bc7[62]](_0xfb0bx2)}else {this[_0x7bc7[1600]](this[_0x7bc7[252]])}};function mxLabel(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){mxRectangleShape[_0x7bc7[239]](this,_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5)}mxUtils[_0x7bc7[1284]](mxLabel,mxRectangleShape);mxLabel[_0x7bc7[202]][_0x7bc7[1680]]=mxConstants[_0x7bc7[1681]];mxLabel[_0x7bc7[202]][_0x7bc7[657]]=2;mxLabel[_0x7bc7[202]][_0x7bc7[1682]]=10;mxLabel[_0x7bc7[202]][_0x7bc7[635]]=2;mxLabel[_0x7bc7[202]][_0x7bc7[176]]=function(_0xfb0bx2){mxShape[_0x7bc7[202]][_0x7bc7[176]][_0x7bc7[183]](this,arguments);null!=this[_0x7bc7[632]]&&null!=this[_0x7bc7[630]]&&(this[_0x7bc7[1683]]= new this[_0x7bc7[630]],this[_0x7bc7[1683]][_0x7bc7[507]]=this[_0x7bc7[507]],this[_0x7bc7[1683]][_0x7bc7[1562]]=this[_0x7bc7[1562]],this[_0x7bc7[1683]][_0x7bc7[1334]]=this[_0x7bc7[632]],this[_0x7bc7[1683]][_0x7bc7[1336]]=this[_0x7bc7[632]],this[_0x7bc7[1683]][_0x7bc7[1299]]=this[_0x7bc7[634]],this[_0x7bc7[1683]][_0x7bc7[663]]=this[_0x7bc7[638]],this[_0x7bc7[1683]][_0x7bc7[176]](this[_0x7bc7[252]]))};mxLabel[_0x7bc7[202]][_0x7bc7[258]]=function(){null!=this[_0x7bc7[1683]]&&(this[_0x7bc7[1683]][_0x7bc7[1334]]=this[_0x7bc7[632]],this[_0x7bc7[1683]][_0x7bc7[1336]]=this[_0x7bc7[632]],this[_0x7bc7[1683]][_0x7bc7[1299]]=this[_0x7bc7[634]],this[_0x7bc7[1683]][_0x7bc7[663]]=this[_0x7bc7[638]]);mxShape[_0x7bc7[202]][_0x7bc7[258]][_0x7bc7[183]](this,arguments)};mxLabel[_0x7bc7[202]][_0x7bc7[1567]]=function(){return mxRectangleShape[_0x7bc7[202]][_0x7bc7[1567]][_0x7bc7[183]](this,arguments)&&null==this[_0x7bc7[632]]&&null==this[_0x7bc7[630]]};mxLabel[_0x7bc7[202]][_0x7bc7[1602]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){this[_0x7bc7[1684]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9);this[_0x7bc7[1685]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9);mxRectangleShape[_0x7bc7[202]][_0x7bc7[1602]][_0x7bc7[183]](this,arguments)};mxLabel[_0x7bc7[202]][_0x7bc7[1684]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){null!=this[_0x7bc7[618]]&&(_0xfb0bx3=this[_0x7bc7[1686]](_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9),_0xfb0bx2[_0x7bc7[618]](_0xfb0bx3[_0x7bc7[235]],_0xfb0bx3[_0x7bc7[236]],_0xfb0bx3[_0x7bc7[117]],_0xfb0bx3[_0x7bc7[119]],this[_0x7bc7[618]],!1,!1,!1))};mxLabel[_0x7bc7[202]][_0x7bc7[1686]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){var _0xfb0bx9=mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_IMAGE_ALIGN,mxConstants.ALIGN_LEFT),_0xfb0bxa=mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_IMAGE_VERTICAL_ALIGN,mxConstants.ALIGN_MIDDLE),_0xfb0bx12=mxUtils[_0x7bc7[1550]](this[_0x7bc7[124]],mxConstants.STYLE_IMAGE_WIDTH,mxConstants.DEFAULT_IMAGESIZE),_0xfb0bx13=mxUtils[_0x7bc7[1550]](this[_0x7bc7[124]],mxConstants.STYLE_IMAGE_HEIGHT,mxConstants.DEFAULT_IMAGESIZE),_0xfb0bx14=mxUtils[_0x7bc7[1550]](this[_0x7bc7[124]],mxConstants.STYLE_SPACING,this[_0x7bc7[657]])+5;_0xfb0bx2=_0xfb0bx9==mxConstants[_0x7bc7[479]]?_0xfb0bx2+(_0xfb0bx4-_0xfb0bx12)/2:_0xfb0bx9==mxConstants[_0x7bc7[480]]?_0xfb0bx2+(_0xfb0bx4-_0xfb0bx12-_0xfb0bx14):_0xfb0bx2+_0xfb0bx14;_0xfb0bx3=_0xfb0bxa==mxConstants[_0x7bc7[1687]]?_0xfb0bx3+_0xfb0bx14:_0xfb0bxa==mxConstants[_0x7bc7[482]]?_0xfb0bx3+(_0xfb0bx5-_0xfb0bx13-_0xfb0bx14):_0xfb0bx3+(_0xfb0bx5-_0xfb0bx13)/2;return new mxRectangle(_0xfb0bx2,_0xfb0bx3,_0xfb0bx12,_0xfb0bx13)};mxLabel[_0x7bc7[202]][_0x7bc7[1685]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){null!=this[_0x7bc7[1683]]?(this[_0x7bc7[1683]][_0x7bc7[1562]]=this[_0x7bc7[1688]](_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9),this[_0x7bc7[1683]][_0x7bc7[1209]](_0xfb0bx2)):null!=this[_0x7bc7[631]]&&(_0xfb0bx3=this[_0x7bc7[1688]](_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9),_0xfb0bx2[_0x7bc7[618]](_0xfb0bx3[_0x7bc7[235]],_0xfb0bx3[_0x7bc7[236]],_0xfb0bx3[_0x7bc7[117]],_0xfb0bx3[_0x7bc7[119]],this[_0x7bc7[631]],!1,!1,!1))};mxLabel[_0x7bc7[202]][_0x7bc7[1688]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){var _0xfb0bx9=mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_IMAGE_ALIGN,mxConstants.ALIGN_LEFT),_0xfb0bxa=mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_IMAGE_VERTICAL_ALIGN,mxConstants.ALIGN_MIDDLE),_0xfb0bx12=mxUtils[_0x7bc7[1550]](this[_0x7bc7[124]],mxConstants.STYLE_INDICATOR_WIDTH,this[_0x7bc7[1682]]),_0xfb0bx13=mxUtils[_0x7bc7[1550]](this[_0x7bc7[124]],mxConstants.STYLE_INDICATOR_HEIGHT,this[_0x7bc7[1682]]),_0xfb0bx14=this[_0x7bc7[657]]+5;_0xfb0bx2=_0xfb0bx9==mxConstants[_0x7bc7[480]]?_0xfb0bx2+(_0xfb0bx4-_0xfb0bx12-_0xfb0bx14):_0xfb0bx9==mxConstants[_0x7bc7[479]]?_0xfb0bx2+(_0xfb0bx4-_0xfb0bx12)/2:_0xfb0bx2+_0xfb0bx14;_0xfb0bx3=_0xfb0bxa==mxConstants[_0x7bc7[482]]?_0xfb0bx3+(_0xfb0bx5-_0xfb0bx13-_0xfb0bx14):_0xfb0bxa==mxConstants[_0x7bc7[1687]]?_0xfb0bx3+_0xfb0bx14:_0xfb0bx3+(_0xfb0bx5-_0xfb0bx13)/2;return new mxRectangle(_0xfb0bx2,_0xfb0bx3,_0xfb0bx12,_0xfb0bx13)};mxLabel[_0x7bc7[202]][_0x7bc7[1575]]=function(){for(mxRectangleShape[_0x7bc7[202]][_0x7bc7[1575]][_0x7bc7[183]](this,arguments);this[_0x7bc7[252]][_0x7bc7[1580]]();){this[_0x7bc7[252]][_0x7bc7[266]](this[_0x7bc7[252]][_0x7bc7[1581]])};if(null!=this[_0x7bc7[618]]){var _0xfb0bx2=document[_0x7bc7[55]](_0x7bc7[466]);_0xfb0bx2[_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[1500];_0xfb0bx2[_0x7bc7[57]](_0x7bc7[467],_0x7bc7[468]);var _0xfb0bx3=this[_0x7bc7[1686]](this[_0x7bc7[1562]][_0x7bc7[235]],this[_0x7bc7[1562]][_0x7bc7[236]],this[_0x7bc7[1562]][_0x7bc7[117]],this[_0x7bc7[1562]][_0x7bc7[119]]);_0xfb0bx3[_0x7bc7[235]]-=this[_0x7bc7[1562]][_0x7bc7[235]];_0xfb0bx3[_0x7bc7[236]]-=this[_0x7bc7[1562]][_0x7bc7[236]];_0xfb0bx2[_0x7bc7[124]][_0x7bc7[361]]=Math[_0x7bc7[488]](_0xfb0bx3[_0x7bc7[235]])+_0x7bc7[168];_0xfb0bx2[_0x7bc7[124]][_0x7bc7[125]]=Math[_0x7bc7[488]](_0xfb0bx3[_0x7bc7[236]])+_0x7bc7[168];_0xfb0bx2[_0x7bc7[124]][_0x7bc7[117]]=Math[_0x7bc7[488]](_0xfb0bx3[_0x7bc7[117]])+_0x7bc7[168];_0xfb0bx2[_0x7bc7[124]][_0x7bc7[119]]=Math[_0x7bc7[488]](_0xfb0bx3[_0x7bc7[119]])+_0x7bc7[168];_0xfb0bx2[_0x7bc7[390]]=this[_0x7bc7[618]];this[_0x7bc7[252]][_0x7bc7[62]](_0xfb0bx2)}};function mxCylinder(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){mxShape[_0x7bc7[239]](this);this[_0x7bc7[1562]]=_0xfb0bx2;this[_0x7bc7[1334]]=_0xfb0bx3;this[_0x7bc7[1336]]=_0xfb0bx4;this[_0x7bc7[1307]]=null!=_0xfb0bx5?_0xfb0bx5:1}mxUtils[_0x7bc7[1284]](mxCylinder,mxShape);mxCylinder[_0x7bc7[202]][_0x7bc7[1503]]=40;mxCylinder[_0x7bc7[202]][_0x7bc7[1564]]=0;mxCylinder[_0x7bc7[202]][_0x7bc7[1595]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0xfb0bx2[_0x7bc7[513]](_0xfb0bx3,_0xfb0bx4);_0xfb0bx2[_0x7bc7[1275]]();this[_0x7bc7[1625]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,!1);_0xfb0bx2[_0x7bc7[1337]]();_0xfb0bx2[_0x7bc7[1267]](!1);_0xfb0bx2[_0x7bc7[1275]]();this[_0x7bc7[1625]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,!0);_0xfb0bx2[_0x7bc7[1336]]()};mxCylinder[_0x7bc7[202]][_0x7bc7[1625]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){_0xfb0bx3=Math[_0x7bc7[243]](this[_0x7bc7[1503]],Math[_0x7bc7[488]](_0xfb0bx9/5));if(_0xfb0bxa&&null!=this[_0x7bc7[1334]]||!_0xfb0bxa&&null==this[_0x7bc7[1334]]){_0xfb0bx2[_0x7bc7[1276]](0,_0xfb0bx3),_0xfb0bx2[_0x7bc7[1279]](0,2*_0xfb0bx3,_0xfb0bx5,2*_0xfb0bx3,_0xfb0bx5,_0xfb0bx3),_0xfb0bxa||(_0xfb0bx2[_0x7bc7[1336]](),_0xfb0bx2[_0x7bc7[1275]]())};_0xfb0bxa||(_0xfb0bx2[_0x7bc7[1276]](0,_0xfb0bx3),_0xfb0bx2[_0x7bc7[1279]](0,-_0xfb0bx3/3,_0xfb0bx5,-_0xfb0bx3/3,_0xfb0bx5,_0xfb0bx3),_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx5,_0xfb0bx9-_0xfb0bx3),_0xfb0bx2[_0x7bc7[1279]](_0xfb0bx5,_0xfb0bx9+_0xfb0bx3/3,0,_0xfb0bx9+_0xfb0bx3/3,0,_0xfb0bx9-_0xfb0bx3),_0xfb0bx2[_0x7bc7[268]]())};function mxConnector(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){mxPolyline[_0x7bc7[239]](this,_0xfb0bx2,_0xfb0bx3,_0xfb0bx4)}mxUtils[_0x7bc7[1284]](mxConnector,mxPolyline);mxConnector[_0x7bc7[202]][_0x7bc7[1594]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=this[_0x7bc7[1689]](_0xfb0bx2,_0xfb0bx3,!0),_0xfb0bx5=this[_0x7bc7[1689]](_0xfb0bx2,_0xfb0bx3,!1);null==this[_0x7bc7[124]]||1!=this[_0x7bc7[124]][mxConstants[_0x7bc7[1690]]]?this[_0x7bc7[1639]](_0xfb0bx2,_0xfb0bx3,this[_0x7bc7[1606]]):this[_0x7bc7[1691]](_0xfb0bx2,_0xfb0bx3);_0xfb0bx2[_0x7bc7[1245]](this[_0x7bc7[1336]]);_0xfb0bx2[_0x7bc7[1267]](!1);_0xfb0bx2[_0x7bc7[1251]](!1);null!=_0xfb0bx4&&_0xfb0bx4();null!=_0xfb0bx5&&_0xfb0bx5()};mxConnector[_0x7bc7[202]][_0x7bc7[1691]]=function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx2[_0x7bc7[1275]]();var _0xfb0bx4=_0xfb0bx3[0],_0xfb0bx5=_0xfb0bx3[_0x7bc7[67]];_0xfb0bx2[_0x7bc7[1276]](_0xfb0bx4[_0x7bc7[235]],_0xfb0bx4[_0x7bc7[236]]);for(_0xfb0bx4=1;_0xfb0bx4<_0xfb0bx5-2;_0xfb0bx4++){var _0xfb0bx9=_0xfb0bx3[_0xfb0bx4],_0xfb0bxa=_0xfb0bx3[_0xfb0bx4+1];_0xfb0bx2[_0x7bc7[1278]](_0xfb0bx9[_0x7bc7[235]],_0xfb0bx9[_0x7bc7[236]],(_0xfb0bx9[_0x7bc7[235]]+_0xfb0bxa[_0x7bc7[235]])/2,(_0xfb0bx9[_0x7bc7[236]]+_0xfb0bxa[_0x7bc7[236]])/2)};_0xfb0bx9=_0xfb0bx3[_0xfb0bx5-2];_0xfb0bxa=_0xfb0bx3[_0xfb0bx5-1];_0xfb0bx2[_0x7bc7[1278]](_0xfb0bx9[_0x7bc7[235]],_0xfb0bx9[_0x7bc7[236]],_0xfb0bxa[_0x7bc7[235]],_0xfb0bxa[_0x7bc7[236]]);_0xfb0bx2[_0x7bc7[1336]]()};mxConnector[_0x7bc7[202]][_0x7bc7[1689]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=_0xfb0bx3[_0x7bc7[67]],_0xfb0bx9=_0xfb0bx4?_0xfb0bx3[1]:_0xfb0bx3[_0xfb0bx5-2];_0xfb0bx3=_0xfb0bx4?_0xfb0bx3[0]:_0xfb0bx3[_0xfb0bx5-1];var _0xfb0bx5=_0xfb0bx3[_0x7bc7[235]]-_0xfb0bx9[_0x7bc7[235]],_0xfb0bxa=_0xfb0bx3[_0x7bc7[236]]-_0xfb0bx9[_0x7bc7[236]],_0xfb0bx12=Math[_0x7bc7[160]](1,Math[_0x7bc7[428]](_0xfb0bx5*_0xfb0bx5+_0xfb0bxa*_0xfb0bxa)),_0xfb0bx9=_0xfb0bx5/_0xfb0bx12,_0xfb0bx5=_0xfb0bxa/_0xfb0bx12,_0xfb0bxa=mxUtils[_0x7bc7[1550]](this[_0x7bc7[124]],_0xfb0bx4?mxConstants[_0x7bc7[1692]]:mxConstants[_0x7bc7[1693]],mxConstants.DEFAULT_MARKERSIZE),_0xfb0bx12=mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],_0xfb0bx4?mxConstants[_0x7bc7[1694]]:mxConstants[_0x7bc7[1695]]);return mxMarker[_0x7bc7[1689]](_0xfb0bx2,this,_0xfb0bx12,_0xfb0bx3,_0xfb0bx9,_0xfb0bx5,_0xfb0bxa,_0xfb0bx4,this[_0x7bc7[1307]],0!=this[_0x7bc7[124]][_0xfb0bx4?mxConstants[_0x7bc7[1696]]:mxConstants[_0x7bc7[1697]]])};mxConnector[_0x7bc7[202]][_0x7bc7[1612]]=function(_0xfb0bx2){mxShape[_0x7bc7[202]][_0x7bc7[1612]][_0x7bc7[183]](this,arguments);var _0xfb0bx3=0;mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_STARTARROW,mxConstants.NONE)!=mxConstants[_0x7bc7[217]]&&(_0xfb0bx3=mxUtils[_0x7bc7[1550]](this[_0x7bc7[124]],mxConstants.STYLE_STARTSIZE,mxConstants.DEFAULT_MARKERSIZE)+1);mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_ENDARROW,mxConstants.NONE)!=mxConstants[_0x7bc7[217]]&&(_0xfb0bx3=Math[_0x7bc7[160]](_0xfb0bx3,mxUtils[_0x7bc7[1550]](this[_0x7bc7[124]],mxConstants.STYLE_ENDSIZE,mxConstants.DEFAULT_MARKERSIZE))+1);_0xfb0bx2[_0x7bc7[244]](Math[_0x7bc7[430]](_0xfb0bx3*this[_0x7bc7[255]]))};function mxSwimlane(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){mxShape[_0x7bc7[239]](this);this[_0x7bc7[1562]]=_0xfb0bx2;this[_0x7bc7[1334]]=_0xfb0bx3;this[_0x7bc7[1336]]=_0xfb0bx4;this[_0x7bc7[1307]]=null!=_0xfb0bx5?_0xfb0bx5:1}mxUtils[_0x7bc7[1284]](mxSwimlane,mxShape);mxSwimlane[_0x7bc7[202]][_0x7bc7[1680]]=16;mxSwimlane[_0x7bc7[202]][_0x7bc7[1597]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0xfb0bx2=Math[_0x7bc7[243]](_0xfb0bx9,mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_STARTSIZE,mxConstants.DEFAULT_STARTSIZE));return new mxRectangle(_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx2)};mxSwimlane[_0x7bc7[202]][_0x7bc7[1614]]=function(){var _0xfb0bx2=mxShape[_0x7bc7[202]][_0x7bc7[1614]][_0x7bc7[183]](this,arguments);1!=mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_HORIZONTAL,1)&&(_0xfb0bx2+=mxText[_0x7bc7[202]][_0x7bc7[1616]]);return _0xfb0bx2};mxSwimlane[_0x7bc7[202]][_0x7bc7[1615]]=function(){return this[_0x7bc7[1614]]()};mxSwimlane[_0x7bc7[202]][_0x7bc7[1590]]=function(){return mxShape[_0x7bc7[202]][_0x7bc7[1590]][_0x7bc7[183]](this,arguments)||1!=mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_HORIZONTAL,1)};mxSwimlane[_0x7bc7[202]][_0x7bc7[1603]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx2=mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_ARCSIZE,100*mxConstants[_0x7bc7[1557]])/100;return 3*_0xfb0bx4*_0xfb0bx2};mxSwimlane[_0x7bc7[202]][_0x7bc7[1595]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){var _0xfb0bxa=Math[_0x7bc7[243]](_0xfb0bx9,mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_STARTSIZE,mxConstants.DEFAULT_STARTSIZE)),_0xfb0bx12=mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_SWIMLANE_FILLCOLOR,mxConstants.NONE),_0xfb0bx13=1==mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_SWIMLANE_LINE,1),_0xfb0bx14=0;_0xfb0bx2[_0x7bc7[513]](_0xfb0bx3,_0xfb0bx4);this[_0x7bc7[1606]]?(_0xfb0bx14=this[_0x7bc7[1603]](_0xfb0bx5,_0xfb0bx9,_0xfb0bxa),this[_0x7bc7[1698]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa,_0xfb0bx14,_0xfb0bx12,_0xfb0bx13)):this[_0x7bc7[1699]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12,_0xfb0bx13);_0xfb0bx12=mxUtils[_0x7bc7[433]](this[_0x7bc7[124]],mxConstants.STYLE_SEPARATORCOLOR,mxConstants.NONE);this[_0x7bc7[1700]](_0xfb0bx2,_0xfb0bx5,_0xfb0bxa,_0xfb0bx9,_0xfb0bx12);null!=this[_0x7bc7[618]]&&(_0xfb0bx9=this[_0x7bc7[1686]](_0xfb0bx3,_0xfb0bxa,_0xfb0bx5,_0xfb0bx9),_0xfb0bx2[_0x7bc7[618]](_0xfb0bx9[_0x7bc7[235]]-_0xfb0bx3,_0xfb0bx9[_0x7bc7[236]]-_0xfb0bx4,_0xfb0bx9[_0x7bc7[117]],_0xfb0bx9[_0x7bc7[119]],this[_0x7bc7[618]],!1,!1,!1));this[_0x7bc7[617]]&&(_0xfb0bx2[_0x7bc7[1267]](!1),this[_0x7bc7[1604]](_0xfb0bx2,0,0,_0xfb0bx5,_0xfb0bxa,_0xfb0bx14))};mxSwimlane[_0x7bc7[202]][_0x7bc7[1699]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12,_0xfb0bx13){_0xfb0bx12!=mxConstants[_0x7bc7[217]]&&(_0xfb0bx2[_0x7bc7[815]](),_0xfb0bx2[_0x7bc7[1245]](_0xfb0bx12),_0xfb0bx2[_0x7bc7[1319]](0,0,_0xfb0bx5,_0xfb0bx9),_0xfb0bx2[_0x7bc7[1337]](),_0xfb0bx2[_0x7bc7[1210]](),_0xfb0bx2[_0x7bc7[1267]](!1));_0xfb0bx2[_0x7bc7[1275]]();_0xfb0bx2[_0x7bc7[1276]](0,_0xfb0bxa);_0xfb0bx2[_0x7bc7[1277]](0,0);_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx5,0);_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx5,_0xfb0bxa);_0xfb0bx13&&_0xfb0bx2[_0x7bc7[268]]();_0xfb0bx2[_0x7bc7[1337]]();_0xfb0bxa<_0xfb0bx9&&_0xfb0bx12==mxConstants[_0x7bc7[217]]&&(_0xfb0bx2[_0x7bc7[1229]]= !1,_0xfb0bx2[_0x7bc7[1275]](),_0xfb0bx2[_0x7bc7[1276]](0,_0xfb0bxa),_0xfb0bx2[_0x7bc7[1277]](0,_0xfb0bx9),_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx5,_0xfb0bx9),_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx5,_0xfb0bxa),_0xfb0bx2[_0x7bc7[1336]]())};mxSwimlane[_0x7bc7[202]][_0x7bc7[1698]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12,_0xfb0bx13,_0xfb0bx14){_0xfb0bx13!=mxConstants[_0x7bc7[217]]&&(_0xfb0bx2[_0x7bc7[815]](),_0xfb0bx2[_0x7bc7[1245]](_0xfb0bx13),_0xfb0bx2[_0x7bc7[1320]](0,0,_0xfb0bx5,_0xfb0bx9,_0xfb0bx12,_0xfb0bx12),_0xfb0bx2[_0x7bc7[1337]](),_0xfb0bx2[_0x7bc7[1210]](),_0xfb0bx2[_0x7bc7[1267]](!1));_0xfb0bx2[_0x7bc7[1275]]();_0xfb0bx2[_0x7bc7[1276]](_0xfb0bx5,_0xfb0bxa);_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx5,_0xfb0bx12);_0xfb0bx2[_0x7bc7[1278]](_0xfb0bx5,0,_0xfb0bx5-Math[_0x7bc7[243]](_0xfb0bx5/2,_0xfb0bx12),0);_0xfb0bx2[_0x7bc7[1277]](Math[_0x7bc7[243]](_0xfb0bx5/2,_0xfb0bx12),0);_0xfb0bx2[_0x7bc7[1278]](0,0,0,_0xfb0bx12);_0xfb0bx2[_0x7bc7[1277]](0,_0xfb0bxa);_0xfb0bx14&&_0xfb0bx2[_0x7bc7[268]]();_0xfb0bx2[_0x7bc7[1337]]();_0xfb0bxa<_0xfb0bx9&&_0xfb0bx13==mxConstants[_0x7bc7[217]]&&(_0xfb0bx2[_0x7bc7[1229]]= !1,_0xfb0bx2[_0x7bc7[1275]](),_0xfb0bx2[_0x7bc7[1276]](0,_0xfb0bxa),_0xfb0bx2[_0x7bc7[1277]](0,_0xfb0bx9-_0xfb0bx12),_0xfb0bx2[_0x7bc7[1278]](0,_0xfb0bx9,Math[_0x7bc7[243]](_0xfb0bx5/2,_0xfb0bx12),_0xfb0bx9),_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx5-Math[_0x7bc7[243]](_0xfb0bx5/2,_0xfb0bx12),_0xfb0bx9),_0xfb0bx2[_0x7bc7[1278]](_0xfb0bx5,_0xfb0bx9,_0xfb0bx5,_0xfb0bx9-_0xfb0bx12),_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx5,_0xfb0bxa),_0xfb0bx2[_0x7bc7[1336]]())};mxSwimlane[_0x7bc7[202]][_0x7bc7[1700]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0xfb0bx9!=mxConstants[_0x7bc7[217]]&&(_0xfb0bx2[_0x7bc7[1249]](_0xfb0bx9),_0xfb0bx2[_0x7bc7[1251]](!0),_0xfb0bx2[_0x7bc7[1275]](),_0xfb0bx2[_0x7bc7[1276]](_0xfb0bx3,_0xfb0bx4),_0xfb0bx2[_0x7bc7[1277]](_0xfb0bx3,_0xfb0bx5),_0xfb0bx2[_0x7bc7[1336]](),_0xfb0bx2[_0x7bc7[1251]](!1))};mxSwimlane[_0x7bc7[202]][_0x7bc7[1686]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){return new mxRectangle(_0xfb0bx2+_0xfb0bx4-this[_0x7bc7[1680]],_0xfb0bx3,this[_0x7bc7[1680]],this[_0x7bc7[1680]])};function mxGraphLayout(_0xfb0bx2){this[_0x7bc7[1179]]=_0xfb0bx2}mxGraphLayout[_0x7bc7[202]][_0x7bc7[1179]]=null;mxGraphLayout[_0x7bc7[202]][_0x7bc7[1701]]= !0;mxGraphLayout[_0x7bc7[202]][_0x7bc7[1101]]=null;mxGraphLayout[_0x7bc7[202]][_0x7bc7[1702]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){};mxGraphLayout[_0x7bc7[202]][_0x7bc7[350]]=function(_0xfb0bx2){};mxGraphLayout[_0x7bc7[202]][_0x7bc7[1703]]=function(){return this[_0x7bc7[1179]]};mxGraphLayout[_0x7bc7[202]][_0x7bc7[1704]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){_0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx3);_0xfb0bx3=null!=_0xfb0bx4?_0xfb0bx4[_0x7bc7[124]]:this[_0x7bc7[1179]][_0x7bc7[1705]](_0xfb0bx3);return null!=_0xfb0bx3?_0xfb0bx3[_0xfb0bx2]:null};mxGraphLayout[_0x7bc7[1706]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){if(null!=_0xfb0bx4&&null!=_0xfb0bx2){_0xfb0bx3=null!=_0xfb0bx3?_0xfb0bx3:!0;_0xfb0bx9=_0xfb0bx9||[];var _0xfb0bxa=mxCellPath[_0x7bc7[385]](_0xfb0bx2);if(null==_0xfb0bx9[_0xfb0bxa]&&(_0xfb0bx9[_0xfb0bxa]=_0xfb0bx2,_0xfb0bx5=_0xfb0bx4(_0xfb0bx2,_0xfb0bx5),null==_0xfb0bx5||_0xfb0bx5)){if(_0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[251]][_0x7bc7[1707]](_0xfb0bx2),0<_0xfb0bx5){for(_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx5;_0xfb0bxa++){var _0xfb0bx12=this[_0x7bc7[1179]][_0x7bc7[251]][_0x7bc7[1708]](_0xfb0bx2,_0xfb0bxa),_0xfb0bx13=this[_0x7bc7[1179]][_0x7bc7[251]][_0x7bc7[1709]](_0xfb0bx12,!0)==_0xfb0bx2;if(!_0xfb0bx3||_0xfb0bx13){_0xfb0bx13=this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[1710]](_0xfb0bx12,!_0xfb0bx13),this[_0x7bc7[1706]](_0xfb0bx13,_0xfb0bx3,_0xfb0bx4,_0xfb0bx12,_0xfb0bx9)}}}}}};mxGraphLayout[_0x7bc7[202]][_0x7bc7[1711]]=function(_0xfb0bx2){return this[_0x7bc7[1179]][_0x7bc7[1712]](_0xfb0bx2)};mxGraphLayout[_0x7bc7[202]][_0x7bc7[1713]]=function(_0xfb0bx2){return !this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[1193]](_0xfb0bx2)||!this[_0x7bc7[1179]][_0x7bc7[1714]](_0xfb0bx2)};mxGraphLayout[_0x7bc7[202]][_0x7bc7[1715]]=function(_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[1179]][_0x7bc7[502]]();return !_0xfb0bx3[_0x7bc7[250]](_0xfb0bx2)||!this[_0x7bc7[1179]][_0x7bc7[1714]](_0xfb0bx2)||null==_0xfb0bx3[_0x7bc7[1709]](_0xfb0bx2,!0)||null==_0xfb0bx3[_0x7bc7[1709]](_0xfb0bx2,!1)};mxGraphLayout[_0x7bc7[202]][_0x7bc7[1716]]=function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[1179]][_0x7bc7[1717]](mxConstants.STYLE_NOEDGESTYLE,_0xfb0bx3?_0x7bc7[468]:_0x7bc7[500],[_0xfb0bx2])};mxGraphLayout[_0x7bc7[202]][_0x7bc7[1718]]=function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[1179]][_0x7bc7[1717]](mxConstants.STYLE_ORTHOGONAL,_0xfb0bx3?_0x7bc7[500]:_0x7bc7[468],[_0xfb0bx2])};mxGraphLayout[_0x7bc7[202]][_0x7bc7[1719]]=function(_0xfb0bx2){var _0xfb0bx3= new mxPoint;if(null!=_0xfb0bx2&&_0xfb0bx2!=this[_0x7bc7[1101]]){var _0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[502]]();if(_0xfb0bx4[_0x7bc7[1720]](this[_0x7bc7[1101]],_0xfb0bx2)){for(var _0xfb0bx5=_0xfb0bx4[_0x7bc7[1721]](_0xfb0bx2);_0xfb0bx2!=this[_0x7bc7[1101]];){_0xfb0bx3[_0x7bc7[235]]+=_0xfb0bx5[_0x7bc7[235]],_0xfb0bx3[_0x7bc7[236]]+=_0xfb0bx5[_0x7bc7[236]],_0xfb0bx2=_0xfb0bx4[_0x7bc7[1197]](_0xfb0bx2),_0xfb0bx5=_0xfb0bx4[_0x7bc7[1721]](_0xfb0bx2)}}};return _0xfb0bx3};mxGraphLayout[_0x7bc7[202]][_0x7bc7[1722]]=function(_0xfb0bx2,_0xfb0bx3){if(null!=_0xfb0bx2){var _0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[251]],_0xfb0bx5=_0xfb0bx4[_0x7bc7[1721]](_0xfb0bx2);null==_0xfb0bx5?(_0xfb0bx5= new mxGeometry,_0xfb0bx5[_0x7bc7[1723]](!0)):_0xfb0bx5=_0xfb0bx5[_0x7bc7[238]]();if(null!=this[_0x7bc7[1101]]&&null!=_0xfb0bx3){for(var _0xfb0bx9=_0xfb0bx4[_0x7bc7[1197]](_0xfb0bx2),_0xfb0bx9=this[_0x7bc7[1719]](_0xfb0bx9),_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx3[_0x7bc7[67]];_0xfb0bxa++){_0xfb0bx3[_0xfb0bxa][_0x7bc7[235]]-=_0xfb0bx9[_0x7bc7[235]],_0xfb0bx3[_0xfb0bxa][_0x7bc7[236]]-=_0xfb0bx9[_0x7bc7[236]]}};_0xfb0bx5[_0x7bc7[1525]]=_0xfb0bx3;_0xfb0bx4[_0x7bc7[1724]](_0xfb0bx2,_0xfb0bx5)}};mxGraphLayout[_0x7bc7[202]][_0x7bc7[1725]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[502]](),_0xfb0bx9=_0xfb0bx5[_0x7bc7[1721]](_0xfb0bx2),_0xfb0bxa=null;if(null!=_0xfb0bx9){_0xfb0bxa= new mxRectangle(_0xfb0bx3,_0xfb0bx4,_0xfb0bx9[_0x7bc7[117]],_0xfb0bx9[_0x7bc7[119]]);if(this[_0x7bc7[1701]]){var _0xfb0bx12=this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[248]](_0xfb0bx2);if(null!=_0xfb0bx12&&null!=_0xfb0bx12[_0x7bc7[963]]&&null!=_0xfb0bx12[_0x7bc7[963]][_0x7bc7[1563]]){var _0xfb0bx13=this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[255]],_0xfb0bx14=_0xfb0bx12[_0x7bc7[963]][_0x7bc7[1563]];_0xfb0bx12[_0x7bc7[963]][_0x7bc7[1563]][_0x7bc7[235]]<_0xfb0bx12[_0x7bc7[235]]&&(_0xfb0bx3+=(_0xfb0bx12[_0x7bc7[235]]-_0xfb0bx14[_0x7bc7[235]])/_0xfb0bx13,_0xfb0bxa[_0x7bc7[117]]=_0xfb0bx14[_0x7bc7[117]]);_0xfb0bx12[_0x7bc7[963]][_0x7bc7[1563]][_0x7bc7[236]]<_0xfb0bx12[_0x7bc7[236]]&&(_0xfb0bx4+=(_0xfb0bx12[_0x7bc7[236]]-_0xfb0bx14[_0x7bc7[236]])/_0xfb0bx13,_0xfb0bxa[_0x7bc7[119]]=_0xfb0bx14[_0x7bc7[119]])}};null!=this[_0x7bc7[1101]]&&(_0xfb0bx12=_0xfb0bx5[_0x7bc7[1197]](_0xfb0bx2),null!=_0xfb0bx12&&_0xfb0bx12!=this[_0x7bc7[1101]]&&(_0xfb0bx12=this[_0x7bc7[1719]](_0xfb0bx12),_0xfb0bx3-=_0xfb0bx12[_0x7bc7[235]],_0xfb0bx4-=_0xfb0bx12[_0x7bc7[236]]));if(_0xfb0bx9[_0x7bc7[235]]!=_0xfb0bx3||_0xfb0bx9[_0x7bc7[236]]!=_0xfb0bx4){_0xfb0bx9=_0xfb0bx9[_0x7bc7[238]](),_0xfb0bx9[_0x7bc7[235]]=_0xfb0bx3,_0xfb0bx9[_0x7bc7[236]]=_0xfb0bx4,_0xfb0bx5[_0x7bc7[1724]](_0xfb0bx2,_0xfb0bx9)}};return _0xfb0bxa};mxGraphLayout[_0x7bc7[202]][_0x7bc7[1726]]=function(_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[1721]](_0xfb0bx2);if(this[_0x7bc7[1701]]){var _0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[248]](_0xfb0bx2);if(null!=_0xfb0bx4&&null!=_0xfb0bx4[_0x7bc7[963]]&&null!=_0xfb0bx4[_0x7bc7[963]][_0x7bc7[1563]]){var _0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[255]],_0xfb0bx9=_0xfb0bx4[_0x7bc7[963]][_0x7bc7[1563]],_0xfb0bxa=Math[_0x7bc7[160]](_0xfb0bx4[_0x7bc7[235]]-_0xfb0bx9[_0x7bc7[235]],0)/_0xfb0bx5,_0xfb0bx12=Math[_0x7bc7[160]](_0xfb0bx4[_0x7bc7[236]]-_0xfb0bx9[_0x7bc7[236]],0)/_0xfb0bx5,_0xfb0bx13=Math[_0x7bc7[160]](_0xfb0bx9[_0x7bc7[235]]+_0xfb0bx9[_0x7bc7[117]]-(_0xfb0bx4[_0x7bc7[235]]+_0xfb0bx4[_0x7bc7[117]]),0)/_0xfb0bx5,_0xfb0bx4=Math[_0x7bc7[160]](_0xfb0bx9[_0x7bc7[236]]+_0xfb0bx9[_0x7bc7[119]]-(_0xfb0bx4[_0x7bc7[236]]+_0xfb0bx4[_0x7bc7[119]]),0)/_0xfb0bx5,_0xfb0bx3= new mxRectangle(_0xfb0bx3[_0x7bc7[235]]-_0xfb0bxa,_0xfb0bx3[_0x7bc7[236]]-_0xfb0bx12,_0xfb0bx3[_0x7bc7[117]]+_0xfb0bxa+_0xfb0bx13,_0xfb0bx3[_0x7bc7[119]]+_0xfb0bx12+_0xfb0bx4)}};null!=this[_0x7bc7[1101]]&&(_0xfb0bx2=this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[1197]](_0xfb0bx2),_0xfb0bx3=_0xfb0bx3[_0x7bc7[238]](),null!=_0xfb0bx2&&_0xfb0bx2!=this[_0x7bc7[1101]]&&(_0xfb0bx2=this[_0x7bc7[1719]](_0xfb0bx2),_0xfb0bx3[_0x7bc7[235]]+=_0xfb0bx2[_0x7bc7[235]],_0xfb0bx3[_0x7bc7[236]]+=_0xfb0bx2[_0x7bc7[236]]));return new mxRectangle(_0xfb0bx3[_0x7bc7[235]],_0xfb0bx3[_0x7bc7[236]],_0xfb0bx3[_0x7bc7[117]],_0xfb0bx3[_0x7bc7[119]])};mxGraphLayout[_0x7bc7[202]][_0x7bc7[1727]]=function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[473]]();try{for(var _0xfb0bx4=_0xfb0bx2[_0x7bc7[67]]-1;0<=_0xfb0bx4;_0xfb0bx4--){var _0xfb0bx5=_0xfb0bx2[_0xfb0bx4],_0xfb0bx9=this[_0x7bc7[1179]][_0x7bc7[1728]](_0xfb0bx5),_0xfb0bxa=this[_0x7bc7[1179]][_0x7bc7[1729]](_0xfb0bx9),_0xfb0bx12=this[_0x7bc7[1179]][_0x7bc7[1198]](_0xfb0bx5),_0xfb0bx13=0,_0xfb0bx14=0;if(this[_0x7bc7[1179]][_0x7bc7[1730]](_0xfb0bx5)){var _0xfb0bx15=this[_0x7bc7[1179]][_0x7bc7[1731]](_0xfb0bx5),_0xfb0bx13=_0xfb0bx15[_0x7bc7[117]],_0xfb0bx14=_0xfb0bx15[_0x7bc7[119]]};null!=_0xfb0bxa&&null!=_0xfb0bx12&&(_0xfb0bx12=_0xfb0bx12[_0x7bc7[238]](),_0xfb0bx12[_0x7bc7[235]]=_0xfb0bx12[_0x7bc7[235]]+_0xfb0bxa[_0x7bc7[235]]-_0xfb0bx3-_0xfb0bx13,_0xfb0bx12[_0x7bc7[236]]=_0xfb0bx12[_0x7bc7[236]]+_0xfb0bxa[_0x7bc7[236]]-_0xfb0bx3-_0xfb0bx14,_0xfb0bx12[_0x7bc7[117]]=_0xfb0bxa[_0x7bc7[117]]+2*_0xfb0bx3+_0xfb0bx13,_0xfb0bx12[_0x7bc7[119]]=_0xfb0bxa[_0x7bc7[119]]+2*_0xfb0bx3+_0xfb0bx14,this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[1724]](_0xfb0bx5,_0xfb0bx12),this[_0x7bc7[1179]][_0x7bc7[834]](_0xfb0bx9,_0xfb0bx3+_0xfb0bx13-_0xfb0bxa[_0x7bc7[235]],_0xfb0bx3+_0xfb0bx14-_0xfb0bxa[_0x7bc7[236]]))}}finally{this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[476]]()}};function mxStackLayout(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){mxGraphLayout[_0x7bc7[239]](this,_0xfb0bx2);this[_0x7bc7[662]]=null!=_0xfb0bx3?_0xfb0bx3:!0;this[_0x7bc7[657]]=null!=_0xfb0bx4?_0xfb0bx4:0;this[_0x7bc7[1732]]=null!=_0xfb0bx5?_0xfb0bx5:0;this[_0x7bc7[1733]]=null!=_0xfb0bx9?_0xfb0bx9:0;this[_0x7bc7[467]]=null!=_0xfb0bxa?_0xfb0bxa:0}mxStackLayout[_0x7bc7[202]]= new mxGraphLayout;mxStackLayout[_0x7bc7[202]][_0x7bc7[196]]=mxStackLayout;mxStackLayout[_0x7bc7[202]][_0x7bc7[662]]=null;mxStackLayout[_0x7bc7[202]][_0x7bc7[657]]=null;mxStackLayout[_0x7bc7[202]][_0x7bc7[1732]]=null;mxStackLayout[_0x7bc7[202]][_0x7bc7[1733]]=null;mxStackLayout[_0x7bc7[202]][_0x7bc7[467]]=0;mxStackLayout[_0x7bc7[202]][_0x7bc7[1734]]= !1;mxStackLayout[_0x7bc7[202]][_0x7bc7[1334]]= !1;mxStackLayout[_0x7bc7[202]][_0x7bc7[1735]]= !1;mxStackLayout[_0x7bc7[202]][_0x7bc7[1736]]= !1;mxStackLayout[_0x7bc7[202]][_0x7bc7[1333]]=null;mxStackLayout[_0x7bc7[202]][_0x7bc7[1737]]=function(){return this[_0x7bc7[662]]};mxStackLayout[_0x7bc7[202]][_0x7bc7[1702]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[502]](),_0xfb0bx9=_0xfb0bx5[_0x7bc7[1197]](_0xfb0bx2),_0xfb0bxa=this[_0x7bc7[1737]]();if(null!=_0xfb0bx2&&null!=_0xfb0bx9){var _0xfb0bx12=0,_0xfb0bx13=0,_0xfb0bx14=_0xfb0bx5[_0x7bc7[262]](_0xfb0bx9);_0xfb0bx3=_0xfb0bxa?_0xfb0bx3:_0xfb0bx4;_0xfb0bx12=this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[248]](_0xfb0bx9);null!=_0xfb0bx12&&(_0xfb0bx3-=_0xfb0bxa?_0xfb0bx12[_0x7bc7[235]]:_0xfb0bx12[_0x7bc7[236]]);for(_0xfb0bx12=0;_0xfb0bx12<_0xfb0bx14;_0xfb0bx12++){if(_0xfb0bx4=_0xfb0bx5[_0x7bc7[263]](_0xfb0bx9,_0xfb0bx12),_0xfb0bx4!=_0xfb0bx2&&(_0xfb0bx4=_0xfb0bx5[_0x7bc7[1721]](_0xfb0bx4),null!=_0xfb0bx4)){_0xfb0bx4=_0xfb0bxa?_0xfb0bx4[_0x7bc7[235]]+_0xfb0bx4[_0x7bc7[117]]/2:_0xfb0bx4[_0x7bc7[236]]+_0xfb0bx4[_0x7bc7[119]]/2;if(_0xfb0bx13<_0xfb0bx3&&_0xfb0bx4>_0xfb0bx3){break};_0xfb0bx13=_0xfb0bx4}};_0xfb0bxa=_0xfb0bx9[_0x7bc7[1738]](_0xfb0bx2);_0xfb0bxa=Math[_0x7bc7[160]](0,_0xfb0bx12-(_0xfb0bx12>_0xfb0bxa?1:0));_0xfb0bx5[_0x7bc7[99]](_0xfb0bx9,_0xfb0bx2,_0xfb0bxa)}};mxStackLayout[_0x7bc7[202]][_0x7bc7[1739]]=function(_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[1179]][_0x7bc7[502]](),_0xfb0bx4=_0xfb0bx3[_0x7bc7[1721]](_0xfb0bx2);if(null!=this[_0x7bc7[1179]][_0x7bc7[526]]&&(null==_0xfb0bx4&&_0xfb0bx3[_0x7bc7[1740]](_0xfb0bx2)||_0xfb0bx2==this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[1741]])){_0xfb0bx4= new mxRectangle(0,0,this[_0x7bc7[1179]][_0x7bc7[526]][_0x7bc7[359]]-1,this[_0x7bc7[1179]][_0x7bc7[526]][_0x7bc7[167]]-1)};return _0xfb0bx4};mxStackLayout[_0x7bc7[202]][_0x7bc7[350]]=function(_0xfb0bx2){if(null!=_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[1737]](),_0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[502]](),_0xfb0bx5=this[_0x7bc7[1739]](_0xfb0bx2),_0xfb0bx9=0;null!=_0xfb0bx5&&(_0xfb0bx9=_0xfb0bx3?_0xfb0bx5[_0x7bc7[119]]:_0xfb0bx5[_0x7bc7[117]]);var _0xfb0bx9=_0xfb0bx9-(2*this[_0x7bc7[657]]+2*this[_0x7bc7[467]]),_0xfb0bxa=this[_0x7bc7[1732]]+this[_0x7bc7[467]],_0xfb0bx12=this[_0x7bc7[1733]]+this[_0x7bc7[467]];if(this[_0x7bc7[1179]][_0x7bc7[1730]](_0xfb0bx2)){var _0xfb0bx13=this[_0x7bc7[1179]][_0x7bc7[1705]](_0xfb0bx2),_0xfb0bx14=mxUtils[_0x7bc7[433]](_0xfb0bx13,mxConstants.STYLE_STARTSIZE,mxConstants.DEFAULT_STARTSIZE),_0xfb0bx13=mxUtils[_0x7bc7[433]](_0xfb0bx13,mxConstants.STYLE_HORIZONTAL,!0);_0xfb0bx3==_0xfb0bx13&&(_0xfb0bx9-=_0xfb0bx14);_0xfb0bx3?_0xfb0bx12+=_0xfb0bx14:_0xfb0bxa+=_0xfb0bx14};_0xfb0bx4[_0x7bc7[473]]();try{for(var _0xfb0bx14=0,_0xfb0bx13=null,_0xfb0bx15=_0xfb0bx4[_0x7bc7[262]](_0xfb0bx2),_0xfb0bx16=0;_0xfb0bx16<_0xfb0bx15;_0xfb0bx16++){var _0xfb0bx17=_0xfb0bx4[_0x7bc7[263]](_0xfb0bx2,_0xfb0bx16);if(!this[_0x7bc7[1713]](_0xfb0bx17)&&this[_0x7bc7[1711]](_0xfb0bx17)){var _0xfb0bx18=_0xfb0bx4[_0x7bc7[1721]](_0xfb0bx17);if(null!=_0xfb0bx18){_0xfb0bx18=_0xfb0bx18[_0x7bc7[238]]();if(null!=this[_0x7bc7[1333]]&&null!=_0xfb0bx13&&(_0xfb0bx3&&_0xfb0bx13[_0x7bc7[235]]+_0xfb0bx13[_0x7bc7[117]]+_0xfb0bx18[_0x7bc7[117]]+2*this[_0x7bc7[657]]>this[_0x7bc7[1333]]||!_0xfb0bx3&&_0xfb0bx13[_0x7bc7[236]]+_0xfb0bx13[_0x7bc7[119]]+_0xfb0bx18[_0x7bc7[119]]+2*this[_0x7bc7[657]]>this[_0x7bc7[1333]])){_0xfb0bx13=null,_0xfb0bx3?_0xfb0bx12+=_0xfb0bx14+this[_0x7bc7[657]]:_0xfb0bxa+=_0xfb0bx14+this[_0x7bc7[657]],_0xfb0bx14=0};_0xfb0bx14=Math[_0x7bc7[160]](_0xfb0bx14,_0xfb0bx3?_0xfb0bx18[_0x7bc7[119]]:_0xfb0bx18[_0x7bc7[117]]);null!=_0xfb0bx13?_0xfb0bx3?_0xfb0bx18[_0x7bc7[235]]=_0xfb0bx13[_0x7bc7[235]]+_0xfb0bx13[_0x7bc7[117]]+this[_0x7bc7[657]]:_0xfb0bx18[_0x7bc7[236]]=_0xfb0bx13[_0x7bc7[236]]+_0xfb0bx13[_0x7bc7[119]]+this[_0x7bc7[657]]:this[_0x7bc7[1734]]||(_0xfb0bx3?_0xfb0bx18[_0x7bc7[235]]=_0xfb0bxa:_0xfb0bx18[_0x7bc7[236]]=_0xfb0bx12);_0xfb0bx3?_0xfb0bx18[_0x7bc7[236]]=_0xfb0bx12:_0xfb0bx18[_0x7bc7[235]]=_0xfb0bxa;this[_0x7bc7[1334]]&&0<_0xfb0bx9&&(_0xfb0bx3?_0xfb0bx18[_0x7bc7[119]]=_0xfb0bx9:_0xfb0bx18[_0x7bc7[117]]=_0xfb0bx9);_0xfb0bx4[_0x7bc7[1724]](_0xfb0bx17,_0xfb0bx18);_0xfb0bx13=_0xfb0bx18}}};this[_0x7bc7[1735]]&&null!=_0xfb0bx5&&null!=_0xfb0bx13&&!this[_0x7bc7[1179]][_0x7bc7[1742]](_0xfb0bx2)?(_0xfb0bx5=_0xfb0bx5[_0x7bc7[238]](),_0xfb0bx3?_0xfb0bx5[_0x7bc7[117]]=_0xfb0bx13[_0x7bc7[235]]+_0xfb0bx13[_0x7bc7[117]]+this[_0x7bc7[657]]:_0xfb0bx5[_0x7bc7[119]]=_0xfb0bx13[_0x7bc7[236]]+_0xfb0bx13[_0x7bc7[119]]+this[_0x7bc7[657]],_0xfb0bx4[_0x7bc7[1724]](_0xfb0bx2,_0xfb0bx5)):this[_0x7bc7[1736]]&&(null!=_0xfb0bx5&&null!=_0xfb0bx13)&&(_0xfb0bx3?_0xfb0bx13[_0x7bc7[117]]=_0xfb0bx5[_0x7bc7[117]]-_0xfb0bx13[_0x7bc7[235]]-this[_0x7bc7[657]]:_0xfb0bx13[_0x7bc7[119]]=_0xfb0bx5[_0x7bc7[119]]-_0xfb0bx13[_0x7bc7[236]]-this[_0x7bc7[657]])}finally{_0xfb0bx4[_0x7bc7[476]]()}}};function mxPartitionLayout(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){mxGraphLayout[_0x7bc7[239]](this,_0xfb0bx2);this[_0x7bc7[662]]=null!=_0xfb0bx3?_0xfb0bx3:!0;this[_0x7bc7[657]]=_0xfb0bx4||0;this[_0x7bc7[467]]=_0xfb0bx5||0}mxPartitionLayout[_0x7bc7[202]]= new mxGraphLayout;mxPartitionLayout[_0x7bc7[202]][_0x7bc7[196]]=mxPartitionLayout;mxPartitionLayout[_0x7bc7[202]][_0x7bc7[662]]=null;mxPartitionLayout[_0x7bc7[202]][_0x7bc7[657]]=null;mxPartitionLayout[_0x7bc7[202]][_0x7bc7[467]]=null;mxPartitionLayout[_0x7bc7[202]][_0x7bc7[1743]]= !0;mxPartitionLayout[_0x7bc7[202]][_0x7bc7[1737]]=function(){return this[_0x7bc7[662]]};mxPartitionLayout[_0x7bc7[202]][_0x7bc7[1702]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[502]]();var _0xfb0bx5=_0xfb0bx4[_0x7bc7[1197]](_0xfb0bx2);if(null!=_0xfb0bx2&&null!=_0xfb0bx5){for(var _0xfb0bx9=0,_0xfb0bxa=0,_0xfb0bx12=_0xfb0bx4[_0x7bc7[262]](_0xfb0bx5),_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx12;_0xfb0bx9++){var _0xfb0bx13=_0xfb0bx4[_0x7bc7[263]](_0xfb0bx5,_0xfb0bx9),_0xfb0bx13=this[_0x7bc7[1726]](_0xfb0bx13);if(null!=_0xfb0bx13){_0xfb0bx13=_0xfb0bx13[_0x7bc7[235]]+_0xfb0bx13[_0x7bc7[117]]/2;if(_0xfb0bxa<_0xfb0bx3&&_0xfb0bx13>_0xfb0bx3){break};_0xfb0bxa=_0xfb0bx13}};_0xfb0bx3=_0xfb0bx5[_0x7bc7[1738]](_0xfb0bx2);_0xfb0bx3=Math[_0x7bc7[160]](0,_0xfb0bx9-(_0xfb0bx9>_0xfb0bx3?1:0));_0xfb0bx4[_0x7bc7[99]](_0xfb0bx5,_0xfb0bx2,_0xfb0bx3)}};mxPartitionLayout[_0x7bc7[202]][_0x7bc7[350]]=function(_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[1737]](),_0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[502]](),_0xfb0bx5=_0xfb0bx4[_0x7bc7[1721]](_0xfb0bx2);if(null!=this[_0x7bc7[1179]][_0x7bc7[526]]&&(null==_0xfb0bx5&&_0xfb0bx4[_0x7bc7[1740]](_0xfb0bx2)||_0xfb0bx2==this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[1741]])){_0xfb0bx5= new mxRectangle(0,0,this[_0x7bc7[1179]][_0x7bc7[526]][_0x7bc7[359]]-1,this[_0x7bc7[1179]][_0x7bc7[526]][_0x7bc7[167]]-1)};if(null!=_0xfb0bx5){for(var _0xfb0bx9=[],_0xfb0bxa=_0xfb0bx4[_0x7bc7[262]](_0xfb0bx2),_0xfb0bx12=0;_0xfb0bx12<_0xfb0bxa;_0xfb0bx12++){var _0xfb0bx13=_0xfb0bx4[_0x7bc7[263]](_0xfb0bx2,_0xfb0bx12);!this[_0x7bc7[1713]](_0xfb0bx13)&&this[_0x7bc7[1711]](_0xfb0bx13)&&_0xfb0bx9[_0x7bc7[207]](_0xfb0bx13)};_0xfb0bxa=_0xfb0bx9[_0x7bc7[67]];if(0<_0xfb0bxa){var _0xfb0bx14=this[_0x7bc7[467]],_0xfb0bx15=this[_0x7bc7[467]],_0xfb0bx16=_0xfb0bx3?_0xfb0bx5[_0x7bc7[119]]:_0xfb0bx5[_0x7bc7[117]],_0xfb0bx16=_0xfb0bx16-2*this[_0x7bc7[467]];_0xfb0bx2=this[_0x7bc7[1179]][_0x7bc7[1730]](_0xfb0bx2)?this[_0x7bc7[1179]][_0x7bc7[1731]](_0xfb0bx2): new mxRectangle;_0xfb0bx16-=_0xfb0bx3?_0xfb0bx2[_0x7bc7[119]]:_0xfb0bx2[_0x7bc7[117]];_0xfb0bx14+=_0xfb0bx2[_0x7bc7[117]];_0xfb0bx15+=_0xfb0bx2[_0x7bc7[119]];_0xfb0bx2=this[_0x7bc7[467]]+(_0xfb0bxa-1)*this[_0x7bc7[657]];_0xfb0bx5=_0xfb0bx3?(_0xfb0bx5[_0x7bc7[117]]-_0xfb0bx14-_0xfb0bx2)/_0xfb0bxa:(_0xfb0bx5[_0x7bc7[119]]-_0xfb0bx15-_0xfb0bx2)/_0xfb0bxa;if(0<_0xfb0bx5){_0xfb0bx4[_0x7bc7[473]]();try{for(_0xfb0bx12=0;_0xfb0bx12<_0xfb0bxa;_0xfb0bx12++){var _0xfb0bx13=_0xfb0bx9[_0xfb0bx12],_0xfb0bx17=_0xfb0bx4[_0x7bc7[1721]](_0xfb0bx13);null!=_0xfb0bx17&&(_0xfb0bx17=_0xfb0bx17[_0x7bc7[238]](),_0xfb0bx17[_0x7bc7[235]]=_0xfb0bx14,_0xfb0bx17[_0x7bc7[236]]=_0xfb0bx15,_0xfb0bx3?(this[_0x7bc7[1743]]&&(_0xfb0bx17[_0x7bc7[117]]=_0xfb0bx5,_0xfb0bx17[_0x7bc7[119]]=_0xfb0bx16),_0xfb0bx14+=_0xfb0bx5+this[_0x7bc7[657]]):(this[_0x7bc7[1743]]&&(_0xfb0bx17[_0x7bc7[119]]=_0xfb0bx5,_0xfb0bx17[_0x7bc7[117]]=_0xfb0bx16),_0xfb0bx15+=_0xfb0bx5+this[_0x7bc7[657]]),_0xfb0bx4[_0x7bc7[1724]](_0xfb0bx13,_0xfb0bx17))}}finally{_0xfb0bx4[_0x7bc7[476]]()}}}}};function mxCompactTreeLayout(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){mxGraphLayout[_0x7bc7[239]](this,_0xfb0bx2);this[_0x7bc7[662]]=null!=_0xfb0bx3?_0xfb0bx3:!0;this[_0x7bc7[1744]]=null!=_0xfb0bx4?_0xfb0bx4:!1}mxCompactTreeLayout[_0x7bc7[202]]= new mxGraphLayout;mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[196]]=mxCompactTreeLayout;mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[662]]=null;mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1744]]=null;mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1735]]= !0;mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1745]]=10;mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1746]]=null;mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1747]]= !1;mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1748]]=10;mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1749]]=20;mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1750]]= !0;mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1751]]=5;mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1752]]=4;mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1753]]=8;mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1754]]=4;mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1755]]= !0;mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1713]]=function(_0xfb0bx2){return mxGraphLayout[_0x7bc7[202]][_0x7bc7[1713]][_0x7bc7[183]](this,arguments)||0==this[_0x7bc7[1179]][_0x7bc7[1756]](_0xfb0bx2)[_0x7bc7[67]]};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1737]]=function(){return this[_0x7bc7[662]]};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[350]]=function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[1101]]=_0xfb0bx2;var _0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[502]]();if(null==_0xfb0bx3){if(0_0xfb0bx13[_0x7bc7[235]]&&(_0xfb0bx9=Math[_0x7bc7[425]](_0xfb0bx12-_0xfb0bx13[_0x7bc7[235]]));0>_0xfb0bx13[_0x7bc7[236]]&&(_0xfb0bx14=Math[_0x7bc7[425]](_0xfb0bx5-_0xfb0bx13[_0x7bc7[236]]));(0!=_0xfb0bx9||0!=_0xfb0bx14)&&this[_0x7bc7[1762]](_0xfb0bxa,_0xfb0bx9,_0xfb0bx14);this[_0x7bc7[1735]]&&this[_0x7bc7[1763]]();this[_0x7bc7[1755]]&&this[_0x7bc7[1764]](_0xfb0bxa)}}}finally{_0xfb0bx4[_0x7bc7[476]]()}}};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1762]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx2[_0x7bc7[235]]+=_0xfb0bx3;_0xfb0bx2[_0x7bc7[236]]+=_0xfb0bx4;this[_0x7bc7[183]](_0xfb0bx2);for(_0xfb0bx2=_0xfb0bx2[_0x7bc7[247]];null!=_0xfb0bx2;){this[_0x7bc7[1762]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4),_0xfb0bx2=_0xfb0bx2[_0x7bc7[1765]]}};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1758]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx4=null!=_0xfb0bx4?_0xfb0bx4:[];var _0xfb0bx5=mxCellPath[_0x7bc7[385]](_0xfb0bx2),_0xfb0bx9=null;if(null!=_0xfb0bx2&&null==_0xfb0bx4[_0xfb0bx5]&&!this[_0x7bc7[1713]](_0xfb0bx2)){_0xfb0bx4[_0xfb0bx5]=_0xfb0bx2;var _0xfb0bx9=this[_0x7bc7[1766]](_0xfb0bx2),_0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[502]](),_0xfb0bxa=null;_0xfb0bx2=this[_0x7bc7[1179]][_0x7bc7[264]](_0xfb0bx2,_0xfb0bx3,this[_0x7bc7[1744]],!this[_0x7bc7[1744]],!1,!0);for(var _0xfb0bx12=this[_0x7bc7[1179]][_0x7bc7[249]](),_0xfb0bx13=0;_0xfb0bx13<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx13++){var _0xfb0bx14=_0xfb0bx2[_0xfb0bx13];if(!this[_0x7bc7[1715]](_0xfb0bx14)){this[_0x7bc7[1750]]&&this[_0x7bc7[1722]](_0xfb0bx14,null);this[_0x7bc7[1755]]&&(this[_0x7bc7[1716]](_0xfb0bx14,!1),this[_0x7bc7[1722]](_0xfb0bx14,null));var _0xfb0bx15=_0xfb0bx12[_0x7bc7[248]](_0xfb0bx14),_0xfb0bx14=null!=_0xfb0bx15?_0xfb0bx15[_0x7bc7[1710]](this[_0x7bc7[1744]]):_0xfb0bx12[_0x7bc7[1710]](_0xfb0bx14,this[_0x7bc7[1744]]),_0xfb0bx15=this[_0x7bc7[1758]](_0xfb0bx14,_0xfb0bx3,_0xfb0bx4);null!=_0xfb0bx15&&null!=_0xfb0bx5[_0x7bc7[1721]](_0xfb0bx14)&&(null==_0xfb0bxa?_0xfb0bx9[_0x7bc7[247]]=_0xfb0bx15:_0xfb0bxa[_0x7bc7[1765]]=_0xfb0bx15,_0xfb0bxa=_0xfb0bx15)}}};return _0xfb0bx9};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1759]]=function(_0xfb0bx2){if(null!=_0xfb0bx2){for(var _0xfb0bx3=_0xfb0bx2[_0x7bc7[247]];null!=_0xfb0bx3;){this[_0x7bc7[1759]](_0xfb0bx3),_0xfb0bx3=_0xfb0bx3[_0x7bc7[1765]]};null!=_0xfb0bx2[_0x7bc7[247]]?this[_0x7bc7[1767]](_0xfb0bx2,this[_0x7bc7[234]](_0xfb0bx2)):this[_0x7bc7[1768]](_0xfb0bx2)}};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1760]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){_0xfb0bx2[_0x7bc7[235]]+=_0xfb0bx3+_0xfb0bx2[_0x7bc7[1769]];_0xfb0bx2[_0x7bc7[236]]+=_0xfb0bx4+_0xfb0bx2[_0x7bc7[1770]];_0xfb0bx5=this[_0x7bc7[183]](_0xfb0bx2,_0xfb0bx5);_0xfb0bx3=_0xfb0bx2[_0x7bc7[247]];if(null!=_0xfb0bx3){_0xfb0bx5=this[_0x7bc7[1760]](_0xfb0bx3,_0xfb0bx2[_0x7bc7[235]],_0xfb0bx2[_0x7bc7[236]],_0xfb0bx5);_0xfb0bx4=_0xfb0bx2[_0x7bc7[236]]+_0xfb0bx3[_0x7bc7[1770]];for(var _0xfb0bx9=_0xfb0bx3[_0x7bc7[1765]];null!=_0xfb0bx9;){_0xfb0bx5=this[_0x7bc7[1760]](_0xfb0bx9,_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx3[_0x7bc7[1769]],_0xfb0bx4,_0xfb0bx5),_0xfb0bx4+=_0xfb0bx9[_0x7bc7[1770]],_0xfb0bx9=_0xfb0bx9[_0x7bc7[1765]]}};return _0xfb0bx5};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1761]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0xfb0bx2[_0x7bc7[235]]+=_0xfb0bx4+_0xfb0bx2[_0x7bc7[1770]];_0xfb0bx2[_0x7bc7[236]]+=_0xfb0bx5+_0xfb0bx2[_0x7bc7[1769]];_0xfb0bx9=this[_0x7bc7[183]](_0xfb0bx2,_0xfb0bx9);_0xfb0bx3=_0xfb0bx2[_0x7bc7[247]];if(null!=_0xfb0bx3){_0xfb0bx9=this[_0x7bc7[1761]](_0xfb0bx3,_0xfb0bx2,_0xfb0bx2[_0x7bc7[235]],_0xfb0bx2[_0x7bc7[236]],_0xfb0bx9);_0xfb0bx4=_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx3[_0x7bc7[1770]];for(_0xfb0bx5=_0xfb0bx3[_0x7bc7[1765]];null!=_0xfb0bx5;){_0xfb0bx9=this[_0x7bc7[1761]](_0xfb0bx5,_0xfb0bx2,_0xfb0bx4,_0xfb0bx2[_0x7bc7[236]]+_0xfb0bx3[_0x7bc7[1769]],_0xfb0bx9),_0xfb0bx4+=_0xfb0bx5[_0x7bc7[1770]],_0xfb0bx5=_0xfb0bx5[_0x7bc7[1765]]}};return _0xfb0bx9};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1767]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=this[_0x7bc7[1749]]+this[_0x7bc7[1748]],_0xfb0bx5=(_0xfb0bx3-_0xfb0bx2[_0x7bc7[117]])/2-this[_0x7bc7[1749]],_0xfb0bx9=_0xfb0bx5+_0xfb0bx2[_0x7bc7[117]]+2*this[_0x7bc7[1749]]-_0xfb0bx3;_0xfb0bx2[_0x7bc7[247]][_0x7bc7[1769]]=_0xfb0bx4+_0xfb0bx2[_0x7bc7[119]];_0xfb0bx2[_0x7bc7[247]][_0x7bc7[1770]]=_0xfb0bx9;_0xfb0bx2[_0x7bc7[1772]][_0x7bc7[1771]]=this[_0x7bc7[1773]](_0xfb0bx2[_0x7bc7[119]],0,this[_0x7bc7[1773]](_0xfb0bx4,_0xfb0bx9,_0xfb0bx2[_0x7bc7[1772]][_0x7bc7[1771]]));_0xfb0bx2[_0x7bc7[1772]][_0x7bc7[1774]]=this[_0x7bc7[1773]](_0xfb0bx2[_0x7bc7[119]],0,this[_0x7bc7[1773]](_0xfb0bx4,_0xfb0bx5,_0xfb0bx2[_0x7bc7[1772]][_0x7bc7[1774]]))};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1768]]=function(_0xfb0bx2){var _0xfb0bx3=2*this[_0x7bc7[1749]];_0xfb0bx2[_0x7bc7[1772]][_0x7bc7[1775]]=this[_0x7bc7[1773]](_0xfb0bx2[_0x7bc7[119]]+_0xfb0bx3,0);_0xfb0bx2[_0x7bc7[1772]][_0x7bc7[1771]]=_0xfb0bx2[_0x7bc7[1772]][_0x7bc7[1775]];_0xfb0bx2[_0x7bc7[1772]][_0x7bc7[1776]]=this[_0x7bc7[1773]](0,-_0xfb0bx2[_0x7bc7[117]]-_0xfb0bx3);_0xfb0bx2[_0x7bc7[1772]][_0x7bc7[1774]]=this[_0x7bc7[1773]](_0xfb0bx2[_0x7bc7[119]]+_0xfb0bx3,0,_0xfb0bx2[_0x7bc7[1772]][_0x7bc7[1776]])};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[234]]=function(_0xfb0bx2){var _0xfb0bx3=2*this[_0x7bc7[1749]],_0xfb0bx4=_0xfb0bx2[_0x7bc7[247]];_0xfb0bx2[_0x7bc7[1772]]=_0xfb0bx4[_0x7bc7[1772]];for(var _0xfb0bx5=_0xfb0bx4[_0x7bc7[117]]+_0xfb0bx3,_0xfb0bx9=_0xfb0bx5,_0xfb0bx4=_0xfb0bx4[_0x7bc7[1765]];null!=_0xfb0bx4;){var _0xfb0bxa=this[_0x7bc7[1777]](_0xfb0bx2[_0x7bc7[1772]],_0xfb0bx4[_0x7bc7[1772]]);_0xfb0bx4[_0x7bc7[1770]]=_0xfb0bxa+_0xfb0bx5;_0xfb0bx4[_0x7bc7[1769]]=0;_0xfb0bx5=_0xfb0bx4[_0x7bc7[117]]+_0xfb0bx3;_0xfb0bx9+=_0xfb0bxa+_0xfb0bx5;_0xfb0bx4=_0xfb0bx4[_0x7bc7[1765]]};return _0xfb0bx9};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1777]]=function(_0xfb0bx2,_0xfb0bx3){for(var _0xfb0bx4=0,_0xfb0bx5=0,_0xfb0bx9=0,_0xfb0bxa=_0xfb0bx2[_0x7bc7[1774]],_0xfb0bx12=_0xfb0bx3[_0x7bc7[1771]];null!=_0xfb0bx12&&null!=_0xfb0bxa;){var _0xfb0bx13=this[_0x7bc7[1368]](_0xfb0bx4,_0xfb0bx5,_0xfb0bx12[_0x7bc7[1126]],_0xfb0bx12[_0x7bc7[1125]],_0xfb0bxa[_0x7bc7[1126]],_0xfb0bxa[_0x7bc7[1125]]),_0xfb0bx5=_0xfb0bx5+_0xfb0bx13,_0xfb0bx9=_0xfb0bx9+_0xfb0bx13;_0xfb0bx4+_0xfb0bx12[_0x7bc7[1126]]<=_0xfb0bxa[_0x7bc7[1126]]?(_0xfb0bx4+=_0xfb0bx12[_0x7bc7[1126]],_0xfb0bx5+=_0xfb0bx12[_0x7bc7[1125]],_0xfb0bx12=_0xfb0bx12[_0x7bc7[1765]]):(_0xfb0bx4-=_0xfb0bxa[_0x7bc7[1126]],_0xfb0bx5-=_0xfb0bxa[_0x7bc7[1125]],_0xfb0bxa=_0xfb0bxa[_0x7bc7[1765]])};null!=_0xfb0bx12?(_0xfb0bx4=this[_0x7bc7[1778]](_0xfb0bx2[_0x7bc7[1775]],0,0,_0xfb0bx12,_0xfb0bx4,_0xfb0bx5),_0xfb0bx2[_0x7bc7[1775]]=null!=_0xfb0bx4[_0x7bc7[1765]]?_0xfb0bx3[_0x7bc7[1775]]:_0xfb0bx4,_0xfb0bx2[_0x7bc7[1776]]=_0xfb0bx3[_0x7bc7[1776]]):(_0xfb0bx4=this[_0x7bc7[1778]](_0xfb0bx3[_0x7bc7[1776]],_0xfb0bx4,_0xfb0bx5,_0xfb0bxa,0,0),null==_0xfb0bx4[_0x7bc7[1765]]&&(_0xfb0bx2[_0x7bc7[1776]]=_0xfb0bx4));_0xfb0bx2[_0x7bc7[1774]]=_0xfb0bx3[_0x7bc7[1774]];return _0xfb0bx9};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1368]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){var _0xfb0bx12=0;if(_0xfb0bx9<=_0xfb0bx2||0>=_0xfb0bx2+_0xfb0bx4){return 0};_0xfb0bx12=0<_0xfb0bx9*_0xfb0bx5-_0xfb0bx4*_0xfb0bxa?0>_0xfb0bx2?_0xfb0bx2*_0xfb0bx5/_0xfb0bx4-_0xfb0bx3:0<_0xfb0bx2?_0xfb0bx2*_0xfb0bxa/_0xfb0bx9-_0xfb0bx3:-_0xfb0bx3:_0xfb0bx9<_0xfb0bx2+_0xfb0bx4?_0xfb0bxa-(_0xfb0bx3+(_0xfb0bx9-_0xfb0bx2)*_0xfb0bx5/_0xfb0bx4):_0xfb0bx9>_0xfb0bx2+_0xfb0bx4?(_0xfb0bx4+_0xfb0bx2)*_0xfb0bxa/_0xfb0bx9-(_0xfb0bx3+_0xfb0bx5):_0xfb0bxa-(_0xfb0bx3+_0xfb0bx5);return 0<_0xfb0bx12?_0xfb0bx12:0};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1778]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){_0xfb0bx3=_0xfb0bx9+_0xfb0bx5[_0x7bc7[1126]]-_0xfb0bx3;_0xfb0bx9=_0xfb0bx9=0;0==_0xfb0bx5[_0x7bc7[1126]]?_0xfb0bx9=_0xfb0bx5[_0x7bc7[1125]]:(_0xfb0bx9=_0xfb0bx3*_0xfb0bx5[_0x7bc7[1125]],_0xfb0bx9/=_0xfb0bx5[_0x7bc7[1126]]);_0xfb0bx3=this[_0x7bc7[1773]](_0xfb0bx3,_0xfb0bx9,_0xfb0bx5[_0x7bc7[1765]]);_0xfb0bx2[_0x7bc7[1765]]=this[_0x7bc7[1773]](0,_0xfb0bxa+_0xfb0bx5[_0x7bc7[1125]]-_0xfb0bx9-_0xfb0bx4,_0xfb0bx3);return _0xfb0bx3};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1766]]=function(_0xfb0bx2){var _0xfb0bx3={};_0xfb0bx3[_0x7bc7[246]]=_0xfb0bx2;_0xfb0bx3[_0x7bc7[235]]=0;_0xfb0bx3[_0x7bc7[236]]=0;_0xfb0bx3[_0x7bc7[117]]=0;_0xfb0bx3[_0x7bc7[119]]=0;_0xfb0bx2=this[_0x7bc7[1726]](_0xfb0bx2);null!=_0xfb0bx2&&(this[_0x7bc7[1737]]()?(_0xfb0bx3[_0x7bc7[117]]=_0xfb0bx2[_0x7bc7[119]],_0xfb0bx3[_0x7bc7[119]]=_0xfb0bx2[_0x7bc7[117]]):(_0xfb0bx3[_0x7bc7[117]]=_0xfb0bx2[_0x7bc7[117]],_0xfb0bx3[_0x7bc7[119]]=_0xfb0bx2[_0x7bc7[119]]));_0xfb0bx3[_0x7bc7[1769]]=0;_0xfb0bx3[_0x7bc7[1770]]=0;_0xfb0bx3[_0x7bc7[1772]]={};return _0xfb0bx3};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[183]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[502]](),_0xfb0bx5=_0xfb0bx2[_0x7bc7[246]],_0xfb0bx9=_0xfb0bx4[_0x7bc7[1721]](_0xfb0bx5);null!=_0xfb0bx5&&null!=_0xfb0bx9&&(this[_0x7bc7[1711]](_0xfb0bx5)&&(_0xfb0bx9=this[_0x7bc7[1725]](_0xfb0bx5,_0xfb0bx2[_0x7bc7[235]],_0xfb0bx2[_0x7bc7[236]]),this[_0x7bc7[1735]]&&(_0xfb0bx4=_0xfb0bx4[_0x7bc7[1197]](_0xfb0bx5),_0xfb0bx5=mxCellPath[_0x7bc7[385]](_0xfb0bx4),null==this[_0x7bc7[1746]][_0xfb0bx5]&&(this[_0x7bc7[1746]][_0xfb0bx5]=_0xfb0bx4))),_0xfb0bx3=null==_0xfb0bx3? new mxRectangle(_0xfb0bx9[_0x7bc7[235]],_0xfb0bx9[_0x7bc7[236]],_0xfb0bx9[_0x7bc7[117]],_0xfb0bx9[_0x7bc7[119]]): new mxRectangle(Math[_0x7bc7[243]](_0xfb0bx3[_0x7bc7[235]],_0xfb0bx9[_0x7bc7[235]]),Math[_0x7bc7[243]](_0xfb0bx3[_0x7bc7[236]],_0xfb0bx9[_0x7bc7[236]]),Math[_0x7bc7[160]](_0xfb0bx3[_0x7bc7[235]]+_0xfb0bx3[_0x7bc7[117]],_0xfb0bx9[_0x7bc7[235]]+_0xfb0bx9[_0x7bc7[117]]),Math[_0x7bc7[160]](_0xfb0bx3[_0x7bc7[236]]+_0xfb0bx3[_0x7bc7[119]],_0xfb0bx9[_0x7bc7[236]]+_0xfb0bx9[_0x7bc7[119]])));return _0xfb0bx3};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1773]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5={};_0xfb0bx5[_0x7bc7[1126]]=_0xfb0bx2;_0xfb0bx5[_0x7bc7[1125]]=_0xfb0bx3;_0xfb0bx5[_0x7bc7[1765]]=_0xfb0bx4;return _0xfb0bx5};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1763]]=function(){var _0xfb0bx2=[],_0xfb0bx3;for(_0xfb0bx3 in this[_0x7bc7[1746]]){_0xfb0bx2[_0x7bc7[207]](this[_0x7bc7[1746]][_0xfb0bx3])};this[_0x7bc7[1727]](mxUtils[_0x7bc7[1779]](_0xfb0bx2,!0),this[_0x7bc7[1745]])};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1764]]=function(_0xfb0bx2){this[_0x7bc7[1780]](_0xfb0bx2);for(_0xfb0bx2=_0xfb0bx2[_0x7bc7[247]];null!=_0xfb0bx2;){this[_0x7bc7[1764]](_0xfb0bx2),_0xfb0bx2=_0xfb0bx2[_0x7bc7[1765]]}};mxCompactTreeLayout[_0x7bc7[202]][_0x7bc7[1780]]=function(_0xfb0bx2){for(var _0xfb0bx3=_0xfb0bx2[_0x7bc7[247]],_0xfb0bx4=_0xfb0bx2[_0x7bc7[246]],_0xfb0bx5=0,_0xfb0bx9=[];null!=_0xfb0bx3;){_0xfb0bx5++;var _0xfb0bxa=_0xfb0bx3[_0x7bc7[235]];this[_0x7bc7[662]]&&(_0xfb0bxa=_0xfb0bx3[_0x7bc7[236]]);_0xfb0bx9[_0x7bc7[207]]( new WeightedCellSorter(_0xfb0bx3,_0xfb0bxa));_0xfb0bx3=_0xfb0bx3[_0x7bc7[1765]]};_0xfb0bx9[_0x7bc7[470]](WeightedCellSorter[_0x7bc7[202]][_0x7bc7[469]]);var _0xfb0bxa=_0xfb0bx2[_0x7bc7[117]],_0xfb0bx12=(_0xfb0bx5+1)*this[_0x7bc7[1751]];_0xfb0bxa>_0xfb0bx12+2*this[_0x7bc7[1751]]&&(_0xfb0bxa-=2*this[_0x7bc7[1751]]);_0xfb0bx2=_0xfb0bxa/_0xfb0bx5;_0xfb0bx3=_0xfb0bx2/2;_0xfb0bxa>_0xfb0bx12+2*this[_0x7bc7[1751]]&&(_0xfb0bx3+=this[_0x7bc7[1751]]);for(var _0xfb0bxa=this[_0x7bc7[1753]]-this[_0x7bc7[1752]],_0xfb0bx12=0,_0xfb0bx13=this[_0x7bc7[1726]](_0xfb0bx4),_0xfb0bx14=0;_0xfb0bx14<_0xfb0bx9[_0x7bc7[67]];_0xfb0bx14++){for(var _0xfb0bx15=_0xfb0bx9[_0xfb0bx14][_0x7bc7[246]][_0x7bc7[246]],_0xfb0bx16=this[_0x7bc7[1726]](_0xfb0bx15),_0xfb0bx15=this[_0x7bc7[1179]][_0x7bc7[1781]](_0xfb0bx4,_0xfb0bx15,!1),_0xfb0bx17=[],_0xfb0bx18=0,_0xfb0bx19=0,_0xfb0bx1a=0;_0xfb0bx1a<_0xfb0bx15[_0x7bc7[67]];_0xfb0bx1a++){this[_0x7bc7[662]]?(_0xfb0bx18=_0xfb0bx13[_0x7bc7[235]]+_0xfb0bx13[_0x7bc7[117]],_0xfb0bx19=_0xfb0bx13[_0x7bc7[236]]+_0xfb0bx3,_0xfb0bx17[_0x7bc7[207]]( new mxPoint(_0xfb0bx18,_0xfb0bx19)),_0xfb0bx18=_0xfb0bx13[_0x7bc7[235]]+_0xfb0bx13[_0x7bc7[117]]+_0xfb0bxa,_0xfb0bx17[_0x7bc7[207]]( new mxPoint(_0xfb0bx18,_0xfb0bx19)),_0xfb0bx19=_0xfb0bx16[_0x7bc7[236]]+_0xfb0bx16[_0x7bc7[119]]/2):(_0xfb0bx18=_0xfb0bx13[_0x7bc7[235]]+_0xfb0bx3,_0xfb0bx19=_0xfb0bx13[_0x7bc7[236]]+_0xfb0bx13[_0x7bc7[119]],_0xfb0bx17[_0x7bc7[207]]( new mxPoint(_0xfb0bx18,_0xfb0bx19)),_0xfb0bx19=_0xfb0bx13[_0x7bc7[236]]+_0xfb0bx13[_0x7bc7[119]]+_0xfb0bxa,_0xfb0bx17[_0x7bc7[207]]( new mxPoint(_0xfb0bx18,_0xfb0bx19)),_0xfb0bx18=_0xfb0bx16[_0x7bc7[235]]+_0xfb0bx16[_0x7bc7[117]]/2),_0xfb0bx17[_0x7bc7[207]]( new mxPoint(_0xfb0bx18,_0xfb0bx19)),this[_0x7bc7[1722]](_0xfb0bx15[_0xfb0bx1a],_0xfb0bx17)};_0xfb0bx14<_0xfb0bx5/2?_0xfb0bxa+=this[_0x7bc7[1752]]:_0xfb0bx14>_0xfb0bx5/2&&(_0xfb0bxa-=this[_0x7bc7[1752]]);_0xfb0bx3+=_0xfb0bx2;_0xfb0bx12=Math[_0x7bc7[160]](_0xfb0bx12,_0xfb0bxa)}};function WeightedCellSorter(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[246]]=_0xfb0bx2;this[_0x7bc7[1782]]=_0xfb0bx3}WeightedCellSorter[_0x7bc7[202]][_0x7bc7[1782]]=0;WeightedCellSorter[_0x7bc7[202]][_0x7bc7[1783]]= !1;WeightedCellSorter[_0x7bc7[202]][_0x7bc7[1784]]= !1;WeightedCellSorter[_0x7bc7[202]][_0x7bc7[1785]]=null;WeightedCellSorter[_0x7bc7[202]][_0x7bc7[246]]=null;WeightedCellSorter[_0x7bc7[202]][_0x7bc7[469]]=function(_0xfb0bx2,_0xfb0bx3){return null!=_0xfb0bx2&&null!=_0xfb0bx3?_0xfb0bx3[_0x7bc7[1782]]>_0xfb0bx2[_0x7bc7[1782]]?1:_0xfb0bx3[_0x7bc7[1782]]<_0xfb0bx2[_0x7bc7[1782]]?-1:_0xfb0bx3[_0x7bc7[1783]]?1:-1:0};function mxFastOrganicLayout(_0xfb0bx2){mxGraphLayout[_0x7bc7[239]](this,_0xfb0bx2)}mxFastOrganicLayout[_0x7bc7[202]]= new mxGraphLayout;mxFastOrganicLayout[_0x7bc7[202]][_0x7bc7[196]]=mxFastOrganicLayout;mxFastOrganicLayout[_0x7bc7[202]][_0x7bc7[1786]]= !0;mxFastOrganicLayout[_0x7bc7[202]][_0x7bc7[1750]]= !0;mxFastOrganicLayout[_0x7bc7[202]][_0x7bc7[1787]]= !0;mxFastOrganicLayout[_0x7bc7[202]][_0x7bc7[1788]]=50;mxFastOrganicLayout[_0x7bc7[202]][_0x7bc7[1789]]=0;mxFastOrganicLayout[_0x7bc7[202]][_0x7bc7[1790]]=2;mxFastOrganicLayout[_0x7bc7[202]][_0x7bc7[1791]]=500;mxFastOrganicLayout[_0x7bc7[202]][_0x7bc7[1792]]=4;mxFastOrganicLayout[_0x7bc7[202]][_0x7bc7[1793]]=200;mxFastOrganicLayout[_0x7bc7[202]][_0x7bc7[1794]]=0;mxFastOrganicLayout[_0x7bc7[202]][_0x7bc7[1795]]=0;mxFastOrganicLayout[_0x7bc7[202]][_0x7bc7[1796]]=0;mxFastOrganicLayout[_0x7bc7[202]][_0x7bc7[1797]]= !0;mxFastOrganicLayout[_0x7bc7[202]][_0x7bc7[1713]]=function(_0xfb0bx2){return mxGraphLayout[_0x7bc7[202]][_0x7bc7[1713]][_0x7bc7[183]](this,arguments)||0==this[_0x7bc7[1179]][_0x7bc7[1756]](_0xfb0bx2)[_0x7bc7[67]]};mxFastOrganicLayout[_0x7bc7[202]][_0x7bc7[350]]=function(_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[1179]][_0x7bc7[502]]();this[_0x7bc7[1798]]=[];for(var _0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[1728]](_0xfb0bx2),_0xfb0bx5=0;_0xfb0bx5<_0xfb0bx4[_0x7bc7[67]];_0xfb0bx5++){this[_0x7bc7[1713]](_0xfb0bx4[_0xfb0bx5])||this[_0x7bc7[1798]][_0x7bc7[207]](_0xfb0bx4[_0xfb0bx5])};var _0xfb0bx9=this[_0x7bc7[1786]]?this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[1799]](this[_0x7bc7[1798]]):null,_0xfb0bxa=this[_0x7bc7[1798]][_0x7bc7[67]];this[_0x7bc7[1800]]=[];this[_0x7bc7[1801]]=[];this[_0x7bc7[1802]]=[];this[_0x7bc7[1803]]=[];this[_0x7bc7[1804]]=[];this[_0x7bc7[1805]]=[];this[_0x7bc7[1806]]=[];this[_0x7bc7[1807]]=[];0.0010>this[_0x7bc7[1788]]&&(this[_0x7bc7[1788]]=0.0010);this[_0x7bc7[1789]]=this[_0x7bc7[1788]]*this[_0x7bc7[1788]];for(_0xfb0bx5=0;_0xfb0bx5_0xfb0bx3&&(_0xfb0bx3=0.0010);var _0xfb0bx4=this[_0x7bc7[1801]][_0xfb0bx2]/_0xfb0bx3*Math[_0x7bc7[243]](_0xfb0bx3,this[_0x7bc7[1794]]),_0xfb0bx3=this[_0x7bc7[1802]][_0xfb0bx2]/_0xfb0bx3*Math[_0x7bc7[243]](_0xfb0bx3,this[_0x7bc7[1794]]);this[_0x7bc7[1801]][_0xfb0bx2]=0;this[_0x7bc7[1802]][_0xfb0bx2]=0;this[_0x7bc7[1803]][_0xfb0bx2][0]+=_0xfb0bx4;this[_0x7bc7[1803]][_0xfb0bx2][1]+=_0xfb0bx3}}};mxFastOrganicLayout[_0x7bc7[202]][_0x7bc7[1811]]=function(){for(var _0xfb0bx2=0;_0xfb0bx2this[_0x7bc7[1791]]||(_0xfb0bx12_0xfb0bx2?_0xfb0bx2+_0x7bc7[213]+_0xfb0bx5:_0xfb0bx5+_0x7bc7[213]+_0xfb0bx2):null};mxParallelEdgeLayout[_0x7bc7[202]][_0x7bc7[1759]]=function(_0xfb0bx2){var _0xfb0bx3=_0xfb0bx2[0],_0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[502]](),_0xfb0bx5=_0xfb0bx4[_0x7bc7[1721]](_0xfb0bx4[_0x7bc7[1709]](_0xfb0bx3,!0)),_0xfb0bx9=_0xfb0bx4[_0x7bc7[1721]](_0xfb0bx4[_0x7bc7[1709]](_0xfb0bx3,!1));if(_0xfb0bx5==_0xfb0bx9){for(var _0xfb0bx3=_0xfb0bx5[_0x7bc7[235]]+_0xfb0bx5[_0x7bc7[117]]+this[_0x7bc7[657]],_0xfb0bx4=_0xfb0bx5[_0x7bc7[236]]+_0xfb0bx5[_0x7bc7[119]]/2,_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx2[_0x7bc7[67]];_0xfb0bxa++){this[_0x7bc7[1820]](_0xfb0bx2[_0xfb0bxa],_0xfb0bx3,_0xfb0bx4),_0xfb0bx3+=this[_0x7bc7[657]]}}else {if(null!=_0xfb0bx5&&null!=_0xfb0bx9){for(var _0xfb0bx3=_0xfb0bx5[_0x7bc7[235]]+_0xfb0bx5[_0x7bc7[117]]/2,_0xfb0bx4=_0xfb0bx5[_0x7bc7[236]]+_0xfb0bx5[_0x7bc7[119]]/2,_0xfb0bxa=_0xfb0bx9[_0x7bc7[235]]+_0xfb0bx9[_0x7bc7[117]]/2-_0xfb0bx3,_0xfb0bx12=_0xfb0bx9[_0x7bc7[236]]+_0xfb0bx9[_0x7bc7[119]]/2-_0xfb0bx4,_0xfb0bx9=Math[_0x7bc7[428]](_0xfb0bxa*_0xfb0bxa+_0xfb0bx12*_0xfb0bx12),_0xfb0bx5=_0xfb0bx12*this[_0x7bc7[657]]/_0xfb0bx9,_0xfb0bx9=_0xfb0bxa*this[_0x7bc7[657]]/_0xfb0bx9,_0xfb0bx3=_0xfb0bx3+_0xfb0bxa/2+_0xfb0bx5*(_0xfb0bx2[_0x7bc7[67]]-1)/2,_0xfb0bx4=_0xfb0bx4+_0xfb0bx12/2-_0xfb0bx9*(_0xfb0bx2[_0x7bc7[67]]-1)/2,_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx2[_0x7bc7[67]];_0xfb0bxa++){this[_0x7bc7[1820]](_0xfb0bx2[_0xfb0bxa],_0xfb0bx3,_0xfb0bx4),_0xfb0bx3-=_0xfb0bx5,_0xfb0bx4+=_0xfb0bx9}}}};mxParallelEdgeLayout[_0x7bc7[202]][_0x7bc7[1820]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){this[_0x7bc7[1179]][_0x7bc7[1712]](_0xfb0bx2)&&this[_0x7bc7[1722]](_0xfb0bx2,[ new mxPoint(_0xfb0bx3,_0xfb0bx4)])};function mxCompositeLayout(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){mxGraphLayout[_0x7bc7[239]](this,_0xfb0bx2);this[_0x7bc7[1821]]=_0xfb0bx3;this[_0x7bc7[1822]]=_0xfb0bx4}mxCompositeLayout[_0x7bc7[202]]= new mxGraphLayout;mxCompositeLayout[_0x7bc7[202]][_0x7bc7[196]]=mxCompositeLayout;mxCompositeLayout[_0x7bc7[202]][_0x7bc7[1821]]=null;mxCompositeLayout[_0x7bc7[202]][_0x7bc7[1822]]=null;mxCompositeLayout[_0x7bc7[202]][_0x7bc7[1702]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){null!=this[_0x7bc7[1822]]?this[_0x7bc7[1822]][_0x7bc7[582]][_0x7bc7[183]](this[_0x7bc7[1822]],arguments):this[_0x7bc7[1821]][0][_0x7bc7[582]][_0x7bc7[183]](this[_0x7bc7[1821]][0],arguments)};mxCompositeLayout[_0x7bc7[202]][_0x7bc7[350]]=function(_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[1179]][_0x7bc7[502]]();_0xfb0bx3[_0x7bc7[473]]();try{for(var _0xfb0bx4=0;_0xfb0bx4mxUtils[_0x7bc7[2]](_0xfb0bx14[_0x7bc7[1837]],_0xfb0bx12)&&_0xfb0bx14[_0x7bc7[1837]][_0x7bc7[207]](_0xfb0bx12))}};_0xfb0bx4[_0xfb0bx5][_0x7bc7[1826]][0]=1}}mxGraphHierarchyModel[_0x7bc7[202]][_0x7bc7[1827]]=null;mxGraphHierarchyModel[_0x7bc7[202]][_0x7bc7[1846]]=null;mxGraphHierarchyModel[_0x7bc7[202]][_0x7bc7[1847]]=null;mxGraphHierarchyModel[_0x7bc7[202]][_0x7bc7[1850]]=null;mxGraphHierarchyModel[_0x7bc7[202]][_0x7bc7[1845]]=null;mxGraphHierarchyModel[_0x7bc7[202]][_0x7bc7[1101]]=null;mxGraphHierarchyModel[_0x7bc7[202]][_0x7bc7[1851]]=0;mxGraphHierarchyModel[_0x7bc7[202]][_0x7bc7[1848]]=1E8;mxGraphHierarchyModel[_0x7bc7[202]][_0x7bc7[1844]]= !1;mxGraphHierarchyModel[_0x7bc7[202]][_0x7bc7[1849]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){for(var _0xfb0bx5=_0xfb0bx2[_0x7bc7[1703]](),_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx3[_0x7bc7[67]];_0xfb0bx9++){_0xfb0bx4[_0xfb0bx9]= new mxGraphHierarchyNode(_0xfb0bx3[_0xfb0bx9]);var _0xfb0bxa=mxCellPath[_0x7bc7[385]](_0xfb0bx3[_0xfb0bx9]);this[_0x7bc7[1846]][_0xfb0bxa]=_0xfb0bx4[_0xfb0bx9];_0xfb0bxa=_0xfb0bx2[_0x7bc7[264]](_0xfb0bx3[_0xfb0bx9]);_0xfb0bx4[_0xfb0bx9][_0x7bc7[1838]]=[];for(var _0xfb0bx12=0;_0xfb0bx12<_0xfb0bxa[_0x7bc7[67]];_0xfb0bx12++){var _0xfb0bx13=_0xfb0bx2[_0x7bc7[1710]](_0xfb0bxa[_0xfb0bx12],!1);if(_0xfb0bx13!=_0xfb0bx3[_0xfb0bx9]&&_0xfb0bx13!=_0xfb0bx3[_0xfb0bx9]&&_0xfb0bx2[_0x7bc7[1179]][_0x7bc7[251]][_0x7bc7[1193]](_0xfb0bx13)&&!_0xfb0bx2[_0x7bc7[1713]](_0xfb0bx13)){var _0xfb0bx14=_0xfb0bx2[_0x7bc7[1781]](_0xfb0bx3[_0xfb0bx9],_0xfb0bx13,!1),_0xfb0bx15=_0xfb0bx2[_0x7bc7[1781]](_0xfb0bx3[_0xfb0bx9],_0xfb0bx13,!0),_0xfb0bx13=mxCellPath[_0x7bc7[385]](_0xfb0bx14[0]);if(null!=_0xfb0bx14&&0<_0xfb0bx14[_0x7bc7[67]]&&null==this[_0x7bc7[1847]][_0xfb0bx13]&&2*_0xfb0bx15[_0x7bc7[67]]>=_0xfb0bx14[_0x7bc7[67]]){for(var _0xfb0bx15= new mxGraphHierarchyEdge(_0xfb0bx14),_0xfb0bx16=0;_0xfb0bx16<_0xfb0bx14[_0x7bc7[67]];_0xfb0bx16++){var _0xfb0bx17=_0xfb0bx14[_0xfb0bx16],_0xfb0bx13=mxCellPath[_0x7bc7[385]](_0xfb0bx17);this[_0x7bc7[1847]][_0xfb0bx13]=_0xfb0bx15;_0xfb0bx5[_0x7bc7[1809]](_0xfb0bx17);_0xfb0bx2[_0x7bc7[1787]]&&(_0xfb0bx2[_0x7bc7[1716]](_0xfb0bx17,!1),_0xfb0bx2[_0x7bc7[1718]](_0xfb0bx17,!0))};_0xfb0bx15[_0x7bc7[1097]]=_0xfb0bx4[_0xfb0bx9];0>mxUtils[_0x7bc7[2]](_0xfb0bx4[_0xfb0bx9][_0x7bc7[1838]],_0xfb0bx15)&&_0xfb0bx4[_0xfb0bx9][_0x7bc7[1838]][_0x7bc7[207]](_0xfb0bx15)}}};_0xfb0bx4[_0xfb0bx9][_0x7bc7[1826]][0]=0}};mxGraphHierarchyModel[_0x7bc7[202]][_0x7bc7[1852]]=function(){var _0xfb0bx2=[];if(null!=this[_0x7bc7[1845]]){for(var _0xfb0bx3=0;_0xfb0bx3_0xfb0bx4[_0x7bc7[1827]]&&0>_0xfb0bx4[_0x7bc7[1828]])&&(_0xfb0bx2[_0xfb0bx4[_0x7bc7[1826]][0]][_0x7bc7[207]](_0xfb0bx4),_0xfb0bx4[_0x7bc7[1827]]=_0xfb0bx4[_0x7bc7[1826]][0],_0xfb0bx4[_0x7bc7[1828]]=_0xfb0bx4[_0x7bc7[1826]][0],_0xfb0bx4[_0x7bc7[1826]][0]=_0xfb0bx2[_0xfb0bx4[_0x7bc7[1827]]][_0x7bc7[67]]-1);if(null!=_0xfb0bx3&&null!=_0xfb0bx5&&1<_0xfb0bx3[_0x7bc7[1827]]-_0xfb0bx4[_0x7bc7[1827]]){_0xfb0bx5[_0x7bc7[1827]]=_0xfb0bx3[_0x7bc7[1827]];_0xfb0bx5[_0x7bc7[1828]]=_0xfb0bx4[_0x7bc7[1827]];_0xfb0bx5[_0x7bc7[1826]]=[];_0xfb0bx5[_0x7bc7[235]]=[];_0xfb0bx5[_0x7bc7[236]]=[];for(_0xfb0bx3=_0xfb0bx5[_0x7bc7[1828]]+1;_0xfb0bx3<_0xfb0bx5[_0x7bc7[1827]];_0xfb0bx3++){_0xfb0bx2[_0xfb0bx3][_0x7bc7[207]](_0xfb0bx5),_0xfb0bx5[_0x7bc7[1834]](_0xfb0bx3,_0xfb0bx2[_0xfb0bx3][_0x7bc7[67]]-1)}}},_0xfb0bx4,!1,null)};mxGraphHierarchyModel[_0x7bc7[202]][_0x7bc7[209]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){if(null!=_0xfb0bx3){for(var _0xfb0bx9=0;_0xfb0bx9<_0xfb0bx3[_0x7bc7[67]];_0xfb0bx9++){var _0xfb0bxa=_0xfb0bx3[_0xfb0bx9];null!=_0xfb0bxa&&(null==_0xfb0bx5&&(_0xfb0bx5={}),_0xfb0bx4?(_0xfb0bxa[_0x7bc7[1839]]=[],_0xfb0bxa[_0x7bc7[1839]][0]=this[_0x7bc7[1851]],_0xfb0bxa[_0x7bc7[1839]][1]=_0xfb0bx9,this[_0x7bc7[1855]](null,_0xfb0bxa,null,_0xfb0bx2,_0xfb0bx5,_0xfb0bxa[_0x7bc7[1839]],_0xfb0bx9,0)):this[_0x7bc7[1758]](null,_0xfb0bxa,null,_0xfb0bx2,_0xfb0bx5,0))};this[_0x7bc7[1851]]++}};mxGraphHierarchyModel[_0x7bc7[202]][_0x7bc7[1758]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){if(null!=_0xfb0bx3){var _0xfb0bx12=mxCellPath[_0x7bc7[385]](_0xfb0bx3[_0x7bc7[246]]);if(null==_0xfb0bx9[_0xfb0bx12]){_0xfb0bx9[_0xfb0bx12]=_0xfb0bx3;_0xfb0bx5(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bxa,0);_0xfb0bx2=_0xfb0bx3[_0x7bc7[1838]][_0x7bc7[1853]]();for(_0xfb0bx4=0;_0xfb0bx4<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx4++){_0xfb0bx12=_0xfb0bx2[_0xfb0bx4],this[_0x7bc7[1758]](_0xfb0bx3,_0xfb0bx12[_0x7bc7[772]],_0xfb0bx12,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa+1)}}else {_0xfb0bx5(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bxa,1)}}};mxGraphHierarchyModel[_0x7bc7[202]][_0x7bc7[1855]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12,_0xfb0bx13){if(null!=_0xfb0bx3){if(null!=_0xfb0bx2&&(null==_0xfb0bx3[_0x7bc7[1839]]||_0xfb0bx3[_0x7bc7[1839]][0]!=_0xfb0bx2[_0x7bc7[1839]][0])){_0xfb0bxa=_0xfb0bx2[_0x7bc7[1839]][_0x7bc7[67]]+1,_0xfb0bx3[_0x7bc7[1839]]=_0xfb0bx2[_0x7bc7[1839]][_0x7bc7[1853]](),_0xfb0bx3[_0x7bc7[1839]][_0xfb0bxa-1]=_0xfb0bx12};_0xfb0bx12=mxCellPath[_0x7bc7[385]](_0xfb0bx3[_0x7bc7[246]]);if(null==_0xfb0bx9[_0xfb0bx12]){_0xfb0bx9[_0xfb0bx12]=_0xfb0bx3;_0xfb0bx5(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx13,0);_0xfb0bx2=_0xfb0bx3[_0x7bc7[1838]][_0x7bc7[1853]]();for(_0xfb0bx4=0;_0xfb0bx4<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx4++){_0xfb0bx12=_0xfb0bx2[_0xfb0bx4],this[_0x7bc7[1855]](_0xfb0bx3,_0xfb0bx12[_0x7bc7[772]],_0xfb0bx12,_0xfb0bx5,_0xfb0bx9,_0xfb0bx3[_0x7bc7[1839]],_0xfb0bx4,_0xfb0bx13+1)}}else {_0xfb0bx5(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx13,1)}}};function mxHierarchicalLayoutStage(){}mxHierarchicalLayoutStage[_0x7bc7[202]][_0x7bc7[350]]=function(_0xfb0bx2){};function mxMedianHybridCrossingReduction(_0xfb0bx2){this[_0x7bc7[1759]]=_0xfb0bx2}mxMedianHybridCrossingReduction[_0x7bc7[202]]= new mxHierarchicalLayoutStage;mxMedianHybridCrossingReduction[_0x7bc7[202]][_0x7bc7[196]]=mxMedianHybridCrossingReduction;mxMedianHybridCrossingReduction[_0x7bc7[202]][_0x7bc7[1759]]=null;mxMedianHybridCrossingReduction[_0x7bc7[202]][_0x7bc7[1795]]=24;mxMedianHybridCrossingReduction[_0x7bc7[202]][_0x7bc7[1856]]=null;mxMedianHybridCrossingReduction[_0x7bc7[202]][_0x7bc7[1857]]=0;mxMedianHybridCrossingReduction[_0x7bc7[202]][_0x7bc7[1858]]=0;mxMedianHybridCrossingReduction[_0x7bc7[202]][_0x7bc7[1859]]=2;mxMedianHybridCrossingReduction[_0x7bc7[202]][_0x7bc7[350]]=function(_0xfb0bx2){_0xfb0bx2=this[_0x7bc7[1759]][_0x7bc7[502]]();this[_0x7bc7[1856]]=[];for(var _0xfb0bx3=0;_0xfb0bx3<_0xfb0bx2[_0x7bc7[1850]][_0x7bc7[67]];_0xfb0bx3++){this[_0x7bc7[1856]][_0xfb0bx3]=_0xfb0bx2[_0x7bc7[1850]][_0xfb0bx3][_0x7bc7[1853]]()};for(var _0xfb0bx4=0,_0xfb0bx5=this[_0x7bc7[1860]](_0xfb0bx2),_0xfb0bx3=0;_0xfb0bx3_0xfb0bx5++;){for(var _0xfb0bx9=1==_0xfb0bx2%2&&1==_0xfb0bx5%2,_0xfb0bx4=!1,_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx3[_0x7bc7[1850]][_0x7bc7[67]];_0xfb0bxa++){for(var _0xfb0bx12=_0xfb0bx3[_0x7bc7[1850]][_0xfb0bxa],_0xfb0bx13=[],_0xfb0bx14=0;_0xfb0bx14<_0xfb0bx12[_0x7bc7[67]];_0xfb0bx14++){var _0xfb0bx15=_0xfb0bx12[_0xfb0bx14],_0xfb0bx16=_0xfb0bx15[_0x7bc7[1833]](_0xfb0bxa);0>_0xfb0bx16&&(_0xfb0bx16=_0xfb0bx14);_0xfb0bx13[_0xfb0bx16]=_0xfb0bx15};for(var _0xfb0bx17=_0xfb0bx16=_0xfb0bx15=null,_0xfb0bx18=null,_0xfb0bx19=null,_0xfb0bx1a=null,_0xfb0bx1b=null,_0xfb0bx1c=null,_0xfb0bx1d=null,_0xfb0bx1e=null,_0xfb0bx14=0;_0xfb0bx14<_0xfb0bx12[_0x7bc7[67]]-1;_0xfb0bx14++){if(0==_0xfb0bx14){for(var _0xfb0bx1d=_0xfb0bx13[_0xfb0bx14],_0xfb0bx15=_0xfb0bx1d[_0x7bc7[1831]](_0xfb0bxa),_0xfb0bx16=_0xfb0bx1d[_0x7bc7[1832]](_0xfb0bxa),_0xfb0bx19=[],_0xfb0bx1a=[],_0xfb0bx1f=0;_0xfb0bx1f<_0xfb0bx15[_0x7bc7[67]];_0xfb0bx1f++){_0xfb0bx19[_0xfb0bx1f]=_0xfb0bx15[_0xfb0bx1f][_0x7bc7[1833]](_0xfb0bxa+1)};for(_0xfb0bx1f=0;_0xfb0bx1f<_0xfb0bx16[_0x7bc7[67]];_0xfb0bx1f++){_0xfb0bx1a[_0xfb0bx1f]=_0xfb0bx16[_0xfb0bx1f][_0x7bc7[1833]](_0xfb0bxa-1)}}else {_0xfb0bx15=_0xfb0bx17,_0xfb0bx16=_0xfb0bx18,_0xfb0bx19=_0xfb0bx1b,_0xfb0bx1a=_0xfb0bx1c,_0xfb0bx1d=_0xfb0bx1e};_0xfb0bx1e=_0xfb0bx13[_0xfb0bx14+1];_0xfb0bx17=_0xfb0bx1e[_0x7bc7[1831]](_0xfb0bxa);_0xfb0bx18=_0xfb0bx1e[_0x7bc7[1832]](_0xfb0bxa);_0xfb0bx1b=[];_0xfb0bx1c=[];for(_0xfb0bx1f=0;_0xfb0bx1f<_0xfb0bx17[_0x7bc7[67]];_0xfb0bx1f++){_0xfb0bx1b[_0xfb0bx1f]=_0xfb0bx17[_0xfb0bx1f][_0x7bc7[1833]](_0xfb0bxa+1)};for(_0xfb0bx1f=0;_0xfb0bx1f<_0xfb0bx18[_0x7bc7[67]];_0xfb0bx1f++){_0xfb0bx1c[_0xfb0bx1f]=_0xfb0bx18[_0xfb0bx1f][_0x7bc7[1833]](_0xfb0bxa-1)};for(var _0xfb0bx20=0,_0xfb0bx62=0,_0xfb0bx1f=0;_0xfb0bx1f<_0xfb0bx19[_0x7bc7[67]];_0xfb0bx1f++){for(var _0xfb0bx63=0;_0xfb0bx63<_0xfb0bx1b[_0x7bc7[67]];_0xfb0bx63++){_0xfb0bx19[_0xfb0bx1f]>_0xfb0bx1b[_0xfb0bx63]&&_0xfb0bx20++,_0xfb0bx19[_0xfb0bx1f]<_0xfb0bx1b[_0xfb0bx63]&&_0xfb0bx62++}};for(_0xfb0bx1f=0;_0xfb0bx1f<_0xfb0bx1a[_0x7bc7[67]];_0xfb0bx1f++){for(_0xfb0bx63=0;_0xfb0bx63<_0xfb0bx1c[_0x7bc7[67]];_0xfb0bx63++){_0xfb0bx1a[_0xfb0bx1f]>_0xfb0bx1c[_0xfb0bx63]&&_0xfb0bx20++,_0xfb0bx1a[_0xfb0bx1f]<_0xfb0bx1c[_0xfb0bx63]&&_0xfb0bx62++}};if(_0xfb0bx62<_0xfb0bx20||_0xfb0bx62==_0xfb0bx20&&_0xfb0bx9){_0xfb0bx17=_0xfb0bx1d[_0x7bc7[1833]](_0xfb0bxa),_0xfb0bx1d[_0x7bc7[1834]](_0xfb0bxa,_0xfb0bx1e[_0x7bc7[1833]](_0xfb0bxa)),_0xfb0bx1e[_0x7bc7[1834]](_0xfb0bxa,_0xfb0bx17),_0xfb0bx17=_0xfb0bx15,_0xfb0bx18=_0xfb0bx16,_0xfb0bx1b=_0xfb0bx19,_0xfb0bx1c=_0xfb0bx1a,_0xfb0bx1e=_0xfb0bx1d,_0xfb0bx9||(_0xfb0bx4= !0)}}}}};mxMedianHybridCrossingReduction[_0x7bc7[202]][_0x7bc7[1861]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=0==_0xfb0bx2%2;if(_0xfb0bx4){for(var _0xfb0bx5=_0xfb0bx3[_0x7bc7[1827]]-1;0<=_0xfb0bx5;_0xfb0bx5--){this[_0x7bc7[1864]](_0xfb0bx5,_0xfb0bx4)}}else {for(_0xfb0bx5=1;_0xfb0bx5<_0xfb0bx3[_0x7bc7[1827]];_0xfb0bx5++){this[_0x7bc7[1864]](_0xfb0bx5,_0xfb0bx4)}}};mxMedianHybridCrossingReduction[_0x7bc7[202]][_0x7bc7[1864]]=function(_0xfb0bx2,_0xfb0bx3){for(var _0xfb0bx4=this[_0x7bc7[1856]][_0xfb0bx2][_0x7bc7[67]],_0xfb0bx5=[],_0xfb0bx9=[],_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx4;_0xfb0bxa++){var _0xfb0bx12=this[_0x7bc7[1856]][_0xfb0bx2][_0xfb0bxa],_0xfb0bx13= new MedianCellSorter;_0xfb0bx13[_0x7bc7[246]]=_0xfb0bx12;var _0xfb0bx14;_0xfb0bx14=_0xfb0bx3?_0xfb0bx12[_0x7bc7[1831]](_0xfb0bx2):_0xfb0bx12[_0x7bc7[1832]](_0xfb0bx2);var _0xfb0bx15;_0xfb0bx15=_0xfb0bx3?_0xfb0bx2+1:_0xfb0bx2-1;null!=_0xfb0bx14&&0!=_0xfb0bx14[_0x7bc7[67]]?(_0xfb0bx13[_0x7bc7[1865]]=this[_0x7bc7[1865]](_0xfb0bx14,_0xfb0bx15),_0xfb0bx5[_0x7bc7[207]](_0xfb0bx13)):_0xfb0bx9[_0xfb0bx12[_0x7bc7[1833]](_0xfb0bx2)]= !0};_0xfb0bx5[_0x7bc7[470]](MedianCellSorter[_0x7bc7[202]][_0x7bc7[469]]);for(_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx4;_0xfb0bxa++){null==_0xfb0bx9[_0xfb0bxa]&&(_0xfb0bx12=_0xfb0bx5[_0x7bc7[1115]]()[_0x7bc7[246]],_0xfb0bx12[_0x7bc7[1834]](_0xfb0bx2,_0xfb0bxa))}};mxMedianHybridCrossingReduction[_0x7bc7[202]][_0x7bc7[1865]]=function(_0xfb0bx2,_0xfb0bx3){for(var _0xfb0bx4=[],_0xfb0bx5=0,_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx9++){var _0xfb0bxa=_0xfb0bx2[_0xfb0bx9];_0xfb0bx4[_0xfb0bx5++]=_0xfb0bxa[_0x7bc7[1833]](_0xfb0bx3)};_0xfb0bx4[_0x7bc7[470]](function(_0xfb0bx2,_0xfb0bx3){return _0xfb0bx2-_0xfb0bx3});if(1==_0xfb0bx5%2){return _0xfb0bx4[Math[_0x7bc7[519]](_0xfb0bx5/2)]};if(2==_0xfb0bx5){return (_0xfb0bx4[0]+_0xfb0bx4[1])/2};_0xfb0bx9=_0xfb0bx5/2;_0xfb0bxa=_0xfb0bx4[_0xfb0bx9-1]-_0xfb0bx4[0];_0xfb0bx5=_0xfb0bx4[_0xfb0bx5-1]-_0xfb0bx4[_0xfb0bx9];return (_0xfb0bx4[_0xfb0bx9-1]*_0xfb0bx5+_0xfb0bx4[_0xfb0bx9]*_0xfb0bxa)/(_0xfb0bxa+_0xfb0bx5)};function MedianCellSorter(){}MedianCellSorter[_0x7bc7[202]][_0x7bc7[1865]]=0;MedianCellSorter[_0x7bc7[202]][_0x7bc7[246]]= !1;MedianCellSorter[_0x7bc7[202]][_0x7bc7[469]]=function(_0xfb0bx2,_0xfb0bx3){return null!=_0xfb0bx2&&null!=_0xfb0bx3?_0xfb0bx3[_0x7bc7[1865]]>_0xfb0bx2[_0x7bc7[1865]]?-1:_0xfb0bx3[_0x7bc7[1865]]<_0xfb0bx2[_0x7bc7[1865]]?1:0:0};function mxMinimumCycleRemover(_0xfb0bx2){this[_0x7bc7[1759]]=_0xfb0bx2}mxMinimumCycleRemover[_0x7bc7[202]]= new mxHierarchicalLayoutStage;mxMinimumCycleRemover[_0x7bc7[202]][_0x7bc7[196]]=mxMinimumCycleRemover;mxMinimumCycleRemover[_0x7bc7[202]][_0x7bc7[1759]]=null;mxMinimumCycleRemover[_0x7bc7[202]][_0x7bc7[350]]=function(_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[1759]][_0x7bc7[502]](),_0xfb0bx4={},_0xfb0bx5=mxUtils[_0x7bc7[238]](_0xfb0bx3[_0x7bc7[1846]],null,!0),_0xfb0bx9=null;if(null!=_0xfb0bx3[_0x7bc7[1845]]){var _0xfb0bxa=_0xfb0bx3[_0x7bc7[1845]],_0xfb0bx9=[];for(_0xfb0bx2=0;_0xfb0bx2<_0xfb0bxa[_0x7bc7[67]];_0xfb0bx2++){var _0xfb0bx12=mxCellPath[_0x7bc7[385]](_0xfb0bxa[_0xfb0bx2]);_0xfb0bx9[_0xfb0bx2]=_0xfb0bx3[_0x7bc7[1846]][_0xfb0bx12]}};_0xfb0bx3[_0x7bc7[209]](function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12){_0xfb0bx3[_0x7bc7[1720]](_0xfb0bx2)&&(_0xfb0bx9[_0x7bc7[1744]](),mxUtils[_0x7bc7[205]](_0xfb0bx9,_0xfb0bx2[_0x7bc7[1838]]),_0xfb0bx2[_0x7bc7[1837]][_0x7bc7[207]](_0xfb0bx9),mxUtils[_0x7bc7[205]](_0xfb0bx9,_0xfb0bx3[_0x7bc7[1837]]),_0xfb0bx3[_0x7bc7[1838]][_0x7bc7[207]](_0xfb0bx9));_0xfb0bx2=mxCellPath[_0x7bc7[385]](_0xfb0bx3[_0x7bc7[246]]);_0xfb0bx4[_0xfb0bx2]=_0xfb0bx3;delete _0xfb0bx5[_0xfb0bx2]},_0xfb0bx9,!0,null);_0xfb0bx9=null;0<_0xfb0bx5[_0x7bc7[1866]]&&(_0xfb0bx9=mxUtils[_0x7bc7[238]](_0xfb0bx5,null,!0));_0xfb0bx2=mxUtils[_0x7bc7[238]](_0xfb0bx4,null,!0);_0xfb0bx3[_0x7bc7[209]](function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12){_0xfb0bx3[_0x7bc7[1720]](_0xfb0bx2)&&(_0xfb0bx9[_0x7bc7[1744]](),mxUtils[_0x7bc7[205]](_0xfb0bx9,_0xfb0bx2[_0x7bc7[1838]]),_0xfb0bx3[_0x7bc7[1838]][_0x7bc7[207]](_0xfb0bx9),_0xfb0bx2[_0x7bc7[1837]][_0x7bc7[207]](_0xfb0bx9),mxUtils[_0x7bc7[205]](_0xfb0bx9,_0xfb0bx3[_0x7bc7[1837]]));_0xfb0bx2=mxCellPath[_0x7bc7[385]](_0xfb0bx3[_0x7bc7[246]]);_0xfb0bx4[_0xfb0bx2]=_0xfb0bx3;delete _0xfb0bx5[_0xfb0bx2]},_0xfb0bx5,!0,_0xfb0bx2);_0xfb0bxa=this[_0x7bc7[1759]][_0x7bc7[1703]]();if(null!=_0xfb0bx9&&0<_0xfb0bx9[_0x7bc7[67]]){_0xfb0bx3=_0xfb0bx3[_0x7bc7[1845]];for(_0xfb0bx2=0;_0xfb0bx2<_0xfb0bx9[_0x7bc7[67]];_0xfb0bx2++){_0xfb0bx12=_0xfb0bx9[_0xfb0bx2][_0x7bc7[246]],0==_0xfb0bxa[_0x7bc7[1867]](_0xfb0bx12)[_0x7bc7[67]]&&_0xfb0bx3[_0x7bc7[207]](_0xfb0bx12)}}};function mxCoordinateAssignment(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){this[_0x7bc7[1759]]=_0xfb0bx2;this[_0x7bc7[1868]]=_0xfb0bx3;this[_0x7bc7[1869]]=_0xfb0bx4;this[_0x7bc7[1870]]=_0xfb0bx5;this[_0x7bc7[1871]]=_0xfb0bx9;this[_0x7bc7[1872]]=_0xfb0bxa}var mxHierarchicalEdgeStyle={ORTHOGONAL:1,POLYLINE:2,STRAIGHT:3,CURVE:4};mxCoordinateAssignment[_0x7bc7[202]]= new mxHierarchicalLayoutStage;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[196]]=mxCoordinateAssignment;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1759]]=null;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1868]]=30;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1869]]=100;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1872]]=10;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1795]]=8;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1751]]=5;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1752]]=2;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1753]]=12;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1754]]=4;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1873]]=null;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1870]]=mxConstants[_0x7bc7[1358]];mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1871]]=null;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1874]]=null;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1875]]=null;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1876]]=null;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1877]]=null;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1878]]=null;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1879]]=null;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1880]]=null;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1881]]=null;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1882]]= !0;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[675]]=mxHierarchicalEdgeStyle[_0x7bc7[1883]];mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1884]]=null;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1885]]=null;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1745]]=10;mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1886]]=function(){var _0xfb0bx2=this[_0x7bc7[1759]][_0x7bc7[502]]();mxLog[_0x7bc7[539]]();mxLog[_0x7bc7[171]](_0x7bc7[1887]);for(var _0xfb0bx3=0;_0xfb0bx3<_0xfb0bx2[_0x7bc7[1850]][_0x7bc7[67]];_0xfb0bx3++){mxLog[_0x7bc7[53]](_0x7bc7[1888],_0xfb0bx3,_0x7bc7[1889]);for(var _0xfb0bx4=_0xfb0bx2[_0x7bc7[1850]][_0xfb0bx3],_0xfb0bx5=0;_0xfb0bx5<_0xfb0bx4[_0x7bc7[67]];_0xfb0bx5++){mxLog[_0x7bc7[53]](_0xfb0bx4[_0xfb0bx5][_0x7bc7[1833]](_0xfb0bx3),_0x7bc7[316])};mxLog[_0x7bc7[171]]()};mxLog[_0x7bc7[171]](_0x7bc7[1890])};mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[350]]=function(_0xfb0bx2){this[_0x7bc7[1873]]=[];_0xfb0bx2=this[_0x7bc7[1759]][_0x7bc7[502]]();this[_0x7bc7[1875]]=0;this[_0x7bc7[1891]](this[_0x7bc7[1759]][_0x7bc7[1703]](),_0xfb0bx2);this[_0x7bc7[1882]]&&this[_0x7bc7[1892]](_0xfb0bx2);var _0xfb0bx3=1E8;if(this[_0x7bc7[1882]]){for(var _0xfb0bx4=0;_0xfb0bx4_0xfb0bx1c+1&&(_0xfb0bx15==_0xfb0bx5[_0xfb0bx14][_0x7bc7[67]]-1?(_0xfb0bx9[_0x7bc7[1834]](_0xfb0bx14,_0xfb0bx1d),_0xfb0bx17= !0):(_0xfb0bx15=_0xfb0bx5[_0xfb0bx14][_0xfb0bx15+1],_0xfb0bx1c=_0xfb0bx15[_0x7bc7[1833]](_0xfb0bx14),_0xfb0bx1c=_0xfb0bx1c-_0xfb0bx15[_0x7bc7[117]]/2-this[_0x7bc7[1868]]-_0xfb0bx9[_0x7bc7[117]]/2,_0xfb0bx1c>_0xfb0bx1d?(_0xfb0bx9[_0x7bc7[1834]](_0xfb0bx14,_0xfb0bx1d),_0xfb0bx17= !0):_0xfb0bx1c>_0xfb0bx9[_0x7bc7[1833]](_0xfb0bx14)+1&&(_0xfb0bx9[_0x7bc7[1834]](_0xfb0bx14,_0xfb0bx1c),_0xfb0bx17= !0)));if(_0xfb0bx17){for(_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx12[_0x7bc7[67]];_0xfb0bx9++){_0xfb0bx14=_0xfb0bx12[_0xfb0bx9],_0xfb0bx14=mxCellPath[_0x7bc7[385]](_0xfb0bx14[_0x7bc7[1841]]()),_0xfb0bx14=_0xfb0bx4[_0xfb0bx14],null!=_0xfb0bx14&&!1==_0xfb0bx14[_0x7bc7[1784]]&&(_0xfb0bx14[_0x7bc7[1784]]= !0,_0xfb0bx3[_0x7bc7[207]](_0xfb0bx14))};for(_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx16[_0x7bc7[67]];_0xfb0bx9++){_0xfb0bx14=_0xfb0bx16[_0xfb0bx9],_0xfb0bx14=mxCellPath[_0x7bc7[385]](_0xfb0bx14[_0x7bc7[1841]]()),_0xfb0bx14=_0xfb0bx4[_0xfb0bx14],null!=_0xfb0bx14&&!1==_0xfb0bx14[_0x7bc7[1784]]&&(_0xfb0bx14[_0x7bc7[1784]]= !0,_0xfb0bx3[_0x7bc7[207]](_0xfb0bx14))}};_0xfb0bx13[_0x7bc7[1784]]= !1;_0xfb0bxa++}};mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1893]]=function(_0xfb0bx2,_0xfb0bx3){if(0==_0xfb0bx2%2){for(var _0xfb0bx4=_0xfb0bx3[_0x7bc7[1827]];0<_0xfb0bx4;_0xfb0bx4--){this[_0x7bc7[1897]](_0xfb0bx4-1,_0xfb0bx3,_0xfb0bx4)}}else {for(_0xfb0bx4=0;_0xfb0bx4<_0xfb0bx3[_0x7bc7[1827]]-1;_0xfb0bx4++){this[_0x7bc7[1897]](_0xfb0bx4+1,_0xfb0bx3,_0xfb0bx4)}}};mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1897]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx3=_0xfb0bx3[_0x7bc7[1850]][_0xfb0bx2];for(var _0xfb0bx5=[],_0xfb0bx9=[],_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx3[_0x7bc7[67]];_0xfb0bxa++){var _0xfb0bx12=_0xfb0bx3[_0xfb0bxa];_0xfb0bx5[_0xfb0bxa]= new WeightedCellSorter;_0xfb0bx5[_0xfb0bxa][_0x7bc7[246]]=_0xfb0bx12;_0xfb0bx5[_0xfb0bxa][_0x7bc7[1785]]=_0xfb0bxa;var _0xfb0bx13=mxCellPath[_0x7bc7[385]](_0xfb0bx12[_0x7bc7[1841]]());_0xfb0bx9[_0xfb0bx13]=_0xfb0bx5[_0xfb0bxa];var _0xfb0bx14=null,_0xfb0bx14=_0xfb0bx4<_0xfb0bx2?_0xfb0bx12[_0x7bc7[1832]](_0xfb0bx2):_0xfb0bx12[_0x7bc7[1831]](_0xfb0bx2);_0xfb0bx5[_0xfb0bxa][_0x7bc7[1782]]=this[_0x7bc7[1898]](_0xfb0bx12,_0xfb0bx14)};_0xfb0bx5[_0x7bc7[470]](WeightedCellSorter[_0x7bc7[202]][_0x7bc7[469]]);for(_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx5[_0x7bc7[67]];_0xfb0bxa++){_0xfb0bx13=0;_0xfb0bx12=_0xfb0bx5[_0xfb0bxa][_0x7bc7[246]];_0xfb0bx13=0;_0xfb0bx14=_0xfb0bx4<_0xfb0bx2?_0xfb0bx12[_0x7bc7[1832]](_0xfb0bx2)[_0x7bc7[1853]]():_0xfb0bx12[_0x7bc7[1831]](_0xfb0bx2)[_0x7bc7[1853]]();null!=_0xfb0bx14&&(_0xfb0bx13=_0xfb0bx14[_0x7bc7[67]],_0xfb0bx13=0<_0xfb0bx13?this[_0x7bc7[1896]](_0xfb0bx14,_0xfb0bx4):_0xfb0bx12[_0x7bc7[1833]](_0xfb0bx2));for(var _0xfb0bx15=0,_0xfb0bx14=-1E8,_0xfb0bx16=_0xfb0bx5[_0xfb0bxa][_0x7bc7[1785]]-1;0<=_0xfb0bx16;){var _0xfb0bx17=mxCellPath[_0x7bc7[385]](_0xfb0bx3[_0xfb0bx16][_0x7bc7[1841]]()),_0xfb0bx17=_0xfb0bx9[_0xfb0bx17];if(null!=_0xfb0bx17){var _0xfb0bx18=_0xfb0bx17[_0x7bc7[246]];_0xfb0bx17[_0x7bc7[1784]]?(_0xfb0bx14=_0xfb0bx18[_0x7bc7[1833]](_0xfb0bx2)+_0xfb0bx18[_0x7bc7[117]]/2+this[_0x7bc7[1868]]+_0xfb0bx15+_0xfb0bx12[_0x7bc7[117]]/2,_0xfb0bx16= -1):(_0xfb0bx15+=_0xfb0bx18[_0x7bc7[117]]+this[_0x7bc7[1868]],_0xfb0bx16--)}};_0xfb0bx15=0;_0xfb0bx18=1E8;for(_0xfb0bx16=_0xfb0bx5[_0xfb0bxa][_0x7bc7[1785]]+1;_0xfb0bx16<_0xfb0bx5[_0x7bc7[67]];){if(_0xfb0bx17=mxCellPath[_0x7bc7[385]](_0xfb0bx3[_0xfb0bx16][_0x7bc7[1841]]()),_0xfb0bx17=_0xfb0bx9[_0xfb0bx17],null!=_0xfb0bx17){var _0xfb0bx19=_0xfb0bx17[_0x7bc7[246]];_0xfb0bx17[_0x7bc7[1784]]?(_0xfb0bx18=_0xfb0bx19[_0x7bc7[1833]](_0xfb0bx2)-_0xfb0bx19[_0x7bc7[117]]/2-this[_0x7bc7[1868]]-_0xfb0bx15-_0xfb0bx12[_0x7bc7[117]]/2,_0xfb0bx16=_0xfb0bx5[_0x7bc7[67]]):(_0xfb0bx15+=_0xfb0bx19[_0x7bc7[117]]+this[_0x7bc7[1868]],_0xfb0bx16++)}};_0xfb0bx13>=_0xfb0bx14&&_0xfb0bx13<=_0xfb0bx18?_0xfb0bx12[_0x7bc7[1834]](_0xfb0bx2,_0xfb0bx13):_0xfb0bx13<_0xfb0bx14?(_0xfb0bx12[_0x7bc7[1834]](_0xfb0bx2,_0xfb0bx14),this[_0x7bc7[1875]]+=_0xfb0bx14-_0xfb0bx13):_0xfb0bx13>_0xfb0bx18&&(_0xfb0bx12[_0x7bc7[1834]](_0xfb0bx2,_0xfb0bx18),this[_0x7bc7[1875]]+=_0xfb0bx13-_0xfb0bx18);_0xfb0bx5[_0xfb0bxa][_0x7bc7[1784]]= !0}};mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1898]]=function(_0xfb0bx2,_0xfb0bx3){for(var _0xfb0bx4=0,_0xfb0bx5=0;_0xfb0bx5<_0xfb0bx3[_0x7bc7[67]];_0xfb0bx5++){var _0xfb0bx9=_0xfb0bx3[_0xfb0bx5];_0xfb0bx2[_0x7bc7[1193]]()&&_0xfb0bx9[_0x7bc7[1193]]()?_0xfb0bx4++:_0xfb0bx4=_0xfb0bx2[_0x7bc7[250]]()&&_0xfb0bx9[_0x7bc7[250]]()?_0xfb0bx4+8:_0xfb0bx4+2};return _0xfb0bx4};mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1896]]=function(_0xfb0bx2,_0xfb0bx3){if(0==_0xfb0bx2[_0x7bc7[67]]){return 0};for(var _0xfb0bx4=[],_0xfb0bx5=0;_0xfb0bx5<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx5++){_0xfb0bx4[_0xfb0bx5]=_0xfb0bx2[_0xfb0bx5][_0x7bc7[1833]](_0xfb0bx3)};_0xfb0bx4[_0x7bc7[470]](function(_0xfb0bx2,_0xfb0bx3){return _0xfb0bx2-_0xfb0bx3});if(1==_0xfb0bx2[_0x7bc7[67]]%2){return _0xfb0bx4[Math[_0x7bc7[519]](_0xfb0bx2[_0x7bc7[67]]/2)]};_0xfb0bx5=_0xfb0bx2[_0x7bc7[67]]/2;return (_0xfb0bx4[_0xfb0bx5-1]+_0xfb0bx4[_0xfb0bx5])/2};mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1891]]=function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[1899]](_0xfb0bx2,_0xfb0bx3);for(var _0xfb0bx4=this[_0x7bc7[1876]];0<=_0xfb0bx4;_0xfb0bx4--){_0xfb0bx4<_0xfb0bx3[_0x7bc7[1827]]&&this[_0x7bc7[1900]](_0xfb0bx4,_0xfb0bx2,_0xfb0bx3)};for(_0xfb0bx4=this[_0x7bc7[1876]]+1;_0xfb0bx4<=_0xfb0bx3[_0x7bc7[1827]];_0xfb0bx4++){0<_0xfb0bx4&&this[_0x7bc7[1900]](_0xfb0bx4,_0xfb0bx2,_0xfb0bx3)}};mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1900]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx3=_0xfb0bx4[_0x7bc7[1850]][_0xfb0bx2];_0xfb0bx4=0;for(var _0xfb0bx5=this[_0x7bc7[1871]]+(this[_0x7bc7[1879]]-this[_0x7bc7[1880]][_0xfb0bx2])/2,_0xfb0bx9=!1,_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx3[_0x7bc7[67]];_0xfb0bxa++){var _0xfb0bx12=_0xfb0bx3[_0xfb0bxa];if(_0xfb0bx12[_0x7bc7[1193]]()){var _0xfb0bx13=this[_0x7bc7[1759]][_0x7bc7[1726]](_0xfb0bx12[_0x7bc7[246]]);null!=_0xfb0bx13?this[_0x7bc7[1870]]==mxConstants[_0x7bc7[1358]]||this[_0x7bc7[1870]]==mxConstants[_0x7bc7[1354]]?(_0xfb0bx12[_0x7bc7[117]]=_0xfb0bx13[_0x7bc7[117]],_0xfb0bx12[_0x7bc7[119]]=_0xfb0bx13[_0x7bc7[119]]):(_0xfb0bx12[_0x7bc7[117]]=_0xfb0bx13[_0x7bc7[119]],_0xfb0bx12[_0x7bc7[119]]=_0xfb0bx13[_0x7bc7[117]]):_0xfb0bx9= !0;_0xfb0bx4=Math[_0x7bc7[160]](_0xfb0bx4,_0xfb0bx12[_0x7bc7[119]])}else {_0xfb0bx12[_0x7bc7[250]]()&&(_0xfb0bx13=1,null!=_0xfb0bx12[_0x7bc7[1842]]?_0xfb0bx13=_0xfb0bx12[_0x7bc7[1842]][_0x7bc7[67]]:mxLog[_0x7bc7[283]](_0x7bc7[1901]),_0xfb0bx12[_0x7bc7[117]]=(_0xfb0bx13-1)*this[_0x7bc7[1872]])};_0xfb0bx5+=_0xfb0bx12[_0x7bc7[117]]/2;_0xfb0bx12[_0x7bc7[1835]](_0xfb0bx2,_0xfb0bx5);_0xfb0bx12[_0x7bc7[1834]](_0xfb0bx2,_0xfb0bx5);_0xfb0bx5+=_0xfb0bx12[_0x7bc7[117]]/2;_0xfb0bx5+=this[_0x7bc7[1868]]};!0==_0xfb0bx9&&mxLog[_0x7bc7[283]](_0x7bc7[1902])};mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1899]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=-this[_0x7bc7[1869]],_0xfb0bx5=0;this[_0x7bc7[1880]]=[];this[_0x7bc7[1881]]=[];for(var _0xfb0bx9=_0xfb0bx3[_0x7bc7[1827]];0<=_0xfb0bx9;_0xfb0bx9--){for(var _0xfb0bxa=0,_0xfb0bx12=_0xfb0bx3[_0x7bc7[1850]][_0xfb0bx9],_0xfb0bx13=this[_0x7bc7[1871]],_0xfb0bx14=!1,_0xfb0bx15=0;_0xfb0bx15<_0xfb0bx12[_0x7bc7[67]];_0xfb0bx15++){var _0xfb0bx16=_0xfb0bx12[_0xfb0bx15];if(_0xfb0bx16[_0x7bc7[1193]]()){var _0xfb0bx17=this[_0x7bc7[1759]][_0x7bc7[1726]](_0xfb0bx16[_0x7bc7[246]]);null!=_0xfb0bx17?this[_0x7bc7[1870]]==mxConstants[_0x7bc7[1358]]||this[_0x7bc7[1870]]==mxConstants[_0x7bc7[1354]]?(_0xfb0bx16[_0x7bc7[117]]=_0xfb0bx17[_0x7bc7[117]],_0xfb0bx16[_0x7bc7[119]]=_0xfb0bx17[_0x7bc7[119]]):(_0xfb0bx16[_0x7bc7[117]]=_0xfb0bx17[_0x7bc7[119]],_0xfb0bx16[_0x7bc7[119]]=_0xfb0bx17[_0x7bc7[117]]):_0xfb0bx14= !0;_0xfb0bxa=Math[_0x7bc7[160]](_0xfb0bxa,_0xfb0bx16[_0x7bc7[119]])}else {_0xfb0bx16[_0x7bc7[250]]()&&(_0xfb0bx17=1,null!=_0xfb0bx16[_0x7bc7[1842]]?_0xfb0bx17=_0xfb0bx16[_0x7bc7[1842]][_0x7bc7[67]]:mxLog[_0x7bc7[283]](_0x7bc7[1901]),_0xfb0bx16[_0x7bc7[117]]=(_0xfb0bx17-1)*this[_0x7bc7[1872]])};_0xfb0bx13+=_0xfb0bx16[_0x7bc7[117]]/2;_0xfb0bx16[_0x7bc7[1835]](_0xfb0bx9,_0xfb0bx13);_0xfb0bx16[_0x7bc7[1834]](_0xfb0bx9,_0xfb0bx13);_0xfb0bx13+=_0xfb0bx16[_0x7bc7[117]]/2;_0xfb0bx13+=this[_0x7bc7[1868]];_0xfb0bx13>this[_0x7bc7[1879]]&&(this[_0x7bc7[1879]]=_0xfb0bx13,this[_0x7bc7[1876]]=_0xfb0bx9);this[_0x7bc7[1880]][_0xfb0bx9]=_0xfb0bx13};!0==_0xfb0bx14&&mxLog[_0x7bc7[283]](_0x7bc7[1902]);this[_0x7bc7[1881]][_0xfb0bx9]=_0xfb0bx4;_0xfb0bx13=_0xfb0bxa/2+_0xfb0bx5/2+this[_0x7bc7[1869]];_0xfb0bx5=_0xfb0bxa;_0xfb0bx4=this[_0x7bc7[1870]]==mxConstants[_0x7bc7[1358]]||this[_0x7bc7[1870]]==mxConstants[_0x7bc7[1359]]?_0xfb0bx4+_0xfb0bx13:_0xfb0bx4-_0xfb0bx13;for(_0xfb0bx15=0;_0xfb0bx15<_0xfb0bx12[_0x7bc7[67]];_0xfb0bx15++){_0xfb0bx12[_0xfb0bx15][_0x7bc7[1836]](_0xfb0bx9,_0xfb0bx4)}}};mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1894]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=_0xfb0bx3[_0x7bc7[1847]],_0xfb0bx5;for(_0xfb0bx5 in _0xfb0bx4){var _0xfb0bx9=_0xfb0bx4[_0xfb0bx5];if(!(1>_0xfb0bx9[_0x7bc7[1827]]-_0xfb0bx9[_0x7bc7[1828]]-1)){for(var _0xfb0bxa=_0xfb0bx9[_0x7bc7[1833]](_0xfb0bx9[_0x7bc7[1828]]+1),_0xfb0bx12=!0,_0xfb0bx13=0,_0xfb0bx14=_0xfb0bx9[_0x7bc7[1828]]+2;_0xfb0bx14<_0xfb0bx9[_0x7bc7[1827]];_0xfb0bx14++){var _0xfb0bx15=_0xfb0bx9[_0x7bc7[1833]](_0xfb0bx14);_0xfb0bxa!=_0xfb0bx15?(_0xfb0bx12= !1,_0xfb0bxa=_0xfb0bx15):_0xfb0bx13++};if(!_0xfb0bx12){for(var _0xfb0bx12=_0xfb0bxa=0,_0xfb0bx15=[],_0xfb0bx16=[],_0xfb0bx17=_0xfb0bx9[_0x7bc7[1833]](_0xfb0bx9[_0x7bc7[1828]]+1),_0xfb0bx14=_0xfb0bx9[_0x7bc7[1828]]+1;_0xfb0bx14<_0xfb0bx9[_0x7bc7[1827]]-1;_0xfb0bx14++){var _0xfb0bx18=_0xfb0bx9[_0x7bc7[730]](_0xfb0bx14+1);_0xfb0bx17==_0xfb0bx18?(_0xfb0bx15[_0xfb0bx14-_0xfb0bx9[_0x7bc7[1828]]-1]=_0xfb0bx17,_0xfb0bxa++):this[_0x7bc7[1903]](_0xfb0bx3,_0xfb0bx9,_0xfb0bx14+1,_0xfb0bx17)?(_0xfb0bx15[_0xfb0bx14-_0xfb0bx9[_0x7bc7[1828]]-1]=_0xfb0bx17,_0xfb0bxa++):_0xfb0bx17=_0xfb0bx15[_0xfb0bx14-_0xfb0bx9[_0x7bc7[1828]]-1]=_0xfb0bx18};_0xfb0bx17=_0xfb0bx9[_0x7bc7[730]](_0xfb0bx14);for(_0xfb0bx14=_0xfb0bx9[_0x7bc7[1827]]-1;_0xfb0bx14>_0xfb0bx9[_0x7bc7[1828]]+1;_0xfb0bx14--){_0xfb0bx18=_0xfb0bx9[_0x7bc7[730]](_0xfb0bx14-1),_0xfb0bx17==_0xfb0bx18?(_0xfb0bx16[_0xfb0bx14-_0xfb0bx9[_0x7bc7[1828]]-2]=_0xfb0bx17,_0xfb0bx12++):this[_0x7bc7[1903]](_0xfb0bx3,_0xfb0bx9,_0xfb0bx14-1,_0xfb0bx17)?(_0xfb0bx16[_0xfb0bx14-_0xfb0bx9[_0x7bc7[1828]]-2]=_0xfb0bx17,_0xfb0bx12++):(_0xfb0bx16[_0xfb0bx14-_0xfb0bx9[_0x7bc7[1828]]-2]=_0xfb0bx9[_0x7bc7[730]](_0xfb0bx14-1),_0xfb0bx17=_0xfb0bx18)};if(_0xfb0bx12>_0xfb0bx13||_0xfb0bxa>_0xfb0bx13){if(_0xfb0bx12>=_0xfb0bxa){for(_0xfb0bx14=_0xfb0bx9[_0x7bc7[1827]]-2;_0xfb0bx14>_0xfb0bx9[_0x7bc7[1828]];_0xfb0bx14--){_0xfb0bx9[_0x7bc7[1835]](_0xfb0bx14,_0xfb0bx16[_0xfb0bx14-_0xfb0bx9[_0x7bc7[1828]]-1])}}else {if(_0xfb0bxa>_0xfb0bx12){for(_0xfb0bx14=_0xfb0bx9[_0x7bc7[1828]]+2;_0xfb0bx14<_0xfb0bx9[_0x7bc7[1827]];_0xfb0bx14++){_0xfb0bx9[_0x7bc7[1835]](_0xfb0bx14,_0xfb0bx15[_0xfb0bx14-_0xfb0bx9[_0x7bc7[1828]]-2])}}}}}}}};mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1903]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){_0xfb0bx2=_0xfb0bx2[_0x7bc7[1850]][_0xfb0bx4];for(var _0xfb0bx9=-1,_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx2[_0x7bc7[67]];_0xfb0bxa++){if(_0xfb0bx3==_0xfb0bx2[_0xfb0bxa]){_0xfb0bx9=_0xfb0bxa;break}};if(0>_0xfb0bx9){return !1};_0xfb0bxa=_0xfb0bx3[_0x7bc7[1833]](_0xfb0bx4);if(_0xfb0bx5<_0xfb0bxa){if(0==_0xfb0bx9){return !0};_0xfb0bx2=_0xfb0bx2[_0xfb0bx9-1];_0xfb0bx4=_0xfb0bx2[_0x7bc7[1833]](_0xfb0bx4);_0xfb0bx4=_0xfb0bx4+_0xfb0bx2[_0x7bc7[117]]/2+this[_0x7bc7[1868]]+_0xfb0bx3[_0x7bc7[117]]/2;if(!(_0xfb0bx4<=_0xfb0bx5)){return !1}}else {if(_0xfb0bx5>_0xfb0bxa){if(_0xfb0bx9==_0xfb0bx2[_0x7bc7[67]]-1){return !0};_0xfb0bx2=_0xfb0bx2[_0xfb0bx9+1];_0xfb0bx4=_0xfb0bx2[_0x7bc7[1833]](_0xfb0bx4);_0xfb0bx4=_0xfb0bx4-_0xfb0bx2[_0x7bc7[117]]/2-this[_0x7bc7[1868]]-_0xfb0bx3[_0x7bc7[117]]/2;if(!(_0xfb0bx4>=_0xfb0bx5)){return !1}}};return !0};mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1895]]=function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[1877]]=[];this[_0x7bc7[1878]]=[];for(var _0xfb0bx4=0;_0xfb0bx4<_0xfb0bx3[_0x7bc7[1850]][_0x7bc7[67]];_0xfb0bx4++){this[_0x7bc7[1877]][_0xfb0bx4]=Number[_0x7bc7[1904]],this[_0x7bc7[1878]][_0xfb0bx4]=0};_0xfb0bx4=null;this[_0x7bc7[1759]][_0x7bc7[1735]]&&(_0xfb0bx4={});var _0xfb0bx5=_0xfb0bx3[_0x7bc7[1847]],_0xfb0bx9=_0xfb0bx3[_0x7bc7[1846]],_0xfb0bxa;for(_0xfb0bxa in _0xfb0bx9){var _0xfb0bx12=_0xfb0bx9[_0xfb0bxa];this[_0x7bc7[1725]](_0xfb0bx12);if(this[_0x7bc7[1759]][_0x7bc7[1735]]){var _0xfb0bx12=_0xfb0bx2[_0x7bc7[251]][_0x7bc7[1197]](_0xfb0bx12[_0x7bc7[246]]),_0xfb0bx13=mxCellPath[_0x7bc7[385]](_0xfb0bx12);null==_0xfb0bx4[_0xfb0bx13]&&(_0xfb0bx4[_0xfb0bx13]=_0xfb0bx12)}};this[_0x7bc7[1759]][_0x7bc7[1735]]&&null!=_0xfb0bx4&&this[_0x7bc7[1763]](_0xfb0bx4);(this[_0x7bc7[675]]==mxHierarchicalEdgeStyle[_0x7bc7[1905]]||this[_0x7bc7[675]]==mxHierarchicalEdgeStyle[_0x7bc7[1883]]||this[_0x7bc7[675]]==mxHierarchicalEdgeStyle[_0x7bc7[1906]])&&this[_0x7bc7[1764]](_0xfb0bx3);for(_0xfb0bxa in _0xfb0bx5){this[_0x7bc7[1907]](_0xfb0bx5[_0xfb0bxa])}};mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1763]]=function(_0xfb0bx2){var _0xfb0bx3=[],_0xfb0bx4;for(_0xfb0bx4 in _0xfb0bx2){_0xfb0bx3[_0x7bc7[207]](_0xfb0bx2[_0xfb0bx4])};this[_0x7bc7[1759]][_0x7bc7[1727]](mxUtils[_0x7bc7[1779]](_0xfb0bx3,!0),this[_0x7bc7[1745]])};mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1764]]=function(_0xfb0bx2){for(var _0xfb0bx3=0;_0xfb0bx3<_0xfb0bx2[_0x7bc7[1850]][_0x7bc7[67]];_0xfb0bx3++){for(var _0xfb0bx4=_0xfb0bx2[_0x7bc7[1850]][_0xfb0bx3],_0xfb0bx5=0;_0xfb0bx5<_0xfb0bx4[_0x7bc7[67]];_0xfb0bx5++){var _0xfb0bx9=_0xfb0bx4[_0xfb0bx5];if(_0xfb0bx9[_0x7bc7[1193]]()){for(var _0xfb0bxa=_0xfb0bx9[_0x7bc7[1832]](_0xfb0bx3),_0xfb0bx12=_0xfb0bx3-1,_0xfb0bx13=0;2>_0xfb0bx13;_0xfb0bx13++){if(-1<_0xfb0bx12&&_0xfb0bx12<_0xfb0bx2[_0x7bc7[1850]][_0x7bc7[67]]&&null!=_0xfb0bxa&&0<_0xfb0bxa[_0x7bc7[67]]){for(var _0xfb0bx14=[],_0xfb0bx15=0;_0xfb0bx15<_0xfb0bxa[_0x7bc7[67]];_0xfb0bx15++){var _0xfb0bx16= new WeightedCellSorter(_0xfb0bxa[_0xfb0bx15],_0xfb0bxa[_0xfb0bx15][_0x7bc7[730]](_0xfb0bx12));_0xfb0bx14[_0x7bc7[207]](_0xfb0bx16)};_0xfb0bx14[_0x7bc7[470]](WeightedCellSorter[_0x7bc7[202]][_0x7bc7[469]]);for(var _0xfb0bx16=_0xfb0bx9[_0x7bc7[235]][0]-_0xfb0bx9[_0x7bc7[117]]/2,_0xfb0bx17=_0xfb0bx16+_0xfb0bx9[_0x7bc7[117]],_0xfb0bx18=_0xfb0bxa=0,_0xfb0bx12=[],_0xfb0bx15=0;_0xfb0bx15<_0xfb0bx14[_0x7bc7[67]];_0xfb0bx15++){var _0xfb0bx19=_0xfb0bx14[_0xfb0bx15][_0x7bc7[246]],_0xfb0bx1a;if(_0xfb0bx19[_0x7bc7[1193]]()){_0xfb0bx1a=0==_0xfb0bx13?_0xfb0bx9[_0x7bc7[1838]]:_0xfb0bx9[_0x7bc7[1837]];for(var _0xfb0bx1b=0;_0xfb0bx1b<_0xfb0bx1a[_0x7bc7[67]];_0xfb0bx1b++){if(_0xfb0bx1a[_0xfb0bx1b][_0x7bc7[1097]]==_0xfb0bx19||_0xfb0bx1a[_0xfb0bx1b][_0x7bc7[772]]==_0xfb0bx19){_0xfb0bxa+=_0xfb0bx1a[_0xfb0bx1b][_0x7bc7[1842]][_0x7bc7[67]],_0xfb0bx18++,_0xfb0bx12[_0x7bc7[207]](_0xfb0bx1a[_0xfb0bx1b])}}}else {_0xfb0bxa+=_0xfb0bx19[_0x7bc7[1842]][_0x7bc7[67]],_0xfb0bx18++,_0xfb0bx12[_0x7bc7[207]](_0xfb0bx19)}};_0xfb0bx9[_0x7bc7[117]]>(_0xfb0bxa+1)*this[_0x7bc7[1751]]+2*this[_0x7bc7[1751]]&&(_0xfb0bx16+=this[_0x7bc7[1751]],_0xfb0bx17-=this[_0x7bc7[1751]]);_0xfb0bx14=(_0xfb0bx17-_0xfb0bx16)/_0xfb0bxa;_0xfb0bx16+=_0xfb0bx14/2;_0xfb0bx17=this[_0x7bc7[1753]]-this[_0x7bc7[1752]];for(_0xfb0bx15=_0xfb0bx18=0;_0xfb0bx15<_0xfb0bx12[_0x7bc7[67]];_0xfb0bx15++){_0xfb0bx19=_0xfb0bx12[_0xfb0bx15][_0x7bc7[1842]][_0x7bc7[67]];_0xfb0bx1b=mxCellPath[_0x7bc7[385]](_0xfb0bx12[_0xfb0bx15][_0x7bc7[1842]][0]);_0xfb0bx1a=this[_0x7bc7[1873]][_0xfb0bx1b];null==_0xfb0bx1a&&(_0xfb0bx1a=[],this[_0x7bc7[1873]][_0xfb0bx1b]=_0xfb0bx1a);_0xfb0bx15<_0xfb0bxa/2?_0xfb0bx17+=this[_0x7bc7[1752]]:_0xfb0bx15>_0xfb0bxa/2&&(_0xfb0bx17-=this[_0x7bc7[1752]]);for(_0xfb0bx1b=0;_0xfb0bx1b<_0xfb0bx19;_0xfb0bx1b++){_0xfb0bx1a[4*_0xfb0bx1b+2*_0xfb0bx13]=_0xfb0bx16,_0xfb0bx16+=_0xfb0bx14,_0xfb0bx1a[4*_0xfb0bx1b+2*_0xfb0bx13+1]=_0xfb0bx17};_0xfb0bx18=Math[_0x7bc7[160]](_0xfb0bx18,_0xfb0bx17)}};_0xfb0bxa=_0xfb0bx9[_0x7bc7[1831]](_0xfb0bx3);_0xfb0bx12=_0xfb0bx3+1}}}}};mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1907]]=function(_0xfb0bx2){var _0xfb0bx3=0;if(101207!=_0xfb0bx2[_0x7bc7[1826]][0]){var _0xfb0bx4=_0xfb0bx2[_0x7bc7[1827]],_0xfb0bx5=_0xfb0bx2[_0x7bc7[1828]];_0xfb0bx4==_0xfb0bx5&&(_0xfb0bx4=_0xfb0bx2[_0x7bc7[1097]][_0x7bc7[1827]],_0xfb0bx5=_0xfb0bx2[_0x7bc7[772]][_0x7bc7[1828]]);for(var _0xfb0bx9=0,_0xfb0bxa=mxCellPath[_0x7bc7[385]](_0xfb0bx2[_0x7bc7[1842]][0]),_0xfb0bxa=this[_0x7bc7[1873]][_0xfb0bxa],_0xfb0bx12=_0xfb0bx2[_0x7bc7[1843]]?_0xfb0bx2[_0x7bc7[772]][_0x7bc7[246]]:_0xfb0bx2[_0x7bc7[1097]][_0x7bc7[246]],_0xfb0bx13=this[_0x7bc7[1759]][_0x7bc7[1179]],_0xfb0bx14=0;_0xfb0bx14<_0xfb0bx2[_0x7bc7[1842]][_0x7bc7[67]];_0xfb0bx14++){var _0xfb0bx15=_0xfb0bx2[_0x7bc7[1842]][_0xfb0bx14],_0xfb0bx16=this[_0x7bc7[1759]][_0x7bc7[1710]](_0xfb0bx15,!0),_0xfb0bx17=_0xfb0bx13[_0x7bc7[251]][_0x7bc7[1709]](_0xfb0bx15,!0),_0xfb0bx18=[],_0xfb0bx19=_0xfb0bx2[_0x7bc7[1843]];_0xfb0bx16!=_0xfb0bx12&&(_0xfb0bx19= !_0xfb0bx19);if(null!=_0xfb0bxa){var _0xfb0bx1a=_0xfb0bx19?2:0,_0xfb0bx1b=_0xfb0bx19?this[_0x7bc7[1877]][_0xfb0bx5]:this[_0x7bc7[1878]][_0xfb0bx4],_0xfb0bx1c=_0xfb0bxa[4*_0xfb0bx9+1+_0xfb0bx1a];_0xfb0bx19&&(_0xfb0bx1c= -_0xfb0bx1c);_0xfb0bx1b+=_0xfb0bx1c;_0xfb0bx1a=_0xfb0bxa[4*_0xfb0bx9+_0xfb0bx1a];_0xfb0bx17=_0xfb0bx13[_0x7bc7[251]][_0x7bc7[1709]](_0xfb0bx15,!0);this[_0x7bc7[1759]][_0x7bc7[1908]](_0xfb0bx17)&&_0xfb0bx13[_0x7bc7[251]][_0x7bc7[1197]](_0xfb0bx17)==_0xfb0bx16&&(_0xfb0bx1a=_0xfb0bx13[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx17),_0xfb0bx1a=null!=_0xfb0bx1a?_0xfb0bx1a[_0x7bc7[235]]:_0xfb0bx16[_0x7bc7[256]][_0x7bc7[235]]+_0xfb0bx2[_0x7bc7[1097]][_0x7bc7[117]]*_0xfb0bx17[_0x7bc7[256]][_0x7bc7[235]]);this[_0x7bc7[1870]]==mxConstants[_0x7bc7[1358]]||this[_0x7bc7[1870]]==mxConstants[_0x7bc7[1354]]?(_0xfb0bx18[_0x7bc7[207]]( new mxPoint(_0xfb0bx1a,_0xfb0bx1b)),this[_0x7bc7[675]]==mxHierarchicalEdgeStyle[_0x7bc7[1906]]&&_0xfb0bx18[_0x7bc7[207]]( new mxPoint(_0xfb0bx1a,_0xfb0bx1b+_0xfb0bx1c))):(_0xfb0bx18[_0x7bc7[207]]( new mxPoint(_0xfb0bx1b,_0xfb0bx1a)),this[_0x7bc7[675]]==mxHierarchicalEdgeStyle[_0x7bc7[1906]]&&_0xfb0bx18[_0x7bc7[207]]( new mxPoint(_0xfb0bx1b+_0xfb0bx1c,_0xfb0bx1a)))};_0xfb0bx1a=_0xfb0bx2[_0x7bc7[235]][_0x7bc7[67]]-1;_0xfb0bx1b=_0xfb0bx1c= -1;_0xfb0bx16=_0xfb0bx2[_0x7bc7[1827]]-1;_0xfb0bx19&&(_0xfb0bx1a=0,_0xfb0bx1c=_0xfb0bx2[_0x7bc7[235]][_0x7bc7[67]],_0xfb0bx1b=1,_0xfb0bx16=_0xfb0bx2[_0x7bc7[1828]]+1);for(;_0xfb0bx2[_0x7bc7[1827]]!=_0xfb0bx2[_0x7bc7[1828]]&&_0xfb0bx1a!=_0xfb0bx1c;_0xfb0bx1a+=_0xfb0bx1b){var _0xfb0bx17=_0xfb0bx2[_0x7bc7[235]][_0xfb0bx1a]+_0xfb0bx3,_0xfb0bx1d=(this[_0x7bc7[1877]][_0xfb0bx16]+this[_0x7bc7[1878]][_0xfb0bx16+1])/2,_0xfb0bx1e=(this[_0x7bc7[1877]][_0xfb0bx16-1]+this[_0x7bc7[1878]][_0xfb0bx16])/2;if(_0xfb0bx19){var _0xfb0bx1f=_0xfb0bx1d,_0xfb0bx1d=_0xfb0bx1e,_0xfb0bx1e=_0xfb0bx1f};this[_0x7bc7[1870]]==mxConstants[_0x7bc7[1358]]||this[_0x7bc7[1870]]==mxConstants[_0x7bc7[1354]]?(_0xfb0bx18[_0x7bc7[207]]( new mxPoint(_0xfb0bx17,_0xfb0bx1d)),_0xfb0bx18[_0x7bc7[207]]( new mxPoint(_0xfb0bx17,_0xfb0bx1e))):(_0xfb0bx18[_0x7bc7[207]]( new mxPoint(_0xfb0bx1d,_0xfb0bx17)),_0xfb0bx18[_0x7bc7[207]]( new mxPoint(_0xfb0bx1e,_0xfb0bx17)));this[_0x7bc7[1874]]=Math[_0x7bc7[160]](this[_0x7bc7[1874]],_0xfb0bx17);_0xfb0bx16+=_0xfb0bx1b};null!=_0xfb0bxa&&(_0xfb0bx1a=_0xfb0bx19?2:0,_0xfb0bx1b=_0xfb0bx19?this[_0x7bc7[1878]][_0xfb0bx4]:this[_0x7bc7[1877]][_0xfb0bx5],_0xfb0bx1c=_0xfb0bxa[4*_0xfb0bx9+3-_0xfb0bx1a],_0xfb0bx19&&(_0xfb0bx1c= -_0xfb0bx1c),_0xfb0bx1b-=_0xfb0bx1c,_0xfb0bx1a=_0xfb0bxa[4*_0xfb0bx9+2-_0xfb0bx1a],_0xfb0bx19=_0xfb0bx13[_0x7bc7[251]][_0x7bc7[1709]](_0xfb0bx15,!1),_0xfb0bx16=this[_0x7bc7[1759]][_0x7bc7[1710]](_0xfb0bx15,!1),this[_0x7bc7[1759]][_0x7bc7[1908]](_0xfb0bx19)&&_0xfb0bx13[_0x7bc7[251]][_0x7bc7[1197]](_0xfb0bx19)==_0xfb0bx16&&(_0xfb0bx1a=_0xfb0bx13[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx19),_0xfb0bx1a=null!=_0xfb0bx1a?_0xfb0bx1a[_0x7bc7[235]]:_0xfb0bx16[_0x7bc7[256]][_0x7bc7[235]]+_0xfb0bx2[_0x7bc7[772]][_0x7bc7[117]]*_0xfb0bx19[_0x7bc7[256]][_0x7bc7[235]]),this[_0x7bc7[1870]]==mxConstants[_0x7bc7[1358]]||this[_0x7bc7[1870]]==mxConstants[_0x7bc7[1354]]?(this[_0x7bc7[675]]==mxHierarchicalEdgeStyle[_0x7bc7[1906]]&&_0xfb0bx18[_0x7bc7[207]]( new mxPoint(_0xfb0bx1a,_0xfb0bx1b-_0xfb0bx1c)),_0xfb0bx18[_0x7bc7[207]]( new mxPoint(_0xfb0bx1a,_0xfb0bx1b))):(this[_0x7bc7[675]]==mxHierarchicalEdgeStyle[_0x7bc7[1906]]&&_0xfb0bx18[_0x7bc7[207]]( new mxPoint(_0xfb0bx1b-_0xfb0bx1c,_0xfb0bx1a)),_0xfb0bx18[_0x7bc7[207]]( new mxPoint(_0xfb0bx1b,_0xfb0bx1a))));_0xfb0bx2[_0x7bc7[1843]]&&this[_0x7bc7[1909]](_0xfb0bx2,_0xfb0bx15);this[_0x7bc7[1759]][_0x7bc7[1722]](_0xfb0bx15,_0xfb0bx18);_0xfb0bx3=0==_0xfb0bx3?this[_0x7bc7[1872]]:0<_0xfb0bx3?-_0xfb0bx3:-_0xfb0bx3+this[_0x7bc7[1872]];_0xfb0bx9++};_0xfb0bx2[_0x7bc7[1826]][0]=101207}};mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1725]]=function(_0xfb0bx2){var _0xfb0bx3=_0xfb0bx2[_0x7bc7[246]],_0xfb0bx4=_0xfb0bx2[_0x7bc7[235]][0]-_0xfb0bx2[_0x7bc7[117]]/2,_0xfb0bx5=_0xfb0bx2[_0x7bc7[236]][0]-_0xfb0bx2[_0x7bc7[119]]/2;this[_0x7bc7[1877]][_0xfb0bx2[_0x7bc7[1828]]]=Math[_0x7bc7[243]](this[_0x7bc7[1877]][_0xfb0bx2[_0x7bc7[1828]]],_0xfb0bx5);this[_0x7bc7[1878]][_0xfb0bx2[_0x7bc7[1828]]]=Math[_0x7bc7[160]](this[_0x7bc7[1878]][_0xfb0bx2[_0x7bc7[1828]]],_0xfb0bx5+_0xfb0bx2[_0x7bc7[119]]);this[_0x7bc7[1870]]==mxConstants[_0x7bc7[1358]]||this[_0x7bc7[1870]]==mxConstants[_0x7bc7[1354]]?this[_0x7bc7[1759]][_0x7bc7[1725]](_0xfb0bx3,_0xfb0bx4,_0xfb0bx5):this[_0x7bc7[1759]][_0x7bc7[1725]](_0xfb0bx3,_0xfb0bx5,_0xfb0bx4);this[_0x7bc7[1874]]=Math[_0x7bc7[160]](this[_0x7bc7[1874]],_0xfb0bx4+_0xfb0bx2[_0x7bc7[117]])};mxCoordinateAssignment[_0x7bc7[202]][_0x7bc7[1909]]=function(_0xfb0bx2,_0xfb0bx3){};function WeightedCellSorter(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[246]]=_0xfb0bx2;this[_0x7bc7[1782]]=_0xfb0bx3}WeightedCellSorter[_0x7bc7[202]][_0x7bc7[1782]]=0;WeightedCellSorter[_0x7bc7[202]][_0x7bc7[1783]]= !1;WeightedCellSorter[_0x7bc7[202]][_0x7bc7[1784]]= !1;WeightedCellSorter[_0x7bc7[202]][_0x7bc7[1785]]=null;WeightedCellSorter[_0x7bc7[202]][_0x7bc7[246]]=null;WeightedCellSorter[_0x7bc7[202]][_0x7bc7[469]]=function(_0xfb0bx2,_0xfb0bx3){return null!=_0xfb0bx2&&null!=_0xfb0bx3?_0xfb0bx3[_0x7bc7[1782]]>_0xfb0bx2[_0x7bc7[1782]]?-1:_0xfb0bx3[_0x7bc7[1782]]<_0xfb0bx2[_0x7bc7[1782]]?1:_0xfb0bx3[_0x7bc7[1783]]?-1:1:0};function mxHierarchicalLayout(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){mxGraphLayout[_0x7bc7[239]](this,_0xfb0bx2);this[_0x7bc7[1870]]=null!=_0xfb0bx3?_0xfb0bx3:mxConstants[_0x7bc7[1358]];this[_0x7bc7[1910]]=null!=_0xfb0bx4?_0xfb0bx4:!0}mxHierarchicalLayout[_0x7bc7[202]]= new mxGraphLayout;mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[196]]=mxHierarchicalLayout;mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[1845]]=null;mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[1735]]= !1;mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[1911]]= !1;mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[1912]]=0;mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[1868]]=30;mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[1869]]=100;mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[1913]]=60;mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[1872]]=10;mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[1870]]=mxConstants[_0x7bc7[1358]];mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[1882]]= !0;mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[1844]]= !0;mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[1787]]= !0;mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[1914]]= !0;mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[251]]=null;mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[1915]]=null;mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[502]]=function(){return this[_0x7bc7[251]]};mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[350]]=function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[1101]]=_0xfb0bx2;var _0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[251]];this[_0x7bc7[1915]]={};if(!(null==_0xfb0bx3&&null==_0xfb0bx2)){if(null!=_0xfb0bx3&&null!=_0xfb0bx2){for(var _0xfb0bx5=[],_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx3[_0x7bc7[67]];_0xfb0bx9++){_0xfb0bx4[_0x7bc7[1720]](_0xfb0bx2,_0xfb0bx3[_0xfb0bx9])&&_0xfb0bx5[_0x7bc7[207]](_0xfb0bx3[_0xfb0bx9])};this[_0x7bc7[1845]]=_0xfb0bx5}else {this[_0x7bc7[1845]]=_0xfb0bx3};_0xfb0bx4[_0x7bc7[473]]();try{this[_0x7bc7[1916]](_0xfb0bx2),this[_0x7bc7[1735]]&&!this[_0x7bc7[1179]][_0x7bc7[1742]](_0xfb0bx2)&&this[_0x7bc7[1179]][_0x7bc7[1917]]([_0xfb0bx2],this[_0x7bc7[1912]],this[_0x7bc7[1911]])}finally{_0xfb0bx4[_0x7bc7[476]]()}}};mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[1918]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=[];if(null!=_0xfb0bx2&&null!=_0xfb0bx3){var _0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[251]],_0xfb0bx9=null,_0xfb0bxa=-1E5,_0xfb0bx12;for(_0xfb0bx12 in _0xfb0bx3){var _0xfb0bx13=_0xfb0bx3[_0xfb0bx12];if(_0xfb0bx5[_0x7bc7[1193]](_0xfb0bx13)&&this[_0x7bc7[1179]][_0x7bc7[1714]](_0xfb0bx13)){for(var _0xfb0bx14=this[_0x7bc7[264]](_0xfb0bx13),_0xfb0bx15=0,_0xfb0bx16=0,_0xfb0bx17=0;_0xfb0bx17<_0xfb0bx14[_0x7bc7[67]];_0xfb0bx17++){this[_0x7bc7[1710]](_0xfb0bx14[_0xfb0bx17],!0)==_0xfb0bx13?_0xfb0bx15++:_0xfb0bx16++};0==_0xfb0bx16&&0<_0xfb0bx15&&_0xfb0bx4[_0x7bc7[207]](_0xfb0bx13);_0xfb0bx14=_0xfb0bx15-_0xfb0bx16;_0xfb0bx14>_0xfb0bxa&&(_0xfb0bxa=_0xfb0bx14,_0xfb0bx9=_0xfb0bx13)}};0==_0xfb0bx4[_0x7bc7[67]]&&null!=_0xfb0bx9&&_0xfb0bx4[_0x7bc7[207]](_0xfb0bx9)};return _0xfb0bx4};mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[264]]=function(_0xfb0bx2){var _0xfb0bx3=mxCellPath[_0x7bc7[385]](_0xfb0bx2);if(null!=this[_0x7bc7[1915]][_0xfb0bx3]){return this[_0x7bc7[1915]][_0xfb0bx3]};for(var _0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[251]],_0xfb0bx5=[],_0xfb0bx9=this[_0x7bc7[1179]][_0x7bc7[1742]](_0xfb0bx2),_0xfb0bxa=_0xfb0bx4[_0x7bc7[262]](_0xfb0bx2),_0xfb0bx12=0;_0xfb0bx12<_0xfb0bxa;_0xfb0bx12++){var _0xfb0bx13=_0xfb0bx4[_0x7bc7[263]](_0xfb0bx2,_0xfb0bx12);if(this[_0x7bc7[1908]](_0xfb0bx13)){_0xfb0bx5=_0xfb0bx5[_0x7bc7[1919]](_0xfb0bx4[_0x7bc7[264]](_0xfb0bx13,!0,!0))}else {if(_0xfb0bx9||!this[_0x7bc7[1179]][_0x7bc7[1714]](_0xfb0bx13)){_0xfb0bx5=_0xfb0bx5[_0x7bc7[1919]](_0xfb0bx4[_0x7bc7[264]](_0xfb0bx13,!0,!0))}}};_0xfb0bx5=_0xfb0bx5[_0x7bc7[1919]](_0xfb0bx4[_0x7bc7[264]](_0xfb0bx2,!0,!0));_0xfb0bx4=[];for(_0xfb0bx12=0;_0xfb0bx12<_0xfb0bx5[_0x7bc7[67]];_0xfb0bx12++){_0xfb0bx9=this[_0x7bc7[1710]](_0xfb0bx5[_0xfb0bx12],!0),_0xfb0bxa=this[_0x7bc7[1710]](_0xfb0bx5[_0xfb0bx12],!1),(_0xfb0bx9==_0xfb0bxa||_0xfb0bx9!=_0xfb0bxa&&(_0xfb0bxa==_0xfb0bx2&&(null==this[_0x7bc7[1101]]||this[_0x7bc7[1179]][_0x7bc7[1920]](_0xfb0bx9,this[_0x7bc7[1101]],this[_0x7bc7[1914]]))||_0xfb0bx9==_0xfb0bx2&&(null==this[_0x7bc7[1101]]||this[_0x7bc7[1179]][_0x7bc7[1920]](_0xfb0bxa,this[_0x7bc7[1101]],this[_0x7bc7[1914]]))))&&_0xfb0bx4[_0x7bc7[207]](_0xfb0bx5[_0xfb0bx12])};return this[_0x7bc7[1915]][_0xfb0bx3]=_0xfb0bx4};mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[1710]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx2),_0xfb0bx4=null!=_0xfb0bx4?_0xfb0bx4[_0x7bc7[1710]](_0xfb0bx3):this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[1710]](_0xfb0bx2,_0xfb0bx3);this[_0x7bc7[1908]](_0xfb0bx4)&&(_0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[251]][_0x7bc7[1197]](_0xfb0bx4));return _0xfb0bx4};mxHierarchicalLayout[_0x7bc7[202]][_0x7bc7[1916]]=function(_0xfb0bx2){var _0xfb0bx3=[],_0xfb0bx4=[];if(null==this[_0x7bc7[1845]]&&null!=_0xfb0bx2){var _0xfb0bx5={};this[_0x7bc7[1921]](_0xfb0bx2,_0xfb0bx5);this[_0x7bc7[1845]]=[];var _0xfb0bx9=!0,_0xfb0bxa;for(_0xfb0bxa in _0xfb0bx5){if(null!=_0xfb0bx5[_0xfb0bxa]){_0xfb0bx9= !1;break}};for(;!_0xfb0bx9;){for(var _0xfb0bx12=this[_0x7bc7[1918]](_0xfb0bx2,_0xfb0bx5),_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx12[_0x7bc7[67]];_0xfb0bx9++){var _0xfb0bx13=[];_0xfb0bx3[_0x7bc7[207]](_0xfb0bx13);this[_0x7bc7[1706]](_0xfb0bx12[_0xfb0bx9],!0,null,_0xfb0bx4,_0xfb0bx13,_0xfb0bx3,_0xfb0bx5)};for(_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx12[_0x7bc7[67]];_0xfb0bx9++){this[_0x7bc7[1845]][_0x7bc7[207]](_0xfb0bx12[_0xfb0bx9])};_0xfb0bx9= !0;for(_0xfb0bxa in _0xfb0bx5){if(null!=_0xfb0bx5[_0xfb0bxa]){_0xfb0bx9= !1;break}}}}else {for(_0xfb0bx9=0;_0xfb0bx9mxUtils[_0x7bc7[2]](this[_0x7bc7[1842]],_0xfb0bx2))){null==this[_0x7bc7[1842]]&&(this[_0x7bc7[1842]]=[]),this[_0x7bc7[1842]][_0x7bc7[207]](_0xfb0bx2)};return _0xfb0bx2};mxCell[_0x7bc7[202]][_0x7bc7[1961]]=function(_0xfb0bx2,_0xfb0bx3){if(null!=_0xfb0bx2){if(_0xfb0bx2[_0x7bc7[1709]](!_0xfb0bx3)!=this&&null!=this[_0x7bc7[1842]]){var _0xfb0bx4=this[_0x7bc7[1998]](_0xfb0bx2);0<=_0xfb0bx4&&this[_0x7bc7[1842]][_0x7bc7[300]](_0xfb0bx4,1)};_0xfb0bx2[_0x7bc7[1957]](null,_0xfb0bx3)};return _0xfb0bx2};mxCell[_0x7bc7[202]][_0x7bc7[1999]]=function(_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[1709]](_0xfb0bx2);null!=_0xfb0bx3&&_0xfb0bx3[_0x7bc7[1961]](this,_0xfb0bx2)};mxCell[_0x7bc7[202]][_0x7bc7[284]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=this[_0x7bc7[433]]();return (null!=_0xfb0bx4&&_0xfb0bx4[_0x7bc7[288]]==mxConstants[_0x7bc7[289]]?_0xfb0bx4[_0x7bc7[284]](_0xfb0bx2):null)||_0xfb0bx3};mxCell[_0x7bc7[202]][_0x7bc7[57]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=this[_0x7bc7[433]]();null!=_0xfb0bx4&&_0xfb0bx4[_0x7bc7[288]]==mxConstants[_0x7bc7[289]]&&_0xfb0bx4[_0x7bc7[57]](_0xfb0bx2,_0xfb0bx3)};mxCell[_0x7bc7[202]][_0x7bc7[238]]=function(){var _0xfb0bx2=mxUtils[_0x7bc7[238]](this,this[_0x7bc7[1991]]);_0xfb0bx2[_0x7bc7[1966]](this[_0x7bc7[2000]]());return _0xfb0bx2};mxCell[_0x7bc7[202]][_0x7bc7[2000]]=function(){var _0xfb0bx2=this[_0x7bc7[433]]();null!=_0xfb0bx2&&(_0x7bc7[279]== typeof _0xfb0bx2[_0x7bc7[238]]?_0xfb0bx2=_0xfb0bx2[_0x7bc7[238]]():isNaN(_0xfb0bx2[_0x7bc7[288]])||(_0xfb0bx2=_0xfb0bx2[_0x7bc7[511]](!0)));return _0xfb0bx2};function mxGeometry(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){mxRectangle[_0x7bc7[239]](this,_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5)}mxGeometry[_0x7bc7[202]]= new mxRectangle;mxGeometry[_0x7bc7[202]][_0x7bc7[196]]=mxGeometry;mxGeometry[_0x7bc7[202]][_0x7bc7[2001]]= !0;mxGeometry[_0x7bc7[202]][_0x7bc7[2002]]=null;mxGeometry[_0x7bc7[202]][_0x7bc7[2003]]=null;mxGeometry[_0x7bc7[202]][_0x7bc7[2004]]=null;mxGeometry[_0x7bc7[202]][_0x7bc7[1525]]=null;mxGeometry[_0x7bc7[202]][_0x7bc7[1368]]=null;mxGeometry[_0x7bc7[202]][_0x7bc7[1500]]= !1;mxGeometry[_0x7bc7[202]][_0x7bc7[2005]]=function(){if(null!=this[_0x7bc7[2002]]){var _0xfb0bx2= new mxRectangle(this[_0x7bc7[235]],this[_0x7bc7[236]],this[_0x7bc7[117]],this[_0x7bc7[119]]);this[_0x7bc7[235]]=this[_0x7bc7[2002]][_0x7bc7[235]];this[_0x7bc7[236]]=this[_0x7bc7[2002]][_0x7bc7[236]];this[_0x7bc7[117]]=this[_0x7bc7[2002]][_0x7bc7[117]];this[_0x7bc7[119]]=this[_0x7bc7[2002]][_0x7bc7[119]];this[_0x7bc7[2002]]=_0xfb0bx2}};mxGeometry[_0x7bc7[202]][_0x7bc7[2006]]=function(_0xfb0bx2){return _0xfb0bx2?this[_0x7bc7[2003]]:this[_0x7bc7[2004]]};mxGeometry[_0x7bc7[202]][_0x7bc7[2007]]=function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx3?this[_0x7bc7[2003]]=_0xfb0bx2:this[_0x7bc7[2004]]=_0xfb0bx2;return _0xfb0bx2};mxGeometry[_0x7bc7[202]][_0x7bc7[513]]=function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[238]]();this[_0x7bc7[1500]]||(this[_0x7bc7[235]]+=_0xfb0bx2,this[_0x7bc7[236]]+=_0xfb0bx3);null!=this[_0x7bc7[2003]]&&(this[_0x7bc7[2003]][_0x7bc7[235]]+=_0xfb0bx2,this[_0x7bc7[2003]][_0x7bc7[236]]+=_0xfb0bx3);null!=this[_0x7bc7[2004]]&&(this[_0x7bc7[2004]][_0x7bc7[235]]+=_0xfb0bx2,this[_0x7bc7[2004]][_0x7bc7[236]]+=_0xfb0bx3);if(this[_0x7bc7[2001]]&&null!=this[_0x7bc7[1525]]){for(var _0xfb0bx4=this[_0x7bc7[1525]][_0x7bc7[67]],_0xfb0bx5=0;_0xfb0bx5<_0xfb0bx4;_0xfb0bx5++){var _0xfb0bx9=this[_0x7bc7[1525]][_0xfb0bx5];null!=_0xfb0bx9&&(_0xfb0bx9[_0x7bc7[235]]+=_0xfb0bx2,_0xfb0bx9[_0x7bc7[236]]+=_0xfb0bx3)}}};var mxCellPath={PATH_SEPARATOR:_0x7bc7[87],create:function(_0xfb0bx2){var _0xfb0bx3=_0x7bc7[110];if(null!=_0xfb0bx2){for(var _0xfb0bx4=_0xfb0bx2[_0x7bc7[1197]]();null!=_0xfb0bx4;){_0xfb0bx3=_0xfb0bx4[_0x7bc7[1738]](_0xfb0bx2)+mxCellPath[_0x7bc7[1950]]+_0xfb0bx3,_0xfb0bx2=_0xfb0bx4,_0xfb0bx4=_0xfb0bx2[_0x7bc7[1197]]()}};_0xfb0bx2=_0xfb0bx3[_0x7bc7[67]];1<_0xfb0bx2&&(_0xfb0bx3=_0xfb0bx3[_0x7bc7[85]](0,_0xfb0bx2-1));return _0xfb0bx3},getParentPath:function(_0xfb0bx2){if(null!=_0xfb0bx2){var _0xfb0bx3=_0xfb0bx2[_0x7bc7[1122]](mxCellPath.PATH_SEPARATOR);if(0<=_0xfb0bx3){return _0xfb0bx2[_0x7bc7[85]](0,_0xfb0bx3)};if(0<_0xfb0bx2[_0x7bc7[67]]){return _0x7bc7[110]}};return null},resolve:function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=_0xfb0bx2;if(null!=_0xfb0bx3){for(var _0xfb0bx5=_0xfb0bx3[_0x7bc7[224]](mxCellPath.PATH_SEPARATOR),_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx5[_0x7bc7[67]];_0xfb0bx9++){_0xfb0bx4=_0xfb0bx4[_0x7bc7[263]](parseInt(_0xfb0bx5[_0xfb0bx9]))}};return _0xfb0bx4},compare:function(_0xfb0bx2,_0xfb0bx3){for(var _0xfb0bx4=Math[_0x7bc7[243]](_0xfb0bx2[_0x7bc7[67]],_0xfb0bx3[_0x7bc7[67]]),_0xfb0bx5=0,_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx4;_0xfb0bx9++){if(_0xfb0bx2[_0xfb0bx9]!=_0xfb0bx3[_0xfb0bx9]){0==_0xfb0bx2[_0xfb0bx9][_0x7bc7[67]]||0==_0xfb0bx3[_0xfb0bx9][_0x7bc7[67]]?_0xfb0bx5=_0xfb0bx2[_0xfb0bx9]==_0xfb0bx3[_0xfb0bx9]?0:_0xfb0bx2[_0xfb0bx9]>_0xfb0bx3[_0xfb0bx9]?1:-1:(_0xfb0bx4=parseInt(_0xfb0bx2[_0xfb0bx9]),_0xfb0bx9=parseInt(_0xfb0bx3[_0xfb0bx9]),_0xfb0bx5=_0xfb0bx4==_0xfb0bx9?0:_0xfb0bx4>_0xfb0bx9?1:-1);break}};0==_0xfb0bx5&&(_0xfb0bx4=_0xfb0bx2[_0x7bc7[67]],_0xfb0bx9=_0xfb0bx3[_0x7bc7[67]],_0xfb0bx4!=_0xfb0bx9&&(_0xfb0bx5=_0xfb0bx4>_0xfb0bx9?1:-1));return _0xfb0bx5}},mxPerimeter={RectanglePerimeter:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){_0xfb0bx3=_0xfb0bx2[_0x7bc7[241]]();var _0xfb0bx9=_0xfb0bx2[_0x7bc7[242]](),_0xfb0bxa=Math[_0x7bc7[429]](_0xfb0bx4[_0x7bc7[236]]-_0xfb0bx9,_0xfb0bx4[_0x7bc7[235]]-_0xfb0bx3),_0xfb0bx12= new mxPoint(0,0),_0xfb0bx13=Math[_0x7bc7[424]],_0xfb0bx14=Math[_0x7bc7[424]]/2-_0xfb0bxa,_0xfb0bx15=Math[_0x7bc7[429]](_0xfb0bx2[_0x7bc7[119]],_0xfb0bx2[_0x7bc7[117]]);_0xfb0bxa<-_0xfb0bx13+_0xfb0bx15||_0xfb0bxa>_0xfb0bx13-_0xfb0bx15?(_0xfb0bx12[_0x7bc7[235]]=_0xfb0bx2[_0x7bc7[235]],_0xfb0bx12[_0x7bc7[236]]=_0xfb0bx9-_0xfb0bx2[_0x7bc7[117]]*Math[_0x7bc7[2008]](_0xfb0bxa)/2):_0xfb0bxa<-_0xfb0bx15?(_0xfb0bx12[_0x7bc7[236]]=_0xfb0bx2[_0x7bc7[236]],_0xfb0bx12[_0x7bc7[235]]=_0xfb0bx3-_0xfb0bx2[_0x7bc7[119]]*Math[_0x7bc7[2008]](_0xfb0bx14)/2):_0xfb0bxa<_0xfb0bx15?(_0xfb0bx12[_0x7bc7[235]]=_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx2[_0x7bc7[117]],_0xfb0bx12[_0x7bc7[236]]=_0xfb0bx9+_0xfb0bx2[_0x7bc7[117]]*Math[_0x7bc7[2008]](_0xfb0bxa)/2):(_0xfb0bx12[_0x7bc7[236]]=_0xfb0bx2[_0x7bc7[236]]+_0xfb0bx2[_0x7bc7[119]],_0xfb0bx12[_0x7bc7[235]]=_0xfb0bx3+_0xfb0bx2[_0x7bc7[119]]*Math[_0x7bc7[2008]](_0xfb0bx14)/2);_0xfb0bx5&&(_0xfb0bx4[_0x7bc7[235]]>=_0xfb0bx2[_0x7bc7[235]]&&_0xfb0bx4[_0x7bc7[235]]<=_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx2[_0x7bc7[117]]?_0xfb0bx12[_0x7bc7[235]]=_0xfb0bx4[_0x7bc7[235]]:_0xfb0bx4[_0x7bc7[236]]>=_0xfb0bx2[_0x7bc7[236]]&&_0xfb0bx4[_0x7bc7[236]]<=_0xfb0bx2[_0x7bc7[236]]+_0xfb0bx2[_0x7bc7[119]]&&(_0xfb0bx12[_0x7bc7[236]]=_0xfb0bx4[_0x7bc7[236]]),_0xfb0bx4[_0x7bc7[235]]<_0xfb0bx2[_0x7bc7[235]]?_0xfb0bx12[_0x7bc7[235]]=_0xfb0bx2[_0x7bc7[235]]:_0xfb0bx4[_0x7bc7[235]]>_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx2[_0x7bc7[117]]&&(_0xfb0bx12[_0x7bc7[235]]=_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx2[_0x7bc7[117]]),_0xfb0bx4[_0x7bc7[236]]<_0xfb0bx2[_0x7bc7[236]]?_0xfb0bx12[_0x7bc7[236]]=_0xfb0bx2[_0x7bc7[236]]:_0xfb0bx4[_0x7bc7[236]]>_0xfb0bx2[_0x7bc7[236]]+_0xfb0bx2[_0x7bc7[119]]&&(_0xfb0bx12[_0x7bc7[236]]=_0xfb0bx2[_0x7bc7[236]]+_0xfb0bx2[_0x7bc7[119]]));return _0xfb0bx12},EllipsePerimeter:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){var _0xfb0bx9=_0xfb0bx2[_0x7bc7[235]],_0xfb0bxa=_0xfb0bx2[_0x7bc7[236]],_0xfb0bx12=_0xfb0bx2[_0x7bc7[117]]/2,_0xfb0bx13=_0xfb0bx2[_0x7bc7[119]]/2,_0xfb0bx14=_0xfb0bx9+_0xfb0bx12,_0xfb0bx15=_0xfb0bxa+_0xfb0bx13;_0xfb0bx3=_0xfb0bx4[_0x7bc7[235]];_0xfb0bx4=_0xfb0bx4[_0x7bc7[236]];var _0xfb0bx16=parseInt(_0xfb0bx3-_0xfb0bx14),_0xfb0bx17=parseInt(_0xfb0bx4-_0xfb0bx15);if(0==_0xfb0bx16&&0!=_0xfb0bx17){return new mxPoint(_0xfb0bx14,_0xfb0bx15+_0xfb0bx13*_0xfb0bx17/Math[_0x7bc7[425]](_0xfb0bx17))};if(0==_0xfb0bx16&&0==_0xfb0bx17){return new mxPoint(_0xfb0bx3,_0xfb0bx4)};if(_0xfb0bx5){if(_0xfb0bx4>=_0xfb0bxa&&_0xfb0bx4<=_0xfb0bxa+_0xfb0bx2[_0x7bc7[119]]){return _0xfb0bx2=_0xfb0bx4-_0xfb0bx15,_0xfb0bx2=Math[_0x7bc7[428]](_0xfb0bx12*_0xfb0bx12*(1-_0xfb0bx2*_0xfb0bx2/(_0xfb0bx13*_0xfb0bx13)))||0,_0xfb0bx3<=_0xfb0bx9&&(_0xfb0bx2= -_0xfb0bx2), new mxPoint(_0xfb0bx14+_0xfb0bx2,_0xfb0bx4)};if(_0xfb0bx3>=_0xfb0bx9&&_0xfb0bx3<=_0xfb0bx9+_0xfb0bx2[_0x7bc7[117]]){return _0xfb0bx2=_0xfb0bx3-_0xfb0bx14,_0xfb0bx2=Math[_0x7bc7[428]](_0xfb0bx13*_0xfb0bx13*(1-_0xfb0bx2*_0xfb0bx2/(_0xfb0bx12*_0xfb0bx12)))||0,_0xfb0bx4<=_0xfb0bxa&&(_0xfb0bx2= -_0xfb0bx2), new mxPoint(_0xfb0bx3,_0xfb0bx15+_0xfb0bx2)}};_0xfb0bx9=_0xfb0bx17/_0xfb0bx16;_0xfb0bx15-=_0xfb0bx9*_0xfb0bx14;_0xfb0bxa=_0xfb0bx12*_0xfb0bx12*_0xfb0bx9*_0xfb0bx9+_0xfb0bx13*_0xfb0bx13;_0xfb0bx2=-2*_0xfb0bx14*_0xfb0bxa;_0xfb0bx13=Math[_0x7bc7[428]](_0xfb0bx2*_0xfb0bx2-4*_0xfb0bxa*(_0xfb0bx12*_0xfb0bx12*_0xfb0bx9*_0xfb0bx9*_0xfb0bx14*_0xfb0bx14+_0xfb0bx13*_0xfb0bx13*_0xfb0bx14*_0xfb0bx14-_0xfb0bx12*_0xfb0bx12*_0xfb0bx13*_0xfb0bx13));_0xfb0bx12=(-_0xfb0bx2+_0xfb0bx13)/(2*_0xfb0bxa);_0xfb0bx13=(-_0xfb0bx2-_0xfb0bx13)/(2*_0xfb0bxa);_0xfb0bx14=_0xfb0bx9*_0xfb0bx12+_0xfb0bx15;_0xfb0bx15=_0xfb0bx9*_0xfb0bx13+_0xfb0bx15;_0xfb0bx9=Math[_0x7bc7[428]](Math[_0x7bc7[2009]](_0xfb0bx12-_0xfb0bx3,2)+Math[_0x7bc7[2009]](_0xfb0bx14-_0xfb0bx4,2));_0xfb0bx3=Math[_0x7bc7[428]](Math[_0x7bc7[2009]](_0xfb0bx13-_0xfb0bx3,2)+Math[_0x7bc7[2009]](_0xfb0bx15-_0xfb0bx4,2));_0xfb0bxa=_0xfb0bx4=0;_0xfb0bx9<_0xfb0bx3?(_0xfb0bx4=_0xfb0bx12,_0xfb0bxa=_0xfb0bx14):(_0xfb0bx4=_0xfb0bx13,_0xfb0bxa=_0xfb0bx15);return new mxPoint(_0xfb0bx4,_0xfb0bxa)},RhombusPerimeter:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){_0xfb0bx3=_0xfb0bx2[_0x7bc7[235]];var _0xfb0bx9=_0xfb0bx2[_0x7bc7[236]],_0xfb0bxa=_0xfb0bx2[_0x7bc7[117]];_0xfb0bx2=_0xfb0bx2[_0x7bc7[119]];var _0xfb0bx12=_0xfb0bx3+_0xfb0bxa/2,_0xfb0bx13=_0xfb0bx9+_0xfb0bx2/2,_0xfb0bx14=_0xfb0bx4[_0x7bc7[235]];_0xfb0bx4=_0xfb0bx4[_0x7bc7[236]];if(_0xfb0bx12==_0xfb0bx14){return _0xfb0bx13>_0xfb0bx4? new mxPoint(_0xfb0bx12,_0xfb0bx9): new mxPoint(_0xfb0bx12,_0xfb0bx9+_0xfb0bx2)};if(_0xfb0bx13==_0xfb0bx4){return _0xfb0bx12>_0xfb0bx14? new mxPoint(_0xfb0bx3,_0xfb0bx13): new mxPoint(_0xfb0bx3+_0xfb0bxa,_0xfb0bx13)};var _0xfb0bx15=_0xfb0bx12,_0xfb0bx16=_0xfb0bx13;_0xfb0bx5&&(_0xfb0bx14>=_0xfb0bx3&&_0xfb0bx14<=_0xfb0bx3+_0xfb0bxa?_0xfb0bx15=_0xfb0bx14:_0xfb0bx4>=_0xfb0bx9&&_0xfb0bx4<=_0xfb0bx9+_0xfb0bx2&&(_0xfb0bx16=_0xfb0bx4));return _0xfb0bx14<_0xfb0bx12?_0xfb0bx4<_0xfb0bx13?mxUtils[_0x7bc7[2010]](_0xfb0bx14,_0xfb0bx4,_0xfb0bx15,_0xfb0bx16,_0xfb0bx12,_0xfb0bx9,_0xfb0bx3,_0xfb0bx13):mxUtils[_0x7bc7[2010]](_0xfb0bx14,_0xfb0bx4,_0xfb0bx15,_0xfb0bx16,_0xfb0bx12,_0xfb0bx9+_0xfb0bx2,_0xfb0bx3,_0xfb0bx13):_0xfb0bx4<_0xfb0bx13?mxUtils[_0x7bc7[2010]](_0xfb0bx14,_0xfb0bx4,_0xfb0bx15,_0xfb0bx16,_0xfb0bx12,_0xfb0bx9,_0xfb0bx3+_0xfb0bxa,_0xfb0bx13):mxUtils[_0x7bc7[2010]](_0xfb0bx14,_0xfb0bx4,_0xfb0bx15,_0xfb0bx16,_0xfb0bx12,_0xfb0bx9+_0xfb0bx2,_0xfb0bx3+_0xfb0bxa,_0xfb0bx13)},TrianglePerimeter:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){_0xfb0bx3=null!=_0xfb0bx3?_0xfb0bx3[_0x7bc7[124]][mxConstants[_0x7bc7[2011]]]:null;var _0xfb0bx9=_0xfb0bx3==mxConstants[_0x7bc7[1358]]||_0xfb0bx3==mxConstants[_0x7bc7[1354]],_0xfb0bxa=_0xfb0bx2[_0x7bc7[235]],_0xfb0bx12=_0xfb0bx2[_0x7bc7[236]],_0xfb0bx13=_0xfb0bx2[_0x7bc7[117]];_0xfb0bx2=_0xfb0bx2[_0x7bc7[119]];var _0xfb0bx14=_0xfb0bxa+_0xfb0bx13/2,_0xfb0bx15=_0xfb0bx12+_0xfb0bx2/2,_0xfb0bx16= new mxPoint(_0xfb0bxa,_0xfb0bx12),_0xfb0bx17= new mxPoint(_0xfb0bxa+_0xfb0bx13,_0xfb0bx15),_0xfb0bx18= new mxPoint(_0xfb0bxa,_0xfb0bx12+_0xfb0bx2);_0xfb0bx3==mxConstants[_0x7bc7[1358]]?(_0xfb0bx16=_0xfb0bx18,_0xfb0bx17= new mxPoint(_0xfb0bx14,_0xfb0bx12),_0xfb0bx18= new mxPoint(_0xfb0bxa+_0xfb0bx13,_0xfb0bx12+_0xfb0bx2)):_0xfb0bx3==mxConstants[_0x7bc7[1354]]?(_0xfb0bx17= new mxPoint(_0xfb0bx14,_0xfb0bx12+_0xfb0bx2),_0xfb0bx18= new mxPoint(_0xfb0bxa+_0xfb0bx13,_0xfb0bx12)):_0xfb0bx3==mxConstants[_0x7bc7[1359]]&&(_0xfb0bx16= new mxPoint(_0xfb0bxa+_0xfb0bx13,_0xfb0bx12),_0xfb0bx17= new mxPoint(_0xfb0bxa,_0xfb0bx15),_0xfb0bx18= new mxPoint(_0xfb0bxa+_0xfb0bx13,_0xfb0bx12+_0xfb0bx2));var _0xfb0bx19=_0xfb0bx4[_0x7bc7[235]]-_0xfb0bx14,_0xfb0bx1a=_0xfb0bx4[_0x7bc7[236]]-_0xfb0bx15,_0xfb0bx19=_0xfb0bx9?Math[_0x7bc7[429]](_0xfb0bx19,_0xfb0bx1a):Math[_0x7bc7[429]](_0xfb0bx1a,_0xfb0bx19),_0xfb0bx1b=_0xfb0bx9?Math[_0x7bc7[429]](_0xfb0bx13,_0xfb0bx2):Math[_0x7bc7[429]](_0xfb0bx2,_0xfb0bx13),_0xfb0bx1a=!1,_0xfb0bx1a=_0xfb0bx3==mxConstants[_0x7bc7[1358]]||_0xfb0bx3==mxConstants[_0x7bc7[1359]]?_0xfb0bx19>-_0xfb0bx1b&&_0xfb0bx19<_0xfb0bx1b:_0xfb0bx19<-Math[_0x7bc7[424]]+_0xfb0bx1b||_0xfb0bx19>Math[_0x7bc7[424]]-_0xfb0bx1b,_0xfb0bx1b=null;_0xfb0bx1a?_0xfb0bx1b=_0xfb0bx5&&(_0xfb0bx9&&_0xfb0bx4[_0x7bc7[235]]>=_0xfb0bx16[_0x7bc7[235]]&&_0xfb0bx4[_0x7bc7[235]]<=_0xfb0bx18[_0x7bc7[235]]||!_0xfb0bx9&&_0xfb0bx4[_0x7bc7[236]]>=_0xfb0bx16[_0x7bc7[236]]&&_0xfb0bx4[_0x7bc7[236]]<=_0xfb0bx18[_0x7bc7[236]])?_0xfb0bx9? new mxPoint(_0xfb0bx4[_0x7bc7[235]],_0xfb0bx16[_0x7bc7[236]]): new mxPoint(_0xfb0bx16[_0x7bc7[235]],_0xfb0bx4[_0x7bc7[236]]):_0xfb0bx3==mxConstants[_0x7bc7[1358]]? new mxPoint(_0xfb0bxa+_0xfb0bx13/2+_0xfb0bx2*Math[_0x7bc7[2008]](_0xfb0bx19)/2,_0xfb0bx12+_0xfb0bx2):_0xfb0bx3==mxConstants[_0x7bc7[1354]]? new mxPoint(_0xfb0bxa+_0xfb0bx13/2-_0xfb0bx2*Math[_0x7bc7[2008]](_0xfb0bx19)/2,_0xfb0bx12):_0xfb0bx3==mxConstants[_0x7bc7[1359]]? new mxPoint(_0xfb0bxa+_0xfb0bx13,_0xfb0bx12+_0xfb0bx2/2+_0xfb0bx13*Math[_0x7bc7[2008]](_0xfb0bx19)/2): new mxPoint(_0xfb0bxa,_0xfb0bx12+_0xfb0bx2/2-_0xfb0bx13*Math[_0x7bc7[2008]](_0xfb0bx19)/2):(_0xfb0bx5&&(_0xfb0bx5= new mxPoint(_0xfb0bx14,_0xfb0bx15),_0xfb0bx4[_0x7bc7[236]]>=_0xfb0bx12&&_0xfb0bx4[_0x7bc7[236]]<=_0xfb0bx12+_0xfb0bx2?(_0xfb0bx5[_0x7bc7[235]]=_0xfb0bx9?_0xfb0bx14:_0xfb0bx3==mxConstants[_0x7bc7[1359]]?_0xfb0bxa+_0xfb0bx13:_0xfb0bxa,_0xfb0bx5[_0x7bc7[236]]=_0xfb0bx4[_0x7bc7[236]]):_0xfb0bx4[_0x7bc7[235]]>=_0xfb0bxa&&_0xfb0bx4[_0x7bc7[235]]<=_0xfb0bxa+_0xfb0bx13&&(_0xfb0bx5[_0x7bc7[235]]=_0xfb0bx4[_0x7bc7[235]],_0xfb0bx5[_0x7bc7[236]]=!_0xfb0bx9?_0xfb0bx15:_0xfb0bx3==mxConstants[_0x7bc7[1358]]?_0xfb0bx12+_0xfb0bx2:_0xfb0bx12),_0xfb0bx14=_0xfb0bx5[_0x7bc7[235]],_0xfb0bx15=_0xfb0bx5[_0x7bc7[236]]),_0xfb0bx1b=_0xfb0bx9&&_0xfb0bx4[_0x7bc7[235]]<=_0xfb0bxa+_0xfb0bx13/2||!_0xfb0bx9&&_0xfb0bx4[_0x7bc7[236]]<=_0xfb0bx12+_0xfb0bx2/2?mxUtils[_0x7bc7[2010]](_0xfb0bx4[_0x7bc7[235]],_0xfb0bx4[_0x7bc7[236]],_0xfb0bx14,_0xfb0bx15,_0xfb0bx16[_0x7bc7[235]],_0xfb0bx16[_0x7bc7[236]],_0xfb0bx17[_0x7bc7[235]],_0xfb0bx17[_0x7bc7[236]]):mxUtils[_0x7bc7[2010]](_0xfb0bx4[_0x7bc7[235]],_0xfb0bx4[_0x7bc7[236]],_0xfb0bx14,_0xfb0bx15,_0xfb0bx17[_0x7bc7[235]],_0xfb0bx17[_0x7bc7[236]],_0xfb0bx18[_0x7bc7[235]],_0xfb0bx18[_0x7bc7[236]]));null==_0xfb0bx1b&&(_0xfb0bx1b= new mxPoint(_0xfb0bx14,_0xfb0bx15));return _0xfb0bx1b}};function mxPrintPreview(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12,_0xfb0bx13,_0xfb0bx14){this[_0x7bc7[1179]]=_0xfb0bx2;this[_0x7bc7[255]]=null!=_0xfb0bx3?_0xfb0bx3:1/_0xfb0bx2[_0x7bc7[2012]];this[_0x7bc7[467]]=null!=_0xfb0bx5?_0xfb0bx5:0;this[_0x7bc7[2013]]=null!=_0xfb0bx4?_0xfb0bx4:_0xfb0bx2[_0x7bc7[2013]];this[_0x7bc7[924]]=null!=_0xfb0bx13?_0xfb0bx13:_0x7bc7[2014];this[_0x7bc7[1732]]=null!=_0xfb0bx9?_0xfb0bx9:0;this[_0x7bc7[1733]]=null!=_0xfb0bxa?_0xfb0bxa:0;this[_0x7bc7[1630]]=_0xfb0bx12;this[_0x7bc7[2015]]=null!=_0xfb0bx14?_0xfb0bx14:!0}mxPrintPreview[_0x7bc7[202]][_0x7bc7[1179]]=null;mxPrintPreview[_0x7bc7[202]][_0x7bc7[2013]]=null;mxPrintPreview[_0x7bc7[202]][_0x7bc7[255]]=null;mxPrintPreview[_0x7bc7[202]][_0x7bc7[467]]=0;mxPrintPreview[_0x7bc7[202]][_0x7bc7[1732]]=0;mxPrintPreview[_0x7bc7[202]][_0x7bc7[1733]]=0;mxPrintPreview[_0x7bc7[202]][_0x7bc7[2016]]= !0;mxPrintPreview[_0x7bc7[202]][_0x7bc7[2017]]= !1;mxPrintPreview[_0x7bc7[202]][_0x7bc7[1630]]=null;mxPrintPreview[_0x7bc7[202]][_0x7bc7[924]]=null;mxPrintPreview[_0x7bc7[202]][_0x7bc7[2015]]=null;mxPrintPreview[_0x7bc7[202]][_0x7bc7[2018]]=null;mxPrintPreview[_0x7bc7[202]][_0x7bc7[2019]]=0;mxPrintPreview[_0x7bc7[202]][_0x7bc7[2020]]=function(){return this[_0x7bc7[2018]]};mxPrintPreview[_0x7bc7[202]][_0x7bc7[2021]]=function(){var _0xfb0bx2=_0x7bc7[110];8==document[_0x7bc7[5]]&&(_0xfb0bx2=_0x7bc7[2022]);return _0xfb0bx2};mxPrintPreview[_0x7bc7[202]][_0x7bc7[392]]=function(_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[1179]][_0x7bc7[259]][_0x7bc7[2023]],_0xfb0bx4=null;try{this[_0x7bc7[2017]]&&(this[_0x7bc7[1179]][_0x7bc7[259]][_0x7bc7[2023]]=function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx3[_0x7bc7[176]](_0xfb0bx2[_0x7bc7[441]][_0x7bc7[2024]]())});if(null==this[_0x7bc7[2018]]){this[_0x7bc7[2018]]=window[_0x7bc7[392]]();var _0xfb0bx5=this[_0x7bc7[2018]][_0x7bc7[395]],_0xfb0bx9=this[_0x7bc7[2021]]();null!=_0xfb0bx9&&0<_0xfb0bx9[_0x7bc7[67]]&&_0xfb0bx5[_0x7bc7[171]](_0xfb0bx9);_0xfb0bx5[_0x7bc7[171]](_0x7bc7[528]);_0xfb0bx5[_0x7bc7[171]](_0x7bc7[531]);this[_0x7bc7[2025]](_0xfb0bx5,_0xfb0bx2);_0xfb0bx5[_0x7bc7[171]](_0x7bc7[533]);_0xfb0bx5[_0x7bc7[171]](_0x7bc7[2026]);mxClient[_0x7bc7[54]](_0x7bc7[94],mxClient[_0x7bc7[86]]+_0x7bc7[95],_0xfb0bx5);mxClient[_0x7bc7[47]]&&(_0xfb0bx5[_0x7bc7[100]][_0x7bc7[99]](_0x7bc7[6],_0x7bc7[97]),_0xfb0bx5[_0x7bc7[100]][_0x7bc7[99]](_0x7bc7[7],_0x7bc7[101]),_0xfb0bx5[_0x7bc7[102]]()[_0x7bc7[103]]=_0x7bc7[104],mxClient[_0x7bc7[54]](_0x7bc7[94],mxClient[_0x7bc7[86]]+_0x7bc7[105],_0xfb0bx5));var _0xfb0bxa=this[_0x7bc7[1179]][_0x7bc7[517]]()[_0x7bc7[238]](),_0xfb0bx12=this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[518]](),_0xfb0bx13=_0xfb0bx12/this[_0x7bc7[255]],_0xfb0bx14=this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[512]]();this[_0x7bc7[2016]]||(this[_0x7bc7[1732]]=-_0xfb0bx14[_0x7bc7[235]]*this[_0x7bc7[255]],this[_0x7bc7[1733]]=-_0xfb0bx14[_0x7bc7[236]]*this[_0x7bc7[255]],_0xfb0bxa[_0x7bc7[117]]+=_0xfb0bxa[_0x7bc7[235]],_0xfb0bxa[_0x7bc7[119]]+=_0xfb0bxa[_0x7bc7[236]],_0xfb0bxa[_0x7bc7[235]]=0,this[_0x7bc7[467]]=_0xfb0bxa[_0x7bc7[236]]=0);_0xfb0bxa[_0x7bc7[117]]/=_0xfb0bx13;_0xfb0bxa[_0x7bc7[119]]/=_0xfb0bx13;var _0xfb0bx15=this[_0x7bc7[2013]][_0x7bc7[117]]-2*this[_0x7bc7[467]],_0xfb0bx16=this[_0x7bc7[2013]][_0x7bc7[119]]-2*this[_0x7bc7[467]],_0xfb0bx17=Math[_0x7bc7[160]](1,Math[_0x7bc7[430]]((_0xfb0bxa[_0x7bc7[117]]+this[_0x7bc7[1732]])/_0xfb0bx15)),_0xfb0bx18=Math[_0x7bc7[160]](1,Math[_0x7bc7[430]]((_0xfb0bxa[_0x7bc7[119]]+this[_0x7bc7[1733]])/_0xfb0bx16));this[_0x7bc7[2019]]=_0xfb0bx17*_0xfb0bx18;var _0xfb0bx19=mxUtils[_0x7bc7[885]](this,function(){if(this[_0x7bc7[2015]]&&(1<_0xfb0bx18||1<_0xfb0bx17)){var _0xfb0bx2=this[_0x7bc7[2027]](_0xfb0bx18,_0xfb0bx17);_0xfb0bx5[_0x7bc7[112]][_0x7bc7[62]](_0xfb0bx2);if(mxClient[_0x7bc7[80]]){_0xfb0bx2[_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[492];var _0xfb0bx3=function(){_0xfb0bx2[_0x7bc7[124]][_0x7bc7[125]]=_0xfb0bx5[_0x7bc7[112]][_0x7bc7[190]]+10+_0x7bc7[168]};mxEvent[_0x7bc7[169]](this[_0x7bc7[2018]],_0x7bc7[276],function(_0xfb0bx2){_0xfb0bx3()});mxEvent[_0x7bc7[169]](this[_0x7bc7[2018]],_0x7bc7[129],function(_0xfb0bx2){_0xfb0bx3()})}}});_0xfb0bx2=function(_0xfb0bx2,_0xfb0bx3){null!=this[_0x7bc7[1630]]&&(_0xfb0bx2[_0x7bc7[124]][_0x7bc7[1630]]=this[_0x7bc7[1630]],_0xfb0bx2[_0x7bc7[124]][_0x7bc7[465]]=_0x7bc7[1631],_0xfb0bx2[_0x7bc7[124]][_0x7bc7[1629]]=_0x7bc7[942]);_0xfb0bx2[_0x7bc7[124]][_0x7bc7[1537]]=_0x7bc7[1391];_0xfb0bx3&&(_0xfb0bx2[_0x7bc7[124]][_0x7bc7[2028]]=_0x7bc7[2029]);mxClient[_0x7bc7[80]]?(_0xfb0bx5[_0x7bc7[171]](_0xfb0bx2[_0x7bc7[342]]),_0xfb0bx2[_0x7bc7[265]][_0x7bc7[266]](_0xfb0bx2)):(_0xfb0bx2[_0x7bc7[265]][_0x7bc7[266]](_0xfb0bx2),_0xfb0bx5[_0x7bc7[112]][_0x7bc7[62]](_0xfb0bx2));if(_0xfb0bx3){var _0xfb0bx4=_0xfb0bx5[_0x7bc7[55]](_0x7bc7[1054]);_0xfb0bx4[_0x7bc7[926]]=_0x7bc7[2030];_0xfb0bx5[_0x7bc7[112]][_0x7bc7[62]](_0xfb0bx4)}};var _0xfb0bx1a=this[_0x7bc7[2031]](this[_0x7bc7[2013]][_0x7bc7[117]],this[_0x7bc7[2013]][_0x7bc7[119]]);if(null!=_0xfb0bx1a){for(var _0xfb0bx1b=0;_0xfb0bx1b<_0xfb0bx1a[_0x7bc7[67]];_0xfb0bx1b++){_0xfb0bx2(_0xfb0bx1a[_0xfb0bx1b],!0)}};for(var _0xfb0bx1c=this[_0x7bc7[2032]](this[_0x7bc7[2013]][_0x7bc7[117]],this[_0x7bc7[2013]][_0x7bc7[119]]),_0xfb0bx1b=0;_0xfb0bx1b<_0xfb0bx18;_0xfb0bx1b++){for(var _0xfb0bx1d=_0xfb0bx1b*_0xfb0bx16/this[_0x7bc7[255]]-this[_0x7bc7[1733]]/this[_0x7bc7[255]]+(_0xfb0bxa[_0x7bc7[236]]-_0xfb0bx14[_0x7bc7[236]]*_0xfb0bx12)/_0xfb0bx12,_0xfb0bx1a=0;_0xfb0bx1a<_0xfb0bx17;_0xfb0bx1a++){if(null==this[_0x7bc7[2018]]){return null};var _0xfb0bx1e=_0xfb0bx1a*_0xfb0bx15/this[_0x7bc7[255]]-this[_0x7bc7[1732]]/this[_0x7bc7[255]]+(_0xfb0bxa[_0x7bc7[235]]-_0xfb0bx14[_0x7bc7[235]]*_0xfb0bx12)/_0xfb0bx12,_0xfb0bx1f=_0xfb0bx1b*_0xfb0bx17+_0xfb0bx1a+1,_0xfb0bx4=this[_0x7bc7[2034]](this[_0x7bc7[2013]][_0x7bc7[117]],this[_0x7bc7[2013]][_0x7bc7[119]],mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){this[_0x7bc7[2033]](-_0xfb0bx1e,-_0xfb0bx1d,this[_0x7bc7[255]],_0xfb0bx1f,_0xfb0bx2)}));_0xfb0bx4[_0x7bc7[57]](_0x7bc7[1363],_0x7bc7[2035]+_0xfb0bx1f);_0xfb0bx2(_0xfb0bx4,null!=_0xfb0bx1c||_0xfb0bx1b<_0xfb0bx18-1||_0xfb0bx1a<_0xfb0bx17-1)}};if(null!=_0xfb0bx1c){for(_0xfb0bx1b=0;_0xfb0bx1b<_0xfb0bx1c[_0x7bc7[67]];_0xfb0bx1b++){_0xfb0bx2(_0xfb0bx1c[_0xfb0bx1b],_0xfb0bx1b<_0xfb0bx1c[_0x7bc7[67]])}};_0xfb0bx5[_0x7bc7[171]](_0x7bc7[527]);_0xfb0bx5[_0x7bc7[171]](_0x7bc7[534]);_0xfb0bx5[_0x7bc7[268]]();_0xfb0bx19();mxEvent[_0x7bc7[762]](_0xfb0bx5[_0x7bc7[112]])};this[_0x7bc7[2018]][_0x7bc7[393]]()}catch(y){null!=_0xfb0bx4&&null!=_0xfb0bx4[_0x7bc7[265]]&&_0xfb0bx4[_0x7bc7[265]][_0x7bc7[266]](_0xfb0bx4)}finally{this[_0x7bc7[1179]][_0x7bc7[259]][_0x7bc7[2023]]=_0xfb0bx3};return this[_0x7bc7[2018]]};mxPrintPreview[_0x7bc7[202]][_0x7bc7[2025]]=function(_0xfb0bx2,_0xfb0bx3){null!=this[_0x7bc7[924]]&&_0xfb0bx2[_0x7bc7[171]](_0x7bc7[2036]+this[_0x7bc7[924]]+_0x7bc7[2037]);_0xfb0bx2[_0x7bc7[171]](_0x7bc7[2038]);_0xfb0bx2[_0x7bc7[171]](_0x7bc7[2039]);_0xfb0bx2[_0x7bc7[171]](_0x7bc7[2040]);_0xfb0bx2[_0x7bc7[171]](_0x7bc7[2041]);_0xfb0bx2[_0x7bc7[171]](_0x7bc7[233]);_0xfb0bx2[_0x7bc7[171]](_0x7bc7[2042]);_0xfb0bx2[_0x7bc7[171]](_0x7bc7[2043]);_0xfb0bx2[_0x7bc7[171]](_0x7bc7[2044]);_0xfb0bx2[_0x7bc7[171]](_0x7bc7[2045]);_0xfb0bx2[_0x7bc7[171]](_0x7bc7[233]);null!=_0xfb0bx3&&_0xfb0bx2[_0x7bc7[171]](_0xfb0bx3);_0xfb0bx2[_0x7bc7[171]](_0x7bc7[2046])};mxPrintPreview[_0x7bc7[202]][_0x7bc7[2027]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=this[_0x7bc7[2018]][_0x7bc7[395]],_0xfb0bx5=_0xfb0bx4[_0x7bc7[55]](_0x7bc7[116]);_0xfb0bx5[_0x7bc7[926]]=_0x7bc7[2047];_0xfb0bx5[_0x7bc7[57]](_0x7bc7[467],_0x7bc7[468]);for(var _0xfb0bx9=_0xfb0bx4[_0x7bc7[55]](_0x7bc7[120]),_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx2;_0xfb0bxa++){for(var _0xfb0bx12=_0xfb0bx4[_0x7bc7[55]](_0x7bc7[121]),_0xfb0bx13=0;_0xfb0bx13<_0xfb0bx3;_0xfb0bx13++){var _0xfb0bx14=_0xfb0bxa*_0xfb0bx3+_0xfb0bx13+1,_0xfb0bx15=_0xfb0bx4[_0x7bc7[55]](_0x7bc7[122]);if(!mxClient[_0x7bc7[133]]||mxClient[_0x7bc7[75]]||mxClient[_0x7bc7[76]]){var _0xfb0bx16=_0xfb0bx4[_0x7bc7[55]](_0x7bc7[2048]);_0xfb0bx16[_0x7bc7[57]](_0x7bc7[44],_0x7bc7[2049]+_0xfb0bx14);mxUtils[_0x7bc7[53]](_0xfb0bx16,_0xfb0bx14,_0xfb0bx4);_0xfb0bx15[_0x7bc7[62]](_0xfb0bx16)}else {mxUtils[_0x7bc7[53]](_0xfb0bx15,_0xfb0bx14,_0xfb0bx4)};_0xfb0bx12[_0x7bc7[62]](_0xfb0bx15)};_0xfb0bx9[_0x7bc7[62]](_0xfb0bx12)};_0xfb0bx5[_0x7bc7[62]](_0xfb0bx9);return _0xfb0bx5};mxPrintPreview[_0x7bc7[202]][_0x7bc7[2034]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=document[_0x7bc7[55]](_0x7bc7[485]);try{_0xfb0bx5[_0x7bc7[124]][_0x7bc7[117]]=_0xfb0bx2+_0x7bc7[168];_0xfb0bx5[_0x7bc7[124]][_0x7bc7[119]]=_0xfb0bx3+_0x7bc7[168];_0xfb0bx5[_0x7bc7[124]][_0x7bc7[277]]=_0x7bc7[188];_0xfb0bx5[_0x7bc7[124]][_0x7bc7[2050]]=_0x7bc7[1824];_0xfb0bx5[_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[1500];var _0xfb0bx9=document[_0x7bc7[55]](_0x7bc7[485]);_0xfb0bx9[_0x7bc7[124]][_0x7bc7[125]]=this[_0x7bc7[467]]+_0x7bc7[168];_0xfb0bx9[_0x7bc7[124]][_0x7bc7[361]]=this[_0x7bc7[467]]+_0x7bc7[168];_0xfb0bx9[_0x7bc7[124]][_0x7bc7[117]]=_0xfb0bx2-2*this[_0x7bc7[467]]+_0x7bc7[168];_0xfb0bx9[_0x7bc7[124]][_0x7bc7[119]]=_0xfb0bx3-2*this[_0x7bc7[467]]+_0x7bc7[168];_0xfb0bx9[_0x7bc7[124]][_0x7bc7[277]]=_0x7bc7[188];this[_0x7bc7[1179]][_0x7bc7[507]]==mxConstants[_0x7bc7[1523]]&&(_0xfb0bx9[_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[492]);_0xfb0bx5[_0x7bc7[62]](_0xfb0bx9);document[_0x7bc7[112]][_0x7bc7[62]](_0xfb0bx5);_0xfb0bx4(_0xfb0bx9)}catch(f){throw _0xfb0bx5[_0x7bc7[265]][_0x7bc7[266]](_0xfb0bx5),f};return _0xfb0bx5};mxPrintPreview[_0x7bc7[202]][_0x7bc7[2033]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[249]]();var _0xfb0bxa=this[_0x7bc7[1179]][_0x7bc7[526]];this[_0x7bc7[1179]][_0x7bc7[526]]=_0xfb0bx9;var _0xfb0bx12=_0xfb0bx5[_0x7bc7[2051]](),_0xfb0bx13=_0xfb0bx5[_0x7bc7[2052]](),_0xfb0bx14=_0xfb0bx5[_0x7bc7[2024]](),_0xfb0bx15=_0xfb0bx5[_0x7bc7[1524]]();this[_0x7bc7[1179]][_0x7bc7[507]]==mxConstants[_0x7bc7[508]]?_0xfb0bx5[_0x7bc7[1569]]():this[_0x7bc7[1179]][_0x7bc7[507]]==mxConstants[_0x7bc7[1523]]?_0xfb0bx5[_0x7bc7[1571]]():_0xfb0bx5[_0x7bc7[1570]]();var _0xfb0bx16=_0xfb0bx5[_0x7bc7[503]]();_0xfb0bx5[_0x7bc7[504]](!1);var _0xfb0bx17=this[_0x7bc7[1179]][_0x7bc7[994]]();this[_0x7bc7[1179]][_0x7bc7[995]](!1);var _0xfb0bx18=_0xfb0bx5[_0x7bc7[512]]();_0xfb0bx5[_0x7bc7[513]]= new mxPoint(_0xfb0bx2,_0xfb0bx3);_0xfb0bx2=null;try{var _0xfb0bx19=[this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[501]]()];_0xfb0bx2= new mxTemporaryCellStates(_0xfb0bx5,_0xfb0bx4,_0xfb0bx19)}finally{if(mxClient[_0x7bc7[80]]){_0xfb0bx5[_0x7bc7[506]][_0x7bc7[339]]=_0x7bc7[110]}else {for(_0xfb0bx4=_0xfb0bx9[_0x7bc7[285]];null!=_0xfb0bx4;){_0xfb0bx19=_0xfb0bx4[_0x7bc7[287]],_0xfb0bx3=_0xfb0bx4[_0x7bc7[301]][_0x7bc7[216]](),_0x7bc7[571]==_0xfb0bx3?(_0xfb0bx4[_0x7bc7[57]](_0x7bc7[117],parseInt(_0xfb0bx9[_0x7bc7[124]][_0x7bc7[117]])),_0xfb0bx4[_0x7bc7[57]](_0x7bc7[119],parseInt(_0xfb0bx9[_0x7bc7[124]][_0x7bc7[119]]))):_0x7bc7[583]!=_0xfb0bx4[_0x7bc7[124]][_0x7bc7[270]]&&_0x7bc7[116]!=_0xfb0bx3&&_0xfb0bx4[_0x7bc7[265]][_0x7bc7[266]](_0xfb0bx4),_0xfb0bx4=_0xfb0bx19}};_0xfb0bx5[_0x7bc7[506]][_0x7bc7[265]][_0x7bc7[266]](_0xfb0bx5[_0x7bc7[506]]);this[_0x7bc7[1179]][_0x7bc7[995]](_0xfb0bx17);this[_0x7bc7[1179]][_0x7bc7[526]]=_0xfb0bxa;_0xfb0bx5[_0x7bc7[510]]=_0xfb0bx12;_0xfb0bx5[_0x7bc7[2053]]=_0xfb0bx13;_0xfb0bx5[_0x7bc7[505]]=_0xfb0bx14;_0xfb0bx5[_0x7bc7[506]]=_0xfb0bx15;_0xfb0bx5[_0x7bc7[513]]=_0xfb0bx18;_0xfb0bx2[_0x7bc7[515]]();_0xfb0bx5[_0x7bc7[504]](_0xfb0bx16)}};mxPrintPreview[_0x7bc7[202]][_0x7bc7[2031]]=function(){return null};mxPrintPreview[_0x7bc7[202]][_0x7bc7[2032]]=function(){return null};mxPrintPreview[_0x7bc7[202]][_0x7bc7[540]]=function(){var _0xfb0bx2=this[_0x7bc7[392]]();null!=_0xfb0bx2&&_0xfb0bx2[_0x7bc7[540]]()};mxPrintPreview[_0x7bc7[202]][_0x7bc7[268]]=function(){null!=this[_0x7bc7[2018]]&&(this[_0x7bc7[2018]][_0x7bc7[268]](),this[_0x7bc7[2018]]=null)};function mxStylesheet(){this[_0x7bc7[2054]]={};this[_0x7bc7[2056]](this[_0x7bc7[2055]]());this[_0x7bc7[2058]](this[_0x7bc7[2057]]())}mxStylesheet[_0x7bc7[202]][_0x7bc7[2055]]=function(){var _0xfb0bx2={};_0xfb0bx2[mxConstants[_0x7bc7[2059]]]=mxConstants[_0x7bc7[2060]];_0xfb0bx2[mxConstants[_0x7bc7[2061]]]=mxPerimeter[_0x7bc7[2062]];_0xfb0bx2[mxConstants[_0x7bc7[2063]]]=mxConstants[_0x7bc7[481]];_0xfb0bx2[mxConstants[_0x7bc7[2064]]]=mxConstants[_0x7bc7[479]];_0xfb0bx2[mxConstants[_0x7bc7[2065]]]=_0x7bc7[2066];_0xfb0bx2[mxConstants[_0x7bc7[2067]]]=_0x7bc7[2068];_0xfb0bx2[mxConstants[_0x7bc7[2069]]]=_0x7bc7[2070];return _0xfb0bx2};mxStylesheet[_0x7bc7[202]][_0x7bc7[2057]]=function(){var _0xfb0bx2={};_0xfb0bx2[mxConstants[_0x7bc7[2059]]]=mxConstants[_0x7bc7[2071]];_0xfb0bx2[mxConstants[_0x7bc7[1695]]]=mxConstants[_0x7bc7[1622]];_0xfb0bx2[mxConstants[_0x7bc7[2063]]]=mxConstants[_0x7bc7[481]];_0xfb0bx2[mxConstants[_0x7bc7[2064]]]=mxConstants[_0x7bc7[479]];_0xfb0bx2[mxConstants[_0x7bc7[2067]]]=_0x7bc7[2068];_0xfb0bx2[mxConstants[_0x7bc7[2069]]]=_0x7bc7[2072];return _0xfb0bx2};mxStylesheet[_0x7bc7[202]][_0x7bc7[2056]]=function(_0xfb0bx2){this[_0x7bc7[2074]](_0x7bc7[2073],_0xfb0bx2)};mxStylesheet[_0x7bc7[202]][_0x7bc7[2058]]=function(_0xfb0bx2){this[_0x7bc7[2074]](_0x7bc7[2075],_0xfb0bx2)};mxStylesheet[_0x7bc7[202]][_0x7bc7[2076]]=function(){return this[_0x7bc7[2054]][_0x7bc7[2073]]};mxStylesheet[_0x7bc7[202]][_0x7bc7[2077]]=function(){return this[_0x7bc7[2054]][_0x7bc7[2075]]};mxStylesheet[_0x7bc7[202]][_0x7bc7[2074]]=function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[2054]][_0xfb0bx2]=_0xfb0bx3};mxStylesheet[_0x7bc7[202]][_0x7bc7[1705]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=_0xfb0bx3;if(null!=_0xfb0bx2&&0<_0xfb0bx2[_0x7bc7[67]]){for(var _0xfb0bx5=_0xfb0bx2[_0x7bc7[224]](_0x7bc7[471]),_0xfb0bx4=null!=_0xfb0bx4&&_0x7bc7[471]!=_0xfb0bx2[_0x7bc7[225]](0)?mxUtils[_0x7bc7[238]](_0xfb0bx4):{},_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx5[_0x7bc7[67]];_0xfb0bx9++){var _0xfb0bxa=_0xfb0bx5[_0xfb0bx9],_0xfb0bx12=_0xfb0bxa[_0x7bc7[2]](_0x7bc7[226]);if(0<=_0xfb0bx12){var _0xfb0bx13=_0xfb0bxa[_0x7bc7[85]](0,_0xfb0bx12),_0xfb0bxa=_0xfb0bxa[_0x7bc7[85]](_0xfb0bx12+1);_0xfb0bxa==mxConstants[_0x7bc7[217]]? delete _0xfb0bx4[_0xfb0bx13]:mxUtils[_0x7bc7[1946]](_0xfb0bxa)?_0xfb0bx4[_0xfb0bx13]=parseFloat(_0xfb0bxa):_0xfb0bx4[_0xfb0bx13]=_0xfb0bxa}else {if(_0xfb0bxa=this[_0x7bc7[2054]][_0xfb0bxa],null!=_0xfb0bxa){for(_0xfb0bx13 in _0xfb0bxa){_0xfb0bx4[_0xfb0bx13]=_0xfb0bxa[_0xfb0bx13]}}}}};return _0xfb0bx4};function mxCellState(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){this[_0x7bc7[441]]=_0xfb0bx2;this[_0x7bc7[246]]=_0xfb0bx3;this[_0x7bc7[124]]=_0xfb0bx4;this[_0x7bc7[2078]]= new mxPoint;this[_0x7bc7[2079]]= new mxPoint}mxCellState[_0x7bc7[202]]= new mxRectangle;mxCellState[_0x7bc7[202]][_0x7bc7[196]]=mxCellState;mxCellState[_0x7bc7[202]][_0x7bc7[441]]=null;mxCellState[_0x7bc7[202]][_0x7bc7[246]]=null;mxCellState[_0x7bc7[202]][_0x7bc7[124]]=null;mxCellState[_0x7bc7[202]][_0x7bc7[2080]]= !0;mxCellState[_0x7bc7[202]][_0x7bc7[2081]]= !1;mxCellState[_0x7bc7[202]][_0x7bc7[2082]]= !1;mxCellState[_0x7bc7[202]][_0x7bc7[2078]]=null;mxCellState[_0x7bc7[202]][_0x7bc7[439]]=null;mxCellState[_0x7bc7[202]][_0x7bc7[2079]]=null;mxCellState[_0x7bc7[202]][_0x7bc7[2083]]=null;mxCellState[_0x7bc7[202]][_0x7bc7[2084]]=null;mxCellState[_0x7bc7[202]][_0x7bc7[2085]]=0;mxCellState[_0x7bc7[202]][_0x7bc7[67]]=0;mxCellState[_0x7bc7[202]][_0x7bc7[2086]]=null;mxCellState[_0x7bc7[202]][_0x7bc7[253]]=null;mxCellState[_0x7bc7[202]][_0x7bc7[963]]=null;mxCellState[_0x7bc7[202]][_0x7bc7[2087]]=function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx2=_0xfb0bx2||0;_0xfb0bx3=null!=_0xfb0bx3?_0xfb0bx3: new mxRectangle(this[_0x7bc7[235]],this[_0x7bc7[236]],this[_0x7bc7[117]],this[_0x7bc7[119]]);if(null!=this[_0x7bc7[253]]&&null!=this[_0x7bc7[253]][_0x7bc7[1561]]){var _0xfb0bx4=this[_0x7bc7[253]][_0x7bc7[1561]][_0x7bc7[1548]](this[_0x7bc7[124]],_0xfb0bx3[_0x7bc7[235]],_0xfb0bx3[_0x7bc7[236]],_0xfb0bx3[_0x7bc7[117]],_0xfb0bx3[_0x7bc7[119]]);_0xfb0bx3[_0x7bc7[235]]=_0xfb0bx4[_0x7bc7[235]];_0xfb0bx3[_0x7bc7[236]]=_0xfb0bx4[_0x7bc7[236]];_0xfb0bx3[_0x7bc7[117]]=this[_0x7bc7[253]][_0x7bc7[1561]][_0x7bc7[1532]]*_0xfb0bx4[_0x7bc7[117]];_0xfb0bx3[_0x7bc7[119]]=this[_0x7bc7[253]][_0x7bc7[1561]][_0x7bc7[1533]]*_0xfb0bx4[_0x7bc7[119]]};0!=_0xfb0bx2&&_0xfb0bx3[_0x7bc7[244]](_0xfb0bx2);return _0xfb0bx3};mxCellState[_0x7bc7[202]][_0x7bc7[2088]]=function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx3?(null==this[_0x7bc7[439]]&&(this[_0x7bc7[439]]=[]),0==this[_0x7bc7[439]][_0x7bc7[67]]?this[_0x7bc7[439]][_0x7bc7[207]](_0xfb0bx2):this[_0x7bc7[439]][0]=_0xfb0bx2):null==this[_0x7bc7[439]]?(this[_0x7bc7[439]]=[],this[_0x7bc7[439]][_0x7bc7[207]](null),this[_0x7bc7[439]][_0x7bc7[207]](_0xfb0bx2)):1==this[_0x7bc7[439]][_0x7bc7[67]]?this[_0x7bc7[439]][_0x7bc7[207]](_0xfb0bx2):this[_0x7bc7[439]][this[_0x7bc7[439]][_0x7bc7[67]]-1]=_0xfb0bx2};mxCellState[_0x7bc7[202]][_0x7bc7[1609]]=function(_0xfb0bx2){null!=this[_0x7bc7[253]]&&this[_0x7bc7[253]][_0x7bc7[1609]](_0xfb0bx2);null!=this[_0x7bc7[963]]&&this[_0x7bc7[963]][_0x7bc7[1609]](_0xfb0bx2)};mxCellState[_0x7bc7[202]][_0x7bc7[1710]]=function(_0xfb0bx2){_0xfb0bx2=this[_0x7bc7[2089]](_0xfb0bx2);return null!=_0xfb0bx2?_0xfb0bx2[_0x7bc7[246]]:null};mxCellState[_0x7bc7[202]][_0x7bc7[2089]]=function(_0xfb0bx2){return _0xfb0bx2?this[_0x7bc7[2083]]:this[_0x7bc7[2084]]};mxCellState[_0x7bc7[202]][_0x7bc7[2090]]=function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx3?this[_0x7bc7[2083]]=_0xfb0bx2:this[_0x7bc7[2084]]=_0xfb0bx2};mxCellState[_0x7bc7[202]][_0x7bc7[515]]=function(){this[_0x7bc7[441]][_0x7bc7[1179]][_0x7bc7[259]][_0x7bc7[515]](this)};mxCellState[_0x7bc7[202]][_0x7bc7[238]]=function(){var _0xfb0bx2= new mxCellState(this[_0x7bc7[441]],this[_0x7bc7[246]],this[_0x7bc7[124]]);if(null!=this[_0x7bc7[439]]){_0xfb0bx2[_0x7bc7[439]]=[];for(var _0xfb0bx3=0;_0xfb0bx3=_0xfb0bx14[_0x7bc7[235]]:null!=_0xfb0bx4&&(_0xfb0bx13=_0xfb0bx4[_0x7bc7[235]]+_0xfb0bx4[_0x7bc7[117]]<_0xfb0bx3[_0x7bc7[235]]))}else {return}};_0xfb0bx14= !0;null!=_0xfb0bx15?(_0xfb0bx4= new mxCellState,_0xfb0bx4[_0x7bc7[235]]=_0xfb0bx15[_0x7bc7[235]],_0xfb0bx4[_0x7bc7[236]]=_0xfb0bx15[_0x7bc7[236]]):null!=_0xfb0bx4&&(_0xfb0bx16=mxUtils[_0x7bc7[2227]](_0xfb0bx4,_0xfb0bx2,!1,mxConstants.DIRECTION_MASK_NONE),_0xfb0bx16!=mxConstants[_0x7bc7[434]]?_0xfb0bx14=_0xfb0bx16==mxConstants[_0x7bc7[436]]:(_0xfb0bx2=_0xfb0bx12[_0x7bc7[1198]](_0xfb0bx4[_0x7bc7[246]]),_0xfb0bx2[_0x7bc7[1500]]?_0xfb0bx14=0.5>=_0xfb0bx2[_0x7bc7[235]]:null!=_0xfb0bx3&&(_0xfb0bx14=_0xfb0bx3[_0x7bc7[235]]+_0xfb0bx3[_0x7bc7[117]]<_0xfb0bx4[_0x7bc7[235]])));null!=_0xfb0bx3&&null!=_0xfb0bx4&&(_0xfb0bx2=_0xfb0bx13?_0xfb0bx3[_0x7bc7[235]]:_0xfb0bx3[_0x7bc7[235]]+_0xfb0bx3[_0x7bc7[117]],_0xfb0bx3=_0xfb0bxa[_0x7bc7[2228]](_0xfb0bx3),_0xfb0bx12=_0xfb0bx14?_0xfb0bx4[_0x7bc7[235]]:_0xfb0bx4[_0x7bc7[235]]+_0xfb0bx4[_0x7bc7[117]],_0xfb0bx4=_0xfb0bxa[_0x7bc7[2228]](_0xfb0bx4),_0xfb0bxa= new mxPoint(_0xfb0bx2+(_0xfb0bx13?-_0xfb0bx5:_0xfb0bx5),_0xfb0bx3),_0xfb0bx15= new mxPoint(_0xfb0bx12+(_0xfb0bx14?-_0xfb0bx5:_0xfb0bx5),_0xfb0bx4),_0xfb0bx13==_0xfb0bx14?(_0xfb0bx5=_0xfb0bx13?Math[_0x7bc7[243]](_0xfb0bx2,_0xfb0bx12)-_0xfb0bx5:Math[_0x7bc7[160]](_0xfb0bx2,_0xfb0bx12)+_0xfb0bx5,_0xfb0bx9[_0x7bc7[207]]( new mxPoint(_0xfb0bx5,_0xfb0bx3)),_0xfb0bx9[_0x7bc7[207]]( new mxPoint(_0xfb0bx5,_0xfb0bx4))):(_0xfb0bxa[_0x7bc7[235]]<_0xfb0bx15[_0x7bc7[235]]==_0xfb0bx13?(_0xfb0bx5=_0xfb0bx3+(_0xfb0bx4-_0xfb0bx3)/2,_0xfb0bx9[_0x7bc7[207]](_0xfb0bxa),_0xfb0bx9[_0x7bc7[207]]( new mxPoint(_0xfb0bxa[_0x7bc7[235]],_0xfb0bx5)),_0xfb0bx9[_0x7bc7[207]]( new mxPoint(_0xfb0bx15[_0x7bc7[235]],_0xfb0bx5))):_0xfb0bx9[_0x7bc7[207]](_0xfb0bxa),_0xfb0bx9[_0x7bc7[207]](_0xfb0bx15)))},Loop:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){if(null!=_0xfb0bx3){_0xfb0bx4=_0xfb0bx2[_0x7bc7[441]];var _0xfb0bxa=_0xfb0bx4[_0x7bc7[1179]];_0xfb0bx5=null!=_0xfb0bx5&&0<_0xfb0bx5[_0x7bc7[67]]?_0xfb0bx5[0]:null;null!=_0xfb0bx5&&(_0xfb0bx5=_0xfb0bx4[_0x7bc7[2229]](_0xfb0bx2,_0xfb0bx5),mxUtils[_0x7bc7[442]](_0xfb0bx3,_0xfb0bx5[_0x7bc7[235]],_0xfb0bx5[_0x7bc7[236]])&&(_0xfb0bx5=null));var _0xfb0bx12=0,_0xfb0bx13=0,_0xfb0bx14=0,_0xfb0bx15=0,_0xfb0bxa=mxUtils[_0x7bc7[433]](_0xfb0bx2[_0x7bc7[124]],mxConstants.STYLE_SEGMENT,_0xfb0bxa[_0x7bc7[1020]])*_0xfb0bx4[_0x7bc7[255]];_0xfb0bx2=mxUtils[_0x7bc7[433]](_0xfb0bx2[_0x7bc7[124]],mxConstants.STYLE_DIRECTION,mxConstants.DIRECTION_WEST);_0xfb0bx2==mxConstants[_0x7bc7[1358]]||_0xfb0bx2==mxConstants[_0x7bc7[1354]]?(_0xfb0bx12=_0xfb0bx4[_0x7bc7[2230]](_0xfb0bx3),_0xfb0bx13=_0xfb0bxa):(_0xfb0bx14=_0xfb0bx4[_0x7bc7[2228]](_0xfb0bx3),_0xfb0bx15=_0xfb0bxa);null==_0xfb0bx5||_0xfb0bx5[_0x7bc7[235]]<_0xfb0bx3[_0x7bc7[235]]||_0xfb0bx5[_0x7bc7[235]]>_0xfb0bx3[_0x7bc7[235]]+_0xfb0bx3[_0x7bc7[117]]?null!=_0xfb0bx5?(_0xfb0bx12=_0xfb0bx5[_0x7bc7[235]],_0xfb0bx15=Math[_0x7bc7[160]](Math[_0x7bc7[425]](_0xfb0bx14-_0xfb0bx5[_0x7bc7[236]]),_0xfb0bx15)):_0xfb0bx2==mxConstants[_0x7bc7[1358]]?_0xfb0bx14=_0xfb0bx3[_0x7bc7[236]]-2*_0xfb0bx13:_0xfb0bx2==mxConstants[_0x7bc7[1354]]?_0xfb0bx14=_0xfb0bx3[_0x7bc7[236]]+_0xfb0bx3[_0x7bc7[119]]+2*_0xfb0bx13:_0xfb0bx12=_0xfb0bx2==mxConstants[_0x7bc7[1356]]?_0xfb0bx3[_0x7bc7[235]]-2*_0xfb0bx15:_0xfb0bx3[_0x7bc7[235]]+_0xfb0bx3[_0x7bc7[117]]+2*_0xfb0bx15:null!=_0xfb0bx5&&(_0xfb0bx12=_0xfb0bx4[_0x7bc7[2230]](_0xfb0bx3),_0xfb0bx13=Math[_0x7bc7[160]](Math[_0x7bc7[425]](_0xfb0bx12-_0xfb0bx5[_0x7bc7[235]]),_0xfb0bx15),_0xfb0bx14=_0xfb0bx5[_0x7bc7[236]],_0xfb0bx15=0);_0xfb0bx9[_0x7bc7[207]]( new mxPoint(_0xfb0bx12-_0xfb0bx13,_0xfb0bx14-_0xfb0bx15));_0xfb0bx9[_0x7bc7[207]]( new mxPoint(_0xfb0bx12+_0xfb0bx13,_0xfb0bx14+_0xfb0bx15))}},ElbowConnector:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){var _0xfb0bxa=null!=_0xfb0bx5&&0<_0xfb0bx5[_0x7bc7[67]]?_0xfb0bx5[0]:null,_0xfb0bx12=!1,_0xfb0bx13=!1;if(null!=_0xfb0bx3&&null!=_0xfb0bx4){if(null!=_0xfb0bxa){var _0xfb0bx14=Math[_0x7bc7[243]](_0xfb0bx3[_0x7bc7[235]],_0xfb0bx4[_0x7bc7[235]]),_0xfb0bx15=Math[_0x7bc7[160]](_0xfb0bx3[_0x7bc7[235]]+_0xfb0bx3[_0x7bc7[117]],_0xfb0bx4[_0x7bc7[235]]+_0xfb0bx4[_0x7bc7[117]]),_0xfb0bx13=Math[_0x7bc7[243]](_0xfb0bx3[_0x7bc7[236]],_0xfb0bx4[_0x7bc7[236]]),_0xfb0bx16=Math[_0x7bc7[160]](_0xfb0bx3[_0x7bc7[236]]+_0xfb0bx3[_0x7bc7[119]],_0xfb0bx4[_0x7bc7[236]]+_0xfb0bx4[_0x7bc7[119]]),_0xfb0bxa=_0xfb0bx2[_0x7bc7[441]][_0x7bc7[2229]](_0xfb0bx2,_0xfb0bxa),_0xfb0bx12=_0xfb0bxa[_0x7bc7[236]]<_0xfb0bx13||_0xfb0bxa[_0x7bc7[236]]>_0xfb0bx16,_0xfb0bx13=_0xfb0bxa[_0x7bc7[235]]<_0xfb0bx14||_0xfb0bxa[_0x7bc7[235]]>_0xfb0bx15}else {_0xfb0bx14=Math[_0x7bc7[160]](_0xfb0bx3[_0x7bc7[235]],_0xfb0bx4[_0x7bc7[235]]),_0xfb0bx15=Math[_0x7bc7[243]](_0xfb0bx3[_0x7bc7[235]]+_0xfb0bx3[_0x7bc7[117]],_0xfb0bx4[_0x7bc7[235]]+_0xfb0bx4[_0x7bc7[117]]),_0xfb0bx12=_0xfb0bx14==_0xfb0bx15,_0xfb0bx12||(_0xfb0bx13=Math[_0x7bc7[160]](_0xfb0bx3[_0x7bc7[236]],_0xfb0bx4[_0x7bc7[236]]),_0xfb0bx16=Math[_0x7bc7[243]](_0xfb0bx3[_0x7bc7[236]]+_0xfb0bx3[_0x7bc7[119]],_0xfb0bx4[_0x7bc7[236]]+_0xfb0bx4[_0x7bc7[119]]),_0xfb0bx13=_0xfb0bx13==_0xfb0bx16)}};!_0xfb0bx13&&(_0xfb0bx12||_0xfb0bx2[_0x7bc7[124]][mxConstants[_0x7bc7[2231]]]==mxConstants[_0x7bc7[2232]])?mxEdgeStyle.TopToBottom(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9):mxEdgeStyle.SideToSide(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9)},SideToSide:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){var _0xfb0bxa=_0xfb0bx2[_0x7bc7[441]];_0xfb0bx5=null!=_0xfb0bx5&&0<_0xfb0bx5[_0x7bc7[67]]?_0xfb0bx5[0]:null;var _0xfb0bx12=_0xfb0bx2[_0x7bc7[439]],_0xfb0bx13=_0xfb0bx12[0],_0xfb0bx12=_0xfb0bx12[_0xfb0bx12[_0x7bc7[67]]-1];null!=_0xfb0bx5&&(_0xfb0bx5=_0xfb0bxa[_0x7bc7[2229]](_0xfb0bx2,_0xfb0bx5));null!=_0xfb0bx13&&(_0xfb0bx3= new mxCellState,_0xfb0bx3[_0x7bc7[235]]=_0xfb0bx13[_0x7bc7[235]],_0xfb0bx3[_0x7bc7[236]]=_0xfb0bx13[_0x7bc7[236]]);null!=_0xfb0bx12&&(_0xfb0bx4= new mxCellState,_0xfb0bx4[_0x7bc7[235]]=_0xfb0bx12[_0x7bc7[235]],_0xfb0bx4[_0x7bc7[236]]=_0xfb0bx12[_0x7bc7[236]]);null!=_0xfb0bx3&&null!=_0xfb0bx4&&(_0xfb0bx2=Math[_0x7bc7[160]](_0xfb0bx3[_0x7bc7[235]],_0xfb0bx4[_0x7bc7[235]]),_0xfb0bx13=Math[_0x7bc7[243]](_0xfb0bx3[_0x7bc7[235]]+_0xfb0bx3[_0x7bc7[117]],_0xfb0bx4[_0x7bc7[235]]+_0xfb0bx4[_0x7bc7[117]]),_0xfb0bx2=null!=_0xfb0bx5?_0xfb0bx5[_0x7bc7[235]]:_0xfb0bx13+(_0xfb0bx2-_0xfb0bx13)/2,_0xfb0bx13=_0xfb0bxa[_0x7bc7[2228]](_0xfb0bx3),_0xfb0bxa=_0xfb0bxa[_0x7bc7[2228]](_0xfb0bx4),null!=_0xfb0bx5&&(_0xfb0bx5[_0x7bc7[236]]>=_0xfb0bx3[_0x7bc7[236]]&&_0xfb0bx5[_0x7bc7[236]]<=_0xfb0bx3[_0x7bc7[236]]+_0xfb0bx3[_0x7bc7[119]]&&(_0xfb0bx13=_0xfb0bx5[_0x7bc7[236]]),_0xfb0bx5[_0x7bc7[236]]>=_0xfb0bx4[_0x7bc7[236]]&&_0xfb0bx5[_0x7bc7[236]]<=_0xfb0bx4[_0x7bc7[236]]+_0xfb0bx4[_0x7bc7[119]]&&(_0xfb0bxa=_0xfb0bx5[_0x7bc7[236]])),!mxUtils[_0x7bc7[442]](_0xfb0bx4,_0xfb0bx2,_0xfb0bx13)&&!mxUtils[_0x7bc7[442]](_0xfb0bx3,_0xfb0bx2,_0xfb0bx13)&&_0xfb0bx9[_0x7bc7[207]]( new mxPoint(_0xfb0bx2,_0xfb0bx13)),!mxUtils[_0x7bc7[442]](_0xfb0bx4,_0xfb0bx2,_0xfb0bxa)&&!mxUtils[_0x7bc7[442]](_0xfb0bx3,_0xfb0bx2,_0xfb0bxa)&&_0xfb0bx9[_0x7bc7[207]]( new mxPoint(_0xfb0bx2,_0xfb0bxa)),1==_0xfb0bx9[_0x7bc7[67]]&&(null!=_0xfb0bx5?!mxUtils[_0x7bc7[442]](_0xfb0bx4,_0xfb0bx2,_0xfb0bx5[_0x7bc7[236]])&&!mxUtils[_0x7bc7[442]](_0xfb0bx3,_0xfb0bx2,_0xfb0bx5[_0x7bc7[236]])&&_0xfb0bx9[_0x7bc7[207]]( new mxPoint(_0xfb0bx2,_0xfb0bx5[_0x7bc7[236]])):(_0xfb0bxa=Math[_0x7bc7[160]](_0xfb0bx3[_0x7bc7[236]],_0xfb0bx4[_0x7bc7[236]]),_0xfb0bx3=Math[_0x7bc7[243]](_0xfb0bx3[_0x7bc7[236]]+_0xfb0bx3[_0x7bc7[119]],_0xfb0bx4[_0x7bc7[236]]+_0xfb0bx4[_0x7bc7[119]]),_0xfb0bx9[_0x7bc7[207]]( new mxPoint(_0xfb0bx2,_0xfb0bxa+(_0xfb0bx3-_0xfb0bxa)/2)))))},TopToBottom:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){var _0xfb0bxa=_0xfb0bx2[_0x7bc7[441]];_0xfb0bx5=null!=_0xfb0bx5&&0<_0xfb0bx5[_0x7bc7[67]]?_0xfb0bx5[0]:null;var _0xfb0bx12=_0xfb0bx2[_0x7bc7[439]],_0xfb0bx13=_0xfb0bx12[0],_0xfb0bx12=_0xfb0bx12[_0xfb0bx12[_0x7bc7[67]]-1];null!=_0xfb0bx5&&(_0xfb0bx5=_0xfb0bxa[_0x7bc7[2229]](_0xfb0bx2,_0xfb0bx5));null!=_0xfb0bx13&&(_0xfb0bx3= new mxCellState,_0xfb0bx3[_0x7bc7[235]]=_0xfb0bx13[_0x7bc7[235]],_0xfb0bx3[_0x7bc7[236]]=_0xfb0bx13[_0x7bc7[236]]);null!=_0xfb0bx12&&(_0xfb0bx4= new mxCellState,_0xfb0bx4[_0x7bc7[235]]=_0xfb0bx12[_0x7bc7[235]],_0xfb0bx4[_0x7bc7[236]]=_0xfb0bx12[_0x7bc7[236]]);null!=_0xfb0bx3&&null!=_0xfb0bx4&&(_0xfb0bx13=Math[_0x7bc7[160]](_0xfb0bx3[_0x7bc7[236]],_0xfb0bx4[_0x7bc7[236]]),_0xfb0bx12=Math[_0x7bc7[243]](_0xfb0bx3[_0x7bc7[236]]+_0xfb0bx3[_0x7bc7[119]],_0xfb0bx4[_0x7bc7[236]]+_0xfb0bx4[_0x7bc7[119]]),_0xfb0bx2=_0xfb0bxa[_0x7bc7[2230]](_0xfb0bx3),null!=_0xfb0bx5&&(_0xfb0bx5[_0x7bc7[235]]>=_0xfb0bx3[_0x7bc7[235]]&&_0xfb0bx5[_0x7bc7[235]]<=_0xfb0bx3[_0x7bc7[235]]+_0xfb0bx3[_0x7bc7[117]])&&(_0xfb0bx2=_0xfb0bx5[_0x7bc7[235]]),_0xfb0bx13=null!=_0xfb0bx5?_0xfb0bx5[_0x7bc7[236]]:_0xfb0bx12+(_0xfb0bx13-_0xfb0bx12)/2,!mxUtils[_0x7bc7[442]](_0xfb0bx4,_0xfb0bx2,_0xfb0bx13)&&!mxUtils[_0x7bc7[442]](_0xfb0bx3,_0xfb0bx2,_0xfb0bx13)&&_0xfb0bx9[_0x7bc7[207]]( new mxPoint(_0xfb0bx2,_0xfb0bx13)),_0xfb0bx2=null!=_0xfb0bx5&&_0xfb0bx5[_0x7bc7[235]]>=_0xfb0bx4[_0x7bc7[235]]&&_0xfb0bx5[_0x7bc7[235]]<=_0xfb0bx4[_0x7bc7[235]]+_0xfb0bx4[_0x7bc7[117]]?_0xfb0bx5[_0x7bc7[235]]:_0xfb0bxa[_0x7bc7[2230]](_0xfb0bx4),!mxUtils[_0x7bc7[442]](_0xfb0bx4,_0xfb0bx2,_0xfb0bx13)&&!mxUtils[_0x7bc7[442]](_0xfb0bx3,_0xfb0bx2,_0xfb0bx13)&&_0xfb0bx9[_0x7bc7[207]]( new mxPoint(_0xfb0bx2,_0xfb0bx13)),1==_0xfb0bx9[_0x7bc7[67]]&&(null!=_0xfb0bx5&&1==_0xfb0bx9[_0x7bc7[67]]?!mxUtils[_0x7bc7[442]](_0xfb0bx4,_0xfb0bx5[_0x7bc7[235]],_0xfb0bx13)&&!mxUtils[_0x7bc7[442]](_0xfb0bx3,_0xfb0bx5[_0x7bc7[235]],_0xfb0bx13)&&_0xfb0bx9[_0x7bc7[207]]( new mxPoint(_0xfb0bx5[_0x7bc7[235]],_0xfb0bx13)):(_0xfb0bxa=Math[_0x7bc7[160]](_0xfb0bx3[_0x7bc7[235]],_0xfb0bx4[_0x7bc7[235]]),_0xfb0bx3=Math[_0x7bc7[243]](_0xfb0bx3[_0x7bc7[235]]+_0xfb0bx3[_0x7bc7[117]],_0xfb0bx4[_0x7bc7[235]]+_0xfb0bx4[_0x7bc7[117]]),_0xfb0bx9[_0x7bc7[207]]( new mxPoint(_0xfb0bxa+(_0xfb0bx3-_0xfb0bxa)/2,_0xfb0bx13)))))},SegmentConnector:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){var _0xfb0bxa=_0xfb0bx2[_0x7bc7[439]],_0xfb0bx12=!0,_0xfb0bx13=null,_0xfb0bx14=_0xfb0bxa[0];null==_0xfb0bx14&&null!=_0xfb0bx3?_0xfb0bx14= new mxPoint(_0xfb0bx2[_0x7bc7[441]][_0x7bc7[2230]](_0xfb0bx3),_0xfb0bx2[_0x7bc7[441]][_0x7bc7[2228]](_0xfb0bx3)):null!=_0xfb0bx14&&(_0xfb0bx14=_0xfb0bx14[_0x7bc7[238]]());var _0xfb0bx15=_0xfb0bxa[_0x7bc7[67]]-1;if(null!=_0xfb0bx5&&0<_0xfb0bx5[_0x7bc7[67]]){for(var _0xfb0bx13=_0xfb0bx2[_0x7bc7[441]][_0x7bc7[2229]](_0xfb0bx2,_0xfb0bx5[0]),_0xfb0bx16=_0xfb0bx3,_0xfb0bx17=_0xfb0bxa[0],_0xfb0bx18=!1,_0xfb0bx19=!1,_0xfb0bx18=_0xfb0bx13,_0xfb0bx1a=_0xfb0bx5[_0x7bc7[67]],_0xfb0bx1b=0;2>_0xfb0bx1b;_0xfb0bx1b++){var _0xfb0bx1c=null!=_0xfb0bx17&&_0xfb0bx17[_0x7bc7[235]]==_0xfb0bx18[_0x7bc7[235]],_0xfb0bx1d=null!=_0xfb0bx17&&_0xfb0bx17[_0x7bc7[236]]==_0xfb0bx18[_0x7bc7[236]],_0xfb0bx1e=null!=_0xfb0bx16&&_0xfb0bx18[_0x7bc7[236]]>=_0xfb0bx16[_0x7bc7[236]]&&_0xfb0bx18[_0x7bc7[236]]<=_0xfb0bx16[_0x7bc7[236]]+_0xfb0bx16[_0x7bc7[119]],_0xfb0bx16=null!=_0xfb0bx16&&_0xfb0bx18[_0x7bc7[235]]>=_0xfb0bx16[_0x7bc7[235]]&&_0xfb0bx18[_0x7bc7[235]]<=_0xfb0bx16[_0x7bc7[235]]+_0xfb0bx16[_0x7bc7[117]],_0xfb0bx18=_0xfb0bx1d||null==_0xfb0bx17&&_0xfb0bx1e,_0xfb0bx19=_0xfb0bx1c||null==_0xfb0bx17&&_0xfb0bx16;if(null!=_0xfb0bx17&&!_0xfb0bx1d&&!_0xfb0bx1c&&(_0xfb0bx1e||_0xfb0bx16)){_0xfb0bx12=_0xfb0bx1e?!1:!0;break};if(_0xfb0bx19||_0xfb0bx18){_0xfb0bx12=_0xfb0bx18;1==_0xfb0bx1b&&(_0xfb0bx12=0==_0xfb0bx5[_0x7bc7[67]]%2?_0xfb0bx18:_0xfb0bx19);break};_0xfb0bx16=_0xfb0bx4;_0xfb0bx17=_0xfb0bxa[_0xfb0bx15];_0xfb0bx18=_0xfb0bx2[_0x7bc7[441]][_0x7bc7[2229]](_0xfb0bx2,_0xfb0bx5[_0xfb0bx1a-1])};_0xfb0bx12&&(null!=_0xfb0bxa[0]&&_0xfb0bxa[0][_0x7bc7[236]]!=_0xfb0bx13[_0x7bc7[236]]||null==_0xfb0bxa[0]&&null!=_0xfb0bx3&&(_0xfb0bx13[_0x7bc7[236]]<_0xfb0bx3[_0x7bc7[236]]||_0xfb0bx13[_0x7bc7[236]]>_0xfb0bx3[_0x7bc7[236]]+_0xfb0bx3[_0x7bc7[119]]))?_0xfb0bx9[_0x7bc7[207]]( new mxPoint(_0xfb0bx14[_0x7bc7[235]],_0xfb0bx13[_0x7bc7[236]])):!_0xfb0bx12&&(null!=_0xfb0bxa[0]&&_0xfb0bxa[0][_0x7bc7[235]]!=_0xfb0bx13[_0x7bc7[235]]||null==_0xfb0bxa[0]&&null!=_0xfb0bx3&&(_0xfb0bx13[_0x7bc7[235]]<_0xfb0bx3[_0x7bc7[235]]||_0xfb0bx13[_0x7bc7[235]]>_0xfb0bx3[_0x7bc7[235]]+_0xfb0bx3[_0x7bc7[117]]))&&_0xfb0bx9[_0x7bc7[207]]( new mxPoint(_0xfb0bx13[_0x7bc7[235]],_0xfb0bx14[_0x7bc7[236]]));_0xfb0bx12?_0xfb0bx14[_0x7bc7[236]]=_0xfb0bx13[_0x7bc7[236]]:_0xfb0bx14[_0x7bc7[235]]=_0xfb0bx13[_0x7bc7[235]];for(_0xfb0bx1b=0;_0xfb0bx1b<_0xfb0bx5[_0x7bc7[67]];_0xfb0bx1b++){_0xfb0bx12= !_0xfb0bx12,_0xfb0bx13=_0xfb0bx2[_0x7bc7[441]][_0x7bc7[2229]](_0xfb0bx2,_0xfb0bx5[_0xfb0bx1b]),_0xfb0bx12?_0xfb0bx14[_0x7bc7[236]]=_0xfb0bx13[_0x7bc7[236]]:_0xfb0bx14[_0x7bc7[235]]=_0xfb0bx13[_0x7bc7[235]],_0xfb0bx9[_0x7bc7[207]](_0xfb0bx14[_0x7bc7[238]]())}}else {_0xfb0bx13=_0xfb0bx14,_0xfb0bx12= !0};_0xfb0bx14=_0xfb0bxa[_0xfb0bx15];null==_0xfb0bx14&&null!=_0xfb0bx4&&(_0xfb0bx14= new mxPoint(_0xfb0bx2[_0x7bc7[441]][_0x7bc7[2230]](_0xfb0bx4),_0xfb0bx2[_0x7bc7[441]][_0x7bc7[2228]](_0xfb0bx4)));_0xfb0bx12&&(null!=_0xfb0bxa[_0xfb0bx15]&&_0xfb0bxa[_0xfb0bx15][_0x7bc7[236]]!=_0xfb0bx13[_0x7bc7[236]]||null==_0xfb0bxa[_0xfb0bx15]&&null!=_0xfb0bx4&&(_0xfb0bx13[_0x7bc7[236]]<_0xfb0bx4[_0x7bc7[236]]||_0xfb0bx13[_0x7bc7[236]]>_0xfb0bx4[_0x7bc7[236]]+_0xfb0bx4[_0x7bc7[119]]))?_0xfb0bx9[_0x7bc7[207]]( new mxPoint(_0xfb0bx14[_0x7bc7[235]],_0xfb0bx13[_0x7bc7[236]])):!_0xfb0bx12&&(null!=_0xfb0bxa[_0xfb0bx15]&&_0xfb0bxa[_0xfb0bx15][_0x7bc7[235]]!=_0xfb0bx13[_0x7bc7[235]]||null==_0xfb0bxa[_0xfb0bx15]&&null!=_0xfb0bx4&&(_0xfb0bx13[_0x7bc7[235]]<_0xfb0bx4[_0x7bc7[235]]||_0xfb0bx13[_0x7bc7[235]]>_0xfb0bx4[_0x7bc7[235]]+_0xfb0bx4[_0x7bc7[117]]))&&_0xfb0bx9[_0x7bc7[207]]( new mxPoint(_0xfb0bx13[_0x7bc7[235]],_0xfb0bx14[_0x7bc7[236]]));if(null==_0xfb0bxa[0]&&null!=_0xfb0bx3){for(;1<_0xfb0bx9[_0x7bc7[67]]&&mxUtils[_0x7bc7[442]](_0xfb0bx3,_0xfb0bx9[1][_0x7bc7[235]],_0xfb0bx9[1][_0x7bc7[236]]);){_0xfb0bx9=_0xfb0bx9[_0x7bc7[300]](1,1)}};if(null==_0xfb0bxa[_0xfb0bx15]&&null!=_0xfb0bx4){for(;1<_0xfb0bx9[_0x7bc7[67]]&&mxUtils[_0x7bc7[442]](_0xfb0bx4,_0xfb0bx9[_0xfb0bx9[_0x7bc7[67]]-1][_0x7bc7[235]],_0xfb0bx9[_0xfb0bx9[_0x7bc7[67]]-1][_0x7bc7[236]]);){_0xfb0bx9=_0xfb0bx9[_0x7bc7[300]](_0xfb0bx9[_0x7bc7[67]]-1,1)}}},orthBuffer:10,dirVectors:[[-1,0],[0,-1],[1,0],[0,1],[-1,0],[0,-1],[1,0]],wayPoints1:[[0,0],[0,0],[0,0],[0,0],[0,0],[0,0],[0,0],[0,0],[0,0],[0,0],[0,0],[0,0]],routePatterns:[[[513,2308,2081,2562],[513,1090,514,2184,2114,2561],[513,1090,514,2564,2184,2562],[513,2308,2561,1090,514,2568,2308]],[[514,1057,513,2308,2081,2562],[514,2184,2114,2561],[514,2184,2562,1057,513,2564,2184],[514,1057,513,2568,2308,2561]],[[1090,514,1057,513,2308,2081,2562],[2114,2561],[1090,2562,1057,513,2564,2184],[1090,514,1057,513,2308,2561,2568]],[[2081,2562],[1057,513,1090,514,2184,2114,2561],[1057,513,1090,514,2184,2562,2564],[1057,2561,1090,514,2568,2308]]],inlineRoutePatterns:[[null,[2114,2568],null,null],[null,[514,2081,2114,2568],null,null],[null,[2114,2561],null,null],[[2081,2562],[1057,2114,2568],[2184,2562],null]],vertexSeperations:[],limits:[[0,0,0,0,0,0,0,0,0],[0,0,0,0,0,0,0,0,0]],LEFT_MASK:32,TOP_MASK:64,RIGHT_MASK:128,BOTTOM_MASK:256,LEFT:1,TOP:2,RIGHT:4,BOTTOM:8,SIDE_MASK:480,CENTER_MASK:512,SOURCE_MASK:1024,TARGET_MASK:2048,VERTEX_MASK:3072,OrthConnector:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){var _0xfb0bxa=_0xfb0bx2[_0x7bc7[441]][_0x7bc7[1179]],_0xfb0bx12=null==_0xfb0bx3?!1:_0xfb0bxa[_0x7bc7[502]]()[_0x7bc7[250]](_0xfb0bx3[_0x7bc7[246]]),_0xfb0bxa=null==_0xfb0bx4?!1:_0xfb0bxa[_0x7bc7[502]]()[_0x7bc7[250]](_0xfb0bx4[_0x7bc7[246]]);if(null!=_0xfb0bx5&&0<_0xfb0bx5[_0x7bc7[67]]||_0xfb0bx12||_0xfb0bxa){mxEdgeStyle.SegmentConnector(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9)}else {_0xfb0bx5=_0xfb0bx2[_0x7bc7[439]];var _0xfb0bx13=_0xfb0bx5[0],_0xfb0bx14=_0xfb0bx5[_0xfb0bx5[_0x7bc7[67]]-1];_0xfb0bx5=null!=_0xfb0bx3?_0xfb0bx3[_0x7bc7[235]]:_0xfb0bx13[_0x7bc7[235]];var _0xfb0bx12=null!=_0xfb0bx3?_0xfb0bx3[_0x7bc7[236]]:_0xfb0bx13[_0x7bc7[236]],_0xfb0bx15=null!=_0xfb0bx3?_0xfb0bx3[_0x7bc7[117]]:1,_0xfb0bx16=null!=_0xfb0bx3?_0xfb0bx3[_0x7bc7[119]]:1,_0xfb0bx17=null!=_0xfb0bx4?_0xfb0bx4[_0x7bc7[235]]:_0xfb0bx14[_0x7bc7[235]],_0xfb0bx18=null!=_0xfb0bx4?_0xfb0bx4[_0x7bc7[236]]:_0xfb0bx14[_0x7bc7[236]],_0xfb0bx19=null!=_0xfb0bx4?_0xfb0bx4[_0x7bc7[117]]:1,_0xfb0bx1a=null!=_0xfb0bx4?_0xfb0bx4[_0x7bc7[119]]:1,_0xfb0bxa=_0xfb0bx2[_0x7bc7[441]][_0x7bc7[255]]*mxEdgeStyle[_0x7bc7[2233]],_0xfb0bx1b=[mxConstants[_0x7bc7[2234]],mxConstants[_0x7bc7[2234]]];null!=_0xfb0bx3&&(_0xfb0bx1b[0]=mxUtils[_0x7bc7[2227]](_0xfb0bx3,_0xfb0bx2,!0,mxConstants.DIRECTION_MASK_ALL));null!=_0xfb0bx4&&(_0xfb0bx1b[1]=mxUtils[_0x7bc7[2227]](_0xfb0bx4,_0xfb0bx2,!1,mxConstants.DIRECTION_MASK_ALL));_0xfb0bx2=[0,0];_0xfb0bx5=[[_0xfb0bx5,_0xfb0bx12,_0xfb0bx15,_0xfb0bx16],[_0xfb0bx17,_0xfb0bx18,_0xfb0bx19,_0xfb0bx1a]];for(_0xfb0bx15=0;2>_0xfb0bx15;_0xfb0bx15++){mxEdgeStyle[_0x7bc7[2235]][_0xfb0bx15][1]=_0xfb0bx5[_0xfb0bx15][0]-_0xfb0bxa,mxEdgeStyle[_0x7bc7[2235]][_0xfb0bx15][2]=_0xfb0bx5[_0xfb0bx15][1]-_0xfb0bxa,mxEdgeStyle[_0x7bc7[2235]][_0xfb0bx15][4]=_0xfb0bx5[_0xfb0bx15][0]+_0xfb0bx5[_0xfb0bx15][2]+_0xfb0bxa,mxEdgeStyle[_0x7bc7[2235]][_0xfb0bx15][8]=_0xfb0bx5[_0xfb0bx15][1]+_0xfb0bx5[_0xfb0bx15][3]+_0xfb0bxa};_0xfb0bx15=_0xfb0bx5[0][0]+_0xfb0bx5[0][2]/2-(_0xfb0bx5[1][0]+_0xfb0bx5[1][2]/2);_0xfb0bx16=_0xfb0bx5[0][1]+_0xfb0bx5[0][3]/2-(_0xfb0bx5[1][1]+_0xfb0bx5[1][3]/2);_0xfb0bx12=0;0>_0xfb0bx15?_0xfb0bx12=0>_0xfb0bx16?2:1:0>=_0xfb0bx16&&(_0xfb0bx12=3,0==_0xfb0bx15&&(_0xfb0bx12=2));_0xfb0bx16=null;null!=_0xfb0bx3&&(_0xfb0bx16=_0xfb0bx13);_0xfb0bx3=[[0.5,0.5],[0.5,0.5]];for(_0xfb0bx15=0;2>_0xfb0bx15;_0xfb0bx15++){null!=_0xfb0bx16&&(_0xfb0bx3[_0xfb0bx15][0]=(_0xfb0bx16[_0x7bc7[235]]-_0xfb0bx5[_0xfb0bx15][0])/_0xfb0bx5[_0xfb0bx15][2],0.01>_0xfb0bx3[_0xfb0bx15][0]?_0xfb0bx2[_0xfb0bx15]=mxConstants[_0x7bc7[436]]:0.99<_0xfb0bx3[_0xfb0bx15][0]&&(_0xfb0bx2[_0xfb0bx15]=mxConstants[_0x7bc7[438]]),_0xfb0bx3[_0xfb0bx15][1]=(_0xfb0bx16[_0x7bc7[236]]-_0xfb0bx5[_0xfb0bx15][1])/_0xfb0bx5[_0xfb0bx15][3],0.01>_0xfb0bx3[_0xfb0bx15][1]?_0xfb0bx2[_0xfb0bx15]=mxConstants[_0x7bc7[435]]:0.99<_0xfb0bx3[_0xfb0bx15][1]&&(_0xfb0bx2[_0xfb0bx15]=mxConstants[_0x7bc7[437]])),_0xfb0bx16=null,null!=_0xfb0bx4&&(_0xfb0bx16=_0xfb0bx14)};_0xfb0bx15=_0xfb0bx5[0][1]-(_0xfb0bx5[1][1]+_0xfb0bx5[1][3]);_0xfb0bx16=_0xfb0bx5[0][0]-(_0xfb0bx5[1][0]+_0xfb0bx5[1][2]);_0xfb0bx17=_0xfb0bx5[1][1]-(_0xfb0bx5[0][1]+_0xfb0bx5[0][3]);_0xfb0bx18=_0xfb0bx5[1][0]-(_0xfb0bx5[0][0]+_0xfb0bx5[0][2]);mxEdgeStyle[_0x7bc7[2236]][1]=Math[_0x7bc7[160]](_0xfb0bx16-2*_0xfb0bxa,0);mxEdgeStyle[_0x7bc7[2236]][2]=Math[_0x7bc7[160]](_0xfb0bx15-2*_0xfb0bxa,0);mxEdgeStyle[_0x7bc7[2236]][4]=Math[_0x7bc7[160]](_0xfb0bx17-2*_0xfb0bxa,0);mxEdgeStyle[_0x7bc7[2236]][3]=Math[_0x7bc7[160]](_0xfb0bx18-2*_0xfb0bxa,0);_0xfb0bx4=[];_0xfb0bx13=[];_0xfb0bx14=[];_0xfb0bx13[0]=_0xfb0bx16>=_0xfb0bx18?mxConstants[_0x7bc7[436]]:mxConstants[_0x7bc7[438]];_0xfb0bx14[0]=_0xfb0bx15>=_0xfb0bx17?mxConstants[_0x7bc7[435]]:mxConstants[_0x7bc7[437]];_0xfb0bx13[1]=mxUtils[_0x7bc7[2237]](_0xfb0bx13[0]);_0xfb0bx14[1]=mxUtils[_0x7bc7[2237]](_0xfb0bx14[0]);_0xfb0bx16=_0xfb0bx16>=_0xfb0bx18?_0xfb0bx16:_0xfb0bx18;_0xfb0bx17=_0xfb0bx15>=_0xfb0bx17?_0xfb0bx15:_0xfb0bx17;_0xfb0bx18=[[0,0],[0,0]];_0xfb0bx19= !1;for(_0xfb0bx15=0;2>_0xfb0bx15;_0xfb0bx15++){0==_0xfb0bx2[_0xfb0bx15]&&(0==(_0xfb0bx13[_0xfb0bx15]&_0xfb0bx1b[_0xfb0bx15])&&(_0xfb0bx13[_0xfb0bx15]=mxUtils[_0x7bc7[2237]](_0xfb0bx13[_0xfb0bx15])),0==(_0xfb0bx14[_0xfb0bx15]&_0xfb0bx1b[_0xfb0bx15])&&(_0xfb0bx14[_0xfb0bx15]=mxUtils[_0x7bc7[2237]](_0xfb0bx14[_0xfb0bx15])),_0xfb0bx18[_0xfb0bx15][0]=_0xfb0bx14[_0xfb0bx15],_0xfb0bx18[_0xfb0bx15][1]=_0xfb0bx13[_0xfb0bx15])};_0xfb0bx17>2*_0xfb0bxa&&_0xfb0bx16>2*_0xfb0bxa&&(0<(_0xfb0bx13[0]&_0xfb0bx1b[0])&&0<(_0xfb0bx14[1]&_0xfb0bx1b[1])?(_0xfb0bx18[0][0]=_0xfb0bx13[0],_0xfb0bx18[0][1]=_0xfb0bx14[0],_0xfb0bx18[1][0]=_0xfb0bx14[1],_0xfb0bx18[1][1]=_0xfb0bx13[1],_0xfb0bx19= !0):0<(_0xfb0bx14[0]&_0xfb0bx1b[0])&&0<(_0xfb0bx13[1]&_0xfb0bx1b[1])&&(_0xfb0bx18[0][0]=_0xfb0bx14[0],_0xfb0bx18[0][1]=_0xfb0bx13[0],_0xfb0bx18[1][0]=_0xfb0bx13[1],_0xfb0bx18[1][1]=_0xfb0bx14[1],_0xfb0bx19= !0));_0xfb0bx17>2*_0xfb0bxa&&!_0xfb0bx19&&(_0xfb0bx18[0][0]=_0xfb0bx14[0],_0xfb0bx18[0][1]=_0xfb0bx13[0],_0xfb0bx18[1][0]=_0xfb0bx14[1],_0xfb0bx18[1][1]=_0xfb0bx13[1],_0xfb0bx19= !0);_0xfb0bx16>2*_0xfb0bxa&&!_0xfb0bx19&&(_0xfb0bx18[0][0]=_0xfb0bx13[0],_0xfb0bx18[0][1]=_0xfb0bx14[0],_0xfb0bx18[1][0]=_0xfb0bx13[1],_0xfb0bx18[1][1]=_0xfb0bx14[1]);for(_0xfb0bx15=0;2>_0xfb0bx15;_0xfb0bx15++){if(0==_0xfb0bx2[_0xfb0bx15]&&(0==(_0xfb0bx18[_0xfb0bx15][0]&_0xfb0bx1b[_0xfb0bx15])&&(_0xfb0bx18[_0xfb0bx15][0]=_0xfb0bx18[_0xfb0bx15][1]),_0xfb0bx4[_0xfb0bx15]=_0xfb0bx18[_0xfb0bx15][0]&_0xfb0bx1b[_0xfb0bx15],_0xfb0bx4[_0xfb0bx15]|=(_0xfb0bx18[_0xfb0bx15][1]&_0xfb0bx1b[_0xfb0bx15])<<8,_0xfb0bx4[_0xfb0bx15]|=(_0xfb0bx18[1-_0xfb0bx15][_0xfb0bx15]&_0xfb0bx1b[_0xfb0bx15])<<16,_0xfb0bx4[_0xfb0bx15]|=(_0xfb0bx18[1-_0xfb0bx15][1-_0xfb0bx15]&_0xfb0bx1b[_0xfb0bx15])<<24,0==(_0xfb0bx4[_0xfb0bx15]&15)&&(_0xfb0bx4[_0xfb0bx15]<<=8),0==(_0xfb0bx4[_0xfb0bx15]&3840)&&(_0xfb0bx4[_0xfb0bx15]=_0xfb0bx4[_0xfb0bx15]&15|_0xfb0bx4[_0xfb0bx15]>>8),0==(_0xfb0bx4[_0xfb0bx15]&983040)&&(_0xfb0bx4[_0xfb0bx15]=_0xfb0bx4[_0xfb0bx15]&65535|(_0xfb0bx4[_0xfb0bx15]&251658240)>>8),_0xfb0bx2[_0xfb0bx15]=_0xfb0bx4[_0xfb0bx15]&15,_0xfb0bx1b[_0xfb0bx15]==mxConstants[_0x7bc7[436]]||_0xfb0bx1b[_0xfb0bx15]==mxConstants[_0x7bc7[435]]||_0xfb0bx1b[_0xfb0bx15]==mxConstants[_0x7bc7[438]]||_0xfb0bx1b[_0xfb0bx15]==mxConstants[_0x7bc7[437]])){_0xfb0bx2[_0xfb0bx15]=_0xfb0bx1b[_0xfb0bx15]}};_0xfb0bx15=_0xfb0bx2[0]==mxConstants[_0x7bc7[438]]?3:_0xfb0bx2[0];_0xfb0bx1b=_0xfb0bx2[1]==mxConstants[_0x7bc7[438]]?3:_0xfb0bx2[1];_0xfb0bx15-=_0xfb0bx12;_0xfb0bx1b-=_0xfb0bx12;1>_0xfb0bx15&&(_0xfb0bx15+=4);1>_0xfb0bx1b&&(_0xfb0bx1b+=4);_0xfb0bx1b=mxEdgeStyle[_0x7bc7[2238]][_0xfb0bx15-1][_0xfb0bx1b-1];mxEdgeStyle[_0x7bc7[2239]][0][0]=_0xfb0bx5[0][0];mxEdgeStyle[_0x7bc7[2239]][0][1]=_0xfb0bx5[0][1];switch(_0xfb0bx2[0]){case mxConstants[_0x7bc7[436]]:mxEdgeStyle[_0x7bc7[2239]][0][0]-=_0xfb0bxa;mxEdgeStyle[_0x7bc7[2239]][0][1]+=_0xfb0bx3[0][1]*_0xfb0bx5[0][3];break;case mxConstants[_0x7bc7[437]]:mxEdgeStyle[_0x7bc7[2239]][0][0]+=_0xfb0bx3[0][0]*_0xfb0bx5[0][2];mxEdgeStyle[_0x7bc7[2239]][0][1]+=_0xfb0bx5[0][3]+_0xfb0bxa;break;case mxConstants[_0x7bc7[438]]:mxEdgeStyle[_0x7bc7[2239]][0][0]+=_0xfb0bx5[0][2]+_0xfb0bxa;mxEdgeStyle[_0x7bc7[2239]][0][1]+=_0xfb0bx3[0][1]*_0xfb0bx5[0][3];break;case mxConstants[_0x7bc7[435]]:mxEdgeStyle[_0x7bc7[2239]][0][0]+=_0xfb0bx3[0][0]*_0xfb0bx5[0][2],mxEdgeStyle[_0x7bc7[2239]][0][1]-=_0xfb0bxa};_0xfb0bxa=0;_0xfb0bx13=_0xfb0bx4=0<(_0xfb0bx2[0]&(mxConstants[_0x7bc7[438]]|mxConstants[_0x7bc7[436]]))?0:1;for(_0xfb0bx15=_0xfb0bx14=0;_0xfb0bx15<_0xfb0bx1b[_0x7bc7[67]];_0xfb0bx15++){_0xfb0bx14=_0xfb0bx1b[_0xfb0bx15]&15;_0xfb0bx1a=_0xfb0bx14==mxConstants[_0x7bc7[438]]?3:_0xfb0bx14;_0xfb0bx1a+=_0xfb0bx12;4<_0xfb0bx1a&&(_0xfb0bx1a-=4);_0xfb0bx16=mxEdgeStyle[_0x7bc7[2240]][_0xfb0bx1a-1];_0xfb0bx14=0<_0xfb0bx1a%2?0:1;_0xfb0bx14!=_0xfb0bx4&&(_0xfb0bxa++,mxEdgeStyle[_0x7bc7[2239]][_0xfb0bxa][0]=mxEdgeStyle[_0x7bc7[2239]][_0xfb0bxa-1][0],mxEdgeStyle[_0x7bc7[2239]][_0xfb0bxa][1]=mxEdgeStyle[_0x7bc7[2239]][_0xfb0bxa-1][1]);var _0xfb0bx1c=0<(_0xfb0bx1b[_0xfb0bx15]&mxEdgeStyle[_0x7bc7[2241]]),_0xfb0bx19=0<(_0xfb0bx1b[_0xfb0bx15]&mxEdgeStyle[_0x7bc7[2242]]),_0xfb0bx17=(_0xfb0bx1b[_0xfb0bx15]&mxEdgeStyle[_0x7bc7[2243]])>>5,_0xfb0bx17=_0xfb0bx17<<_0xfb0bx12;15<_0xfb0bx17&&(_0xfb0bx17>>=4);_0xfb0bx18=0<(_0xfb0bx1b[_0xfb0bx15]&mxEdgeStyle[_0x7bc7[2244]]);(_0xfb0bx19||_0xfb0bx1c)&&9>_0xfb0bx17?(_0xfb0bx1a=0,_0xfb0bx19=_0xfb0bx19?0:1,_0xfb0bx1a=_0xfb0bx18&&0==_0xfb0bx14?_0xfb0bx5[_0xfb0bx19][0]+_0xfb0bx3[_0xfb0bx19][0]*_0xfb0bx5[_0xfb0bx19][2]:_0xfb0bx18?_0xfb0bx5[_0xfb0bx19][1]+_0xfb0bx3[_0xfb0bx19][1]*_0xfb0bx5[_0xfb0bx19][3]:mxEdgeStyle[_0x7bc7[2235]][_0xfb0bx19][_0xfb0bx17],0==_0xfb0bx14?(_0xfb0bx17=(_0xfb0bx1a-mxEdgeStyle[_0x7bc7[2239]][_0xfb0bxa][0])*_0xfb0bx16[0],0<_0xfb0bx17&&(mxEdgeStyle[_0x7bc7[2239]][_0xfb0bxa][0]+=_0xfb0bx16[0]*_0xfb0bx17)):(_0xfb0bx17=(_0xfb0bx1a-mxEdgeStyle[_0x7bc7[2239]][_0xfb0bxa][1])*_0xfb0bx16[1],0<_0xfb0bx17&&(mxEdgeStyle[_0x7bc7[2239]][_0xfb0bxa][1]+=_0xfb0bx16[1]*_0xfb0bx17))):_0xfb0bx18&&(mxEdgeStyle[_0x7bc7[2239]][_0xfb0bxa][0]+=_0xfb0bx16[0]*Math[_0x7bc7[425]](mxEdgeStyle[_0x7bc7[2236]][_0xfb0bx1a]/2),mxEdgeStyle[_0x7bc7[2239]][_0xfb0bxa][1]+=_0xfb0bx16[1]*Math[_0x7bc7[425]](mxEdgeStyle[_0x7bc7[2236]][_0xfb0bx1a]/2));0<_0xfb0bxa&&mxEdgeStyle[_0x7bc7[2239]][_0xfb0bxa][_0xfb0bx14]==mxEdgeStyle[_0x7bc7[2239]][_0xfb0bxa-1][_0xfb0bx14]?_0xfb0bxa--:_0xfb0bx4=_0xfb0bx14};for(_0xfb0bx15=0;_0xfb0bx15<=_0xfb0bxa&& !(_0xfb0bx15==_0xfb0bxa&&((0<(_0xfb0bx2[1]&(mxConstants[_0x7bc7[438]]|mxConstants[_0x7bc7[436]]))?0:1)==_0xfb0bx13?0:1)!=(_0xfb0bxa+1)%2);_0xfb0bx15++){_0xfb0bx9[_0x7bc7[207]]( new mxPoint(mxEdgeStyle[_0x7bc7[2239]][_0xfb0bx15][0],mxEdgeStyle[_0x7bc7[2239]][_0xfb0bx15][1]))}}},getRoutePattern:function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){var _0xfb0bx9=_0xfb0bx2[0]==mxConstants[_0x7bc7[438]]?3:_0xfb0bx2[0];_0xfb0bx2=_0xfb0bx2[1]==mxConstants[_0x7bc7[438]]?3:_0xfb0bx2[1];_0xfb0bx9-=_0xfb0bx3;_0xfb0bx2-=_0xfb0bx3;1>_0xfb0bx9&&(_0xfb0bx9+=4);1>_0xfb0bx2&&(_0xfb0bx2+=4);_0xfb0bx3=routePatterns[_0xfb0bx9-1][_0xfb0bx2-1];if(0==_0xfb0bx4||0==_0xfb0bx5){null!=inlineRoutePatterns[_0xfb0bx9-1][_0xfb0bx2-1]&&(_0xfb0bx3=inlineRoutePatterns[_0xfb0bx9-1][_0xfb0bx2-1])};return _0xfb0bx3}},mxStyleRegistry={values:[],putValue:function(_0xfb0bx2,_0xfb0bx3){mxStyleRegistry[_0x7bc7[2245]][_0xfb0bx2]=_0xfb0bx3},getValue:function(_0xfb0bx2){return mxStyleRegistry[_0x7bc7[2245]][_0xfb0bx2]},getName:function(_0xfb0bx2){for(var _0xfb0bx3 in mxStyleRegistry[_0x7bc7[2245]]){if(mxStyleRegistry[_0x7bc7[2245]][_0xfb0bx3]==_0xfb0bx2){return _0xfb0bx3}};return null}};mxStyleRegistry[_0x7bc7[2246]](mxConstants.EDGESTYLE_ELBOW,mxEdgeStyle.ElbowConnector);mxStyleRegistry[_0x7bc7[2246]](mxConstants.EDGESTYLE_ENTITY_RELATION,mxEdgeStyle.EntityRelation);mxStyleRegistry[_0x7bc7[2246]](mxConstants.EDGESTYLE_LOOP,mxEdgeStyle.Loop);mxStyleRegistry[_0x7bc7[2246]](mxConstants.EDGESTYLE_SIDETOSIDE,mxEdgeStyle.SideToSide);mxStyleRegistry[_0x7bc7[2246]](mxConstants.EDGESTYLE_TOPTOBOTTOM,mxEdgeStyle.TopToBottom);mxStyleRegistry[_0x7bc7[2246]](mxConstants.EDGESTYLE_ORTHOGONAL,mxEdgeStyle.OrthConnector);mxStyleRegistry[_0x7bc7[2246]](mxConstants.EDGESTYLE_SEGMENT,mxEdgeStyle.SegmentConnector);mxStyleRegistry[_0x7bc7[2246]](mxConstants.PERIMETER_ELLIPSE,mxPerimeter.EllipsePerimeter);mxStyleRegistry[_0x7bc7[2246]](mxConstants.PERIMETER_RECTANGLE,mxPerimeter.RectanglePerimeter);mxStyleRegistry[_0x7bc7[2246]](mxConstants.PERIMETER_RHOMBUS,mxPerimeter.RhombusPerimeter);mxStyleRegistry[_0x7bc7[2246]](mxConstants.PERIMETER_TRIANGLE,mxPerimeter.TrianglePerimeter);function mxGraphView(_0xfb0bx2){this[_0x7bc7[1179]]=_0xfb0bx2;this[_0x7bc7[513]]= new mxPoint;this[_0x7bc7[2247]]= new mxRectangle;this[_0x7bc7[1214]]= new mxDictionary}mxGraphView[_0x7bc7[202]]= new mxEventSource;mxGraphView[_0x7bc7[202]][_0x7bc7[196]]=mxGraphView;mxGraphView[_0x7bc7[202]][_0x7bc7[2248]]= new mxPoint;mxGraphView[_0x7bc7[202]][_0x7bc7[2091]]=_0x7bc7[130]!=mxClient[_0x7bc7[90]]?_0x7bc7[819]:_0x7bc7[110];mxGraphView[_0x7bc7[202]][_0x7bc7[2249]]=_0x7bc7[130]!=mxClient[_0x7bc7[90]]?_0x7bc7[2250]:_0x7bc7[110];mxGraphView[_0x7bc7[202]][_0x7bc7[2251]]= !1;mxGraphView[_0x7bc7[202]][_0x7bc7[2252]]= !0;mxGraphView[_0x7bc7[202]][_0x7bc7[2253]]= !0;mxGraphView[_0x7bc7[202]][_0x7bc7[2254]]= !0;mxGraphView[_0x7bc7[202]][_0x7bc7[1179]]=null;mxGraphView[_0x7bc7[202]][_0x7bc7[1741]]=null;mxGraphView[_0x7bc7[202]][_0x7bc7[2247]]=null;mxGraphView[_0x7bc7[202]][_0x7bc7[255]]=1;mxGraphView[_0x7bc7[202]][_0x7bc7[513]]=null;mxGraphView[_0x7bc7[202]][_0x7bc7[2255]]= !1;mxGraphView[_0x7bc7[202]][_0x7bc7[517]]=function(){return this[_0x7bc7[2247]]};mxGraphView[_0x7bc7[202]][_0x7bc7[2256]]=function(_0xfb0bx2){this[_0x7bc7[2247]]=_0xfb0bx2};mxGraphView[_0x7bc7[202]][_0x7bc7[1799]]=function(_0xfb0bx2){var _0xfb0bx3=null;if(null!=_0xfb0bx2&&0<_0xfb0bx2[_0x7bc7[67]]){for(var _0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[502]](),_0xfb0bx5=0;_0xfb0bx5<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx5++){if(_0xfb0bx4[_0x7bc7[1193]](_0xfb0bx2[_0xfb0bx5])||_0xfb0bx4[_0x7bc7[250]](_0xfb0bx2[_0xfb0bx5])){var _0xfb0bx9=this[_0x7bc7[248]](_0xfb0bx2[_0xfb0bx5]);null!=_0xfb0bx9&&(null==_0xfb0bx3?_0xfb0bx3= new mxRectangle(_0xfb0bx9[_0x7bc7[235]],_0xfb0bx9[_0x7bc7[236]],_0xfb0bx9[_0x7bc7[117]],_0xfb0bx9[_0x7bc7[119]]):_0xfb0bx3[_0x7bc7[99]](_0xfb0bx9))}}};return _0xfb0bx3};mxGraphView[_0x7bc7[202]][_0x7bc7[2257]]=function(_0xfb0bx2){if(this[_0x7bc7[1741]]!=_0xfb0bx2){var _0xfb0bx3= new mxCurrentRootChange(this,_0xfb0bx2);_0xfb0bx3[_0x7bc7[350]]();var _0xfb0bx4= new mxUndoableEdit(this,!1);_0xfb0bx4[_0x7bc7[99]](_0xfb0bx3);this[_0x7bc7[746]]( new mxEventObject(mxEvent.UNDO,_0x7bc7[1061],_0xfb0bx4));this[_0x7bc7[1179]][_0x7bc7[2258]]()};return _0xfb0bx2};mxGraphView[_0x7bc7[202]][_0x7bc7[829]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=this[_0x7bc7[255]],_0xfb0bx9= new mxPoint(this[_0x7bc7[513]][_0x7bc7[235]],this[_0x7bc7[513]][_0x7bc7[236]]);if(this[_0x7bc7[255]]!=_0xfb0bx2||this[_0x7bc7[513]][_0x7bc7[235]]!=_0xfb0bx3||this[_0x7bc7[513]][_0x7bc7[236]]!=_0xfb0bx4){this[_0x7bc7[255]]=_0xfb0bx2,this[_0x7bc7[513]][_0x7bc7[235]]=_0xfb0bx3,this[_0x7bc7[513]][_0x7bc7[236]]=_0xfb0bx4,this[_0x7bc7[503]]()&&(this[_0x7bc7[2259]](),this[_0x7bc7[1179]][_0x7bc7[2258]]())};this[_0x7bc7[746]]( new mxEventObject(mxEvent.SCALE_AND_TRANSLATE,_0x7bc7[255],_0xfb0bx2,_0x7bc7[2260],_0xfb0bx5,_0x7bc7[513],this[_0x7bc7[513]],_0x7bc7[2261],_0xfb0bx9))};mxGraphView[_0x7bc7[202]][_0x7bc7[518]]=function(){return this[_0x7bc7[255]]};mxGraphView[_0x7bc7[202]][_0x7bc7[2262]]=function(_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[255]];this[_0x7bc7[255]]!=_0xfb0bx2&&(this[_0x7bc7[255]]=_0xfb0bx2,this[_0x7bc7[503]]()&&(this[_0x7bc7[2259]](),this[_0x7bc7[1179]][_0x7bc7[2258]]()));this[_0x7bc7[746]]( new mxEventObject(mxEvent.SCALE,_0x7bc7[255],_0xfb0bx2,_0x7bc7[2260],_0xfb0bx3))};mxGraphView[_0x7bc7[202]][_0x7bc7[512]]=function(){return this[_0x7bc7[513]]};mxGraphView[_0x7bc7[202]][_0x7bc7[1147]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4= new mxPoint(this[_0x7bc7[513]][_0x7bc7[235]],this[_0x7bc7[513]][_0x7bc7[236]]);if(this[_0x7bc7[513]][_0x7bc7[235]]!=_0xfb0bx2||this[_0x7bc7[513]][_0x7bc7[236]]!=_0xfb0bx3){this[_0x7bc7[513]][_0x7bc7[235]]=_0xfb0bx2,this[_0x7bc7[513]][_0x7bc7[236]]=_0xfb0bx3,this[_0x7bc7[503]]()&&(this[_0x7bc7[2259]](),this[_0x7bc7[1179]][_0x7bc7[2258]]())};this[_0x7bc7[746]]( new mxEventObject(mxEvent.TRANSLATE,_0x7bc7[513],this[_0x7bc7[513]],_0x7bc7[2261],_0xfb0bx4))};mxGraphView[_0x7bc7[202]][_0x7bc7[802]]=function(){null!=this[_0x7bc7[1741]]&&this[_0x7bc7[200]]();this[_0x7bc7[2259]]()};mxGraphView[_0x7bc7[202]][_0x7bc7[2259]]=function(){this[_0x7bc7[2263]]();this[_0x7bc7[2264]]()};mxGraphView[_0x7bc7[202]][_0x7bc7[200]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[502]]();_0xfb0bx2=_0xfb0bx2||_0xfb0bx5[_0x7bc7[501]]();_0xfb0bx3=null!=_0xfb0bx3?_0xfb0bx3:!1;_0xfb0bx4=null!=_0xfb0bx4?_0xfb0bx4:!0;this[_0x7bc7[2265]](_0xfb0bx2);if(_0xfb0bx4&&(_0xfb0bx3||_0xfb0bx2!=this[_0x7bc7[1741]])){_0xfb0bx4=_0xfb0bx5[_0x7bc7[262]](_0xfb0bx2);for(var _0xfb0bx9=0;_0xfb0bx9<_0xfb0bx4;_0xfb0bx9++){this[_0x7bc7[200]](_0xfb0bx5[_0x7bc7[263]](_0xfb0bx2,_0xfb0bx9),_0xfb0bx3)}}else {this[_0x7bc7[2263]](_0xfb0bx2)}};mxGraphView[_0x7bc7[202]][_0x7bc7[2263]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){var _0xfb0bx9=this[_0x7bc7[1179]][_0x7bc7[502]]();_0xfb0bx2=_0xfb0bx2||_0xfb0bx9[_0x7bc7[501]]();_0xfb0bx3=null!=_0xfb0bx3?_0xfb0bx3:!0;_0xfb0bx4=null!=_0xfb0bx4?_0xfb0bx4:!0;_0xfb0bx5=null!=_0xfb0bx5?_0xfb0bx5:!1;var _0xfb0bxa=this[_0x7bc7[248]](_0xfb0bx2);null!=_0xfb0bxa&&(_0xfb0bxa[_0x7bc7[2080]]= !0,_0xfb0bx5&&(_0xfb0bxa[_0x7bc7[2082]]= !0));if(_0xfb0bx3){for(var _0xfb0bx12=_0xfb0bx9[_0x7bc7[262]](_0xfb0bx2),_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx12;_0xfb0bxa++){var _0xfb0bx13=_0xfb0bx9[_0x7bc7[263]](_0xfb0bx2,_0xfb0bxa);this[_0x7bc7[2263]](_0xfb0bx13,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5)}};if(_0xfb0bx4){_0xfb0bx5=_0xfb0bx9[_0x7bc7[1707]](_0xfb0bx2);for(_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx5;_0xfb0bxa++){this[_0x7bc7[2263]](_0xfb0bx9[_0x7bc7[1708]](_0xfb0bx2,_0xfb0bxa),_0xfb0bx3,_0xfb0bx4)}}};mxGraphView[_0x7bc7[202]][_0x7bc7[2264]]=function(_0xfb0bx2){var _0xfb0bx3=mxLog[_0x7bc7[2109]](_0x7bc7[2266]);window[_0x7bc7[879]]=mxResources[_0x7bc7[203]](this[_0x7bc7[2249]])||this[_0x7bc7[2249]];var _0xfb0bx4=null;if(this[_0x7bc7[2253]]&&null!=this[_0x7bc7[510]]&&null==this[_0x7bc7[1653]]&&(8==document[_0x7bc7[5]]||mxClient[_0x7bc7[496]])){_0xfb0bx4=this[_0x7bc7[510]][_0x7bc7[124]][_0x7bc7[495]];this[_0x7bc7[510]][_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[130];var _0xfb0bx5=document[_0x7bc7[55]](_0x7bc7[485]);_0xfb0bx5[_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[492];_0xfb0bx5[_0x7bc7[124]][_0x7bc7[493]]=_0x7bc7[494];_0xfb0bx5[_0x7bc7[124]][_0x7bc7[187]]=_0x7bc7[188];_0xfb0bx5[_0x7bc7[124]][_0x7bc7[495]]=mxClient[_0x7bc7[496]]?_0x7bc7[497]:_0x7bc7[498];_0xfb0bx5[_0x7bc7[124]][_0x7bc7[499]]=_0x7bc7[500];document[_0x7bc7[112]][_0x7bc7[62]](_0xfb0bx5);this[_0x7bc7[1653]]=_0xfb0bx5};_0xfb0bx2=_0xfb0bx2||(null!=this[_0x7bc7[1741]]?this[_0x7bc7[1741]]:this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[501]]());this[_0x7bc7[2267]](null,_0xfb0bx2);_0xfb0bx2=this[_0x7bc7[2268]](null,_0xfb0bx2);null==_0xfb0bx2&&(_0xfb0bx2= new mxRectangle);this[_0x7bc7[2256]](_0xfb0bx2);this[_0x7bc7[2269]]();null!=_0xfb0bx4&&(this[_0x7bc7[510]][_0x7bc7[124]][_0x7bc7[495]]=_0xfb0bx4,document[_0x7bc7[112]][_0x7bc7[266]](this[_0x7bc7[1653]]),this[_0x7bc7[1653]]=null);window[_0x7bc7[879]]=mxResources[_0x7bc7[203]](this[_0x7bc7[2091]])||this[_0x7bc7[2091]];mxLog[_0x7bc7[2110]](_0x7bc7[2266],_0xfb0bx3)};mxGraphView[_0x7bc7[202]][_0x7bc7[2270]]=function(_0xfb0bx2){return new mxRectangleShape(_0xfb0bx2,_0x7bc7[1391],_0x7bc7[586])};mxGraphView[_0x7bc7[202]][_0x7bc7[2269]]=function(){var _0xfb0bx2=this[_0x7bc7[1179]][_0x7bc7[2271]]();if(null!=_0xfb0bx2){if(null==this[_0x7bc7[1617]]||this[_0x7bc7[1617]][_0x7bc7[618]]!=_0xfb0bx2[_0x7bc7[390]]){null!=this[_0x7bc7[1617]]&&this[_0x7bc7[1617]][_0x7bc7[515]]();var _0xfb0bx3= new mxRectangle(0,0,1,1);this[_0x7bc7[1617]]= new mxImageShape(_0xfb0bx3,_0xfb0bx2[_0x7bc7[390]]);this[_0x7bc7[1617]][_0x7bc7[507]]=this[_0x7bc7[1179]][_0x7bc7[507]];this[_0x7bc7[1617]][_0x7bc7[176]](this[_0x7bc7[2053]]);this[_0x7bc7[1617]][_0x7bc7[258]]()};this[_0x7bc7[2272]](this[_0x7bc7[1617]],_0xfb0bx2)}else {null!=this[_0x7bc7[1617]]&&(this[_0x7bc7[1617]][_0x7bc7[515]](),this[_0x7bc7[1617]]=null)};this[_0x7bc7[1179]][_0x7bc7[2273]]?(_0xfb0bx3=this[_0x7bc7[2274]](),null==this[_0x7bc7[2275]]?(this[_0x7bc7[2275]]=this[_0x7bc7[2270]](_0xfb0bx3),this[_0x7bc7[2275]][_0x7bc7[255]]=this[_0x7bc7[255]],this[_0x7bc7[2275]][_0x7bc7[1596]]= !0,this[_0x7bc7[2275]][_0x7bc7[507]]=this[_0x7bc7[1179]][_0x7bc7[507]],this[_0x7bc7[2275]][_0x7bc7[176]](this[_0x7bc7[2053]]),this[_0x7bc7[2275]][_0x7bc7[258]](),mxEvent[_0x7bc7[169]](this[_0x7bc7[2275]][_0x7bc7[252]],_0x7bc7[760],mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){this[_0x7bc7[1179]][_0x7bc7[761]](_0xfb0bx2)})),mxEvent[_0x7bc7[759]](this[_0x7bc7[2275]][_0x7bc7[252]],mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){this[_0x7bc7[1179]][_0x7bc7[758]](mxEvent.MOUSE_DOWN, new mxMouseEvent(_0xfb0bx2))}),mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){null!=this[_0x7bc7[1179]][_0x7bc7[2276]]&&this[_0x7bc7[1179]][_0x7bc7[2276]][_0x7bc7[2277]]()&&this[_0x7bc7[1179]][_0x7bc7[2276]][_0x7bc7[801]]();this[_0x7bc7[1179]][_0x7bc7[1009]]&&!mxEvent[_0x7bc7[721]](_0xfb0bx2)&&this[_0x7bc7[1179]][_0x7bc7[758]](mxEvent.MOUSE_MOVE, new mxMouseEvent(_0xfb0bx2))}),mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){this[_0x7bc7[1179]][_0x7bc7[758]](mxEvent.MOUSE_UP, new mxMouseEvent(_0xfb0bx2))}))):(this[_0x7bc7[2275]][_0x7bc7[255]]=this[_0x7bc7[255]],this[_0x7bc7[2275]][_0x7bc7[1562]]=_0xfb0bx3,this[_0x7bc7[2275]][_0x7bc7[258]]())):null!=this[_0x7bc7[2275]]&&(this[_0x7bc7[2275]][_0x7bc7[515]](),this[_0x7bc7[2275]]=null)};mxGraphView[_0x7bc7[202]][_0x7bc7[2274]]=function(){var _0xfb0bx2=this[_0x7bc7[1179]][_0x7bc7[2013]],_0xfb0bx3=this[_0x7bc7[255]]*this[_0x7bc7[1179]][_0x7bc7[2012]];return new mxRectangle(this[_0x7bc7[255]]*this[_0x7bc7[513]][_0x7bc7[235]],this[_0x7bc7[255]]*this[_0x7bc7[513]][_0x7bc7[236]],_0xfb0bx2[_0x7bc7[117]]*_0xfb0bx3,_0xfb0bx2[_0x7bc7[119]]*_0xfb0bx3)};mxGraphView[_0x7bc7[202]][_0x7bc7[2272]]=function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx2[_0x7bc7[255]]=this[_0x7bc7[255]];_0xfb0bx2[_0x7bc7[1562]][_0x7bc7[235]]=this[_0x7bc7[255]]*this[_0x7bc7[513]][_0x7bc7[235]];_0xfb0bx2[_0x7bc7[1562]][_0x7bc7[236]]=this[_0x7bc7[255]]*this[_0x7bc7[513]][_0x7bc7[236]];_0xfb0bx2[_0x7bc7[1562]][_0x7bc7[117]]=this[_0x7bc7[255]]*_0xfb0bx3[_0x7bc7[117]];_0xfb0bx2[_0x7bc7[1562]][_0x7bc7[119]]=this[_0x7bc7[255]]*_0xfb0bx3[_0x7bc7[119]];_0xfb0bx2[_0x7bc7[258]]()};mxGraphView[_0x7bc7[202]][_0x7bc7[2267]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[502]](),_0xfb0bx5=this[_0x7bc7[248]](_0xfb0bx3,!0);if(null!=_0xfb0bx5&&_0xfb0bx5[_0x7bc7[2080]]){if(this[_0x7bc7[1179]][_0x7bc7[1714]](_0xfb0bx3)){if(_0xfb0bx3!=this[_0x7bc7[1741]]&&null!=_0xfb0bx2){_0xfb0bx5[_0x7bc7[2079]][_0x7bc7[235]]=0;_0xfb0bx5[_0x7bc7[2079]][_0x7bc7[236]]=0;_0xfb0bx5[_0x7bc7[2078]][_0x7bc7[235]]=_0xfb0bx2[_0x7bc7[2078]][_0x7bc7[235]];_0xfb0bx5[_0x7bc7[2078]][_0x7bc7[236]]=_0xfb0bx2[_0x7bc7[2078]][_0x7bc7[236]];var _0xfb0bx9=this[_0x7bc7[1179]][_0x7bc7[1198]](_0xfb0bx3);if(null!=_0xfb0bx9){if(!_0xfb0bx4[_0x7bc7[250]](_0xfb0bx3)){var _0xfb0bxa=_0xfb0bx9[_0x7bc7[1368]]||this[_0x7bc7[2248]];_0xfb0bx9[_0x7bc7[1500]]?(_0xfb0bx5[_0x7bc7[2078]][_0x7bc7[235]]+=_0xfb0bx9[_0x7bc7[235]]*_0xfb0bx2[_0x7bc7[117]]/this[_0x7bc7[255]]+_0xfb0bxa[_0x7bc7[235]],_0xfb0bx5[_0x7bc7[2078]][_0x7bc7[236]]+=_0xfb0bx9[_0x7bc7[236]]*_0xfb0bx2[_0x7bc7[119]]/this[_0x7bc7[255]]+_0xfb0bxa[_0x7bc7[236]]):(_0xfb0bx5[_0x7bc7[2079]][_0x7bc7[235]]=this[_0x7bc7[255]]*_0xfb0bxa[_0x7bc7[235]],_0xfb0bx5[_0x7bc7[2079]][_0x7bc7[236]]=this[_0x7bc7[255]]*_0xfb0bxa[_0x7bc7[236]],_0xfb0bx5[_0x7bc7[2078]][_0x7bc7[235]]+=_0xfb0bx9[_0x7bc7[235]],_0xfb0bx5[_0x7bc7[2078]][_0x7bc7[236]]+=_0xfb0bx9[_0x7bc7[236]])};_0xfb0bx5[_0x7bc7[235]]=this[_0x7bc7[255]]*(this[_0x7bc7[513]][_0x7bc7[235]]+_0xfb0bx5[_0x7bc7[2078]][_0x7bc7[235]]);_0xfb0bx5[_0x7bc7[236]]=this[_0x7bc7[255]]*(this[_0x7bc7[513]][_0x7bc7[236]]+_0xfb0bx5[_0x7bc7[2078]][_0x7bc7[236]]);_0xfb0bx5[_0x7bc7[117]]=this[_0x7bc7[255]]*_0xfb0bx9[_0x7bc7[117]];_0xfb0bx5[_0x7bc7[119]]=this[_0x7bc7[255]]*_0xfb0bx9[_0x7bc7[119]];if(_0xfb0bx4[_0x7bc7[1193]](_0xfb0bx3)){if(_0xfb0bx9[_0x7bc7[1500]]&&(_0xfb0bxa=mxUtils[_0x7bc7[431]](_0xfb0bx2[_0x7bc7[124]][mxConstants[_0x7bc7[2278]]]||_0x7bc7[468]),0!=_0xfb0bxa)){var _0xfb0bx9=Math[_0x7bc7[426]](_0xfb0bxa),_0xfb0bxa=Math[_0x7bc7[427]](_0xfb0bxa),_0xfb0bx12= new mxPoint(_0xfb0bx5[_0x7bc7[241]](),_0xfb0bx5[_0x7bc7[242]]()),_0xfb0bx13= new mxPoint(_0xfb0bx2[_0x7bc7[241]](),_0xfb0bx2[_0x7bc7[242]]()),_0xfb0bx9=mxUtils[_0x7bc7[432]](_0xfb0bx12,_0xfb0bx9,_0xfb0bxa,_0xfb0bx13);_0xfb0bx5[_0x7bc7[235]]=_0xfb0bx9[_0x7bc7[235]]-_0xfb0bx5[_0x7bc7[117]]/2;_0xfb0bx5[_0x7bc7[236]]=_0xfb0bx9[_0x7bc7[236]]-_0xfb0bx5[_0x7bc7[119]]/2};this[_0x7bc7[2279]](_0xfb0bx5)}}}}else {this[_0x7bc7[2265]](_0xfb0bx3)};_0xfb0bxa=this[_0x7bc7[1179]][_0x7bc7[2280]](_0xfb0bx3);null!=_0xfb0bxa&&(_0xfb0bx5[_0x7bc7[2078]][_0x7bc7[235]]+=_0xfb0bxa[_0x7bc7[235]],_0xfb0bx5[_0x7bc7[2078]][_0x7bc7[236]]+=_0xfb0bxa[_0x7bc7[236]])};if(null!=_0xfb0bx5&&(!this[_0x7bc7[1179]][_0x7bc7[1742]](_0xfb0bx3)||_0xfb0bx3==this[_0x7bc7[1741]])){_0xfb0bx9=_0xfb0bx4[_0x7bc7[262]](_0xfb0bx3);for(_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx9;_0xfb0bxa++){_0xfb0bx12=_0xfb0bx4[_0x7bc7[263]](_0xfb0bx3,_0xfb0bxa),this[_0x7bc7[2267]](_0xfb0bx5,_0xfb0bx12)}}};mxGraphView[_0x7bc7[202]][_0x7bc7[2279]]=function(_0xfb0bx2){var _0xfb0bx3=mxUtils[_0x7bc7[433]](_0xfb0bx2[_0x7bc7[124]],mxConstants.STYLE_LABEL_POSITION,mxConstants.ALIGN_CENTER);_0xfb0bx3==mxConstants[_0x7bc7[2132]]?_0xfb0bx2[_0x7bc7[2079]][_0x7bc7[235]]-=_0xfb0bx2[_0x7bc7[117]]:_0xfb0bx3==mxConstants[_0x7bc7[480]]&&(_0xfb0bx2[_0x7bc7[2079]][_0x7bc7[235]]+=_0xfb0bx2[_0x7bc7[117]]);_0xfb0bx3=mxUtils[_0x7bc7[433]](_0xfb0bx2[_0x7bc7[124]],mxConstants.STYLE_VERTICAL_LABEL_POSITION,mxConstants.ALIGN_MIDDLE);_0xfb0bx3==mxConstants[_0x7bc7[1687]]?_0xfb0bx2[_0x7bc7[2079]][_0x7bc7[236]]-=_0xfb0bx2[_0x7bc7[119]]:_0xfb0bx3==mxConstants[_0x7bc7[482]]&&(_0xfb0bx2[_0x7bc7[2079]][_0x7bc7[236]]+=_0xfb0bx2[_0x7bc7[119]])};mxGraphView[_0x7bc7[202]][_0x7bc7[2268]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[502]](),_0xfb0bx5=this[_0x7bc7[248]](_0xfb0bx3),_0xfb0bx9=null;if(null!=_0xfb0bx5){if(_0xfb0bx5[_0x7bc7[2080]]){var _0xfb0bxa=this[_0x7bc7[1179]][_0x7bc7[1198]](_0xfb0bx3);if(null!=_0xfb0bxa&&_0xfb0bx4[_0x7bc7[250]](_0xfb0bx3)){var _0xfb0bx12=this[_0x7bc7[248]](this[_0x7bc7[1710]](_0xfb0bx3,!0));_0xfb0bx5[_0x7bc7[2090]](_0xfb0bx12,!0);if(null!=_0xfb0bx12&&_0xfb0bx4[_0x7bc7[250]](_0xfb0bx12[_0x7bc7[246]])&&!_0xfb0bx4[_0x7bc7[1720]](_0xfb0bx12[_0x7bc7[246]],_0xfb0bx3)){var _0xfb0bx13=this[_0x7bc7[248]](_0xfb0bx4[_0x7bc7[1197]](_0xfb0bx12[_0x7bc7[246]]));this[_0x7bc7[2268]](_0xfb0bx13,_0xfb0bx12[_0x7bc7[246]])};var _0xfb0bx14=this[_0x7bc7[248]](this[_0x7bc7[1710]](_0xfb0bx3,!1));_0xfb0bx5[_0x7bc7[2090]](_0xfb0bx14,!1);null!=_0xfb0bx14&&(_0xfb0bx4[_0x7bc7[250]](_0xfb0bx14[_0x7bc7[246]])&&!_0xfb0bx4[_0x7bc7[1720]](_0xfb0bx14[_0x7bc7[246]],_0xfb0bx3))&&(_0xfb0bx13=this[_0x7bc7[248]](_0xfb0bx4[_0x7bc7[1197]](_0xfb0bx14[_0x7bc7[246]])),this[_0x7bc7[2268]](_0xfb0bx13,_0xfb0bx14[_0x7bc7[246]]));this[_0x7bc7[2281]](_0xfb0bx5,_0xfb0bx12,_0xfb0bx14);this[_0x7bc7[2282]](_0xfb0bx5,_0xfb0bxa[_0x7bc7[1525]],_0xfb0bx12,_0xfb0bx14);this[_0x7bc7[2283]](_0xfb0bx5,_0xfb0bx12,_0xfb0bx14);this[_0x7bc7[2284]](_0xfb0bx5);this[_0x7bc7[2285]](_0xfb0bx5)}else {null!=_0xfb0bxa&&(_0xfb0bxa[_0x7bc7[1500]]&&null!=_0xfb0bx2&&_0xfb0bx4[_0x7bc7[250]](_0xfb0bx2[_0x7bc7[246]]))&&(_0xfb0bxa=this[_0x7bc7[245]](_0xfb0bx2,_0xfb0bxa),null!=_0xfb0bxa&&(_0xfb0bx5[_0x7bc7[235]]=_0xfb0bxa[_0x7bc7[235]],_0xfb0bx5[_0x7bc7[236]]=_0xfb0bxa[_0x7bc7[236]],_0xfb0bxa[_0x7bc7[235]]=_0xfb0bxa[_0x7bc7[235]]/this[_0x7bc7[255]]-this[_0x7bc7[513]][_0x7bc7[235]],_0xfb0bxa[_0x7bc7[236]]=_0xfb0bxa[_0x7bc7[236]]/this[_0x7bc7[255]]-this[_0x7bc7[513]][_0x7bc7[236]],_0xfb0bx5[_0x7bc7[2078]]=_0xfb0bxa,this[_0x7bc7[2286]](_0xfb0bx2,_0xfb0bx5)))};_0xfb0bx5[_0x7bc7[2080]]= !1;_0xfb0bx3!=this[_0x7bc7[1741]]&&this[_0x7bc7[1179]][_0x7bc7[259]][_0x7bc7[258]](_0xfb0bx5,!1,this[_0x7bc7[2287]]())};if(_0xfb0bx4[_0x7bc7[250]](_0xfb0bx3)||_0xfb0bx4[_0x7bc7[1193]](_0xfb0bx3)){null!=_0xfb0bx5[_0x7bc7[253]]&&null!=_0xfb0bx5[_0x7bc7[253]][_0x7bc7[1563]]&&(_0xfb0bx9=_0xfb0bx5[_0x7bc7[253]][_0x7bc7[1563]][_0x7bc7[238]]()),null!=_0xfb0bx5[_0x7bc7[963]]&&!this[_0x7bc7[1179]][_0x7bc7[2130]](_0xfb0bx5[_0x7bc7[246]])&&null!=_0xfb0bx5[_0x7bc7[963]][_0x7bc7[1563]]&&(null!=_0xfb0bx9?_0xfb0bx9[_0x7bc7[99]](_0xfb0bx5[_0x7bc7[963]][_0x7bc7[1563]]):_0xfb0bx9=_0xfb0bx5[_0x7bc7[963]][_0x7bc7[1563]][_0x7bc7[238]]())}};if(null!=_0xfb0bx5&&(!this[_0x7bc7[1179]][_0x7bc7[1742]](_0xfb0bx3)||_0xfb0bx3==this[_0x7bc7[1741]])){_0xfb0bxa=_0xfb0bx4[_0x7bc7[262]](_0xfb0bx3);for(_0xfb0bx12=0;_0xfb0bx12<_0xfb0bxa;_0xfb0bx12++){_0xfb0bx13=_0xfb0bx4[_0x7bc7[263]](_0xfb0bx3,_0xfb0bx12),_0xfb0bx13=this[_0x7bc7[2268]](_0xfb0bx5,_0xfb0bx13),null!=_0xfb0bx13&&(null==_0xfb0bx9?_0xfb0bx9=_0xfb0bx13:_0xfb0bx9[_0x7bc7[99]](_0xfb0bx13))}};return _0xfb0bx9};mxGraphView[_0x7bc7[202]][_0x7bc7[2286]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=_0xfb0bx3[_0x7bc7[246]];if(!this[_0x7bc7[1179]][_0x7bc7[1742]](_0xfb0bx4)||_0xfb0bx4==this[_0x7bc7[1741]]){for(var _0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[502]](),_0xfb0bx9=_0xfb0bx5[_0x7bc7[262]](_0xfb0bx4),_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx9;_0xfb0bxa++){this[_0x7bc7[2267]](_0xfb0bx3,_0xfb0bx5[_0x7bc7[263]](_0xfb0bx4,_0xfb0bxa))}}};mxGraphView[_0x7bc7[202]][_0x7bc7[2281]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){this[_0x7bc7[2289]](_0xfb0bx2,_0xfb0bx3,!0,this[_0x7bc7[1179]][_0x7bc7[2288]](_0xfb0bx2,_0xfb0bx3,!0));this[_0x7bc7[2289]](_0xfb0bx2,_0xfb0bx4,!1,this[_0x7bc7[1179]][_0x7bc7[2288]](_0xfb0bx2,_0xfb0bx4,!1))};mxGraphView[_0x7bc7[202]][_0x7bc7[2289]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){var _0xfb0bx9=null;null!=_0xfb0bx5&&(_0xfb0bx9=this[_0x7bc7[1179]][_0x7bc7[2290]](_0xfb0bx3,_0xfb0bx5));if(null==_0xfb0bx9&&null==_0xfb0bx3){_0xfb0bx3=this[_0x7bc7[255]];_0xfb0bx5=this[_0x7bc7[513]];var _0xfb0bxa=_0xfb0bx2[_0x7bc7[2078]],_0xfb0bx9=this[_0x7bc7[1179]][_0x7bc7[1198]](_0xfb0bx2[_0x7bc7[246]])[_0x7bc7[2006]](_0xfb0bx4);null!=_0xfb0bx9&&(_0xfb0bx9= new mxPoint(_0xfb0bx3*(_0xfb0bx5[_0x7bc7[235]]+_0xfb0bx9[_0x7bc7[235]]+_0xfb0bxa[_0x7bc7[235]]),_0xfb0bx3*(_0xfb0bx5[_0x7bc7[236]]+_0xfb0bx9[_0x7bc7[236]]+_0xfb0bxa[_0x7bc7[236]])))};_0xfb0bx2[_0x7bc7[2088]](_0xfb0bx9,_0xfb0bx4)};mxGraphView[_0x7bc7[202]][_0x7bc7[2282]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){if(null!=_0xfb0bx2){var _0xfb0bx9=[];_0xfb0bx9[_0x7bc7[207]](_0xfb0bx2[_0x7bc7[439]][0]);var _0xfb0bxa=this[_0x7bc7[2291]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5);if(null!=_0xfb0bxa){_0xfb0bx4=this[_0x7bc7[2292]](_0xfb0bx2,_0xfb0bx4,!0),_0xfb0bx5=this[_0x7bc7[2292]](_0xfb0bx2,_0xfb0bx5,!1),_0xfb0bxa(_0xfb0bx2,_0xfb0bx4,_0xfb0bx5,_0xfb0bx3,_0xfb0bx9)}else {if(null!=_0xfb0bx3){for(_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx3[_0x7bc7[67]];_0xfb0bxa++){null!=_0xfb0bx3[_0xfb0bxa]&&(_0xfb0bx5=mxUtils[_0x7bc7[238]](_0xfb0bx3[_0xfb0bxa]),_0xfb0bx9[_0x7bc7[207]](this[_0x7bc7[2229]](_0xfb0bx2,_0xfb0bx5)))}}};_0xfb0bx3=_0xfb0bx2[_0x7bc7[439]];_0xfb0bx9[_0x7bc7[207]](_0xfb0bx3[_0xfb0bx3[_0x7bc7[67]]-1]);_0xfb0bx2[_0x7bc7[439]]=_0xfb0bx9}};mxGraphView[_0x7bc7[202]][_0x7bc7[2229]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=_0xfb0bx2[_0x7bc7[2078]];return new mxPoint(this[_0x7bc7[255]]*(_0xfb0bx3[_0x7bc7[235]]+this[_0x7bc7[513]][_0x7bc7[235]]+_0xfb0bx4[_0x7bc7[235]]),this[_0x7bc7[255]]*(_0xfb0bx3[_0x7bc7[236]]+this[_0x7bc7[513]][_0x7bc7[236]]+_0xfb0bx4[_0x7bc7[236]]))};mxGraphView[_0x7bc7[202]][_0x7bc7[2291]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){_0xfb0bx2=null!=_0xfb0bx4&&_0xfb0bx4==_0xfb0bx5?mxUtils[_0x7bc7[433]](_0xfb0bx2[_0x7bc7[124]],mxConstants.STYLE_LOOP,this[_0x7bc7[1179]][_0x7bc7[2293]]):!mxUtils[_0x7bc7[433]](_0xfb0bx2[_0x7bc7[124]],mxConstants.STYLE_NOEDGESTYLE,!1)?_0xfb0bx2[_0x7bc7[124]][mxConstants[_0x7bc7[2294]]]:null;_0x7bc7[1516]== typeof _0xfb0bx2&&(_0xfb0bx3=mxStyleRegistry[_0x7bc7[433]](_0xfb0bx2),null==_0xfb0bx3&&this[_0x7bc7[2295]]()&&(_0xfb0bx3=mxUtils[_0x7bc7[1545]](_0xfb0bx2)),_0xfb0bx2=_0xfb0bx3);return _0x7bc7[279]== typeof _0xfb0bx2?_0xfb0bx2:null};mxGraphView[_0x7bc7[202]][_0x7bc7[2283]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=_0xfb0bx2[_0x7bc7[439]],_0xfb0bx9=_0xfb0bx5[0];null==_0xfb0bx5[_0xfb0bx5[_0x7bc7[67]]-1]&&null!=_0xfb0bx4&&this[_0x7bc7[2296]](_0xfb0bx2,_0xfb0bx4,_0xfb0bx3,!1);null==_0xfb0bx9&&null!=_0xfb0bx3&&this[_0x7bc7[2296]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,!0)};mxGraphView[_0x7bc7[202]][_0x7bc7[2296]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){_0xfb0bx3=this[_0x7bc7[2292]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx5);var _0xfb0bx9=this[_0x7bc7[2297]](_0xfb0bx2,_0xfb0bx4,_0xfb0bx5),_0xfb0bxa=this[_0x7bc7[1179]][_0x7bc7[2298]](_0xfb0bx2);_0xfb0bx4=mxUtils[_0x7bc7[431]](Number(_0xfb0bx3[_0x7bc7[124]][mxConstants[_0x7bc7[2278]]]||_0x7bc7[468]));var _0xfb0bx12= new mxPoint(_0xfb0bx3[_0x7bc7[241]](),_0xfb0bx3[_0x7bc7[242]]());if(0!=_0xfb0bx4){var _0xfb0bx13=Math[_0x7bc7[426]](-_0xfb0bx4),_0xfb0bx14=Math[_0x7bc7[427]](-_0xfb0bx4),_0xfb0bx9=mxUtils[_0x7bc7[432]](_0xfb0bx9,_0xfb0bx13,_0xfb0bx14,_0xfb0bx12)};_0xfb0bx13=parseFloat(_0xfb0bx2[_0x7bc7[124]][mxConstants[_0x7bc7[2299]]]||0);_0xfb0bx13+=parseFloat(_0xfb0bx2[_0x7bc7[124]][_0xfb0bx5?mxConstants[_0x7bc7[2300]]:mxConstants[_0x7bc7[2301]]]||0);_0xfb0bx3=this[_0x7bc7[2302]](_0xfb0bx3,_0xfb0bx9,0==_0xfb0bx4&&_0xfb0bxa,_0xfb0bx13);0!=_0xfb0bx4&&(_0xfb0bx13=Math[_0x7bc7[426]](_0xfb0bx4),_0xfb0bx14=Math[_0x7bc7[427]](_0xfb0bx4),_0xfb0bx3=mxUtils[_0x7bc7[432]](_0xfb0bx3,_0xfb0bx13,_0xfb0bx14,_0xfb0bx12));_0xfb0bx2[_0x7bc7[2088]](_0xfb0bx3,_0xfb0bx5)};mxGraphView[_0x7bc7[202]][_0x7bc7[2292]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx2=mxUtils[_0x7bc7[433]](_0xfb0bx2[_0x7bc7[124]],_0xfb0bx4?mxConstants[_0x7bc7[2303]]:mxConstants[_0x7bc7[2304]]);null!=_0xfb0bx2&&(_0xfb0bx2=this[_0x7bc7[248]](this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[736]](_0xfb0bx2)),null!=_0xfb0bx2&&(_0xfb0bx3=_0xfb0bx2));return _0xfb0bx3};mxGraphView[_0x7bc7[202]][_0x7bc7[2302]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){var _0xfb0bx9=null;if(null!=_0xfb0bx2){var _0xfb0bxa=this[_0x7bc7[2305]](_0xfb0bx2);if(null!=_0xfb0bxa&&null!=_0xfb0bx3&&(_0xfb0bx5=this[_0x7bc7[2087]](_0xfb0bx2,_0xfb0bx5),0<_0xfb0bx5[_0x7bc7[117]]||0<_0xfb0bx5[_0x7bc7[119]])){_0xfb0bx9=_0xfb0bxa(_0xfb0bx5,_0xfb0bx2,_0xfb0bx3,_0xfb0bx4)};null==_0xfb0bx9&&(_0xfb0bx9=this[_0x7bc7[245]](_0xfb0bx2))};return _0xfb0bx9};mxGraphView[_0x7bc7[202]][_0x7bc7[2230]]=function(_0xfb0bx2){var _0xfb0bx3=null!=_0xfb0bx2[_0x7bc7[124]]?parseFloat(_0xfb0bx2[_0x7bc7[124]][mxConstants[_0x7bc7[2306]]])||0:0;return _0xfb0bx2[_0x7bc7[241]]()+_0xfb0bx3*_0xfb0bx2[_0x7bc7[117]]};mxGraphView[_0x7bc7[202]][_0x7bc7[2228]]=function(_0xfb0bx2){var _0xfb0bx3=null!=_0xfb0bx2[_0x7bc7[124]]?parseFloat(_0xfb0bx2[_0x7bc7[124]][mxConstants[_0x7bc7[2307]]])||0:0;return _0xfb0bx2[_0x7bc7[242]]()+_0xfb0bx3*_0xfb0bx2[_0x7bc7[119]]};mxGraphView[_0x7bc7[202]][_0x7bc7[2087]]=function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx3=null!=_0xfb0bx3?_0xfb0bx3:0;null!=_0xfb0bx2&&(_0xfb0bx3+=parseFloat(_0xfb0bx2[_0x7bc7[124]][mxConstants[_0x7bc7[2299]]]||0));return _0xfb0bx2[_0x7bc7[2087]](_0xfb0bx3*this[_0x7bc7[255]])};mxGraphView[_0x7bc7[202]][_0x7bc7[2305]]=function(_0xfb0bx2){_0xfb0bx2=_0xfb0bx2[_0x7bc7[124]][mxConstants[_0x7bc7[2061]]];if(_0x7bc7[1516]== typeof _0xfb0bx2){var _0xfb0bx3=mxStyleRegistry[_0x7bc7[433]](_0xfb0bx2);null==_0xfb0bx3&&this[_0x7bc7[2295]]()&&(_0xfb0bx3=mxUtils[_0x7bc7[1545]](_0xfb0bx2));_0xfb0bx2=_0xfb0bx3};return _0x7bc7[279]== typeof _0xfb0bx2?_0xfb0bx2:null};mxGraphView[_0x7bc7[202]][_0x7bc7[2297]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx2=_0xfb0bx2[_0x7bc7[439]];var _0xfb0bx5=null;if(null!=_0xfb0bx2&&(_0xfb0bx4||2<_0xfb0bx2[_0x7bc7[67]]||null==_0xfb0bx3)){_0xfb0bx5=_0xfb0bx2[_0x7bc7[67]],_0xfb0bx5=_0xfb0bx2[_0xfb0bx4?Math[_0x7bc7[243]](1,_0xfb0bx5-1):Math[_0x7bc7[160]](0,_0xfb0bx5-2)]};null==_0xfb0bx5&&null!=_0xfb0bx3&&(_0xfb0bx5= new mxPoint(_0xfb0bx3[_0x7bc7[241]](),_0xfb0bx3[_0x7bc7[242]]()));return _0xfb0bx5};mxGraphView[_0x7bc7[202]][_0x7bc7[1710]]=function(_0xfb0bx2,_0xfb0bx3){for(var _0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[502]](),_0xfb0bx5=_0xfb0bx4[_0x7bc7[1709]](_0xfb0bx2,_0xfb0bx3),_0xfb0bx9=_0xfb0bx5;null!=_0xfb0bx5&&_0xfb0bx5!=this[_0x7bc7[1741]];){if(!this[_0x7bc7[1179]][_0x7bc7[1714]](_0xfb0bx9)||this[_0x7bc7[1179]][_0x7bc7[1742]](_0xfb0bx5)){_0xfb0bx9=_0xfb0bx5};_0xfb0bx5=_0xfb0bx4[_0x7bc7[1197]](_0xfb0bx5)};_0xfb0bx4[_0x7bc7[1197]](_0xfb0bx9)==_0xfb0bx4[_0x7bc7[501]]()&&(_0xfb0bx9=null);return _0xfb0bx9};mxGraphView[_0x7bc7[202]][_0x7bc7[2284]]=function(_0xfb0bx2){var _0xfb0bx3=_0xfb0bx2[_0x7bc7[439]];_0xfb0bx2[_0x7bc7[67]]=0;if(null!=_0xfb0bx3&&0<_0xfb0bx3[_0x7bc7[67]]){var _0xfb0bx4=_0xfb0bx3[0],_0xfb0bx5=_0xfb0bx3[_0xfb0bx3[_0x7bc7[67]]-1];if(null==_0xfb0bx4||null==_0xfb0bx5){_0xfb0bx2[_0x7bc7[246]]!=this[_0x7bc7[1741]]&&this[_0x7bc7[200]](_0xfb0bx2[_0x7bc7[246]],!0)}else {if(_0xfb0bx4[_0x7bc7[235]]!=_0xfb0bx5[_0x7bc7[235]]||_0xfb0bx4[_0x7bc7[236]]!=_0xfb0bx5[_0x7bc7[236]]){var _0xfb0bx9=_0xfb0bx5[_0x7bc7[235]]-_0xfb0bx4[_0x7bc7[235]],_0xfb0bxa=_0xfb0bx5[_0x7bc7[236]]-_0xfb0bx4[_0x7bc7[236]];_0xfb0bx2[_0x7bc7[2085]]=Math[_0x7bc7[428]](_0xfb0bx9*_0xfb0bx9+_0xfb0bxa*_0xfb0bxa)}else {_0xfb0bx2[_0x7bc7[2085]]=0};var _0xfb0bx5=0,_0xfb0bx12=[],_0xfb0bxa=_0xfb0bx4;if(null!=_0xfb0bxa){for(var _0xfb0bx4=_0xfb0bxa[_0x7bc7[235]],_0xfb0bx13=_0xfb0bxa[_0x7bc7[236]],_0xfb0bx14=_0xfb0bx4,_0xfb0bx15=_0xfb0bx13,_0xfb0bx16=1;_0xfb0bx16<_0xfb0bx3[_0x7bc7[67]];_0xfb0bx16++){var _0xfb0bx17=_0xfb0bx3[_0xfb0bx16];null!=_0xfb0bx17&&(_0xfb0bx9=_0xfb0bxa[_0x7bc7[235]]-_0xfb0bx17[_0x7bc7[235]],_0xfb0bxa=_0xfb0bxa[_0x7bc7[236]]-_0xfb0bx17[_0x7bc7[236]],_0xfb0bx9=Math[_0x7bc7[428]](_0xfb0bx9*_0xfb0bx9+_0xfb0bxa*_0xfb0bxa),_0xfb0bx12[_0x7bc7[207]](_0xfb0bx9),_0xfb0bx5+=_0xfb0bx9,_0xfb0bxa=_0xfb0bx17,_0xfb0bx4=Math[_0x7bc7[243]](_0xfb0bxa[_0x7bc7[235]],_0xfb0bx4),_0xfb0bx13=Math[_0x7bc7[243]](_0xfb0bxa[_0x7bc7[236]],_0xfb0bx13),_0xfb0bx14=Math[_0x7bc7[160]](_0xfb0bxa[_0x7bc7[235]],_0xfb0bx14),_0xfb0bx15=Math[_0x7bc7[160]](_0xfb0bxa[_0x7bc7[236]],_0xfb0bx15))};_0xfb0bx2[_0x7bc7[67]]=_0xfb0bx5;_0xfb0bx2[_0x7bc7[2086]]=_0xfb0bx12;_0xfb0bx2[_0x7bc7[235]]=_0xfb0bx4;_0xfb0bx2[_0x7bc7[236]]=_0xfb0bx13;_0xfb0bx2[_0x7bc7[117]]=Math[_0x7bc7[160]](1,_0xfb0bx14-_0xfb0bx4);_0xfb0bx2[_0x7bc7[119]]=Math[_0x7bc7[160]](1,_0xfb0bx15-_0xfb0bx13)}}}};mxGraphView[_0x7bc7[202]][_0x7bc7[245]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=_0xfb0bx2[_0x7bc7[241]](),_0xfb0bx5=_0xfb0bx2[_0x7bc7[242]]();if(null!=_0xfb0bx2[_0x7bc7[2086]]&&(null==_0xfb0bx3||_0xfb0bx3[_0x7bc7[1500]])){for(var _0xfb0bx9=_0xfb0bx2[_0x7bc7[439]][_0x7bc7[67]],_0xfb0bxa=((null!=_0xfb0bx3?_0xfb0bx3[_0x7bc7[235]]/2:0)+0.5)*_0xfb0bx2[_0x7bc7[67]],_0xfb0bx12=_0xfb0bx2[_0x7bc7[2086]][0],_0xfb0bx13=0,_0xfb0bx14=1;_0xfb0bxa>_0xfb0bx13+_0xfb0bx12&&_0xfb0bx14<_0xfb0bx9-1;){_0xfb0bx13+=_0xfb0bx12,_0xfb0bx12=_0xfb0bx2[_0x7bc7[2086]][_0xfb0bx14++]};_0xfb0bx9=0==_0xfb0bx12?0:(_0xfb0bxa-_0xfb0bx13)/_0xfb0bx12;_0xfb0bxa=_0xfb0bx2[_0x7bc7[439]][_0xfb0bx14-1];_0xfb0bx14=_0xfb0bx2[_0x7bc7[439]][_0xfb0bx14];if(null!=_0xfb0bxa&&null!=_0xfb0bx14){_0xfb0bx13=_0xfb0bx4=_0xfb0bx5=0;if(null!=_0xfb0bx3){var _0xfb0bx5=_0xfb0bx3[_0x7bc7[236]],_0xfb0bx15=_0xfb0bx3[_0x7bc7[1368]];null!=_0xfb0bx15&&(_0xfb0bx4=_0xfb0bx15[_0x7bc7[235]],_0xfb0bx13=_0xfb0bx15[_0x7bc7[236]])};_0xfb0bx15=_0xfb0bx14[_0x7bc7[235]]-_0xfb0bxa[_0x7bc7[235]];_0xfb0bx14=_0xfb0bx14[_0x7bc7[236]]-_0xfb0bxa[_0x7bc7[236]];_0xfb0bx4=_0xfb0bxa[_0x7bc7[235]]+_0xfb0bx15*_0xfb0bx9+((0==_0xfb0bx12?0:_0xfb0bx14/_0xfb0bx12)*_0xfb0bx5+_0xfb0bx4)*this[_0x7bc7[255]];_0xfb0bx5=_0xfb0bxa[_0x7bc7[236]]+_0xfb0bx14*_0xfb0bx9-((0==_0xfb0bx12?0:_0xfb0bx15/_0xfb0bx12)*_0xfb0bx5-_0xfb0bx13)*this[_0x7bc7[255]]}}else {null!=_0xfb0bx3&&(_0xfb0bx15=_0xfb0bx3[_0x7bc7[1368]],null!=_0xfb0bx15&&(_0xfb0bx4+=_0xfb0bx15[_0x7bc7[235]],_0xfb0bx5+=_0xfb0bx15[_0x7bc7[236]]))};return new mxPoint(_0xfb0bx4,_0xfb0bx5)};mxGraphView[_0x7bc7[202]][_0x7bc7[2308]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[1721]](_0xfb0bx2[_0x7bc7[246]]);if(null!=_0xfb0bx5){var _0xfb0bx9=_0xfb0bx2[_0x7bc7[439]][_0x7bc7[67]];if(_0xfb0bx5[_0x7bc7[1500]]&&1<_0xfb0bx9){for(var _0xfb0bx5=_0xfb0bx2[_0x7bc7[67]],_0xfb0bxa=_0xfb0bx2[_0x7bc7[2086]],_0xfb0bx12=_0xfb0bx2[_0x7bc7[439]][0],_0xfb0bx13=_0xfb0bx2[_0x7bc7[439]][1],_0xfb0bx14=mxUtils[_0x7bc7[440]](_0xfb0bx12[_0x7bc7[235]],_0xfb0bx12[_0x7bc7[236]],_0xfb0bx13[_0x7bc7[235]],_0xfb0bx13[_0x7bc7[236]],_0xfb0bx3,_0xfb0bx4),_0xfb0bx15=0,_0xfb0bx16=0,_0xfb0bx17=0,_0xfb0bx18=2;_0xfb0bx18<_0xfb0bx9;_0xfb0bx18++){_0xfb0bx16+=_0xfb0bxa[_0xfb0bx18-2],_0xfb0bx13=_0xfb0bx2[_0x7bc7[439]][_0xfb0bx18],_0xfb0bx12=mxUtils[_0x7bc7[440]](_0xfb0bx12[_0x7bc7[235]],_0xfb0bx12[_0x7bc7[236]],_0xfb0bx13[_0x7bc7[235]],_0xfb0bx13[_0x7bc7[236]],_0xfb0bx3,_0xfb0bx4),_0xfb0bx12<=_0xfb0bx14&&(_0xfb0bx14=_0xfb0bx12,_0xfb0bx15=_0xfb0bx18-1,_0xfb0bx17=_0xfb0bx16),_0xfb0bx12=_0xfb0bx13};_0xfb0bx9=_0xfb0bxa[_0xfb0bx15];_0xfb0bx12=_0xfb0bx2[_0x7bc7[439]][_0xfb0bx15];_0xfb0bx13=_0xfb0bx2[_0x7bc7[439]][_0xfb0bx15+1];_0xfb0bx14=_0xfb0bx13[_0x7bc7[235]];_0xfb0bxa=_0xfb0bx13[_0x7bc7[236]];_0xfb0bx2=_0xfb0bx12[_0x7bc7[235]]-_0xfb0bx14;_0xfb0bx15=_0xfb0bx12[_0x7bc7[236]]-_0xfb0bxa;_0xfb0bx14=_0xfb0bx3-_0xfb0bx14;_0xfb0bxa=_0xfb0bx4-_0xfb0bxa;_0xfb0bx14=_0xfb0bx2-_0xfb0bx14;_0xfb0bxa=_0xfb0bx15-_0xfb0bxa;_0xfb0bxa=_0xfb0bx14*_0xfb0bx2+_0xfb0bxa*_0xfb0bx15;_0xfb0bx2=Math[_0x7bc7[428]](0>=_0xfb0bxa?0:_0xfb0bxa*_0xfb0bxa/(_0xfb0bx2*_0xfb0bx2+_0xfb0bx15*_0xfb0bx15));_0xfb0bx2>_0xfb0bx9&&(_0xfb0bx2=_0xfb0bx9);_0xfb0bx9=Math[_0x7bc7[428]](mxUtils[_0x7bc7[440]](_0xfb0bx12[_0x7bc7[235]],_0xfb0bx12[_0x7bc7[236]],_0xfb0bx13[_0x7bc7[235]],_0xfb0bx13[_0x7bc7[236]],_0xfb0bx3,_0xfb0bx4));-1==mxUtils[_0x7bc7[2309]](_0xfb0bx12[_0x7bc7[235]],_0xfb0bx12[_0x7bc7[236]],_0xfb0bx13[_0x7bc7[235]],_0xfb0bx13[_0x7bc7[236]],_0xfb0bx3,_0xfb0bx4)&&(_0xfb0bx9= -_0xfb0bx9);return new mxPoint(-2*((_0xfb0bx5/2-_0xfb0bx17-_0xfb0bx2)/_0xfb0bx5),_0xfb0bx9/this[_0x7bc7[255]])}};return new mxPoint};mxGraphView[_0x7bc7[202]][_0x7bc7[2285]]=function(_0xfb0bx2){var _0xfb0bx3=_0xfb0bx2[_0x7bc7[439]];_0xfb0bx2[_0x7bc7[2079]][_0x7bc7[235]]=_0xfb0bx2[_0x7bc7[241]]();_0xfb0bx2[_0x7bc7[2079]][_0x7bc7[236]]=_0xfb0bx2[_0x7bc7[242]]();if(null!=_0xfb0bx3&&0<_0xfb0bx3[_0x7bc7[67]]&&null!=_0xfb0bx2[_0x7bc7[2086]]){var _0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[1198]](_0xfb0bx2[_0x7bc7[246]]);if(_0xfb0bx4[_0x7bc7[1500]]){var _0xfb0bx5=this[_0x7bc7[245]](_0xfb0bx2,_0xfb0bx4);null!=_0xfb0bx5&&(_0xfb0bx2[_0x7bc7[2079]]=_0xfb0bx5)}else {var _0xfb0bx5=_0xfb0bx3[0],_0xfb0bx9=_0xfb0bx3[_0xfb0bx3[_0x7bc7[67]]-1];if(null!=_0xfb0bx5&&null!=_0xfb0bx9){var _0xfb0bx3=_0xfb0bx9[_0x7bc7[235]]-_0xfb0bx5[_0x7bc7[235]],_0xfb0bxa=_0xfb0bx9[_0x7bc7[236]]-_0xfb0bx5[_0x7bc7[236]],_0xfb0bx12=_0xfb0bx9=0,_0xfb0bx4=_0xfb0bx4[_0x7bc7[1368]];null!=_0xfb0bx4&&(_0xfb0bx9=_0xfb0bx4[_0x7bc7[235]],_0xfb0bx12=_0xfb0bx4[_0x7bc7[236]]);_0xfb0bx4=_0xfb0bx5[_0x7bc7[236]]+_0xfb0bxa/2+_0xfb0bx12*this[_0x7bc7[255]];_0xfb0bx2[_0x7bc7[2079]][_0x7bc7[235]]=_0xfb0bx5[_0x7bc7[235]]+_0xfb0bx3/2+_0xfb0bx9*this[_0x7bc7[255]];_0xfb0bx2[_0x7bc7[2079]][_0x7bc7[236]]=_0xfb0bx4}}}};mxGraphView[_0x7bc7[202]][_0x7bc7[248]]=function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx3=_0xfb0bx3|| !1;var _0xfb0bx4=null;null!=_0xfb0bx2&&(_0xfb0bx4=this[_0x7bc7[1214]][_0x7bc7[203]](_0xfb0bx2),this[_0x7bc7[1179]][_0x7bc7[1714]](_0xfb0bx2)&&(null==_0xfb0bx4&&_0xfb0bx3&&this[_0x7bc7[1179]][_0x7bc7[1714]](_0xfb0bx2)?(_0xfb0bx4=this[_0x7bc7[1230]](_0xfb0bx2),this[_0x7bc7[1214]][_0x7bc7[204]](_0xfb0bx2,_0xfb0bx4)):_0xfb0bx3&&(null!=_0xfb0bx4&&this[_0x7bc7[2255]])&&(_0xfb0bx4[_0x7bc7[124]]=this[_0x7bc7[1179]][_0x7bc7[1705]](_0xfb0bx2))));return _0xfb0bx4};mxGraphView[_0x7bc7[202]][_0x7bc7[2287]]=function(){return this[_0x7bc7[2254]]};mxGraphView[_0x7bc7[202]][_0x7bc7[2310]]=function(_0xfb0bx2){this[_0x7bc7[2254]]=_0xfb0bx2};mxGraphView[_0x7bc7[202]][_0x7bc7[2295]]=function(){return this[_0x7bc7[2251]]};mxGraphView[_0x7bc7[202]][_0x7bc7[2311]]=function(_0xfb0bx2){this[_0x7bc7[2251]]=_0xfb0bx2};mxGraphView[_0x7bc7[202]][_0x7bc7[2312]]=function(){return this[_0x7bc7[1214]]};mxGraphView[_0x7bc7[202]][_0x7bc7[1517]]=function(_0xfb0bx2){this[_0x7bc7[1214]]=_0xfb0bx2};mxGraphView[_0x7bc7[202]][_0x7bc7[2313]]=function(_0xfb0bx2){if(null==_0xfb0bx2){return this[_0x7bc7[1214]]};for(var _0xfb0bx3=[],_0xfb0bx4=0;_0xfb0bx4<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx4++){var _0xfb0bx5=this[_0x7bc7[248]](_0xfb0bx2[_0xfb0bx4]);null!=_0xfb0bx5&&_0xfb0bx3[_0x7bc7[207]](_0xfb0bx5)};return _0xfb0bx3};mxGraphView[_0x7bc7[202]][_0x7bc7[2265]]=function(_0xfb0bx2){var _0xfb0bx3=null;null!=_0xfb0bx2&&(_0xfb0bx3=this[_0x7bc7[1214]][_0x7bc7[205]](_0xfb0bx2),null!=_0xfb0bx3&&(this[_0x7bc7[1179]][_0x7bc7[259]][_0x7bc7[515]](_0xfb0bx3),_0xfb0bx3[_0x7bc7[515]]()));return _0xfb0bx3};mxGraphView[_0x7bc7[202]][_0x7bc7[1230]]=function(_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[1179]][_0x7bc7[1705]](_0xfb0bx2);_0xfb0bx2= new mxCellState(this,_0xfb0bx2,_0xfb0bx3);this[_0x7bc7[1179]][_0x7bc7[259]][_0x7bc7[2155]](_0xfb0bx2,this[_0x7bc7[2287]]());return _0xfb0bx2};mxGraphView[_0x7bc7[202]][_0x7bc7[2051]]=function(){return this[_0x7bc7[510]]};mxGraphView[_0x7bc7[202]][_0x7bc7[2052]]=function(){return this[_0x7bc7[2053]]};mxGraphView[_0x7bc7[202]][_0x7bc7[2024]]=function(){return this[_0x7bc7[505]]};mxGraphView[_0x7bc7[202]][_0x7bc7[1524]]=function(){return this[_0x7bc7[506]]};mxGraphView[_0x7bc7[202]][_0x7bc7[2314]]=function(_0xfb0bx2){_0xfb0bx2=mxEvent[_0x7bc7[728]](_0xfb0bx2);return _0xfb0bx2==this[_0x7bc7[1179]][_0x7bc7[526]]||_0xfb0bx2[_0x7bc7[265]]==this[_0x7bc7[2053]]||null!=_0xfb0bx2[_0x7bc7[265]]&&_0xfb0bx2[_0x7bc7[265]][_0x7bc7[265]]==this[_0x7bc7[2053]]||_0xfb0bx2==this[_0x7bc7[510]][_0x7bc7[265]]||_0xfb0bx2==this[_0x7bc7[510]]||_0xfb0bx2==this[_0x7bc7[2053]]||_0xfb0bx2==this[_0x7bc7[505]]||_0xfb0bx2==this[_0x7bc7[506]]};mxGraphView[_0x7bc7[202]][_0x7bc7[2315]]=function(_0xfb0bx2){var _0xfb0bx3=mxUtils[_0x7bc7[445]](this[_0x7bc7[1179]][_0x7bc7[526]]);_0xfb0bx2= new mxPoint(_0xfb0bx2[_0x7bc7[782]]-_0xfb0bx3[_0x7bc7[235]],_0xfb0bx2[_0x7bc7[784]]-_0xfb0bx3[_0x7bc7[236]]);var _0xfb0bx3=this[_0x7bc7[1179]][_0x7bc7[526]][_0x7bc7[359]],_0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[526]][_0x7bc7[159]];if(_0xfb0bx3>_0xfb0bx4&&_0xfb0bx2[_0x7bc7[235]]>_0xfb0bx4+2&&_0xfb0bx2[_0x7bc7[235]]<=_0xfb0bx3){return !0};_0xfb0bx3=this[_0x7bc7[1179]][_0x7bc7[526]][_0x7bc7[167]];_0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[526]][_0x7bc7[157]];return _0xfb0bx3>_0xfb0bx4&&_0xfb0bx2[_0x7bc7[236]]>_0xfb0bx4+2&&_0xfb0bx2[_0x7bc7[236]]<=_0xfb0bx3?!0:!1};mxGraphView[_0x7bc7[202]][_0x7bc7[176]]=function(){this[_0x7bc7[2163]]();var _0xfb0bx2=this[_0x7bc7[1179]];_0xfb0bx2[_0x7bc7[507]]==mxConstants[_0x7bc7[508]]?this[_0x7bc7[1569]]():_0xfb0bx2[_0x7bc7[507]]==mxConstants[_0x7bc7[1523]]?this[_0x7bc7[1571]]():this[_0x7bc7[1570]]()};mxGraphView[_0x7bc7[202]][_0x7bc7[2163]]=function(){var _0xfb0bx2=this[_0x7bc7[1179]],_0xfb0bx3=_0xfb0bx2[_0x7bc7[526]];if(null!=_0xfb0bx3){mxEvent[_0x7bc7[759]](_0xfb0bx3,mxUtils[_0x7bc7[885]](this,function(_0xfb0bx3){mxClient[_0x7bc7[754]]&&_0xfb0bx2[_0x7bc7[2203]]()&&_0xfb0bx2[_0x7bc7[2126]](!_0xfb0bx2[_0x7bc7[2134]]());this[_0x7bc7[2314]](_0xfb0bx3)&&(!mxClient[_0x7bc7[80]]&&!mxClient[_0x7bc7[76]]&&!mxClient[_0x7bc7[71]]&&!mxClient[_0x7bc7[75]]||!this[_0x7bc7[2315]](_0xfb0bx3))&&_0xfb0bx2[_0x7bc7[758]](mxEvent.MOUSE_DOWN, new mxMouseEvent(_0xfb0bx3))}),mxUtils[_0x7bc7[885]](this,function(_0xfb0bx3){this[_0x7bc7[2314]](_0xfb0bx3)&&_0xfb0bx2[_0x7bc7[758]](mxEvent.MOUSE_MOVE, new mxMouseEvent(_0xfb0bx3))}),mxUtils[_0x7bc7[885]](this,function(_0xfb0bx3){this[_0x7bc7[2314]](_0xfb0bx3)&&_0xfb0bx2[_0x7bc7[758]](mxEvent.MOUSE_UP, new mxMouseEvent(_0xfb0bx3))}));mxEvent[_0x7bc7[169]](_0xfb0bx3,_0x7bc7[760],mxUtils[_0x7bc7[885]](this,function(_0xfb0bx3){_0xfb0bx2[_0x7bc7[761]](_0xfb0bx3)}));var _0xfb0bx4=function(_0xfb0bx4){var _0xfb0bx9=null;mxClient[_0x7bc7[754]]&&(_0xfb0bx9=mxEvent[_0x7bc7[731]](_0xfb0bx4),_0xfb0bx4=mxEvent[_0x7bc7[733]](_0xfb0bx4),_0xfb0bx4=mxUtils[_0x7bc7[2196]](_0xfb0bx3,_0xfb0bx9,_0xfb0bx4),_0xfb0bx9=_0xfb0bx2[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx2[_0x7bc7[999]](_0xfb0bx4[_0x7bc7[235]],_0xfb0bx4[_0x7bc7[236]])));return _0xfb0bx9};_0xfb0bx2[_0x7bc7[1133]]({mouseDown:function(_0xfb0bx3,_0xfb0bx4){_0xfb0bx2[_0x7bc7[2316]][_0x7bc7[1032]]()},mouseMove:function(){},mouseUp:function(){}});this[_0x7bc7[2317]]=mxUtils[_0x7bc7[885]](this,function(_0xfb0bx3){null!=_0xfb0bx2[_0x7bc7[2276]]&&_0xfb0bx2[_0x7bc7[2276]][_0x7bc7[2277]]()&&_0xfb0bx2[_0x7bc7[2276]][_0x7bc7[801]]();this[_0x7bc7[2252]]&&(_0xfb0bx2[_0x7bc7[1009]]&&!mxEvent[_0x7bc7[721]](_0xfb0bx3))&&_0xfb0bx2[_0x7bc7[758]](mxEvent.MOUSE_MOVE, new mxMouseEvent(_0xfb0bx3,_0xfb0bx4(_0xfb0bx3)))});this[_0x7bc7[2318]]=mxUtils[_0x7bc7[885]](this,function(_0xfb0bx3){this[_0x7bc7[2252]]&&_0xfb0bx2[_0x7bc7[758]](mxEvent.MOUSE_UP, new mxMouseEvent(_0xfb0bx3))});mxEvent[_0x7bc7[759]](document,null,this[_0x7bc7[2317]],this[_0x7bc7[2318]])}};mxGraphView[_0x7bc7[202]][_0x7bc7[1570]]=function(){var _0xfb0bx2=this[_0x7bc7[1179]][_0x7bc7[526]];null!=_0xfb0bx2&&(this[_0x7bc7[510]]=this[_0x7bc7[2319]](_0x7bc7[118],_0x7bc7[118]),this[_0x7bc7[2053]]=this[_0x7bc7[2319]](_0x7bc7[942],_0x7bc7[942]),this[_0x7bc7[505]]=this[_0x7bc7[2319]](_0x7bc7[942],_0x7bc7[942]),this[_0x7bc7[506]]=this[_0x7bc7[2319]](_0x7bc7[942],_0x7bc7[942]),this[_0x7bc7[510]][_0x7bc7[62]](this[_0x7bc7[2053]]),this[_0x7bc7[510]][_0x7bc7[62]](this[_0x7bc7[505]]),this[_0x7bc7[510]][_0x7bc7[62]](this[_0x7bc7[506]]),_0xfb0bx2[_0x7bc7[62]](this[_0x7bc7[510]]),mxClient[_0x7bc7[496]]&&(_0xfb0bx2=mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){_0xfb0bx2=this[_0x7bc7[517]]();this[_0x7bc7[2320]](_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx2[_0x7bc7[117]]+this[_0x7bc7[1179]][_0x7bc7[467]],_0xfb0bx2[_0x7bc7[236]]+_0xfb0bx2[_0x7bc7[119]]+this[_0x7bc7[1179]][_0x7bc7[467]])}),mxEvent[_0x7bc7[169]](window,_0x7bc7[129],_0xfb0bx2)))};mxGraphView[_0x7bc7[202]][_0x7bc7[2320]]=function(_0xfb0bx2,_0xfb0bx3){if(null!=this[_0x7bc7[1179]][_0x7bc7[526]]){var _0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[526]][_0x7bc7[167]];this[_0x7bc7[510]][_0x7bc7[124]][_0x7bc7[117]]=this[_0x7bc7[1179]][_0x7bc7[526]][_0x7bc7[359]]<_0xfb0bx2?_0xfb0bx2+_0x7bc7[168]:_0x7bc7[118];this[_0x7bc7[510]][_0x7bc7[124]][_0x7bc7[119]]=_0xfb0bx4<_0xfb0bx3?_0xfb0bx3+_0x7bc7[168]:_0x7bc7[118]}};mxGraphView[_0x7bc7[202]][_0x7bc7[2319]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=document[_0x7bc7[55]](_0x7bc7[529]);null!=_0xfb0bx2&&null!=_0xfb0bx3?(_0xfb0bx4[_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[492],_0xfb0bx4[_0x7bc7[124]][_0x7bc7[361]]=_0x7bc7[946],_0xfb0bx4[_0x7bc7[124]][_0x7bc7[125]]=_0x7bc7[946],_0xfb0bx4[_0x7bc7[124]][_0x7bc7[117]]=_0xfb0bx2,_0xfb0bx4[_0x7bc7[124]][_0x7bc7[119]]=_0xfb0bx3):_0xfb0bx4[_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[1500];return _0xfb0bx4};mxGraphView[_0x7bc7[202]][_0x7bc7[1571]]=function(){var _0xfb0bx2=this[_0x7bc7[1179]][_0x7bc7[526]];if(null!=_0xfb0bx2){var _0xfb0bx3=_0xfb0bx2[_0x7bc7[359]],_0xfb0bx4=_0xfb0bx2[_0x7bc7[167]];this[_0x7bc7[510]]=this[_0x7bc7[2321]](_0xfb0bx3,_0xfb0bx4);this[_0x7bc7[2053]]=this[_0x7bc7[2321]](_0xfb0bx3,_0xfb0bx4);this[_0x7bc7[505]]=this[_0x7bc7[2321]](_0xfb0bx3,_0xfb0bx4);this[_0x7bc7[506]]=this[_0x7bc7[2321]](_0xfb0bx3,_0xfb0bx4);this[_0x7bc7[510]][_0x7bc7[62]](this[_0x7bc7[2053]]);this[_0x7bc7[510]][_0x7bc7[62]](this[_0x7bc7[505]]);this[_0x7bc7[510]][_0x7bc7[62]](this[_0x7bc7[506]]);_0xfb0bx2[_0x7bc7[62]](this[_0x7bc7[510]])}};mxGraphView[_0x7bc7[202]][_0x7bc7[2321]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=document[_0x7bc7[55]](mxClient[_0x7bc7[463]]+_0x7bc7[1499]);_0xfb0bx4[_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[492];_0xfb0bx4[_0x7bc7[124]][_0x7bc7[361]]=_0x7bc7[946];_0xfb0bx4[_0x7bc7[124]][_0x7bc7[125]]=_0x7bc7[946];_0xfb0bx4[_0x7bc7[124]][_0x7bc7[117]]=_0xfb0bx2+_0x7bc7[168];_0xfb0bx4[_0x7bc7[124]][_0x7bc7[119]]=_0xfb0bx3+_0x7bc7[168];_0xfb0bx4[_0x7bc7[57]](_0x7bc7[1462],_0xfb0bx2+_0x7bc7[537]+_0xfb0bx3);_0xfb0bx4[_0x7bc7[57]](_0x7bc7[2322],_0x7bc7[2323]);return _0xfb0bx4};mxGraphView[_0x7bc7[202]][_0x7bc7[1569]]=function(){var _0xfb0bx2=this[_0x7bc7[1179]][_0x7bc7[526]];this[_0x7bc7[510]]=document[_0x7bc7[509]](mxConstants.NS_SVG,_0x7bc7[449]);this[_0x7bc7[2053]]=document[_0x7bc7[509]](mxConstants.NS_SVG,_0x7bc7[449]);this[_0x7bc7[510]][_0x7bc7[62]](this[_0x7bc7[2053]]);this[_0x7bc7[505]]=document[_0x7bc7[509]](mxConstants.NS_SVG,_0x7bc7[449]);this[_0x7bc7[510]][_0x7bc7[62]](this[_0x7bc7[505]]);this[_0x7bc7[506]]=document[_0x7bc7[509]](mxConstants.NS_SVG,_0x7bc7[449]);this[_0x7bc7[510]][_0x7bc7[62]](this[_0x7bc7[506]]);var _0xfb0bx3=document[_0x7bc7[509]](mxConstants.NS_SVG,_0x7bc7[571]);_0xfb0bx3[_0x7bc7[124]][_0x7bc7[117]]=_0x7bc7[118];_0xfb0bx3[_0x7bc7[124]][_0x7bc7[119]]=_0x7bc7[118];_0xfb0bx3[_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[694];_0xfb0bx3[_0x7bc7[62]](this[_0x7bc7[510]]);null!=_0xfb0bx2&&(_0xfb0bx2[_0x7bc7[62]](_0xfb0bx3),_0x7bc7[2324]==mxUtils[_0x7bc7[275]](_0xfb0bx2)[_0x7bc7[491]]&&(_0xfb0bx2[_0x7bc7[124]][_0x7bc7[491]]=_0x7bc7[1500]))};mxGraphView[_0x7bc7[202]][_0x7bc7[515]]=function(){var _0xfb0bx2=null!=this[_0x7bc7[510]]?this[_0x7bc7[510]][_0x7bc7[538]]:null;null==_0xfb0bx2&&(_0xfb0bx2=this[_0x7bc7[510]]);null!=_0xfb0bx2&&null!=_0xfb0bx2[_0x7bc7[265]]&&(this[_0x7bc7[200]](this[_0x7bc7[1741]],!0),mxEvent[_0x7bc7[938]](document,null,this[_0x7bc7[2317]],this[_0x7bc7[2318]]),mxEvent[_0x7bc7[762]](this[_0x7bc7[1179]][_0x7bc7[526]]),_0xfb0bx2[_0x7bc7[265]][_0x7bc7[266]](_0xfb0bx2),this[_0x7bc7[506]]=this[_0x7bc7[505]]=this[_0x7bc7[2053]]=this[_0x7bc7[510]]=this[_0x7bc7[2318]]=this[_0x7bc7[2317]]=null)};function mxCurrentRootChange(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[441]]=_0xfb0bx2;this[_0x7bc7[257]]=this[_0x7bc7[813]]=_0xfb0bx3;this[_0x7bc7[2325]]=null==_0xfb0bx3;if(!this[_0x7bc7[2325]]){for(var _0xfb0bx4=this[_0x7bc7[441]][_0x7bc7[1741]],_0xfb0bx5=this[_0x7bc7[441]][_0x7bc7[1179]][_0x7bc7[502]]();null!=_0xfb0bx4;){if(_0xfb0bx4==_0xfb0bx3){this[_0x7bc7[2325]]= !0;break};_0xfb0bx4=_0xfb0bx5[_0x7bc7[1197]](_0xfb0bx4)}}}mxCurrentRootChange[_0x7bc7[202]][_0x7bc7[350]]=function(){var _0xfb0bx2=this[_0x7bc7[441]][_0x7bc7[1741]];this[_0x7bc7[441]][_0x7bc7[1741]]=this[_0x7bc7[257]];this[_0x7bc7[257]]=_0xfb0bx2;_0xfb0bx2=this[_0x7bc7[441]][_0x7bc7[1179]][_0x7bc7[2326]](this[_0x7bc7[441]][_0x7bc7[1741]]);null!=_0xfb0bx2&&(this[_0x7bc7[441]][_0x7bc7[513]]= new mxPoint(-_0xfb0bx2[_0x7bc7[235]],-_0xfb0bx2[_0x7bc7[236]]));this[_0x7bc7[441]][_0x7bc7[746]]( new mxEventObject(this[_0x7bc7[2325]]?mxEvent[_0x7bc7[2327]]:mxEvent[_0x7bc7[2328]],_0x7bc7[813],this[_0x7bc7[441]][_0x7bc7[1741]],_0x7bc7[257],this[_0x7bc7[257]]));this[_0x7bc7[2325]]?(this[_0x7bc7[441]][_0x7bc7[200]](this[_0x7bc7[441]][_0x7bc7[1741]],!0),this[_0x7bc7[441]][_0x7bc7[2264]]()):this[_0x7bc7[441]][_0x7bc7[802]]();this[_0x7bc7[2325]]=!this[_0x7bc7[2325]]};function mxGraph(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){this[_0x7bc7[2329]]=null;this[_0x7bc7[2330]]=_0xfb0bx4;this[_0x7bc7[507]]=mxClient[_0x7bc7[48]]?mxConstants[_0x7bc7[508]]:_0xfb0bx4==mxConstants[_0x7bc7[2331]]&&mxClient[_0x7bc7[47]]?mxConstants[_0x7bc7[1523]]:_0xfb0bx4==mxConstants[_0x7bc7[2332]]?mxConstants[_0x7bc7[1656]]:_0xfb0bx4==mxConstants[_0x7bc7[2333]]?mxConstants[_0x7bc7[2209]]:mxConstants[_0x7bc7[2334]];this[_0x7bc7[251]]=null!=_0xfb0bx3?_0xfb0bx3: new mxGraphModel;this[_0x7bc7[2335]]=[];this[_0x7bc7[2336]]=[];this[_0x7bc7[259]]=this[_0x7bc7[2337]]();this[_0x7bc7[2339]](this[_0x7bc7[2338]]());this[_0x7bc7[2341]](null!=_0xfb0bx5?_0xfb0bx5:this[_0x7bc7[2340]]());this[_0x7bc7[441]]=this[_0x7bc7[2342]]();this[_0x7bc7[2343]]=mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[1177]](_0xfb0bx3[_0x7bc7[720]](_0x7bc7[1061])[_0x7bc7[1065]])});this[_0x7bc7[251]][_0x7bc7[169]](mxEvent.CHANGE,this[_0x7bc7[2343]]);this[_0x7bc7[2344]]();null!=_0xfb0bx2&&this[_0x7bc7[176]](_0xfb0bx2);this[_0x7bc7[441]][_0x7bc7[2259]]()}mxLoadResources&&mxResources[_0x7bc7[99]](mxClient[_0x7bc7[86]]+_0x7bc7[2345]);mxGraph[_0x7bc7[202]]= new mxEventSource;mxGraph[_0x7bc7[202]][_0x7bc7[196]]=mxGraph;mxGraph[_0x7bc7[202]][_0x7bc7[2346]]=[];mxGraph[_0x7bc7[202]][_0x7bc7[2329]]=null;mxGraph[_0x7bc7[202]][_0x7bc7[1009]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[251]]=null;mxGraph[_0x7bc7[202]][_0x7bc7[441]]=null;mxGraph[_0x7bc7[202]][_0x7bc7[94]]=null;mxGraph[_0x7bc7[202]][_0x7bc7[2105]]=null;mxGraph[_0x7bc7[202]][_0x7bc7[2347]]=null;mxGraph[_0x7bc7[202]][_0x7bc7[259]]=null;mxGraph[_0x7bc7[202]][_0x7bc7[2335]]=null;mxGraph[_0x7bc7[202]][_0x7bc7[2330]]=null;mxGraph[_0x7bc7[202]][_0x7bc7[507]]=null;mxGraph[_0x7bc7[202]][_0x7bc7[1020]]=10;mxGraph[_0x7bc7[202]][_0x7bc7[991]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2348]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2349]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2350]]=700;mxGraph[_0x7bc7[202]][_0x7bc7[2351]]=25;mxGraph[_0x7bc7[202]][_0x7bc7[2352]]=0;mxGraph[_0x7bc7[202]][_0x7bc7[2352]]=0;mxGraph[_0x7bc7[202]][_0x7bc7[2213]]=0;mxGraph[_0x7bc7[202]][_0x7bc7[2215]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2353]]=4;mxGraph[_0x7bc7[202]][_0x7bc7[2354]]=0.5;mxGraph[_0x7bc7[202]][_0x7bc7[2355]]=null;mxGraph[_0x7bc7[202]][_0x7bc7[2356]]=null;mxGraph[_0x7bc7[202]][_0x7bc7[1617]]=null;mxGraph[_0x7bc7[202]][_0x7bc7[2273]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[2357]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[2358]]=_0x7bc7[579];mxGraph[_0x7bc7[202]][_0x7bc7[2359]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2360]]=20;mxGraph[_0x7bc7[202]][_0x7bc7[2361]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[2013]]=mxConstants[_0x7bc7[516]];mxGraph[_0x7bc7[202]][_0x7bc7[2012]]=1.5;mxGraph[_0x7bc7[202]][_0x7bc7[984]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2362]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2363]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2364]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[2365]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2366]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2367]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2368]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[2369]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2206]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2370]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2371]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2372]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2373]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2374]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[2375]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[2376]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2377]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2378]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2379]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2380]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2381]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[1012]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2382]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[2383]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[2384]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[1013]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2385]]=null;mxGraph[_0x7bc7[202]][_0x7bc7[2386]]=null;mxGraph[_0x7bc7[202]][_0x7bc7[2387]]=null;mxGraph[_0x7bc7[202]][_0x7bc7[2388]]=null;mxGraph[_0x7bc7[202]][_0x7bc7[2389]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[467]]=0;mxGraph[_0x7bc7[202]][_0x7bc7[2158]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2159]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[2168]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2390]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2391]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2392]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2393]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2394]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2395]]=1.2;mxGraph[_0x7bc7[202]][_0x7bc7[2396]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[2397]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2398]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2399]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[2400]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[2401]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2402]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[2293]]=mxEdgeStyle[_0x7bc7[2403]];mxGraph[_0x7bc7[202]][_0x7bc7[2404]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2405]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[2406]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2407]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[2408]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2409]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2410]]= !1;mxGraph[_0x7bc7[202]][_0x7bc7[2411]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2412]]= !0;mxGraph[_0x7bc7[202]][_0x7bc7[2180]]=mxConstants[_0x7bc7[2065]];mxGraph[_0x7bc7[202]][_0x7bc7[2336]]=null;mxGraph[_0x7bc7[202]][_0x7bc7[2413]]=0.1;mxGraph[_0x7bc7[202]][_0x7bc7[2414]]=8;mxGraph[_0x7bc7[202]][_0x7bc7[1136]]=0;mxGraph[_0x7bc7[202]][_0x7bc7[1137]]=0;mxGraph[_0x7bc7[202]][_0x7bc7[2415]]= new mxImage(mxClient[_0x7bc7[88]]+_0x7bc7[2416],9,9);mxGraph[_0x7bc7[202]][_0x7bc7[2417]]= new mxImage(mxClient[_0x7bc7[88]]+_0x7bc7[2418],9,9);mxGraph[_0x7bc7[202]][_0x7bc7[2419]]= new mxImage(_0x7bc7[2420],16,16);mxGraph[_0x7bc7[202]][_0x7bc7[2421]]=_0x7bc7[130]!=mxClient[_0x7bc7[90]]?_0x7bc7[2422]:_0x7bc7[110];mxGraph[_0x7bc7[202]][_0x7bc7[2423]]=_0x7bc7[130]!=mxClient[_0x7bc7[90]]?_0x7bc7[2424]:_0x7bc7[110];mxGraph[_0x7bc7[202]][_0x7bc7[2425]]=_0x7bc7[130]!=mxClient[_0x7bc7[90]]?_0x7bc7[2426]:_0x7bc7[110];mxGraph[_0x7bc7[202]][_0x7bc7[176]]=function(_0xfb0bx2){this[_0x7bc7[526]]=_0xfb0bx2;this[_0x7bc7[2347]]=this[_0x7bc7[2427]]();this[_0x7bc7[441]][_0x7bc7[176]]();this[_0x7bc7[2258]]();mxClient[_0x7bc7[80]]&&(mxEvent[_0x7bc7[169]](window,_0x7bc7[2428],mxUtils[_0x7bc7[885]](this,function(){this[_0x7bc7[515]]()})),mxEvent[_0x7bc7[169]](_0xfb0bx2,_0x7bc7[2429],mxUtils[_0x7bc7[885]](this,function(){return this[_0x7bc7[2203]]()})));8==document[_0x7bc7[5]]&&_0xfb0bx2[_0x7bc7[1583]](_0x7bc7[1582],_0x7bc7[2430])};mxGraph[_0x7bc7[202]][_0x7bc7[2344]]=function(_0xfb0bx2){this[_0x7bc7[2276]]= new mxTooltipHandler(this);this[_0x7bc7[2276]][_0x7bc7[995]](!1);this[_0x7bc7[2316]]= new mxPanningHandler(this);this[_0x7bc7[2316]][_0x7bc7[2431]]= !1;this[_0x7bc7[2432]]= new mxSelectionCellsHandler(this);this[_0x7bc7[2433]]= new mxConnectionHandler(this);this[_0x7bc7[2433]][_0x7bc7[995]](!1);this[_0x7bc7[1011]]= new mxGraphHandler(this)};mxGraph[_0x7bc7[202]][_0x7bc7[2338]]=function(){return new mxGraphSelectionModel(this)};mxGraph[_0x7bc7[202]][_0x7bc7[2340]]=function(){return new mxStylesheet};mxGraph[_0x7bc7[202]][_0x7bc7[2342]]=function(){return new mxGraphView(this)};mxGraph[_0x7bc7[202]][_0x7bc7[2337]]=function(){return new mxCellRenderer};mxGraph[_0x7bc7[202]][_0x7bc7[2427]]=function(){return new mxCellEditor(this)};mxGraph[_0x7bc7[202]][_0x7bc7[502]]=function(){return this[_0x7bc7[251]]};mxGraph[_0x7bc7[202]][_0x7bc7[249]]=function(){return this[_0x7bc7[441]]};mxGraph[_0x7bc7[202]][_0x7bc7[2434]]=function(){return this[_0x7bc7[94]]};mxGraph[_0x7bc7[202]][_0x7bc7[2341]]=function(_0xfb0bx2){this[_0x7bc7[94]]=_0xfb0bx2};mxGraph[_0x7bc7[202]][_0x7bc7[2435]]=function(){return this[_0x7bc7[2105]]};mxGraph[_0x7bc7[202]][_0x7bc7[2339]]=function(_0xfb0bx2){this[_0x7bc7[2105]]=_0xfb0bx2};mxGraph[_0x7bc7[202]][_0x7bc7[2436]]=function(_0xfb0bx2){for(var _0xfb0bx3=[],_0xfb0bx4=0;_0xfb0bx4<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx4++){var _0xfb0bx5=_0xfb0bx2[_0xfb0bx4];if(_0xfb0bx5[_0x7bc7[196]]!=mxRootChange){var _0xfb0bx9=null;_0xfb0bx5 instanceof mxChildChange&&null==_0xfb0bx5[_0x7bc7[257]]?_0xfb0bx9=_0xfb0bx5[_0x7bc7[247]]:null!=_0xfb0bx5[_0x7bc7[246]]&&_0xfb0bx5[_0x7bc7[246]] instanceof mxCell&&(_0xfb0bx9=_0xfb0bx5[_0x7bc7[246]]);null!=_0xfb0bx9&&0>mxUtils[_0x7bc7[2]](_0xfb0bx3,_0xfb0bx9)&&_0xfb0bx3[_0x7bc7[207]](_0xfb0bx9)}};return this[_0x7bc7[502]]()[_0x7bc7[1964]](_0xfb0bx3)};mxGraph[_0x7bc7[202]][_0x7bc7[1177]]=function(_0xfb0bx2){for(var _0xfb0bx3=0;_0xfb0bx3<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx3++){this[_0x7bc7[2437]](_0xfb0bx2[_0xfb0bx3])};this[_0x7bc7[2439]](this[_0x7bc7[2438]](_0xfb0bx2));this[_0x7bc7[441]][_0x7bc7[2264]]();this[_0x7bc7[2258]]()};mxGraph[_0x7bc7[202]][_0x7bc7[2438]]=function(_0xfb0bx2){for(var _0xfb0bx3=[],_0xfb0bx4=0;_0xfb0bx4<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx4++){var _0xfb0bx5=_0xfb0bx2[_0xfb0bx4];if(_0xfb0bx5 instanceof mxRootChange){break}else {_0xfb0bx5 instanceof mxChildChange?null!=_0xfb0bx5[_0x7bc7[257]]&&null==_0xfb0bx5[_0x7bc7[1101]]&&(_0xfb0bx3=_0xfb0bx3[_0x7bc7[1919]](this[_0x7bc7[251]][_0x7bc7[1939]](_0xfb0bx5[_0x7bc7[247]]))):_0xfb0bx5 instanceof mxVisibleChange&&(_0xfb0bx3=_0xfb0bx3[_0x7bc7[1919]](this[_0x7bc7[251]][_0x7bc7[1939]](_0xfb0bx5[_0x7bc7[246]])))}};return _0xfb0bx3};mxGraph[_0x7bc7[202]][_0x7bc7[2437]]=function(_0xfb0bx2){if(_0xfb0bx2 instanceof mxRootChange){this[_0x7bc7[2440]](),this[_0x7bc7[2441]](_0xfb0bx2[_0x7bc7[257]]),this[_0x7bc7[2398]]&&(this[_0x7bc7[441]][_0x7bc7[255]]=1,this[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[235]]=0,this[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[236]]=0),this[_0x7bc7[746]]( new mxEventObject(mxEvent.ROOT))}else {if(_0xfb0bx2 instanceof mxChildChange){var _0xfb0bx3=this[_0x7bc7[251]][_0x7bc7[1197]](_0xfb0bx2[_0x7bc7[247]]);null!=_0xfb0bx3?this[_0x7bc7[441]][_0x7bc7[2263]](_0xfb0bx2[_0x7bc7[247]],!0,!1,null!=_0xfb0bx2[_0x7bc7[257]]):(this[_0x7bc7[2441]](_0xfb0bx2[_0x7bc7[247]]),this[_0x7bc7[441]][_0x7bc7[1741]]==_0xfb0bx2[_0x7bc7[247]]&&this[_0x7bc7[2442]]());_0xfb0bx3!=_0xfb0bx2[_0x7bc7[257]]&&(null!=_0xfb0bx3&&this[_0x7bc7[441]][_0x7bc7[2263]](_0xfb0bx3,!1,!1),null!=_0xfb0bx2[_0x7bc7[257]]&&this[_0x7bc7[441]][_0x7bc7[2263]](_0xfb0bx2[_0x7bc7[257]],!1,!1))}else {_0xfb0bx2 instanceof mxTerminalChange||_0xfb0bx2 instanceof mxGeometryChange?this[_0x7bc7[441]][_0x7bc7[2263]](_0xfb0bx2[_0x7bc7[246]]):_0xfb0bx2 instanceof mxValueChange?this[_0x7bc7[441]][_0x7bc7[2263]](_0xfb0bx2[_0x7bc7[246]],!1,!1):_0xfb0bx2 instanceof mxStyleChange?(this[_0x7bc7[441]][_0x7bc7[2263]](_0xfb0bx2[_0x7bc7[246]],!0,!0,!1),this[_0x7bc7[441]][_0x7bc7[2265]](_0xfb0bx2[_0x7bc7[246]])):null!=_0xfb0bx2[_0x7bc7[246]]&&_0xfb0bx2[_0x7bc7[246]] instanceof mxCell&&this[_0x7bc7[2441]](_0xfb0bx2[_0x7bc7[246]])}}};mxGraph[_0x7bc7[202]][_0x7bc7[2441]]=function(_0xfb0bx2){for(var _0xfb0bx3=this[_0x7bc7[251]][_0x7bc7[262]](_0xfb0bx2),_0xfb0bx4=0;_0xfb0bx4<_0xfb0bx3;_0xfb0bx4++){this[_0x7bc7[2441]](this[_0x7bc7[251]][_0x7bc7[263]](_0xfb0bx2,_0xfb0bx4))};this[_0x7bc7[441]][_0x7bc7[2265]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2443]]=function(_0xfb0bx2,_0xfb0bx3){null==_0xfb0bx2[_0x7bc7[1211]]&&(_0xfb0bx2[_0x7bc7[1211]]=[]);_0xfb0bx2[_0x7bc7[1211]][_0x7bc7[207]](_0xfb0bx3);var _0xfb0bx4=this[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx2);null!=_0xfb0bx4&&this[_0x7bc7[259]][_0x7bc7[258]](_0xfb0bx4);this[_0x7bc7[746]]( new mxEventObject(mxEvent.ADD_OVERLAY,_0x7bc7[246],_0xfb0bx2,_0x7bc7[2201],_0xfb0bx3));return _0xfb0bx3};mxGraph[_0x7bc7[202]][_0x7bc7[2200]]=function(_0xfb0bx2){return _0xfb0bx2[_0x7bc7[1211]]};mxGraph[_0x7bc7[202]][_0x7bc7[2444]]=function(_0xfb0bx2,_0xfb0bx3){if(null==_0xfb0bx3){this[_0x7bc7[2445]](_0xfb0bx2)}else {var _0xfb0bx4=mxUtils[_0x7bc7[2]](_0xfb0bx2[_0x7bc7[1211]],_0xfb0bx3);0<=_0xfb0bx4?(_0xfb0bx2[_0x7bc7[1211]][_0x7bc7[300]](_0xfb0bx4,1),0==_0xfb0bx2[_0x7bc7[1211]][_0x7bc7[67]]&&(_0xfb0bx2[_0x7bc7[1211]]=null),_0xfb0bx4=this[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx2),null!=_0xfb0bx4&&this[_0x7bc7[259]][_0x7bc7[258]](_0xfb0bx4),this[_0x7bc7[746]]( new mxEventObject(mxEvent.REMOVE_OVERLAY,_0x7bc7[246],_0xfb0bx2,_0x7bc7[2201],_0xfb0bx3))):_0xfb0bx3=null};return _0xfb0bx3};mxGraph[_0x7bc7[202]][_0x7bc7[2445]]=function(_0xfb0bx2){var _0xfb0bx3=_0xfb0bx2[_0x7bc7[1211]];if(null!=_0xfb0bx3){_0xfb0bx2[_0x7bc7[1211]]=null;var _0xfb0bx4=this[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx2);null!=_0xfb0bx4&&this[_0x7bc7[259]][_0x7bc7[258]](_0xfb0bx4);for(_0xfb0bx4=0;_0xfb0bx4<_0xfb0bx3[_0x7bc7[67]];_0xfb0bx4++){this[_0x7bc7[746]]( new mxEventObject(mxEvent.REMOVE_OVERLAY,_0x7bc7[246],_0xfb0bx2,_0x7bc7[2201],_0xfb0bx3[_0xfb0bx4]))}};return _0xfb0bx3};mxGraph[_0x7bc7[202]][_0x7bc7[2446]]=function(_0xfb0bx2){_0xfb0bx2=null!=_0xfb0bx2?_0xfb0bx2:this[_0x7bc7[251]][_0x7bc7[501]]();this[_0x7bc7[2445]](_0xfb0bx2);for(var _0xfb0bx3=this[_0x7bc7[251]][_0x7bc7[262]](_0xfb0bx2),_0xfb0bx4=0;_0xfb0bx4<_0xfb0bx3;_0xfb0bx4++){var _0xfb0bx5=this[_0x7bc7[251]][_0x7bc7[263]](_0xfb0bx2,_0xfb0bx4);this[_0x7bc7[2446]](_0xfb0bx5)}};mxGraph[_0x7bc7[202]][_0x7bc7[2447]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){if(null!=_0xfb0bx3&&0<_0xfb0bx3[_0x7bc7[67]]){return _0xfb0bx4=null!=_0xfb0bx4?_0xfb0bx4:this[_0x7bc7[2419]],_0xfb0bx3= new mxCellOverlay(_0xfb0bx4,_0x7bc7[2448]+_0xfb0bx3+_0x7bc7[2449]),_0xfb0bx5&&_0xfb0bx3[_0x7bc7[169]](mxEvent.CLICK,mxUtils[_0x7bc7[885]](this,function(_0xfb0bx3,_0xfb0bx4){this[_0x7bc7[994]]()&&this[_0x7bc7[2450]](_0xfb0bx2)})),this[_0x7bc7[2443]](_0xfb0bx2,_0xfb0bx3)};this[_0x7bc7[2445]](_0xfb0bx2);return null};mxGraph[_0x7bc7[202]][_0x7bc7[855]]=function(_0xfb0bx2){this[_0x7bc7[2451]](null,_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2451]]=function(_0xfb0bx2,_0xfb0bx3){null==_0xfb0bx2&&(_0xfb0bx2=this[_0x7bc7[2452]](),null!=_0xfb0bx2&&!this[_0x7bc7[2453]](_0xfb0bx2)&&(_0xfb0bx2=null));null!=_0xfb0bx2&&(this[_0x7bc7[746]]( new mxEventObject(mxEvent.START_EDITING,_0x7bc7[246],_0xfb0bx2,_0x7bc7[763],_0xfb0bx3)),this[_0x7bc7[2347]][_0x7bc7[855]](_0xfb0bx2,_0xfb0bx3))};mxGraph[_0x7bc7[202]][_0x7bc7[2142]]=function(_0xfb0bx2,_0xfb0bx3){return this[_0x7bc7[2454]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2126]]=function(_0xfb0bx2){this[_0x7bc7[2347]][_0x7bc7[2126]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[850]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){this[_0x7bc7[251]][_0x7bc7[473]]();try{this[_0x7bc7[2456]](_0xfb0bx2,_0xfb0bx3,this[_0x7bc7[2455]](_0xfb0bx2)),this[_0x7bc7[746]]( new mxEventObject(mxEvent.LABEL_CHANGED,_0x7bc7[246],_0xfb0bx2,_0x7bc7[131],_0xfb0bx3,_0x7bc7[763],_0xfb0bx4))}finally{this[_0x7bc7[251]][_0x7bc7[476]]()};return _0xfb0bx2};mxGraph[_0x7bc7[202]][_0x7bc7[2456]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){this[_0x7bc7[251]][_0x7bc7[473]]();try{this[_0x7bc7[251]][_0x7bc7[1966]](_0xfb0bx2,_0xfb0bx3),_0xfb0bx4&&this[_0x7bc7[2457]](_0xfb0bx2,!1)}finally{this[_0x7bc7[251]][_0x7bc7[476]]()}};mxGraph[_0x7bc7[202]][_0x7bc7[859]]=function(_0xfb0bx2){this[_0x7bc7[2126]](!0);this[_0x7bc7[2433]][_0x7bc7[862]]();this[_0x7bc7[1011]][_0x7bc7[862]]();_0xfb0bx2=this[_0x7bc7[897]]();for(var _0xfb0bx3=0;_0xfb0bx3<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx3++){var _0xfb0bx4=this[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx2[_0xfb0bx3]);null!=_0xfb0bx4&&null!=_0xfb0bx4[_0x7bc7[2458]]&&_0xfb0bx4[_0x7bc7[2458]][_0x7bc7[862]]()}};mxGraph[_0x7bc7[202]][_0x7bc7[173]]=function(_0xfb0bx2){var _0xfb0bx3=_0xfb0bx2[_0x7bc7[727]](),_0xfb0bx4=_0xfb0bx2[_0x7bc7[736]](),_0xfb0bx5= new mxEventObject(mxEvent.CLICK,_0x7bc7[763],_0xfb0bx3,_0x7bc7[246],_0xfb0bx4);_0xfb0bx2[_0x7bc7[721]]()&&_0xfb0bx5[_0x7bc7[722]]();this[_0x7bc7[746]](_0xfb0bx5);this[_0x7bc7[994]]()&&(!mxEvent[_0x7bc7[721]](_0xfb0bx3)&&!_0xfb0bx5[_0x7bc7[721]]())&&(null!=_0xfb0bx4?this[_0x7bc7[2459]](_0xfb0bx4,_0xfb0bx3):(_0xfb0bx4=null,this[_0x7bc7[2460]]()&&(_0xfb0bx4=this[_0x7bc7[2461]](_0xfb0bx2[_0x7bc7[734]](),_0xfb0bx2[_0x7bc7[735]]())),null!=_0xfb0bx4?this[_0x7bc7[2459]](_0xfb0bx4,_0xfb0bx3):this[_0x7bc7[2462]](_0xfb0bx3)||this[_0x7bc7[2440]]()))};mxGraph[_0x7bc7[202]][_0x7bc7[761]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4= new mxEventObject(mxEvent.DOUBLE_CLICK,_0x7bc7[763],_0xfb0bx2,_0x7bc7[246],_0xfb0bx3);this[_0x7bc7[746]](_0xfb0bx4);this[_0x7bc7[994]]()&&(!mxEvent[_0x7bc7[721]](_0xfb0bx2)&&!_0xfb0bx4[_0x7bc7[721]]()&&null!=_0xfb0bx3&&this[_0x7bc7[2453]](_0xfb0bx3))&&this[_0x7bc7[2451]](_0xfb0bx3,_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[1014]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){if(!this[_0x7bc7[2382]]&&(this[_0x7bc7[2384]]||mxUtils[_0x7bc7[1134]](this[_0x7bc7[526]]))){var _0xfb0bx9=this[_0x7bc7[526]];_0xfb0bx5=null!=_0xfb0bx5?_0xfb0bx5:20;if(_0xfb0bx2>=_0xfb0bx9[_0x7bc7[360]]&&_0xfb0bx3>=_0xfb0bx9[_0x7bc7[190]]&&_0xfb0bx2<=_0xfb0bx9[_0x7bc7[360]]+_0xfb0bx9[_0x7bc7[159]]&&_0xfb0bx3<=_0xfb0bx9[_0x7bc7[190]]+_0xfb0bx9[_0x7bc7[157]]){var _0xfb0bxa=_0xfb0bx9[_0x7bc7[360]]+_0xfb0bx9[_0x7bc7[159]]-_0xfb0bx2;if(_0xfb0bxa<_0xfb0bx5){if(_0xfb0bx2=_0xfb0bx9[_0x7bc7[360]],_0xfb0bx9[_0x7bc7[360]]+=_0xfb0bx5-_0xfb0bxa,_0xfb0bx4&&_0xfb0bx2==_0xfb0bx9[_0x7bc7[360]]){if(this[_0x7bc7[507]]==mxConstants[_0x7bc7[508]]){_0xfb0bx2=this[_0x7bc7[441]][_0x7bc7[2024]]()[_0x7bc7[538]];var _0xfb0bx12=this[_0x7bc7[526]][_0x7bc7[1526]]+_0xfb0bx5-_0xfb0bxa}else {_0xfb0bx12=Math[_0x7bc7[160]](_0xfb0bx9[_0x7bc7[159]],_0xfb0bx9[_0x7bc7[1526]])+_0xfb0bx5-_0xfb0bxa,_0xfb0bx2=this[_0x7bc7[441]][_0x7bc7[2051]]()};_0xfb0bx2[_0x7bc7[124]][_0x7bc7[117]]=_0xfb0bx12+_0x7bc7[168];_0xfb0bx9[_0x7bc7[360]]+=_0xfb0bx5-_0xfb0bxa}}else {_0xfb0bxa=_0xfb0bx2-_0xfb0bx9[_0x7bc7[360]],_0xfb0bxa<_0xfb0bx5&&(_0xfb0bx9[_0x7bc7[360]]-=_0xfb0bx5-_0xfb0bxa)};_0xfb0bxa=_0xfb0bx9[_0x7bc7[190]]+_0xfb0bx9[_0x7bc7[157]]-_0xfb0bx3;_0xfb0bxa<_0xfb0bx5?(_0xfb0bx2=_0xfb0bx9[_0x7bc7[190]],_0xfb0bx9[_0x7bc7[190]]+=_0xfb0bx5-_0xfb0bxa,_0xfb0bx2==_0xfb0bx9[_0x7bc7[190]]&&_0xfb0bx4&&(this[_0x7bc7[507]]==mxConstants[_0x7bc7[508]]?(_0xfb0bx2=this[_0x7bc7[441]][_0x7bc7[2024]]()[_0x7bc7[538]],_0xfb0bx3=this[_0x7bc7[526]][_0x7bc7[191]]+_0xfb0bx5-_0xfb0bxa):(_0xfb0bx3=Math[_0x7bc7[160]](_0xfb0bx9[_0x7bc7[157]],_0xfb0bx9[_0x7bc7[191]])+_0xfb0bx5-_0xfb0bxa,_0xfb0bx2=this[_0x7bc7[441]][_0x7bc7[2051]]()),_0xfb0bx2[_0x7bc7[124]][_0x7bc7[119]]=_0xfb0bx3+_0x7bc7[168],_0xfb0bx9[_0x7bc7[190]]+=_0xfb0bx5-_0xfb0bxa)):(_0xfb0bxa=_0xfb0bx3-_0xfb0bx9[_0x7bc7[190]],_0xfb0bxa<_0xfb0bx5&&(_0xfb0bx9[_0x7bc7[190]]-=_0xfb0bx5-_0xfb0bxa))}}else {this[_0x7bc7[2383]]&&!this[_0x7bc7[2316]][_0x7bc7[1124]]&&(null==this[_0x7bc7[2463]]&&(this[_0x7bc7[2463]]=this[_0x7bc7[2464]]()),this[_0x7bc7[2463]][_0x7bc7[1143]](_0xfb0bx2+this[_0x7bc7[1136]],_0xfb0bx3+this[_0x7bc7[1137]]))}};mxGraph[_0x7bc7[202]][_0x7bc7[2464]]=function(){return new mxPanningManager(this)};mxGraph[_0x7bc7[202]][_0x7bc7[2465]]=function(){function _0xfb0bx2(_0xfb0bx2){var _0xfb0bx3=0,_0xfb0bx3=_0x7bc7[2466]==_0xfb0bx2?2:_0x7bc7[2467]==_0xfb0bx2?4:_0x7bc7[2468]==_0xfb0bx2?6:parseInt(_0xfb0bx2);isNaN(_0xfb0bx3)&&(_0xfb0bx3=0);return _0xfb0bx3}var _0xfb0bx3=mxUtils[_0x7bc7[275]](this[_0x7bc7[526]]),_0xfb0bx4= new mxRectangle;_0xfb0bx4[_0x7bc7[235]]=_0xfb0bx2(_0xfb0bx3[_0x7bc7[2469]])+parseInt(_0xfb0bx3[_0x7bc7[357]]||0);_0xfb0bx4[_0x7bc7[236]]=_0xfb0bx2(_0xfb0bx3[_0x7bc7[2470]])+parseInt(_0xfb0bx3[_0x7bc7[2471]]||0);_0xfb0bx4[_0x7bc7[117]]=_0xfb0bx2(_0xfb0bx3[_0x7bc7[2472]])+parseInt(_0xfb0bx3[_0x7bc7[1164]]||0);_0xfb0bx4[_0x7bc7[119]]=_0xfb0bx2(_0xfb0bx3[_0x7bc7[2473]])+parseInt(_0xfb0bx3[_0x7bc7[2474]]||0);return _0xfb0bx4};mxGraph[_0x7bc7[202]][_0x7bc7[2475]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx2=this[_0x7bc7[441]][_0x7bc7[255]];var _0xfb0bx5=this[_0x7bc7[441]][_0x7bc7[513]],_0xfb0bx9=this[_0x7bc7[2013]],_0xfb0bxa=_0xfb0bx2*this[_0x7bc7[2012]],_0xfb0bx9= new mxRectangle(0,0,_0xfb0bx9[_0x7bc7[117]]*_0xfb0bxa,_0xfb0bx9[_0x7bc7[119]]*_0xfb0bxa);_0xfb0bx3=this[_0x7bc7[2357]]?Math[_0x7bc7[430]](_0xfb0bx3/_0xfb0bx9[_0x7bc7[117]]):1;_0xfb0bx4=this[_0x7bc7[2357]]?Math[_0x7bc7[430]](_0xfb0bx4/_0xfb0bx9[_0x7bc7[119]]):1;return new mxRectangle(0,0,_0xfb0bx3*_0xfb0bx9[_0x7bc7[117]]+2+_0xfb0bx5[_0x7bc7[235]]/_0xfb0bx2,_0xfb0bx4*_0xfb0bx9[_0x7bc7[119]]+2+_0xfb0bx5[_0x7bc7[236]]/_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2258]]=function(){var _0xfb0bx2=this[_0x7bc7[517]]();if(null!=this[_0x7bc7[526]]){var _0xfb0bx3=this[_0x7bc7[2476]](),_0xfb0bx4=Math[_0x7bc7[160]](0,_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx2[_0x7bc7[117]]+1+_0xfb0bx3),_0xfb0bx3=Math[_0x7bc7[160]](0,_0xfb0bx2[_0x7bc7[236]]+_0xfb0bx2[_0x7bc7[119]]+1+_0xfb0bx3);null!=this[_0x7bc7[2387]]&&(_0xfb0bx4=Math[_0x7bc7[160]](_0xfb0bx4,this[_0x7bc7[2387]][_0x7bc7[117]]),_0xfb0bx3=Math[_0x7bc7[160]](_0xfb0bx3,this[_0x7bc7[2387]][_0x7bc7[119]]));this[_0x7bc7[2389]]&&this[_0x7bc7[2477]](_0xfb0bx4,_0xfb0bx3);if(this[_0x7bc7[2361]]||!mxClient[_0x7bc7[80]]&&this[_0x7bc7[2273]]){var _0xfb0bx5=this[_0x7bc7[2475]](_0xfb0bx2,_0xfb0bx4,_0xfb0bx3);null!=_0xfb0bx5&&(_0xfb0bx4=_0xfb0bx5[_0x7bc7[117]],_0xfb0bx3=_0xfb0bx5[_0x7bc7[119]])};null!=this[_0x7bc7[2386]]&&(_0xfb0bx4=Math[_0x7bc7[160]](_0xfb0bx4,this[_0x7bc7[2386]][_0x7bc7[117]]*this[_0x7bc7[441]][_0x7bc7[255]]),_0xfb0bx3=Math[_0x7bc7[160]](_0xfb0bx3,this[_0x7bc7[2386]][_0x7bc7[119]]*this[_0x7bc7[441]][_0x7bc7[255]]));_0xfb0bx4=Math[_0x7bc7[430]](_0xfb0bx4-1);_0xfb0bx3=Math[_0x7bc7[430]](_0xfb0bx3-1);this[_0x7bc7[507]]==mxConstants[_0x7bc7[508]]?(_0xfb0bx5=this[_0x7bc7[441]][_0x7bc7[2024]]()[_0x7bc7[538]],_0xfb0bx5[_0x7bc7[124]][_0x7bc7[2478]]=Math[_0x7bc7[160]](1,_0xfb0bx4)+_0x7bc7[168],_0xfb0bx5[_0x7bc7[124]][_0x7bc7[2479]]=Math[_0x7bc7[160]](1,_0xfb0bx3)+_0x7bc7[168],_0xfb0bx5[_0x7bc7[124]][_0x7bc7[117]]=_0x7bc7[118],_0xfb0bx5[_0x7bc7[124]][_0x7bc7[119]]=_0x7bc7[118]):mxClient[_0x7bc7[496]]?this[_0x7bc7[441]][_0x7bc7[2320]](Math[_0x7bc7[160]](1,_0xfb0bx4),Math[_0x7bc7[160]](1,_0xfb0bx3)):(this[_0x7bc7[441]][_0x7bc7[510]][_0x7bc7[124]][_0x7bc7[2478]]=Math[_0x7bc7[160]](1,_0xfb0bx4)+_0x7bc7[168],this[_0x7bc7[441]][_0x7bc7[510]][_0x7bc7[124]][_0x7bc7[2479]]=Math[_0x7bc7[160]](1,_0xfb0bx3)+_0x7bc7[168]);this[_0x7bc7[2480]](this[_0x7bc7[2357]],_0xfb0bx4-1,_0xfb0bx3-1)};this[_0x7bc7[746]]( new mxEventObject(mxEvent.SIZE,_0x7bc7[1562],_0xfb0bx2))};mxGraph[_0x7bc7[202]][_0x7bc7[2477]]=function(_0xfb0bx2,_0xfb0bx3){if(mxClient[_0x7bc7[80]]){if(mxClient[_0x7bc7[496]]){var _0xfb0bx4=this[_0x7bc7[2465]]();_0xfb0bx2+=Math[_0x7bc7[160]](2,_0xfb0bx4[_0x7bc7[235]]+_0xfb0bx4[_0x7bc7[117]]+1);_0xfb0bx3+=Math[_0x7bc7[160]](2,_0xfb0bx4[_0x7bc7[236]]+_0xfb0bx4[_0x7bc7[119]]+1)}else {9<=document[_0x7bc7[5]]?(_0xfb0bx2+=3,_0xfb0bx3+=5):(_0xfb0bx2+=1,_0xfb0bx3+=1)}}else {_0xfb0bx3+=1};null!=this[_0x7bc7[2388]]&&(_0xfb0bx2=Math[_0x7bc7[243]](this[_0x7bc7[2388]][_0x7bc7[117]],_0xfb0bx2),_0xfb0bx3=Math[_0x7bc7[243]](this[_0x7bc7[2388]][_0x7bc7[119]],_0xfb0bx3));this[_0x7bc7[526]][_0x7bc7[124]][_0x7bc7[117]]=Math[_0x7bc7[430]](_0xfb0bx2)+_0x7bc7[168];this[_0x7bc7[526]][_0x7bc7[124]][_0x7bc7[119]]=Math[_0x7bc7[430]](_0xfb0bx3)+_0x7bc7[168]};mxGraph[_0x7bc7[202]][_0x7bc7[2480]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=this[_0x7bc7[441]][_0x7bc7[255]],_0xfb0bx9=this[_0x7bc7[441]][_0x7bc7[513]],_0xfb0bxa=this[_0x7bc7[2013]],_0xfb0bx12=_0xfb0bx5*this[_0x7bc7[2012]],_0xfb0bx9= new mxRectangle(_0xfb0bx5*_0xfb0bx9[_0x7bc7[235]],_0xfb0bx5*_0xfb0bx9[_0x7bc7[236]],_0xfb0bxa[_0x7bc7[117]]*_0xfb0bx12,_0xfb0bxa[_0x7bc7[119]]*_0xfb0bx12);_0xfb0bx2=_0xfb0bx2&&Math[_0x7bc7[243]](_0xfb0bx9[_0x7bc7[117]],_0xfb0bx9[_0x7bc7[119]])>this[_0x7bc7[2360]];_0xfb0bx9[_0x7bc7[235]]=mxUtils[_0x7bc7[1454]](_0xfb0bx9[_0x7bc7[235]],_0xfb0bx9[_0x7bc7[117]]);_0xfb0bx9[_0x7bc7[236]]=mxUtils[_0x7bc7[1454]](_0xfb0bx9[_0x7bc7[236]],_0xfb0bx9[_0x7bc7[119]]);_0xfb0bxa=_0xfb0bx2?Math[_0x7bc7[430]]((_0xfb0bx3-_0xfb0bx9[_0x7bc7[235]])/_0xfb0bx9[_0x7bc7[117]]):0;_0xfb0bx2=_0xfb0bx2?Math[_0x7bc7[430]]((_0xfb0bx4-_0xfb0bx9[_0x7bc7[236]])/_0xfb0bx9[_0x7bc7[119]]):0;null==this[_0x7bc7[2481]]&&0<_0xfb0bxa&&(this[_0x7bc7[2481]]=[]);if(null!=this[_0x7bc7[2481]]){for(_0xfb0bx12=0;_0xfb0bx12<=_0xfb0bxa;_0xfb0bx12++){var _0xfb0bx13=[ new mxPoint(_0xfb0bx9[_0x7bc7[235]]+_0xfb0bx12*_0xfb0bx9[_0x7bc7[117]],1), new mxPoint(_0xfb0bx9[_0x7bc7[235]]+_0xfb0bx12*_0xfb0bx9[_0x7bc7[117]],_0xfb0bx4)];null!=this[_0x7bc7[2481]][_0xfb0bx12]?(this[_0x7bc7[2481]][_0xfb0bx12][_0x7bc7[255]]=1,this[_0x7bc7[2481]][_0xfb0bx12][_0x7bc7[1525]]=_0xfb0bx13,this[_0x7bc7[2481]][_0xfb0bx12][_0x7bc7[258]]()):(_0xfb0bx13= new mxPolyline(_0xfb0bx13,this[_0x7bc7[2358]],this[_0x7bc7[255]]),_0xfb0bx13[_0x7bc7[507]]=this[_0x7bc7[507]],_0xfb0bx13[_0x7bc7[1522]]=this[_0x7bc7[2359]],_0xfb0bx13[_0x7bc7[255]]=_0xfb0bx5,_0xfb0bx13[_0x7bc7[176]](this[_0x7bc7[441]][_0x7bc7[2053]]),_0xfb0bx13[_0x7bc7[258]](),this[_0x7bc7[2481]][_0xfb0bx12]=_0xfb0bx13)};for(_0xfb0bx12=_0xfb0bxa;_0xfb0bx12this[_0x7bc7[251]][_0x7bc7[262]](_0xfb0bx3)&&_0xfb0bx4--;this[_0x7bc7[251]][_0x7bc7[99]](_0xfb0bx3,_0xfb0bx2[_0xfb0bx13],_0xfb0bx4+_0xfb0bx13);this[_0x7bc7[2506]]()&&this[_0x7bc7[2507]](_0xfb0bx2[_0xfb0bx13])&&this[_0x7bc7[2508]](_0xfb0bx2[_0xfb0bx13]);(null==_0xfb0bx12||_0xfb0bx12)&&this[_0x7bc7[2509]](_0xfb0bx2[_0xfb0bx13]);null!=_0xfb0bx5&&this[_0x7bc7[852]](_0xfb0bx2[_0xfb0bx13],_0xfb0bx5,!0);null!=_0xfb0bx9&&this[_0x7bc7[852]](_0xfb0bx2[_0xfb0bx13],_0xfb0bx9,!1)}};this[_0x7bc7[746]]( new mxEventObject(mxEvent.CELLS_ADDED,_0x7bc7[895],_0xfb0bx2,_0x7bc7[1101],_0xfb0bx3,_0x7bc7[1983],_0xfb0bx4,_0x7bc7[1097],_0xfb0bx5,_0x7bc7[772],_0xfb0bx9,_0x7bc7[492],_0xfb0bxa))}finally{this[_0x7bc7[251]][_0x7bc7[476]]()}}};mxGraph[_0x7bc7[202]][_0x7bc7[842]]=function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx3=null!=_0xfb0bx3?_0xfb0bx3:!0;null==_0xfb0bx2&&(_0xfb0bx2=this[_0x7bc7[2510]](this[_0x7bc7[897]]()));_0xfb0bx3&&(_0xfb0bx2=this[_0x7bc7[2510]](this[_0x7bc7[2499]](_0xfb0bx2)));this[_0x7bc7[251]][_0x7bc7[473]]();try{this[_0x7bc7[843]](_0xfb0bx2),this[_0x7bc7[746]]( new mxEventObject(mxEvent.REMOVE_CELLS,_0x7bc7[895],_0xfb0bx2,_0x7bc7[2511],_0xfb0bx3))}finally{this[_0x7bc7[251]][_0x7bc7[476]]()};return _0xfb0bx2};mxGraph[_0x7bc7[202]][_0x7bc7[843]]=function(_0xfb0bx2){if(null!=_0xfb0bx2&&0<_0xfb0bx2[_0x7bc7[67]]){var _0xfb0bx3=this[_0x7bc7[441]][_0x7bc7[255]],_0xfb0bx4=this[_0x7bc7[441]][_0x7bc7[513]];this[_0x7bc7[251]][_0x7bc7[473]]();try{for(var _0xfb0bx5={},_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx9++){var _0xfb0bxa=mxCellPath[_0x7bc7[385]](_0xfb0bx2[_0xfb0bx9]);_0xfb0bx5[_0xfb0bxa]=_0xfb0bx2[_0xfb0bx9]};for(_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx9++){for(var _0xfb0bx12=this[_0x7bc7[1756]](_0xfb0bx2[_0xfb0bx9]),_0xfb0bx13=0;_0xfb0bx13<_0xfb0bx12[_0x7bc7[67]];_0xfb0bx13++){if(_0xfb0bxa=mxCellPath[_0x7bc7[385]](_0xfb0bx12[_0xfb0bx13]),null==_0xfb0bx5[_0xfb0bxa]){var _0xfb0bx14=this[_0x7bc7[251]][_0x7bc7[1721]](_0xfb0bx12[_0xfb0bx13]);if(null!=_0xfb0bx14){var _0xfb0bx15=this[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx12[_0xfb0bx13]);if(null!=_0xfb0bx15){var _0xfb0bx14=_0xfb0bx14[_0x7bc7[238]](),_0xfb0bx16=_0xfb0bx15[_0x7bc7[1710]](!0)==_0xfb0bx2[_0xfb0bx9],_0xfb0bx17=_0xfb0bx15[_0x7bc7[439]],_0xfb0bx18=_0xfb0bx16?0:_0xfb0bx17[_0x7bc7[67]]-1;_0xfb0bx14[_0x7bc7[2007]]( new mxPoint(_0xfb0bx17[_0xfb0bx18][_0x7bc7[235]]/_0xfb0bx3-_0xfb0bx4[_0x7bc7[235]],_0xfb0bx17[_0xfb0bx18][_0x7bc7[236]]/_0xfb0bx3-_0xfb0bx4[_0x7bc7[236]]),_0xfb0bx16);this[_0x7bc7[251]][_0x7bc7[1957]](_0xfb0bx12[_0xfb0bx13],null,_0xfb0bx16);this[_0x7bc7[251]][_0x7bc7[1724]](_0xfb0bx12[_0xfb0bx13],_0xfb0bx14)}}}};this[_0x7bc7[251]][_0x7bc7[205]](_0xfb0bx2[_0xfb0bx9])};this[_0x7bc7[746]]( new mxEventObject(mxEvent.CELLS_REMOVED,_0x7bc7[895],_0xfb0bx2))}finally{this[_0x7bc7[251]][_0x7bc7[476]]()}}};mxGraph[_0x7bc7[202]][_0x7bc7[853]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0xfb0bx5=_0xfb0bx5||0;_0xfb0bx9=_0xfb0bx9||0;null==_0xfb0bx4&&(_0xfb0bx4=this[_0x7bc7[899]]([_0xfb0bx2])[0]);var _0xfb0bxa=this[_0x7bc7[251]][_0x7bc7[1197]](_0xfb0bx2),_0xfb0bx12=this[_0x7bc7[251]][_0x7bc7[1709]](_0xfb0bx2,!0);this[_0x7bc7[251]][_0x7bc7[473]]();try{this[_0x7bc7[835]](_0xfb0bx3,_0xfb0bx5,_0xfb0bx9,!1,!1),this[_0x7bc7[833]](_0xfb0bx3,_0xfb0bxa,this[_0x7bc7[251]][_0x7bc7[262]](_0xfb0bxa),null,null,!0),this[_0x7bc7[833]]([_0xfb0bx4],_0xfb0bxa,this[_0x7bc7[251]][_0x7bc7[262]](_0xfb0bxa),_0xfb0bx12,_0xfb0bx3[0],!1),this[_0x7bc7[852]](_0xfb0bx2,_0xfb0bx3[0],!0),this[_0x7bc7[746]]( new mxEventObject(mxEvent.SPLIT_EDGE,_0x7bc7[1989],_0xfb0bx2,_0x7bc7[895],_0xfb0bx3,_0x7bc7[2512],_0xfb0bx4,_0x7bc7[1126],_0xfb0bx5,_0x7bc7[1125],_0xfb0bx9))}finally{this[_0x7bc7[251]][_0x7bc7[476]]()};return _0xfb0bx4};mxGraph[_0x7bc7[202]][_0x7bc7[838]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){null==_0xfb0bx3&&(_0xfb0bx3=this[_0x7bc7[897]]());_0xfb0bx4&&(_0xfb0bx3=this[_0x7bc7[2499]](_0xfb0bx3));this[_0x7bc7[251]][_0x7bc7[473]]();try{this[_0x7bc7[839]](_0xfb0bx3,_0xfb0bx2),this[_0x7bc7[746]]( new mxEventObject(mxEvent.TOGGLE_CELLS,_0x7bc7[539],_0xfb0bx2,_0x7bc7[895],_0xfb0bx3,_0x7bc7[2511],_0xfb0bx4))}finally{this[_0x7bc7[251]][_0x7bc7[476]]()};return _0xfb0bx3};mxGraph[_0x7bc7[202]][_0x7bc7[839]]=function(_0xfb0bx2,_0xfb0bx3){if(null!=_0xfb0bx2&&0<_0xfb0bx2[_0x7bc7[67]]){this[_0x7bc7[251]][_0x7bc7[473]]();try{for(var _0xfb0bx4=0;_0xfb0bx4<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx4++){this[_0x7bc7[251]][_0x7bc7[175]](_0xfb0bx2[_0xfb0bx4],_0xfb0bx3)}}finally{this[_0x7bc7[251]][_0x7bc7[476]]()}}};mxGraph[_0x7bc7[202]][_0x7bc7[847]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){_0xfb0bx3=null!=_0xfb0bx3?_0xfb0bx3:!1;null==_0xfb0bx4&&(_0xfb0bx4=this[_0x7bc7[2513]](this[_0x7bc7[897]](),_0xfb0bx2));this[_0x7bc7[2126]](!1);this[_0x7bc7[251]][_0x7bc7[473]]();try{this[_0x7bc7[848]](_0xfb0bx4,_0xfb0bx2,_0xfb0bx3,_0xfb0bx5),this[_0x7bc7[746]]( new mxEventObject(mxEvent.FOLD_CELLS,_0x7bc7[2514],_0xfb0bx2,_0x7bc7[2515],_0xfb0bx3,_0x7bc7[895],_0xfb0bx4))}finally{this[_0x7bc7[251]][_0x7bc7[476]]()};return _0xfb0bx4};mxGraph[_0x7bc7[202]][_0x7bc7[848]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){if(null!=_0xfb0bx2&&0<_0xfb0bx2[_0x7bc7[67]]){this[_0x7bc7[251]][_0x7bc7[473]]();try{for(var _0xfb0bx9=0;_0xfb0bx9<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx9++){if((!_0xfb0bx5||this[_0x7bc7[2516]](_0xfb0bx2[_0xfb0bx9],_0xfb0bx3))&&_0xfb0bx3!=this[_0x7bc7[1742]](_0xfb0bx2[_0xfb0bx9])){if(this[_0x7bc7[251]][_0x7bc7[1972]](_0xfb0bx2[_0xfb0bx9],_0xfb0bx3),this[_0x7bc7[2517]](_0xfb0bx2[_0xfb0bx9],_0xfb0bx3),this[_0x7bc7[2507]](_0xfb0bx2[_0xfb0bx9])&&this[_0x7bc7[2508]](_0xfb0bx2[_0xfb0bx9]),_0xfb0bx4){var _0xfb0bxa=this[_0x7bc7[251]][_0x7bc7[1953]](_0xfb0bx2[_0xfb0bx9]);this[_0x7bc7[847]](_0xfb0bxa,_0xfb0bx3,_0xfb0bx4)}}};this[_0x7bc7[746]]( new mxEventObject(mxEvent.CELLS_FOLDED,_0x7bc7[895],_0xfb0bx2,_0x7bc7[2514],_0xfb0bx3,_0x7bc7[2515],_0xfb0bx4))}finally{this[_0x7bc7[251]][_0x7bc7[476]]()}}};mxGraph[_0x7bc7[202]][_0x7bc7[2517]]=function(_0xfb0bx2,_0xfb0bx3){if(null!=_0xfb0bx2){var _0xfb0bx4=this[_0x7bc7[251]][_0x7bc7[1721]](_0xfb0bx2);null!=_0xfb0bx4&&(_0xfb0bx4=_0xfb0bx4[_0x7bc7[238]](),this[_0x7bc7[2518]](_0xfb0bx2,_0xfb0bx4,_0xfb0bx3),_0xfb0bx4[_0x7bc7[2005]](),this[_0x7bc7[251]][_0x7bc7[1724]](_0xfb0bx2,_0xfb0bx4))}};mxGraph[_0x7bc7[202]][_0x7bc7[2518]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){if(null!=_0xfb0bx2&&null!=_0xfb0bx3){_0xfb0bx4=this[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx2);_0xfb0bx4=null!=_0xfb0bx4?_0xfb0bx4[_0x7bc7[124]]:this[_0x7bc7[1705]](_0xfb0bx2);if(null==_0xfb0bx3[_0x7bc7[2002]]){var _0xfb0bx5=_0xfb0bx3;this[_0x7bc7[2394]]&&(_0xfb0bx2=this[_0x7bc7[2519]](_0xfb0bx2),null!=_0xfb0bx2&&(_0xfb0bx5=_0xfb0bx2,_0xfb0bx2=mxUtils[_0x7bc7[433]](_0xfb0bx4,mxConstants.STYLE_STARTSIZE),0<_0xfb0bx2&&(_0xfb0bx5[_0x7bc7[119]]=Math[_0x7bc7[160]](_0xfb0bx5[_0x7bc7[119]],_0xfb0bx2))));_0xfb0bx3[_0x7bc7[2002]]= new mxRectangle(0,0,_0xfb0bx5[_0x7bc7[117]],_0xfb0bx5[_0x7bc7[119]])};if(null!=_0xfb0bx3[_0x7bc7[2002]]){_0xfb0bx3[_0x7bc7[2002]][_0x7bc7[235]]=_0xfb0bx3[_0x7bc7[235]];_0xfb0bx3[_0x7bc7[2002]][_0x7bc7[236]]=_0xfb0bx3[_0x7bc7[236]];var _0xfb0bx9=mxUtils[_0x7bc7[431]](_0xfb0bx4[mxConstants[_0x7bc7[2278]]]||_0x7bc7[468]);0!=_0xfb0bx9&&(_0xfb0bx2=_0xfb0bx3[_0x7bc7[2002]][_0x7bc7[241]]()-_0xfb0bx3[_0x7bc7[241]](),_0xfb0bx4=_0xfb0bx3[_0x7bc7[2002]][_0x7bc7[242]]()-_0xfb0bx3[_0x7bc7[242]](),_0xfb0bx5=Math[_0x7bc7[426]](_0xfb0bx9),_0xfb0bx9=Math[_0x7bc7[427]](_0xfb0bx9),_0xfb0bx3[_0x7bc7[2002]][_0x7bc7[235]]+=_0xfb0bx5*_0xfb0bx2-_0xfb0bx9*_0xfb0bx4-_0xfb0bx2,_0xfb0bx3[_0x7bc7[2002]][_0x7bc7[236]]+=_0xfb0bx9*_0xfb0bx2+_0xfb0bx5*_0xfb0bx4-_0xfb0bx4)}}};mxGraph[_0x7bc7[202]][_0x7bc7[2499]]=function(_0xfb0bx2){var _0xfb0bx3=_0xfb0bx2[_0x7bc7[1853]]();return _0xfb0bx3=_0xfb0bx3[_0x7bc7[1919]](this[_0x7bc7[2520]](_0xfb0bx2))};mxGraph[_0x7bc7[202]][_0x7bc7[2520]]=function(_0xfb0bx2){var _0xfb0bx3=[];if(null!=_0xfb0bx2){for(var _0xfb0bx4=0;_0xfb0bx4<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx4++){for(var _0xfb0bx5=this[_0x7bc7[251]][_0x7bc7[1707]](_0xfb0bx2[_0xfb0bx4]),_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx5;_0xfb0bx9++){_0xfb0bx3[_0x7bc7[207]](this[_0x7bc7[251]][_0x7bc7[1708]](_0xfb0bx2[_0xfb0bx4],_0xfb0bx9))};_0xfb0bx5=this[_0x7bc7[251]][_0x7bc7[1953]](_0xfb0bx2[_0xfb0bx4]);_0xfb0bx3=_0xfb0bx3[_0x7bc7[1919]](this[_0x7bc7[2520]](_0xfb0bx5))}};return _0xfb0bx3};mxGraph[_0x7bc7[202]][_0x7bc7[858]]=function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx3=null!=_0xfb0bx3?_0xfb0bx3:!1;this[_0x7bc7[251]][_0x7bc7[473]]();try{this[_0x7bc7[2457]](_0xfb0bx2,_0xfb0bx3),this[_0x7bc7[746]]( new mxEventObject(mxEvent.UPDATE_CELL_SIZE,_0x7bc7[246],_0xfb0bx2,_0x7bc7[2521],_0xfb0bx3))}finally{this[_0x7bc7[251]][_0x7bc7[476]]()};return _0xfb0bx2};mxGraph[_0x7bc7[202]][_0x7bc7[2457]]=function(_0xfb0bx2,_0xfb0bx3){if(null!=_0xfb0bx2){this[_0x7bc7[251]][_0x7bc7[473]]();try{var _0xfb0bx4=this[_0x7bc7[2519]](_0xfb0bx2),_0xfb0bx5=this[_0x7bc7[251]][_0x7bc7[1721]](_0xfb0bx2);if(null!=_0xfb0bx4&&null!=_0xfb0bx5){var _0xfb0bx9=this[_0x7bc7[1742]](_0xfb0bx2),_0xfb0bx5=_0xfb0bx5[_0x7bc7[238]]();if(this[_0x7bc7[1730]](_0xfb0bx2)){var _0xfb0bxa=this[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx2),_0xfb0bx12=null!=_0xfb0bxa?_0xfb0bxa[_0x7bc7[124]]:this[_0x7bc7[1705]](_0xfb0bx2),_0xfb0bx13=this[_0x7bc7[251]][_0x7bc7[474]](_0xfb0bx2);null==_0xfb0bx13&&(_0xfb0bx13=_0x7bc7[110]);mxUtils[_0x7bc7[433]](_0xfb0bx12,mxConstants.STYLE_HORIZONTAL,!0)?(_0xfb0bx13=mxUtils[_0x7bc7[475]](_0xfb0bx13,mxConstants.STYLE_STARTSIZE,_0xfb0bx4[_0x7bc7[119]]+8),_0xfb0bx9&&(_0xfb0bx5[_0x7bc7[119]]=_0xfb0bx4[_0x7bc7[119]]+8),_0xfb0bx5[_0x7bc7[117]]=_0xfb0bx4[_0x7bc7[117]]):(_0xfb0bx13=mxUtils[_0x7bc7[475]](_0xfb0bx13,mxConstants.STYLE_STARTSIZE,_0xfb0bx4[_0x7bc7[117]]+8),_0xfb0bx9&&(_0xfb0bx5[_0x7bc7[117]]=_0xfb0bx4[_0x7bc7[117]]+8),_0xfb0bx5[_0x7bc7[119]]=_0xfb0bx4[_0x7bc7[119]]);this[_0x7bc7[251]][_0x7bc7[475]](_0xfb0bx2,_0xfb0bx13)}else {_0xfb0bx5[_0x7bc7[117]]=_0xfb0bx4[_0x7bc7[117]],_0xfb0bx5[_0x7bc7[119]]=_0xfb0bx4[_0x7bc7[119]]};if(!_0xfb0bx3&& !_0xfb0bx9){var _0xfb0bx14=this[_0x7bc7[441]][_0x7bc7[1799]](this[_0x7bc7[251]][_0x7bc7[1953]](_0xfb0bx2));if(null!=_0xfb0bx14){var _0xfb0bx15=this[_0x7bc7[441]][_0x7bc7[513]],_0xfb0bx16=this[_0x7bc7[441]][_0x7bc7[255]],_0xfb0bx17=(_0xfb0bx14[_0x7bc7[236]]+_0xfb0bx14[_0x7bc7[119]])/_0xfb0bx16-_0xfb0bx5[_0x7bc7[236]]-_0xfb0bx15[_0x7bc7[236]];_0xfb0bx5[_0x7bc7[117]]=Math[_0x7bc7[160]](_0xfb0bx5[_0x7bc7[117]],(_0xfb0bx14[_0x7bc7[235]]+_0xfb0bx14[_0x7bc7[117]])/_0xfb0bx16-_0xfb0bx5[_0x7bc7[235]]-_0xfb0bx15[_0x7bc7[235]]);_0xfb0bx5[_0x7bc7[119]]=Math[_0x7bc7[160]](_0xfb0bx5[_0x7bc7[119]],_0xfb0bx17)}};this[_0x7bc7[837]]([_0xfb0bx2],[_0xfb0bx5])}}finally{this[_0x7bc7[251]][_0x7bc7[476]]()}}};mxGraph[_0x7bc7[202]][_0x7bc7[2519]]=function(_0xfb0bx2){var _0xfb0bx3=null;if(null!=_0xfb0bx2){var _0xfb0bx4=this[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx2),_0xfb0bx5=null!=_0xfb0bx4?_0xfb0bx4[_0x7bc7[124]]:this[_0x7bc7[1705]](_0xfb0bx2);if(null!=_0xfb0bx5&&!this[_0x7bc7[251]][_0x7bc7[250]](_0xfb0bx2)){var _0xfb0bx9=_0xfb0bx5[mxConstants[_0x7bc7[2185]]]||mxConstants[_0x7bc7[483]],_0xfb0bxa=0,_0xfb0bx3=0;if((null!=this[_0x7bc7[1202]](_0xfb0bx4)||null!=_0xfb0bx5[mxConstants[_0x7bc7[2484]]])&&_0xfb0bx5[mxConstants[_0x7bc7[2059]]]==mxConstants[_0x7bc7[2522]]){_0xfb0bx5[mxConstants[_0x7bc7[2063]]]==mxConstants[_0x7bc7[481]]&&(_0xfb0bxa+=parseFloat(_0xfb0bx5[mxConstants[_0x7bc7[2523]]])||mxLabel[_0x7bc7[202]][_0x7bc7[1680]]),_0xfb0bx5[mxConstants[_0x7bc7[2064]]]!=mxConstants[_0x7bc7[479]]&&(_0xfb0bx3+=parseFloat(_0xfb0bx5[mxConstants[_0x7bc7[2524]]])||mxLabel[_0x7bc7[202]][_0x7bc7[1680]])};_0xfb0bxa+=2*(_0xfb0bx5[mxConstants[_0x7bc7[2143]]]||0);_0xfb0bxa+=_0xfb0bx5[mxConstants[_0x7bc7[2147]]]||0;_0xfb0bxa+=_0xfb0bx5[mxConstants[_0x7bc7[2145]]]||0;_0xfb0bx3+=2*(_0xfb0bx5[mxConstants[_0x7bc7[2143]]]||0);_0xfb0bx3+=_0xfb0bx5[mxConstants[_0x7bc7[2144]]]||0;_0xfb0bx3+=_0xfb0bx5[mxConstants[_0x7bc7[2146]]]||0;_0xfb0bx4=this[_0x7bc7[2205]](_0xfb0bx4);null!=_0xfb0bx4&&(_0xfb0bxa+=_0xfb0bx4[_0x7bc7[117]]+8);_0xfb0bx4=this[_0x7bc7[2183]](_0xfb0bx2);null!=_0xfb0bx4&&0<_0xfb0bx4[_0x7bc7[67]]?(this[_0x7bc7[2186]](_0xfb0bx2)||(_0xfb0bx4=_0xfb0bx4[_0x7bc7[230]](/\n/g,_0x7bc7[545])),_0xfb0bx9=mxUtils[_0x7bc7[2525]](_0xfb0bx4,_0xfb0bx9,_0xfb0bx5[mxConstants[_0x7bc7[2188]]]),_0xfb0bx2=_0xfb0bx9[_0x7bc7[117]]+_0xfb0bxa,_0xfb0bx3=_0xfb0bx9[_0x7bc7[119]]+_0xfb0bx3,mxUtils[_0x7bc7[433]](_0xfb0bx5,mxConstants.STYLE_HORIZONTAL,!0)||(_0xfb0bx5=_0xfb0bx3,_0xfb0bx3=_0xfb0bx2,_0xfb0bx2=_0xfb0bx5),this[_0x7bc7[991]]&&(_0xfb0bx2=this[_0x7bc7[1021]](_0xfb0bx2+this[_0x7bc7[1020]]/2),_0xfb0bx3=this[_0x7bc7[1021]](_0xfb0bx3+this[_0x7bc7[1020]]/2)),_0xfb0bx3= new mxRectangle(0,0,_0xfb0bx2,_0xfb0bx3)):(_0xfb0bx5=4*this[_0x7bc7[1020]],_0xfb0bx3= new mxRectangle(0,0,_0xfb0bx5,_0xfb0bx5))}};return _0xfb0bx3};mxGraph[_0x7bc7[202]][_0x7bc7[2216]]=function(_0xfb0bx2,_0xfb0bx3){if(0.2_0xfb0bx3?(_0xfb0bx3=_0xfb0bx2[_0x7bc7[119]]/_0xfb0bx3,_0xfb0bx4=(_0xfb0bx3-_0xfb0bx2[_0x7bc7[119]])/2,_0xfb0bx2[_0x7bc7[119]]=_0xfb0bx3,_0xfb0bx3=Math[_0x7bc7[243]](_0xfb0bx2[_0x7bc7[236]],_0xfb0bx4),_0xfb0bx2[_0x7bc7[236]]-=_0xfb0bx3,_0xfb0bx5=Math[_0x7bc7[243]](this[_0x7bc7[526]][_0x7bc7[191]],_0xfb0bx2[_0x7bc7[236]]+_0xfb0bx2[_0x7bc7[119]]),_0xfb0bx2[_0x7bc7[119]]=_0xfb0bx5-_0xfb0bx2[_0x7bc7[236]]):(_0xfb0bx3*=_0xfb0bx2[_0x7bc7[117]],_0xfb0bx4=(_0xfb0bx3-_0xfb0bx2[_0x7bc7[117]])/2,_0xfb0bx2[_0x7bc7[117]]=_0xfb0bx3,_0xfb0bx3=Math[_0x7bc7[243]](_0xfb0bx2[_0x7bc7[235]],_0xfb0bx4),_0xfb0bx2[_0x7bc7[235]]-=_0xfb0bx3,_0xfb0bx4=Math[_0x7bc7[243]](this[_0x7bc7[526]][_0x7bc7[1526]],_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx2[_0x7bc7[117]]),_0xfb0bx2[_0x7bc7[117]]=_0xfb0bx4-_0xfb0bx2[_0x7bc7[235]]);_0xfb0bx3=this[_0x7bc7[526]][_0x7bc7[159]]/_0xfb0bx2[_0x7bc7[117]];_0xfb0bx4=this[_0x7bc7[441]][_0x7bc7[255]]*_0xfb0bx3;mxUtils[_0x7bc7[1134]](this[_0x7bc7[526]])?(this[_0x7bc7[441]][_0x7bc7[2262]](_0xfb0bx4),this[_0x7bc7[526]][_0x7bc7[360]]=Math[_0x7bc7[488]](_0xfb0bx2[_0x7bc7[235]]*_0xfb0bx3),this[_0x7bc7[526]][_0x7bc7[190]]=Math[_0x7bc7[488]](_0xfb0bx2[_0x7bc7[236]]*_0xfb0bx3)):this[_0x7bc7[441]][_0x7bc7[829]](_0xfb0bx4,this[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[235]]-_0xfb0bx2[_0x7bc7[235]]/this[_0x7bc7[441]][_0x7bc7[255]],this[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[236]]-_0xfb0bx2[_0x7bc7[236]]/this[_0x7bc7[441]][_0x7bc7[255]])};mxGraph[_0x7bc7[202]][_0x7bc7[933]]=function(_0xfb0bx2,_0xfb0bx3){if(null!=this[_0x7bc7[526]]){_0xfb0bx2=null!=_0xfb0bx2?_0xfb0bx2:0;_0xfb0bx3=null!=_0xfb0bx3?_0xfb0bx3:!1;var _0xfb0bx4=this[_0x7bc7[526]][_0x7bc7[159]],_0xfb0bx5=this[_0x7bc7[526]][_0x7bc7[157]],_0xfb0bx9=this[_0x7bc7[441]][_0x7bc7[517]]();_0xfb0bx3&&(null!=_0xfb0bx9[_0x7bc7[235]]&&null!=_0xfb0bx9[_0x7bc7[236]])&&(_0xfb0bx9[_0x7bc7[117]]+=_0xfb0bx9[_0x7bc7[235]],_0xfb0bx9[_0x7bc7[119]]+=_0xfb0bx9[_0x7bc7[236]],_0xfb0bx9[_0x7bc7[235]]=0,_0xfb0bx9[_0x7bc7[236]]=0);var _0xfb0bxa=this[_0x7bc7[441]][_0x7bc7[255]],_0xfb0bx12=_0xfb0bx9[_0x7bc7[117]]/_0xfb0bxa,_0xfb0bx13=_0xfb0bx9[_0x7bc7[119]]/_0xfb0bxa;null!=this[_0x7bc7[1617]]&&(_0xfb0bx12=Math[_0x7bc7[160]](_0xfb0bx12,this[_0x7bc7[1617]][_0x7bc7[117]]-_0xfb0bx9[_0x7bc7[235]]/_0xfb0bxa),_0xfb0bx13=Math[_0x7bc7[160]](_0xfb0bx13,this[_0x7bc7[1617]][_0x7bc7[119]]-_0xfb0bx9[_0x7bc7[236]]/_0xfb0bxa));var _0xfb0bx14=_0xfb0bx3?_0xfb0bx2:2*_0xfb0bx2,_0xfb0bx4=Math[_0x7bc7[519]](100*Math[_0x7bc7[243]](_0xfb0bx4/(_0xfb0bx12+_0xfb0bx14),_0xfb0bx5/(_0xfb0bx13+_0xfb0bx14)))/100;null!=this[_0x7bc7[2413]]&&(_0xfb0bx4=Math[_0x7bc7[160]](_0xfb0bx4,this[_0x7bc7[2413]]));null!=this[_0x7bc7[2414]]&&(_0xfb0bx4=Math[_0x7bc7[243]](_0xfb0bx4,this[_0x7bc7[2414]]));_0xfb0bx3?this[_0x7bc7[441]][_0x7bc7[255]]!=_0xfb0bx4&&this[_0x7bc7[441]][_0x7bc7[2262]](_0xfb0bx4):mxUtils[_0x7bc7[1134]](this[_0x7bc7[526]])?(this[_0x7bc7[441]][_0x7bc7[2262]](_0xfb0bx4),null!=_0xfb0bx9[_0x7bc7[235]]&&(this[_0x7bc7[526]][_0x7bc7[360]]=Math[_0x7bc7[488]](_0xfb0bx9[_0x7bc7[235]]/_0xfb0bxa)*_0xfb0bx4-_0xfb0bx2-Math[_0x7bc7[160]](0,(this[_0x7bc7[526]][_0x7bc7[159]]-_0xfb0bx12*_0xfb0bx4)/2)),null!=_0xfb0bx9[_0x7bc7[236]]&&(this[_0x7bc7[526]][_0x7bc7[190]]=Math[_0x7bc7[488]](_0xfb0bx9[_0x7bc7[236]]/_0xfb0bxa)*_0xfb0bx4-_0xfb0bx2-Math[_0x7bc7[160]](0,(this[_0x7bc7[526]][_0x7bc7[157]]-_0xfb0bx13*_0xfb0bx4)/2))):this[_0x7bc7[441]][_0x7bc7[829]](_0xfb0bx4,null!=_0xfb0bx9[_0x7bc7[235]]?Math[_0x7bc7[519]](this[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[235]]-_0xfb0bx9[_0x7bc7[235]]/_0xfb0bxa+_0xfb0bx2+1):_0xfb0bx2,null!=_0xfb0bx9[_0x7bc7[236]]?Math[_0x7bc7[519]](this[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[236]]-_0xfb0bx9[_0x7bc7[236]]/_0xfb0bxa+_0xfb0bx2+1):_0xfb0bx2)};return this[_0x7bc7[441]][_0x7bc7[255]]};mxGraph[_0x7bc7[202]][_0x7bc7[2564]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=-this[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[235]],_0xfb0bx5=-this[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[236]],_0xfb0bx9=this[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx2);null!=_0xfb0bx9&&(_0xfb0bx4= new mxRectangle(_0xfb0bx4+_0xfb0bx9[_0x7bc7[235]],_0xfb0bx5+_0xfb0bx9[_0x7bc7[236]],_0xfb0bx9[_0x7bc7[117]],_0xfb0bx9[_0x7bc7[119]]),_0xfb0bx3&&null!=this[_0x7bc7[526]]&&(_0xfb0bx5=this[_0x7bc7[526]][_0x7bc7[159]],_0xfb0bx9=this[_0x7bc7[526]][_0x7bc7[157]],_0xfb0bx4[_0x7bc7[235]]=_0xfb0bx4[_0x7bc7[241]]()-_0xfb0bx5/2,_0xfb0bx4[_0x7bc7[117]]=_0xfb0bx5,_0xfb0bx4[_0x7bc7[236]]=_0xfb0bx4[_0x7bc7[242]]()-_0xfb0bx9/2,_0xfb0bx4[_0x7bc7[119]]=_0xfb0bx9),this[_0x7bc7[2562]](_0xfb0bx4)&&this[_0x7bc7[441]][_0x7bc7[1147]](this[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[235]],this[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[236]]))};mxGraph[_0x7bc7[202]][_0x7bc7[2562]]=function(_0xfb0bx2){var _0xfb0bx3=!1;if(null!=_0xfb0bx2){var _0xfb0bx4=this[_0x7bc7[526]][_0x7bc7[359]],_0xfb0bx5=this[_0x7bc7[526]][_0x7bc7[167]],_0xfb0bx9=Math[_0x7bc7[243]](_0xfb0bx4,_0xfb0bx2[_0x7bc7[117]]),_0xfb0bxa=Math[_0x7bc7[243]](_0xfb0bx5,_0xfb0bx2[_0x7bc7[119]]);if(mxUtils[_0x7bc7[1134]](this[_0x7bc7[526]])){_0xfb0bx4=this[_0x7bc7[526]];_0xfb0bx2[_0x7bc7[235]]+=this[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[235]];_0xfb0bx2[_0x7bc7[236]]+=this[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[236]];var _0xfb0bx12=_0xfb0bx4[_0x7bc7[360]]-_0xfb0bx2[_0x7bc7[235]],_0xfb0bx5=Math[_0x7bc7[160]](_0xfb0bx12-_0xfb0bx4[_0x7bc7[360]],0);0<_0xfb0bx12?_0xfb0bx4[_0x7bc7[360]]-=_0xfb0bx12+2:(_0xfb0bx12=_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx9-_0xfb0bx4[_0x7bc7[360]]-_0xfb0bx4[_0x7bc7[159]],0<_0xfb0bx12&&(_0xfb0bx4[_0x7bc7[360]]+=_0xfb0bx12+2));_0xfb0bx9=_0xfb0bx4[_0x7bc7[190]]-_0xfb0bx2[_0x7bc7[236]];_0xfb0bx12=Math[_0x7bc7[160]](0,_0xfb0bx9-_0xfb0bx4[_0x7bc7[190]]);0<_0xfb0bx9?_0xfb0bx4[_0x7bc7[190]]-=_0xfb0bx9+2:(_0xfb0bx9=_0xfb0bx2[_0x7bc7[236]]+_0xfb0bxa-_0xfb0bx4[_0x7bc7[190]]-_0xfb0bx4[_0x7bc7[157]],0<_0xfb0bx9&&(_0xfb0bx4[_0x7bc7[190]]+=_0xfb0bx9+2));!this[_0x7bc7[2365]]&&(0!=_0xfb0bx5||0!=_0xfb0bx12)&&this[_0x7bc7[441]][_0x7bc7[1147]](_0xfb0bx5,_0xfb0bx12)}else {var _0xfb0bx12=-this[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[235]],_0xfb0bx13=-this[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[236]],_0xfb0bx14=this[_0x7bc7[441]][_0x7bc7[255]];_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx9>_0xfb0bx12+_0xfb0bx4&&(this[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[235]]-=(_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx9-_0xfb0bx4-_0xfb0bx12)/_0xfb0bx14,_0xfb0bx3= !0);_0xfb0bx2[_0x7bc7[236]]+_0xfb0bxa>_0xfb0bx13+_0xfb0bx5&&(this[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[236]]-=(_0xfb0bx2[_0x7bc7[236]]+_0xfb0bxa-_0xfb0bx5-_0xfb0bx13)/_0xfb0bx14,_0xfb0bx3= !0);_0xfb0bx2[_0x7bc7[235]]<_0xfb0bx12&&(this[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[235]]+=(_0xfb0bx12-_0xfb0bx2[_0x7bc7[235]])/_0xfb0bx14,_0xfb0bx3= !0);_0xfb0bx2[_0x7bc7[236]]<_0xfb0bx13&&(this[_0x7bc7[441]][_0x7bc7[513]][_0x7bc7[236]]+=(_0xfb0bx13-_0xfb0bx2[_0x7bc7[236]])/_0xfb0bx14,_0xfb0bx3= !0);_0xfb0bx3&&(this[_0x7bc7[441]][_0x7bc7[802]](),null!=this[_0x7bc7[2432]]&&this[_0x7bc7[2432]][_0x7bc7[802]]())}};return _0xfb0bx3};mxGraph[_0x7bc7[202]][_0x7bc7[1198]]=function(_0xfb0bx2){return this[_0x7bc7[251]][_0x7bc7[1721]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[1714]]=function(_0xfb0bx2){return this[_0x7bc7[251]][_0x7bc7[174]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[1742]]=function(_0xfb0bx2){return this[_0x7bc7[251]][_0x7bc7[1971]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2565]]=function(_0xfb0bx2){return this[_0x7bc7[251]][_0x7bc7[1965]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2298]]=function(_0xfb0bx2){var _0xfb0bx3=_0xfb0bx2[_0x7bc7[124]][mxConstants[_0x7bc7[2566]]];if(null!=_0xfb0bx3){return _0xfb0bx3};_0xfb0bx2=this[_0x7bc7[441]][_0x7bc7[2291]](_0xfb0bx2);return _0xfb0bx2==mxEdgeStyle[_0x7bc7[2567]]||_0xfb0bx2==mxEdgeStyle[_0x7bc7[2568]]||_0xfb0bx2==mxEdgeStyle[_0x7bc7[2569]]||_0xfb0bx2==mxEdgeStyle[_0x7bc7[2570]]||_0xfb0bx2==mxEdgeStyle[_0x7bc7[2571]]||_0xfb0bx2==mxEdgeStyle[_0x7bc7[2572]]};mxGraph[_0x7bc7[202]][_0x7bc7[2573]]=function(_0xfb0bx2){var _0xfb0bx3=_0xfb0bx2[_0x7bc7[2089]](!0);_0xfb0bx2=_0xfb0bx2[_0x7bc7[2089]](!1);return null!=_0xfb0bx3&&_0xfb0bx3==_0xfb0bx2};mxGraph[_0x7bc7[202]][_0x7bc7[2574]]=function(_0xfb0bx2){return mxEvent[_0x7bc7[775]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2462]]=function(_0xfb0bx2){return mxClient[_0x7bc7[2575]]?mxEvent[_0x7bc7[2576]](_0xfb0bx2):mxEvent[_0x7bc7[775]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[1018]]=function(_0xfb0bx2){return null!=_0xfb0bx2&&!mxEvent[_0x7bc7[2577]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2578]]=function(_0xfb0bx2){return mxEvent[_0x7bc7[774]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2579]]=function(_0xfb0bx2){return mxEvent[_0x7bc7[2577]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2580]]=function(_0xfb0bx2){mxUtils[_0x7bc7[150]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2581]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){return null==this[_0x7bc7[2500]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4)};mxGraph[_0x7bc7[202]][_0x7bc7[2500]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){if(null!=_0xfb0bx2&&!this[_0x7bc7[2530]]()&&(null==_0xfb0bx3||null==_0xfb0bx4)){return _0x7bc7[110]};if(null!=_0xfb0bx2&&null==this[_0x7bc7[251]][_0x7bc7[1709]](_0xfb0bx2,!0)&&null==this[_0x7bc7[251]][_0x7bc7[1709]](_0xfb0bx2,!1)){return null};if(!this[_0x7bc7[2402]]&&_0xfb0bx3==_0xfb0bx4&&null!=_0xfb0bx3||!this[_0x7bc7[2582]](_0xfb0bx3,_0xfb0bx4)){return _0x7bc7[110]};if(null!=_0xfb0bx3&&null!=_0xfb0bx4){var _0xfb0bx5=_0x7bc7[110];if(!this[_0x7bc7[2404]]){var _0xfb0bx9=this[_0x7bc7[251]][_0x7bc7[1781]](_0xfb0bx3,_0xfb0bx4,!0);if(1<_0xfb0bx9[_0x7bc7[67]]||1==_0xfb0bx9[_0x7bc7[67]]&&_0xfb0bx9[0]!=_0xfb0bx2){_0xfb0bx5+=(mxResources[_0x7bc7[203]](this[_0x7bc7[2421]])||this[_0x7bc7[2421]])+_0x7bc7[192]}};var _0xfb0bx9=this[_0x7bc7[251]][_0x7bc7[1962]](_0xfb0bx3,!0,_0xfb0bx2),_0xfb0bxa=this[_0x7bc7[251]][_0x7bc7[1962]](_0xfb0bx4,!1,_0xfb0bx2);if(null!=this[_0x7bc7[2335]]){for(var _0xfb0bx12=0;_0xfb0bx12mxUtils[_0x7bc7[2]](_0xfb0bx2,_0xfb0bx4)?_0xfb0bx4:null};mxGraph[_0x7bc7[202]][_0x7bc7[902]]=function(){var _0xfb0bx2=this[_0x7bc7[2355]];null==_0xfb0bx2&&(_0xfb0bx2=this[_0x7bc7[2534]](),null==_0xfb0bx2&&(_0xfb0bx2=this[_0x7bc7[251]][_0x7bc7[501]](),_0xfb0bx2=this[_0x7bc7[251]][_0x7bc7[263]](_0xfb0bx2,0)));return _0xfb0bx2};mxGraph[_0x7bc7[202]][_0x7bc7[2687]]=function(_0xfb0bx2){this[_0x7bc7[2355]]=_0xfb0bx2};mxGraph[_0x7bc7[202]][_0x7bc7[2179]]=function(_0xfb0bx2){for(;null!=_0xfb0bx2&&!this[_0x7bc7[1730]](_0xfb0bx2);){_0xfb0bx2=this[_0x7bc7[251]][_0x7bc7[1197]](_0xfb0bx2)};return _0xfb0bx2};mxGraph[_0x7bc7[202]][_0x7bc7[2461]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx4=_0xfb0bx4||this[_0x7bc7[902]]();if(null!=_0xfb0bx4){for(var _0xfb0bx5=this[_0x7bc7[251]][_0x7bc7[262]](_0xfb0bx4),_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx5;_0xfb0bx9++){var _0xfb0bxa=this[_0x7bc7[251]][_0x7bc7[263]](_0xfb0bx4,_0xfb0bx9),_0xfb0bx12=this[_0x7bc7[2461]](_0xfb0bx2,_0xfb0bx3,_0xfb0bxa);if(null!=_0xfb0bx12){return _0xfb0bx12};if(this[_0x7bc7[1730]](_0xfb0bxa)&&(_0xfb0bx12=this[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bxa),this[_0x7bc7[1825]](_0xfb0bx12,_0xfb0bx2,_0xfb0bx3))){return _0xfb0bxa}}};return null};mxGraph[_0x7bc7[202]][_0x7bc7[999]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0xfb0bx5=null!=_0xfb0bx5?_0xfb0bx5:!0;_0xfb0bx9=null!=_0xfb0bx9?_0xfb0bx9:!0;_0xfb0bx4=null!=_0xfb0bx4?_0xfb0bx4:this[_0x7bc7[902]]();if(null!=_0xfb0bx4){for(var _0xfb0bxa=this[_0x7bc7[251]][_0x7bc7[262]](_0xfb0bx4)-1;0<=_0xfb0bxa;_0xfb0bxa--){var _0xfb0bx12=this[_0x7bc7[251]][_0x7bc7[263]](_0xfb0bx4,_0xfb0bxa),_0xfb0bx13=this[_0x7bc7[999]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx12,_0xfb0bx5,_0xfb0bx9);if(null!=_0xfb0bx13){return _0xfb0bx13};if(this[_0x7bc7[1714]](_0xfb0bx12)&&(_0xfb0bx9&&this[_0x7bc7[251]][_0x7bc7[250]](_0xfb0bx12)||_0xfb0bx5&&this[_0x7bc7[251]][_0x7bc7[1193]](_0xfb0bx12))){if(_0xfb0bx13=this[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx12),this[_0x7bc7[1825]](_0xfb0bx13,_0xfb0bx2,_0xfb0bx3)){return _0xfb0bx12}}}};return null};mxGraph[_0x7bc7[202]][_0x7bc7[1825]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){if(null!=_0xfb0bx2){var _0xfb0bx5=_0xfb0bx2[_0x7bc7[439]];if(null!=_0xfb0bx5){_0xfb0bx2=this[_0x7bc7[2353]]*this[_0x7bc7[2353]];for(var _0xfb0bx9=_0xfb0bx5[0],_0xfb0bxa=1;_0xfb0bxa<_0xfb0bx5[_0x7bc7[67]];_0xfb0bxa++){var _0xfb0bx12=_0xfb0bx5[_0xfb0bxa];if(mxUtils[_0x7bc7[440]](_0xfb0bx9[_0x7bc7[235]],_0xfb0bx9[_0x7bc7[236]],_0xfb0bx12[_0x7bc7[235]],_0xfb0bx12[_0x7bc7[236]],_0xfb0bx3,_0xfb0bx4)<=_0xfb0bx2){return !0};_0xfb0bx9=_0xfb0bx12}}else {if(_0xfb0bx9=mxUtils[_0x7bc7[431]](mxUtils[_0x7bc7[433]](_0xfb0bx2[_0x7bc7[124]],mxConstants.STYLE_ROTATION)||0),0!=_0xfb0bx9&&(_0xfb0bx5=Math[_0x7bc7[426]](-_0xfb0bx9),_0xfb0bx9=Math[_0x7bc7[427]](-_0xfb0bx9),_0xfb0bxa= new mxPoint(_0xfb0bx2[_0x7bc7[241]](),_0xfb0bx2[_0x7bc7[242]]()),_0xfb0bx9=mxUtils[_0x7bc7[432]]( new mxPoint(_0xfb0bx3,_0xfb0bx4),_0xfb0bx5,_0xfb0bx9,_0xfb0bxa),_0xfb0bx3=_0xfb0bx9[_0x7bc7[235]],_0xfb0bx4=_0xfb0bx9[_0x7bc7[236]]),mxUtils[_0x7bc7[442]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4)){return !0}}};return !1};mxGraph[_0x7bc7[202]][_0x7bc7[2688]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=this[_0x7bc7[249]]()[_0x7bc7[248]](_0xfb0bx2);_0xfb0bx2=this[_0x7bc7[1731]](_0xfb0bx2);if(null!=_0xfb0bx5){var _0xfb0bx9=this[_0x7bc7[249]]()[_0x7bc7[518]]();_0xfb0bx3-=_0xfb0bx5[_0x7bc7[235]];_0xfb0bx4-=_0xfb0bx5[_0x7bc7[236]];if(0<_0xfb0bx2[_0x7bc7[117]]&&0<_0xfb0bx3&&_0xfb0bx3>_0xfb0bx2[_0x7bc7[117]]*_0xfb0bx9||0<_0xfb0bx2[_0x7bc7[119]]&&0<_0xfb0bx4&&_0xfb0bx4>_0xfb0bx2[_0x7bc7[119]]*_0xfb0bx9){return !0}};return !1};mxGraph[_0x7bc7[202]][_0x7bc7[1728]]=function(_0xfb0bx2){return this[_0x7bc7[1955]](_0xfb0bx2,!0,!1)};mxGraph[_0x7bc7[202]][_0x7bc7[1956]]=function(_0xfb0bx2){return this[_0x7bc7[1955]](_0xfb0bx2,!1,!0)};mxGraph[_0x7bc7[202]][_0x7bc7[1955]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx2=null!=_0xfb0bx2?_0xfb0bx2:this[_0x7bc7[902]]();_0xfb0bx2=this[_0x7bc7[251]][_0x7bc7[1955]](_0xfb0bx2,null!=_0xfb0bx3?_0xfb0bx3:!1,null!=_0xfb0bx4?_0xfb0bx4:!1);_0xfb0bx3=[];for(_0xfb0bx4=0;_0xfb0bx4<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx4++){this[_0x7bc7[1714]](_0xfb0bx2[_0xfb0bx4])&&_0xfb0bx3[_0x7bc7[207]](_0xfb0bx2[_0xfb0bx4])};return _0xfb0bx3};mxGraph[_0x7bc7[202]][_0x7bc7[1756]]=function(_0xfb0bx2,_0xfb0bx3){return this[_0x7bc7[264]](_0xfb0bx2,_0xfb0bx3,!0,!0,!1)};mxGraph[_0x7bc7[202]][_0x7bc7[1867]]=function(_0xfb0bx2,_0xfb0bx3){return this[_0x7bc7[264]](_0xfb0bx2,_0xfb0bx3,!0,!1,!1)};mxGraph[_0x7bc7[202]][_0x7bc7[1963]]=function(_0xfb0bx2,_0xfb0bx3){return this[_0x7bc7[264]](_0xfb0bx2,_0xfb0bx3,!1,!0,!1)};mxGraph[_0x7bc7[202]][_0x7bc7[264]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){_0xfb0bx4=null!=_0xfb0bx4?_0xfb0bx4:!0;_0xfb0bx5=null!=_0xfb0bx5?_0xfb0bx5:!0;_0xfb0bx9=null!=_0xfb0bx9?_0xfb0bx9:!0;_0xfb0bxa=null!=_0xfb0bxa?_0xfb0bxa:!1;for(var _0xfb0bx12=[],_0xfb0bx13=this[_0x7bc7[1742]](_0xfb0bx2),_0xfb0bx14=this[_0x7bc7[251]][_0x7bc7[262]](_0xfb0bx2),_0xfb0bx15=0;_0xfb0bx15<_0xfb0bx14;_0xfb0bx15++){var _0xfb0bx16=this[_0x7bc7[251]][_0x7bc7[263]](_0xfb0bx2,_0xfb0bx15);if(_0xfb0bx13||!this[_0x7bc7[1714]](_0xfb0bx16)){_0xfb0bx12=_0xfb0bx12[_0x7bc7[1919]](this[_0x7bc7[251]][_0x7bc7[264]](_0xfb0bx16,_0xfb0bx4,_0xfb0bx5))}};_0xfb0bx12=_0xfb0bx12[_0x7bc7[1919]](this[_0x7bc7[251]][_0x7bc7[264]](_0xfb0bx2,_0xfb0bx4,_0xfb0bx5));_0xfb0bx13=[];for(_0xfb0bx15=0;_0xfb0bx15<_0xfb0bx12[_0x7bc7[67]];_0xfb0bx15++){_0xfb0bx16=this[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx12[_0xfb0bx15]),_0xfb0bx14=null!=_0xfb0bx16?_0xfb0bx16[_0x7bc7[1710]](!0):this[_0x7bc7[441]][_0x7bc7[1710]](_0xfb0bx12[_0xfb0bx15],!0),_0xfb0bx16=null!=_0xfb0bx16?_0xfb0bx16[_0x7bc7[1710]](!1):this[_0x7bc7[441]][_0x7bc7[1710]](_0xfb0bx12[_0xfb0bx15],!1),(_0xfb0bx9&&_0xfb0bx14==_0xfb0bx16||_0xfb0bx14!=_0xfb0bx16&&(_0xfb0bx4&&_0xfb0bx16==_0xfb0bx2&&(null==_0xfb0bx3||this[_0x7bc7[1920]](_0xfb0bx14,_0xfb0bx3,_0xfb0bxa))||_0xfb0bx5&&_0xfb0bx14==_0xfb0bx2&&(null==_0xfb0bx3||this[_0x7bc7[1920]](_0xfb0bx16,_0xfb0bx3,_0xfb0bxa))))&&_0xfb0bx13[_0x7bc7[207]](_0xfb0bx12[_0xfb0bx15])};return _0xfb0bx13};mxGraph[_0x7bc7[202]][_0x7bc7[1920]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){return _0xfb0bx4?this[_0x7bc7[251]][_0x7bc7[1720]](_0xfb0bx3,_0xfb0bx2):this[_0x7bc7[251]][_0x7bc7[1197]](_0xfb0bx2)==_0xfb0bx3};mxGraph[_0x7bc7[202]][_0x7bc7[1808]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){_0xfb0bx4=null!=_0xfb0bx4?_0xfb0bx4:!0;_0xfb0bx5=null!=_0xfb0bx5?_0xfb0bx5:!0;var _0xfb0bx9=[],_0xfb0bxa={};if(null!=_0xfb0bx2){for(var _0xfb0bx12=0;_0xfb0bx12<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx12++){var _0xfb0bx13=this[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx2[_0xfb0bx12]),_0xfb0bx14=null!=_0xfb0bx13?_0xfb0bx13[_0x7bc7[1710]](!0):this[_0x7bc7[441]][_0x7bc7[1710]](_0xfb0bx2[_0xfb0bx12],!0),_0xfb0bx13=null!=_0xfb0bx13?_0xfb0bx13[_0x7bc7[1710]](!1):this[_0x7bc7[441]][_0x7bc7[1710]](_0xfb0bx2[_0xfb0bx12],!1);if(_0xfb0bx14==_0xfb0bx3&&null!=_0xfb0bx13&&_0xfb0bx13!=_0xfb0bx3&&_0xfb0bx5){var _0xfb0bx15=mxCellPath[_0x7bc7[385]](_0xfb0bx13);null==_0xfb0bxa[_0xfb0bx15]&&(_0xfb0bxa[_0xfb0bx15]=_0xfb0bx13,_0xfb0bx9[_0x7bc7[207]](_0xfb0bx13))}else {_0xfb0bx13==_0xfb0bx3&&(null!=_0xfb0bx14&&_0xfb0bx14!=_0xfb0bx3&&_0xfb0bx4)&&(_0xfb0bx15=mxCellPath[_0x7bc7[385]](_0xfb0bx14),null==_0xfb0bxa[_0xfb0bx15]&&(_0xfb0bxa[_0xfb0bx15]=_0xfb0bx14,_0xfb0bx9[_0x7bc7[207]](_0xfb0bx14)))}}};return _0xfb0bx9};mxGraph[_0x7bc7[202]][_0x7bc7[1781]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx4=null!=_0xfb0bx4?_0xfb0bx4:!1;for(var _0xfb0bx5=this[_0x7bc7[264]](_0xfb0bx2),_0xfb0bx9=[],_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx5[_0x7bc7[67]];_0xfb0bxa++){var _0xfb0bx12=this[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx5[_0xfb0bxa]),_0xfb0bx13=null!=_0xfb0bx12?_0xfb0bx12[_0x7bc7[1710]](!0):this[_0x7bc7[441]][_0x7bc7[1710]](_0xfb0bx5[_0xfb0bxa],!0),_0xfb0bx12=null!=_0xfb0bx12?_0xfb0bx12[_0x7bc7[1710]](!1):this[_0x7bc7[441]][_0x7bc7[1710]](_0xfb0bx5[_0xfb0bxa],!1);(_0xfb0bx13==_0xfb0bx2&&_0xfb0bx12==_0xfb0bx3||!_0xfb0bx4&&_0xfb0bx13==_0xfb0bx3&&_0xfb0bx12==_0xfb0bx2)&&_0xfb0bx9[_0x7bc7[207]](_0xfb0bx5[_0xfb0bxa])};return _0xfb0bx9};mxGraph[_0x7bc7[202]][_0x7bc7[2689]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=mxUtils[_0x7bc7[2196]](this[_0x7bc7[526]],mxEvent[_0x7bc7[731]](_0xfb0bx2),mxEvent[_0x7bc7[733]](_0xfb0bx2)),_0xfb0bx5=this[_0x7bc7[441]][_0x7bc7[255]],_0xfb0bx9=this[_0x7bc7[441]][_0x7bc7[513]],_0xfb0bxa=!1!=_0xfb0bx3?this[_0x7bc7[1020]]/2:0;_0xfb0bx4[_0x7bc7[235]]=this[_0x7bc7[1021]](_0xfb0bx4[_0x7bc7[235]]/_0xfb0bx5-_0xfb0bx9[_0x7bc7[235]]-_0xfb0bxa);_0xfb0bx4[_0x7bc7[236]]=this[_0x7bc7[1021]](_0xfb0bx4[_0x7bc7[236]]/_0xfb0bx5-_0xfb0bx9[_0x7bc7[236]]-_0xfb0bxa);return _0xfb0bx4};mxGraph[_0x7bc7[202]][_0x7bc7[2690]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){_0xfb0bxa=null!=_0xfb0bxa?_0xfb0bxa:[];if(0<_0xfb0bx4||0<_0xfb0bx5){var _0xfb0bx12=_0xfb0bx2+_0xfb0bx4,_0xfb0bx13=_0xfb0bx3+_0xfb0bx5;_0xfb0bx9=_0xfb0bx9||this[_0x7bc7[902]]();if(null!=_0xfb0bx9){for(var _0xfb0bx14=this[_0x7bc7[251]][_0x7bc7[262]](_0xfb0bx9),_0xfb0bx15=0;_0xfb0bx15<_0xfb0bx14;_0xfb0bx15++){var _0xfb0bx16=this[_0x7bc7[251]][_0x7bc7[263]](_0xfb0bx9,_0xfb0bx15),_0xfb0bx17=this[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx16);if(this[_0x7bc7[1714]](_0xfb0bx16)&&null!=_0xfb0bx17){var _0xfb0bx18=_0xfb0bx17,_0xfb0bx17=mxUtils[_0x7bc7[433]](_0xfb0bx17[_0x7bc7[124]],mxConstants.STYLE_ROTATION)||0;0!=_0xfb0bx17&&(_0xfb0bx18=mxUtils[_0x7bc7[1613]](_0xfb0bx18,_0xfb0bx17));_0xfb0bx18[_0x7bc7[235]]>=_0xfb0bx2&&_0xfb0bx18[_0x7bc7[236]]+_0xfb0bx18[_0x7bc7[119]]<=_0xfb0bx13&&_0xfb0bx18[_0x7bc7[236]]>=_0xfb0bx3&&_0xfb0bx18[_0x7bc7[235]]+_0xfb0bx18[_0x7bc7[117]]<=_0xfb0bx12?_0xfb0bxa[_0x7bc7[207]](_0xfb0bx16):this[_0x7bc7[2690]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx16,_0xfb0bxa)}}}};return _0xfb0bxa};mxGraph[_0x7bc7[202]][_0x7bc7[2691]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){var _0xfb0bxa=[];if(_0xfb0bx5||_0xfb0bx9){if(null==_0xfb0bx4&&(_0xfb0bx4=this[_0x7bc7[902]]()),null!=_0xfb0bx4){for(var _0xfb0bx12=this[_0x7bc7[251]][_0x7bc7[262]](_0xfb0bx4),_0xfb0bx13=0;_0xfb0bx13<_0xfb0bx12;_0xfb0bx13++){var _0xfb0bx14=this[_0x7bc7[251]][_0x7bc7[263]](_0xfb0bx4,_0xfb0bx13),_0xfb0bx15=this[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx14);this[_0x7bc7[1714]](_0xfb0bx14)&&null!=_0xfb0bx15&&(!_0xfb0bx5||_0xfb0bx15[_0x7bc7[235]]>=_0xfb0bx2)&&(!_0xfb0bx9||_0xfb0bx15[_0x7bc7[236]]>=_0xfb0bx3)&&_0xfb0bxa[_0x7bc7[207]](_0xfb0bx14)}}};return _0xfb0bxa};mxGraph[_0x7bc7[202]][_0x7bc7[1757]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx3=null!=_0xfb0bx3?_0xfb0bx3:!1;_0xfb0bx4=null!=_0xfb0bx4?_0xfb0bx4:!1;var _0xfb0bx5=[];if(null!=_0xfb0bx2){for(var _0xfb0bx9=this[_0x7bc7[502]](),_0xfb0bxa=_0xfb0bx9[_0x7bc7[262]](_0xfb0bx2),_0xfb0bx12=null,_0xfb0bx13=0,_0xfb0bx14=0;_0xfb0bx14<_0xfb0bxa;_0xfb0bx14++){var _0xfb0bx15=_0xfb0bx9[_0x7bc7[263]](_0xfb0bx2,_0xfb0bx14);if(this[_0x7bc7[251]][_0x7bc7[1193]](_0xfb0bx15)&&this[_0x7bc7[1714]](_0xfb0bx15)){for(var _0xfb0bx16=this[_0x7bc7[1756]](_0xfb0bx15,_0xfb0bx3?_0xfb0bx2:null),_0xfb0bx17=0,_0xfb0bx18=0,_0xfb0bx19=0;_0xfb0bx19<_0xfb0bx16[_0x7bc7[67]];_0xfb0bx19++){this[_0x7bc7[441]][_0x7bc7[1710]](_0xfb0bx16[_0xfb0bx19],!0)==_0xfb0bx15?_0xfb0bx17++:_0xfb0bx18++};(_0xfb0bx4&&0==_0xfb0bx17&&0<_0xfb0bx18||!_0xfb0bx4&&0==_0xfb0bx18&&0<_0xfb0bx17)&&_0xfb0bx5[_0x7bc7[207]](_0xfb0bx15);_0xfb0bx16=_0xfb0bx4?_0xfb0bx18-_0xfb0bx17:_0xfb0bx17-_0xfb0bx18;_0xfb0bx16>_0xfb0bx13&&(_0xfb0bx13=_0xfb0bx16,_0xfb0bx12=_0xfb0bx15)}};0==_0xfb0bx5[_0x7bc7[67]]&&null!=_0xfb0bx12&&_0xfb0bx5[_0x7bc7[207]](_0xfb0bx12)};return _0xfb0bx5};mxGraph[_0x7bc7[202]][_0x7bc7[1706]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){if(null!=_0xfb0bx4&&null!=_0xfb0bx2){_0xfb0bx3=null!=_0xfb0bx3?_0xfb0bx3:!0;_0xfb0bx9=_0xfb0bx9||[];var _0xfb0bxa=mxCellPath[_0x7bc7[385]](_0xfb0bx2);if(null==_0xfb0bx9[_0xfb0bxa]&&(_0xfb0bx9[_0xfb0bxa]=_0xfb0bx2,_0xfb0bx5=_0xfb0bx4(_0xfb0bx2,_0xfb0bx5),null==_0xfb0bx5||_0xfb0bx5)){if(_0xfb0bx5=this[_0x7bc7[251]][_0x7bc7[1707]](_0xfb0bx2),0<_0xfb0bx5){for(_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx5;_0xfb0bxa++){var _0xfb0bx12=this[_0x7bc7[251]][_0x7bc7[1708]](_0xfb0bx2,_0xfb0bxa),_0xfb0bx13=this[_0x7bc7[251]][_0x7bc7[1709]](_0xfb0bx12,!0)==_0xfb0bx2;if(!_0xfb0bx3||_0xfb0bx13){_0xfb0bx13=this[_0x7bc7[251]][_0x7bc7[1709]](_0xfb0bx12,!_0xfb0bx13),this[_0x7bc7[1706]](_0xfb0bx13,_0xfb0bx3,_0xfb0bx4,_0xfb0bx12,_0xfb0bx9)}}}}}};mxGraph[_0x7bc7[202]][_0x7bc7[2692]]=function(_0xfb0bx2){return this[_0x7bc7[2435]]()[_0x7bc7[2097]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2693]]=function(){return this[_0x7bc7[2435]]()[_0x7bc7[1107]]()};mxGraph[_0x7bc7[202]][_0x7bc7[2440]]=function(){return this[_0x7bc7[2435]]()[_0x7bc7[200]]()};mxGraph[_0x7bc7[202]][_0x7bc7[2694]]=function(){return this[_0x7bc7[2435]]()[_0x7bc7[895]][_0x7bc7[67]]};mxGraph[_0x7bc7[202]][_0x7bc7[2452]]=function(){return this[_0x7bc7[2435]]()[_0x7bc7[895]][0]};mxGraph[_0x7bc7[202]][_0x7bc7[897]]=function(){return this[_0x7bc7[2435]]()[_0x7bc7[895]][_0x7bc7[1853]]()};mxGraph[_0x7bc7[202]][_0x7bc7[2450]]=function(_0xfb0bx2){this[_0x7bc7[2435]]()[_0x7bc7[2099]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[904]]=function(_0xfb0bx2){this[_0x7bc7[2435]]()[_0x7bc7[2100]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2695]]=function(_0xfb0bx2){this[_0x7bc7[2435]]()[_0x7bc7[2103]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2696]]=function(_0xfb0bx2){this[_0x7bc7[2435]]()[_0x7bc7[832]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2697]]=function(_0xfb0bx2){this[_0x7bc7[2435]]()[_0x7bc7[2104]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2439]]=function(_0xfb0bx2){this[_0x7bc7[2435]]()[_0x7bc7[842]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2698]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=this[_0x7bc7[2690]](_0xfb0bx2[_0x7bc7[235]],_0xfb0bx2[_0x7bc7[236]],_0xfb0bx2[_0x7bc7[117]],_0xfb0bx2[_0x7bc7[119]]);this[_0x7bc7[2699]](_0xfb0bx4,_0xfb0bx3);return _0xfb0bx4};mxGraph[_0x7bc7[202]][_0x7bc7[2700]]=function(){this[_0x7bc7[2701]](!0)};mxGraph[_0x7bc7[202]][_0x7bc7[2702]]=function(){this[_0x7bc7[2701]]()};mxGraph[_0x7bc7[202]][_0x7bc7[2703]]=function(){this[_0x7bc7[2701]](!1,!0)};mxGraph[_0x7bc7[202]][_0x7bc7[2704]]=function(){this[_0x7bc7[2701]](!1,!1,!0)};mxGraph[_0x7bc7[202]][_0x7bc7[2701]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=this[_0x7bc7[2105]],_0xfb0bx9=0<_0xfb0bx5[_0x7bc7[895]][_0x7bc7[67]]?_0xfb0bx5[_0x7bc7[895]][0]:null;1<_0xfb0bx5[_0x7bc7[895]][_0x7bc7[67]]&&_0xfb0bx5[_0x7bc7[200]]();var _0xfb0bx5=null!=_0xfb0bx9?this[_0x7bc7[251]][_0x7bc7[1197]](_0xfb0bx9):this[_0x7bc7[902]](),_0xfb0bxa=this[_0x7bc7[251]][_0x7bc7[262]](_0xfb0bx5);null==_0xfb0bx9&&0<_0xfb0bxa?(_0xfb0bx2=this[_0x7bc7[251]][_0x7bc7[263]](_0xfb0bx5,0),this[_0x7bc7[2450]](_0xfb0bx2)):(null==_0xfb0bx9||_0xfb0bx3)&&null!=this[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx5)&&null!=this[_0x7bc7[251]][_0x7bc7[1721]](_0xfb0bx5)?this[_0x7bc7[2534]]()!=_0xfb0bx5&&this[_0x7bc7[2450]](_0xfb0bx5):null!=_0xfb0bx9&&_0xfb0bx4?0_0xfb0bx3?_0xfb0bxa-1:_0xfb0bx3)),this[_0x7bc7[2450]](_0xfb0bx2))};mxGraph[_0x7bc7[202]][_0x7bc7[2705]]=function(_0xfb0bx2){_0xfb0bx2=_0xfb0bx2||this[_0x7bc7[902]]();_0xfb0bx2=this[_0x7bc7[251]][_0x7bc7[1953]](_0xfb0bx2);null!=_0xfb0bx2&&this[_0x7bc7[904]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2706]]=function(_0xfb0bx2){this[_0x7bc7[2707]](!0,!1,_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2708]]=function(_0xfb0bx2){this[_0x7bc7[2707]](!1,!0,_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2707]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx4=_0xfb0bx4||this[_0x7bc7[902]]();var _0xfb0bx5=mxUtils[_0x7bc7[885]](this,function(_0xfb0bx4){return null!=this[_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx4)&&0==this[_0x7bc7[251]][_0x7bc7[262]](_0xfb0bx4)&&(this[_0x7bc7[251]][_0x7bc7[1193]](_0xfb0bx4)&&_0xfb0bx2||this[_0x7bc7[251]][_0x7bc7[250]](_0xfb0bx4)&&_0xfb0bx3)});_0xfb0bx4=this[_0x7bc7[251]][_0x7bc7[1921]](_0xfb0bx5,_0xfb0bx4);this[_0x7bc7[904]](_0xfb0bx4)};mxGraph[_0x7bc7[202]][_0x7bc7[2459]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=this[_0x7bc7[2692]](_0xfb0bx2);this[_0x7bc7[2462]](_0xfb0bx3)?_0xfb0bx4?this[_0x7bc7[2697]](_0xfb0bx2):this[_0x7bc7[2695]](_0xfb0bx2):(!_0xfb0bx4||1!=this[_0x7bc7[2694]]())&&this[_0x7bc7[2450]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2699]]=function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[2462]](_0xfb0bx3)?this[_0x7bc7[2696]](_0xfb0bx2):this[_0x7bc7[904]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[2709]]=function(_0xfb0bx2){var _0xfb0bx3=null;null!=_0xfb0bx2&&(this[_0x7bc7[251]][_0x7bc7[250]](_0xfb0bx2[_0x7bc7[246]])?(_0xfb0bx3=this[_0x7bc7[441]][_0x7bc7[2291]](_0xfb0bx2),_0xfb0bx3=this[_0x7bc7[2573]](_0xfb0bx2)||_0xfb0bx3==mxEdgeStyle[_0x7bc7[2568]]||_0xfb0bx3==mxEdgeStyle[_0x7bc7[2569]]||_0xfb0bx3==mxEdgeStyle[_0x7bc7[2570]]? new mxElbowEdgeHandler(_0xfb0bx2):_0xfb0bx3==mxEdgeStyle[_0x7bc7[2567]]||_0xfb0bx3==mxEdgeStyle[_0x7bc7[2572]]? new mxEdgeSegmentHandler(_0xfb0bx2): new mxEdgeHandler(_0xfb0bx2)):_0xfb0bx3= new mxVertexHandler(_0xfb0bx2));return _0xfb0bx3};mxGraph[_0x7bc7[202]][_0x7bc7[1133]]=function(_0xfb0bx2){null==this[_0x7bc7[2329]]&&(this[_0x7bc7[2329]]=[]);this[_0x7bc7[2329]][_0x7bc7[207]](_0xfb0bx2)};mxGraph[_0x7bc7[202]][_0x7bc7[1148]]=function(_0xfb0bx2){if(null!=this[_0x7bc7[2329]]){for(var _0xfb0bx3=0;_0xfb0bx3this[_0x7bc7[2351]]||null!=this[_0x7bc7[2714]]&&Math[_0x7bc7[425]](this[_0x7bc7[2714]]-_0xfb0bx3[_0x7bc7[732]]())>this[_0x7bc7[2351]]){_0xfb0bx5= !0};_0xfb0bx2==mxEvent[_0x7bc7[2715]]&&(this[_0x7bc7[2713]]=_0xfb0bx3[_0x7bc7[730]](),this[_0x7bc7[2714]]=_0xfb0bx3[_0x7bc7[732]]())};if((_0xfb0bx2!=mxEvent[_0x7bc7[2715]]||this[_0x7bc7[1009]])&&_0xfb0bx5){if(_0xfb0bx2==mxEvent[_0x7bc7[2715]]&&(this[_0x7bc7[1009]]= !1),!this[_0x7bc7[2203]]()&&(mxClient[_0x7bc7[71]]||mxClient[_0x7bc7[75]]||mxClient[_0x7bc7[76]]||mxClient[_0x7bc7[80]]&&mxClient[_0x7bc7[48]]||_0xfb0bx3[_0x7bc7[727]]()[_0x7bc7[772]]!=this[_0x7bc7[526]])){_0xfb0bx2==mxEvent[_0x7bc7[2716]]&&(this[_0x7bc7[1009]]&&this[_0x7bc7[1012]])&&this[_0x7bc7[1014]](_0xfb0bx3[_0x7bc7[734]](),_0xfb0bx3[_0x7bc7[735]](),this[_0x7bc7[1013]]);if(null!=this[_0x7bc7[2329]]){_0xfb0bx4=[_0xfb0bx4,_0xfb0bx3];_0xfb0bx3[_0x7bc7[727]]()[_0x7bc7[739]]= !0;for(_0xfb0bx5=0;_0xfb0bx5_0xfb0bx4[_0x7bc7[235]]&&(_0xfb0bxa-=_0xfb0bx4[_0x7bc7[235]]);0>_0xfb0bx4[_0x7bc7[236]]&&(_0xfb0bx12-=_0xfb0bx4[_0x7bc7[236]]);if(_0xfb0bx5[_0x7bc7[513]][_0x7bc7[235]]!=_0xfb0bxa||_0xfb0bx5[_0x7bc7[513]][_0x7bc7[236]]!=_0xfb0bx12){_0xfb0bx5[_0x7bc7[513]][_0x7bc7[235]]=_0xfb0bxa,_0xfb0bx5[_0x7bc7[513]][_0x7bc7[236]]=_0xfb0bx12,_0xfb0bx2= !0};var _0xfb0bx4=_0xfb0bx5[_0x7bc7[513]],_0xfb0bx9=this[_0x7bc7[1097]][_0x7bc7[249]]()[_0x7bc7[255]],_0xfb0bxa=_0xfb0bx9/_0xfb0bx5[_0x7bc7[255]],_0xfb0bx12=1/_0xfb0bx5[_0x7bc7[255]],_0xfb0bx13=this[_0x7bc7[1097]][_0x7bc7[526]];this[_0x7bc7[1562]]= new mxRectangle((_0xfb0bx4[_0x7bc7[235]]-_0xfb0bx3[_0x7bc7[235]]-this[_0x7bc7[1097]][_0x7bc7[1136]])/_0xfb0bx12,(_0xfb0bx4[_0x7bc7[236]]-_0xfb0bx3[_0x7bc7[236]]-this[_0x7bc7[1097]][_0x7bc7[1137]])/_0xfb0bx12,_0xfb0bx13[_0x7bc7[159]]/_0xfb0bxa,_0xfb0bx13[_0x7bc7[157]]/_0xfb0bxa);this[_0x7bc7[1562]][_0x7bc7[235]]+=this[_0x7bc7[1097]][_0x7bc7[526]][_0x7bc7[360]]*_0xfb0bx5[_0x7bc7[255]]/_0xfb0bx9;this[_0x7bc7[1562]][_0x7bc7[236]]+=this[_0x7bc7[1097]][_0x7bc7[526]][_0x7bc7[190]]*_0xfb0bx5[_0x7bc7[255]]/_0xfb0bx9;_0xfb0bx4=this[_0x7bc7[2732]][_0x7bc7[1562]];if(_0xfb0bx4[_0x7bc7[235]]!=this[_0x7bc7[1562]][_0x7bc7[235]]||_0xfb0bx4[_0x7bc7[236]]!=this[_0x7bc7[1562]][_0x7bc7[236]]||_0xfb0bx4[_0x7bc7[117]]!=this[_0x7bc7[1562]][_0x7bc7[117]]||_0xfb0bx4[_0x7bc7[119]]!=this[_0x7bc7[1562]][_0x7bc7[119]]){this[_0x7bc7[2732]][_0x7bc7[1562]]=this[_0x7bc7[1562]],this[_0x7bc7[2732]][_0x7bc7[258]]()};_0xfb0bx4=this[_0x7bc7[2734]][_0x7bc7[1562]];_0xfb0bx5= new mxRectangle(this[_0x7bc7[1562]][_0x7bc7[235]]+this[_0x7bc7[1562]][_0x7bc7[117]]-_0xfb0bx4[_0x7bc7[117]]/2,this[_0x7bc7[1562]][_0x7bc7[236]]+this[_0x7bc7[1562]][_0x7bc7[119]]-_0xfb0bx4[_0x7bc7[119]]/2,_0xfb0bx4[_0x7bc7[117]],_0xfb0bx4[_0x7bc7[119]]);if(_0xfb0bx4[_0x7bc7[235]]!=_0xfb0bx5[_0x7bc7[235]]||_0xfb0bx4[_0x7bc7[236]]!=_0xfb0bx5[_0x7bc7[236]]||_0xfb0bx4[_0x7bc7[117]]!=_0xfb0bx5[_0x7bc7[117]]||_0xfb0bx4[_0x7bc7[119]]!=_0xfb0bx5[_0x7bc7[119]]){this[_0x7bc7[2734]][_0x7bc7[1562]]=_0xfb0bx5,_0x7bc7[188]!=this[_0x7bc7[2734]][_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[187]]&&this[_0x7bc7[2734]][_0x7bc7[258]]()};_0xfb0bx2&&this[_0x7bc7[2136]][_0x7bc7[441]][_0x7bc7[2259]]()}}};mxOutline[_0x7bc7[202]][_0x7bc7[787]]=function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[984]]&&this[_0x7bc7[2721]]&&(this[_0x7bc7[499]]=_0xfb0bx3[_0x7bc7[729]](this[_0x7bc7[2734]]),this[_0x7bc7[2739]]=_0xfb0bx3[_0x7bc7[730]](),this[_0x7bc7[2740]]=_0xfb0bx3[_0x7bc7[732]](),this[_0x7bc7[1124]]= !0,this[_0x7bc7[1097]][_0x7bc7[2365]]&&mxUtils[_0x7bc7[1134]](this[_0x7bc7[1097]][_0x7bc7[526]])?(this[_0x7bc7[2741]]=this[_0x7bc7[1097]][_0x7bc7[526]][_0x7bc7[360]],this[_0x7bc7[2742]]=this[_0x7bc7[1097]][_0x7bc7[526]][_0x7bc7[190]]):this[_0x7bc7[2742]]=this[_0x7bc7[2741]]=0);_0xfb0bx3[_0x7bc7[722]]()};mxOutline[_0x7bc7[202]][_0x7bc7[788]]=function(_0xfb0bx2,_0xfb0bx3){if(this[_0x7bc7[1124]]){this[_0x7bc7[2732]][_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[495]]=this[_0x7bc7[2721]]?_0x7bc7[110]:_0x7bc7[130];this[_0x7bc7[2734]][_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[495]]=this[_0x7bc7[2732]][_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[495]];var _0xfb0bx4=_0xfb0bx3[_0x7bc7[730]]()-this[_0x7bc7[2739]],_0xfb0bx5=_0xfb0bx3[_0x7bc7[732]]()-this[_0x7bc7[2740]],_0xfb0bx9=null;if(this[_0x7bc7[499]]){_0xfb0bx9=this[_0x7bc7[1097]][_0x7bc7[526]],_0xfb0bx5=_0xfb0bx4/(_0xfb0bx9[_0x7bc7[159]]/_0xfb0bx9[_0x7bc7[157]]),_0xfb0bx9= new mxRectangle(this[_0x7bc7[1562]][_0x7bc7[235]],this[_0x7bc7[1562]][_0x7bc7[236]],Math[_0x7bc7[160]](1,this[_0x7bc7[1562]][_0x7bc7[117]]+_0xfb0bx4),Math[_0x7bc7[160]](1,this[_0x7bc7[1562]][_0x7bc7[119]]+_0xfb0bx5)),this[_0x7bc7[2732]][_0x7bc7[1562]]=_0xfb0bx9,this[_0x7bc7[2732]][_0x7bc7[258]]()}else {var _0xfb0bxa=this[_0x7bc7[2136]][_0x7bc7[249]]()[_0x7bc7[255]],_0xfb0bx9= new mxRectangle(this[_0x7bc7[1562]][_0x7bc7[235]]+_0xfb0bx4,this[_0x7bc7[1562]][_0x7bc7[236]]+_0xfb0bx5,this[_0x7bc7[1562]][_0x7bc7[117]],this[_0x7bc7[1562]][_0x7bc7[119]]);this[_0x7bc7[2732]][_0x7bc7[1562]]=_0xfb0bx9;this[_0x7bc7[2732]][_0x7bc7[258]]();_0xfb0bx4=_0xfb0bx4/_0xfb0bxa*this[_0x7bc7[1097]][_0x7bc7[249]]()[_0x7bc7[255]];_0xfb0bx5=_0xfb0bx5/_0xfb0bxa*this[_0x7bc7[1097]][_0x7bc7[249]]()[_0x7bc7[255]];this[_0x7bc7[1097]][_0x7bc7[1135]](-_0xfb0bx4-this[_0x7bc7[2741]],-_0xfb0bx5-this[_0x7bc7[2742]])};_0xfb0bx4=this[_0x7bc7[2734]][_0x7bc7[1562]];this[_0x7bc7[2734]][_0x7bc7[1562]]= new mxRectangle(_0xfb0bx9[_0x7bc7[235]]+_0xfb0bx9[_0x7bc7[117]]-_0xfb0bx4[_0x7bc7[117]]/2,_0xfb0bx9[_0x7bc7[236]]+_0xfb0bx9[_0x7bc7[119]]-_0xfb0bx4[_0x7bc7[119]]/2,_0xfb0bx4[_0x7bc7[117]],_0xfb0bx4[_0x7bc7[119]]);_0x7bc7[188]!=this[_0x7bc7[2734]][_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[187]]&&this[_0x7bc7[2734]][_0x7bc7[258]]();_0xfb0bx3[_0x7bc7[722]]()}};mxOutline[_0x7bc7[202]][_0x7bc7[789]]=function(_0xfb0bx2,_0xfb0bx3){if(this[_0x7bc7[1124]]){var _0xfb0bx4=_0xfb0bx3[_0x7bc7[730]]()-this[_0x7bc7[2739]],_0xfb0bx5=_0xfb0bx3[_0x7bc7[732]]()-this[_0x7bc7[2740]];if(0=this[_0x7bc7[160]])||!this[_0x7bc7[1097]]&&(0==this[_0x7bc7[160]]||_0xfb0bxa>=this[_0x7bc7[160]]))){_0xfb0bx12+=this[_0x7bc7[2589]]+_0x7bc7[192]};null!=this[_0x7bc7[2744]]&&(null!=this[_0x7bc7[2745]]&&0=_0xfb0bxa){_0xfb0bx18=_0xfb0bx18[_0x7bc7[238]](),_0xfb0bx18[_0x7bc7[513]](-_0xfb0bx3,0)}else {var _0xfb0bx19=Math[_0x7bc7[160]](0,_0xfb0bx16[_0x7bc7[235]]-x0),_0xfb0bx18=_0xfb0bx18[_0x7bc7[238]]();_0xfb0bx18[_0x7bc7[513]](-_0xfb0bx13*_0xfb0bx19,0)}};if(this[_0x7bc7[2766]]()){if(_0xfb0bx16[_0x7bc7[236]]>=_0xfb0bx12){_0xfb0bx18=_0xfb0bx18[_0x7bc7[238]](),_0xfb0bx18[_0x7bc7[513]](0,-_0xfb0bx4)}else {var _0xfb0bx1a=Math[_0x7bc7[160]](0,_0xfb0bx16[_0x7bc7[236]]-_0xfb0bx9),_0xfb0bx18=_0xfb0bx18[_0x7bc7[238]]();_0xfb0bx18[_0x7bc7[513]](0,-_0xfb0bx14*_0xfb0bx1a)}};_0xfb0bx18!=_0xfb0bx17[_0x7bc7[1721]](_0xfb0bx2)&&(_0xfb0bx17[_0x7bc7[1724]](_0xfb0bx2,_0xfb0bx18),_0xfb0bx15&&_0xfb0bx5[_0x7bc7[2508]](_0xfb0bx2))}finally{_0xfb0bx17[_0x7bc7[476]]()}}}};mxSpaceManager[_0x7bc7[202]][_0x7bc7[2769]]=function(_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[1703]](),_0xfb0bx4=_0xfb0bx3[_0x7bc7[502]]()[_0x7bc7[1197]](_0xfb0bx2[_0x7bc7[246]]),_0xfb0bx5=this[_0x7bc7[2766]](),_0xfb0bx9=this[_0x7bc7[2764]]();return _0xfb0bx3[_0x7bc7[2691]](_0xfb0bx2[_0x7bc7[235]]+(_0xfb0bx5?0:_0xfb0bx2[_0x7bc7[117]]),_0xfb0bx2[_0x7bc7[236]]+(_0xfb0bx5&&_0xfb0bx9?0:_0xfb0bx2[_0x7bc7[119]]),_0xfb0bx4,_0xfb0bx9,_0xfb0bx5)};mxSpaceManager[_0x7bc7[202]][_0x7bc7[515]]=function(){this[_0x7bc7[1178]](null)};function mxSwimlaneManager(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){this[_0x7bc7[662]]=null!=_0xfb0bx3?_0xfb0bx3:!0;this[_0x7bc7[2771]]=null!=_0xfb0bx4?_0xfb0bx4:!0;this[_0x7bc7[2772]]=null!=_0xfb0bx5?_0xfb0bx5:!0;this[_0x7bc7[2773]]=mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[994]]()&&this[_0x7bc7[2774]]()&&this[_0x7bc7[833]](_0xfb0bx3[_0x7bc7[720]](_0x7bc7[895]))});this[_0x7bc7[2758]]=mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[994]]()&&this[_0x7bc7[2775]]()&&this[_0x7bc7[837]](_0xfb0bx3[_0x7bc7[720]](_0x7bc7[895]))});this[_0x7bc7[1178]](_0xfb0bx2)}mxSwimlaneManager[_0x7bc7[202]]= new mxEventSource;mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[196]]=mxSwimlaneManager;mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[1179]]=null;mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[984]]= !0;mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[662]]= !0;mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[2771]]= !0;mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[2772]]= !0;mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[2773]]=null;mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[2758]]=null;mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[994]]=function(){return this[_0x7bc7[984]]};mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[995]]=function(_0xfb0bx2){this[_0x7bc7[984]]=_0xfb0bx2};mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[1737]]=function(){return this[_0x7bc7[662]]};mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[2776]]=function(_0xfb0bx2){this[_0x7bc7[662]]=_0xfb0bx2};mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[2774]]=function(){return this[_0x7bc7[2771]]};mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[2777]]=function(_0xfb0bx2){this[_0x7bc7[2771]]=_0xfb0bx2};mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[2775]]=function(){return this[_0x7bc7[2772]]};mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[2778]]=function(_0xfb0bx2){this[_0x7bc7[2772]]=_0xfb0bx2};mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[1703]]=function(){return this[_0x7bc7[1179]]};mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[1178]]=function(_0xfb0bx2){null!=this[_0x7bc7[1179]]&&(this[_0x7bc7[1179]][_0x7bc7[745]](this[_0x7bc7[2773]]),this[_0x7bc7[1179]][_0x7bc7[745]](this[_0x7bc7[2758]]));this[_0x7bc7[1179]]=_0xfb0bx2;null!=this[_0x7bc7[1179]]&&(this[_0x7bc7[1179]][_0x7bc7[169]](mxEvent.ADD_CELLS,this[_0x7bc7[2773]]),this[_0x7bc7[1179]][_0x7bc7[169]](mxEvent.CELLS_RESIZED,this[_0x7bc7[2758]]))};mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[2779]]=function(_0xfb0bx2){return !this[_0x7bc7[1703]]()[_0x7bc7[1730]](_0xfb0bx2)};mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[2780]]=function(_0xfb0bx2){if(this[_0x7bc7[1179]][_0x7bc7[1730]](_0xfb0bx2)){var _0xfb0bx3=this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx2);_0xfb0bx2=null!=_0xfb0bx3?_0xfb0bx3[_0x7bc7[124]]:this[_0x7bc7[1179]][_0x7bc7[1705]](_0xfb0bx2);return 1==mxUtils[_0x7bc7[433]](_0xfb0bx2,mxConstants.STYLE_HORIZONTAL,1)};return !this[_0x7bc7[1737]]()};mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[833]]=function(_0xfb0bx2){if(null!=_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[1703]]()[_0x7bc7[502]]();_0xfb0bx3[_0x7bc7[473]]();try{for(var _0xfb0bx4=0;_0xfb0bx4<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx4++){this[_0x7bc7[2779]](_0xfb0bx2[_0xfb0bx4])||this[_0x7bc7[2781]](_0xfb0bx2[_0xfb0bx4])}}finally{_0xfb0bx3[_0x7bc7[476]]()}}};mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[2781]]=function(_0xfb0bx2){for(var _0xfb0bx3=this[_0x7bc7[1703]]()[_0x7bc7[502]](),_0xfb0bx4=_0xfb0bx3[_0x7bc7[1197]](_0xfb0bx2),_0xfb0bx5=_0xfb0bx3[_0x7bc7[262]](_0xfb0bx4),_0xfb0bx9=null,_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx5;_0xfb0bxa++){var _0xfb0bx12=_0xfb0bx3[_0x7bc7[263]](_0xfb0bx4,_0xfb0bxa);if(_0xfb0bx12!=_0xfb0bx2&&!this[_0x7bc7[2779]](_0xfb0bx12)&&(_0xfb0bx9=_0xfb0bx3[_0x7bc7[1721]](_0xfb0bx12),null!=_0xfb0bx9)){break}};null!=_0xfb0bx9&&this[_0x7bc7[2782]](_0xfb0bx2,_0xfb0bx9[_0x7bc7[117]],_0xfb0bx9[_0x7bc7[119]])};mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[837]]=function(_0xfb0bx2){if(null!=_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[1703]]()[_0x7bc7[502]]();_0xfb0bx3[_0x7bc7[473]]();try{for(var _0xfb0bx4=0;_0xfb0bx4<_0xfb0bx2[_0x7bc7[67]];_0xfb0bx4++){if(!this[_0x7bc7[2779]](_0xfb0bx2[_0xfb0bx4])){var _0xfb0bx5=_0xfb0bx3[_0x7bc7[1721]](_0xfb0bx2[_0xfb0bx4]);if(null!=_0xfb0bx5){for(var _0xfb0bx9= new mxRectangle(0,0,_0xfb0bx5[_0x7bc7[117]],_0xfb0bx5[_0x7bc7[119]]),_0xfb0bxa=_0xfb0bx2[_0xfb0bx4],_0xfb0bx12=_0xfb0bxa;null!=_0xfb0bx12;){var _0xfb0bxa=_0xfb0bx12,_0xfb0bx12=_0xfb0bx3[_0x7bc7[1197]](_0xfb0bx12),_0xfb0bx13=this[_0x7bc7[1179]][_0x7bc7[1730]](_0xfb0bx12)?this[_0x7bc7[1179]][_0x7bc7[1731]](_0xfb0bx12): new mxRectangle;_0xfb0bx9[_0x7bc7[117]]+=_0xfb0bx13[_0x7bc7[117]];_0xfb0bx9[_0x7bc7[119]]+=_0xfb0bx13[_0x7bc7[119]]};this[_0x7bc7[2782]](_0xfb0bxa,_0xfb0bx9[_0x7bc7[117]],_0xfb0bx9[_0x7bc7[119]])}}}}finally{_0xfb0bx3[_0x7bc7[476]]()}}};mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[2782]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=this[_0x7bc7[1703]]()[_0x7bc7[502]]();_0xfb0bx5[_0x7bc7[473]]();try{if(!this[_0x7bc7[2779]](_0xfb0bx2)){var _0xfb0bx9=_0xfb0bx5[_0x7bc7[1721]](_0xfb0bx2);if(null!=_0xfb0bx9){var _0xfb0bxa=this[_0x7bc7[2780]](_0xfb0bx2);if(_0xfb0bxa&&_0xfb0bx9[_0x7bc7[119]]!=_0xfb0bx4||!_0xfb0bxa&&_0xfb0bx9[_0x7bc7[117]]!=_0xfb0bx3){_0xfb0bx9=_0xfb0bx9[_0x7bc7[238]](),_0xfb0bxa?_0xfb0bx9[_0x7bc7[119]]=_0xfb0bx4:_0xfb0bx9[_0x7bc7[117]]=_0xfb0bx3,_0xfb0bx5[_0x7bc7[1724]](_0xfb0bx2,_0xfb0bx9)}}};var _0xfb0bx12=this[_0x7bc7[1179]][_0x7bc7[1730]](_0xfb0bx2)?this[_0x7bc7[1179]][_0x7bc7[1731]](_0xfb0bx2): new mxRectangle;_0xfb0bx3-=_0xfb0bx12[_0x7bc7[117]];_0xfb0bx4-=_0xfb0bx12[_0x7bc7[119]];for(var _0xfb0bx13=_0xfb0bx5[_0x7bc7[262]](_0xfb0bx2),_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx13;_0xfb0bx9++){var _0xfb0bx14=_0xfb0bx5[_0x7bc7[263]](_0xfb0bx2,_0xfb0bx9);this[_0x7bc7[2782]](_0xfb0bx14,_0xfb0bx3,_0xfb0bx4)}}finally{_0xfb0bx5[_0x7bc7[476]]()}};mxSwimlaneManager[_0x7bc7[202]][_0x7bc7[515]]=function(){this[_0x7bc7[1178]](null)};function mxTemporaryCellStates(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){this[_0x7bc7[441]]=_0xfb0bx2;_0xfb0bx3=null!=_0xfb0bx3?_0xfb0bx3:1;this[_0x7bc7[2783]]=_0xfb0bx2[_0x7bc7[517]]();this[_0x7bc7[2784]]=_0xfb0bx2[_0x7bc7[2312]]();this[_0x7bc7[2785]]=_0xfb0bx2[_0x7bc7[518]]();_0xfb0bx2[_0x7bc7[1517]]( new mxDictionary);_0xfb0bx2[_0x7bc7[2262]](_0xfb0bx3);if(null!=_0xfb0bx4){_0xfb0bx3=_0xfb0bx2[_0x7bc7[1230]]( new mxCell);for(var _0xfb0bx5=0;_0xfb0bx5<_0xfb0bx4[_0x7bc7[67]];_0xfb0bx5++){_0xfb0bx2[_0x7bc7[2267]](_0xfb0bx3,_0xfb0bx4[_0xfb0bx5])};for(var _0xfb0bx9=null,_0xfb0bx5=0;_0xfb0bx5<_0xfb0bx4[_0x7bc7[67]];_0xfb0bx5++){var _0xfb0bxa=_0xfb0bx2[_0x7bc7[2268]](_0xfb0bx3,_0xfb0bx4[_0xfb0bx5]);null==_0xfb0bx9?_0xfb0bx9=_0xfb0bxa:_0xfb0bx9[_0x7bc7[99]](_0xfb0bxa)};null==_0xfb0bx9&&(_0xfb0bx9= new mxRectangle);_0xfb0bx2[_0x7bc7[2256]](_0xfb0bx9)}}mxTemporaryCellStates[_0x7bc7[202]][_0x7bc7[441]]=null;mxTemporaryCellStates[_0x7bc7[202]][_0x7bc7[2784]]=null;mxTemporaryCellStates[_0x7bc7[202]][_0x7bc7[2783]]=null;mxTemporaryCellStates[_0x7bc7[202]][_0x7bc7[2785]]=null;mxTemporaryCellStates[_0x7bc7[202]][_0x7bc7[515]]=function(){this[_0x7bc7[441]][_0x7bc7[2262]](this[_0x7bc7[2785]]);this[_0x7bc7[441]][_0x7bc7[1517]](this[_0x7bc7[2784]]);this[_0x7bc7[441]][_0x7bc7[2256]](this[_0x7bc7[2783]])};function mxCellStatePreview(_0xfb0bx2){this[_0x7bc7[1179]]=_0xfb0bx2;this[_0x7bc7[2786]]={}}mxCellStatePreview[_0x7bc7[202]][_0x7bc7[1179]]=null;mxCellStatePreview[_0x7bc7[202]][_0x7bc7[2786]]=null;mxCellStatePreview[_0x7bc7[202]][_0x7bc7[2787]]=0;mxCellStatePreview[_0x7bc7[202]][_0x7bc7[1107]]=function(){return 0==this[_0x7bc7[2787]]};mxCellStatePreview[_0x7bc7[202]][_0x7bc7[1194]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0xfb0bx5=null!=_0xfb0bx5?_0xfb0bx5:!0;_0xfb0bx9=null!=_0xfb0bx9?_0xfb0bx9:!0;var _0xfb0bxa=mxCellPath[_0x7bc7[385]](_0xfb0bx2[_0x7bc7[246]]),_0xfb0bx12=this[_0x7bc7[2786]][_0xfb0bxa];null==_0xfb0bx12?(_0xfb0bx12= new mxPoint(_0xfb0bx3,_0xfb0bx4),this[_0x7bc7[2786]][_0xfb0bxa]=_0xfb0bx12,this[_0x7bc7[2787]]++):_0xfb0bx5?(_0xfb0bx12[_0x7bc7[2788]]+=_0xfb0bx3,_0xfb0bx12[_0x7bc7[2789]]+=_0xfb0bx4):(_0xfb0bx12[_0x7bc7[2788]]=_0xfb0bx3,_0xfb0bx12[_0x7bc7[2789]]=_0xfb0bx4);_0xfb0bx9&&this[_0x7bc7[2790]](_0xfb0bx2);return _0xfb0bx12};mxCellStatePreview[_0x7bc7[202]][_0x7bc7[539]]=function(_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[1179]][_0x7bc7[502]](),_0xfb0bx4=_0xfb0bx3[_0x7bc7[501]](),_0xfb0bx5;for(_0xfb0bx5 in this[_0x7bc7[2786]]){var _0xfb0bx9=mxCellPath[_0x7bc7[2791]](_0xfb0bx4,_0xfb0bx5),_0xfb0bxa=this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx9),_0xfb0bx12=this[_0x7bc7[2786]][_0xfb0bx5],_0xfb0bx9=this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx3[_0x7bc7[1197]](_0xfb0bx9));this[_0x7bc7[2792]](_0xfb0bx9,_0xfb0bxa,_0xfb0bx12[_0x7bc7[235]],_0xfb0bx12[_0x7bc7[236]])};for(_0xfb0bx5 in this[_0x7bc7[2786]]){_0xfb0bx9=mxCellPath[_0x7bc7[2791]](_0xfb0bx4,_0xfb0bx5),_0xfb0bxa=this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx9),_0xfb0bx12=this[_0x7bc7[2786]][_0xfb0bx5],_0xfb0bx9=this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx3[_0x7bc7[1197]](_0xfb0bx9)),this[_0x7bc7[2793]](_0xfb0bx9,_0xfb0bxa,_0xfb0bx12[_0x7bc7[235]],_0xfb0bx12[_0x7bc7[236]],_0xfb0bx2)}};mxCellStatePreview[_0x7bc7[202]][_0x7bc7[2792]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){if(null!=_0xfb0bx3){var _0xfb0bx9=this[_0x7bc7[1179]][_0x7bc7[502]]();if(_0xfb0bx9[_0x7bc7[1193]](_0xfb0bx3[_0x7bc7[246]])){_0xfb0bx3[_0x7bc7[2080]]= !0;this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[2267]](_0xfb0bx2,_0xfb0bx3[_0x7bc7[246]]);_0xfb0bx2=_0xfb0bx9[_0x7bc7[1721]](_0xfb0bx3[_0x7bc7[246]]);var _0xfb0bxa=mxCellPath[_0x7bc7[385]](_0xfb0bx3[_0x7bc7[246]]);if((0!=_0xfb0bx4||0!=_0xfb0bx5)&&null!=_0xfb0bx2&&(!_0xfb0bx2[_0x7bc7[1500]]||null!=this[_0x7bc7[2786]][_0xfb0bxa])){_0xfb0bx3[_0x7bc7[235]]+=_0xfb0bx4,_0xfb0bx3[_0x7bc7[236]]+=_0xfb0bx5}};_0xfb0bx2=_0xfb0bx9[_0x7bc7[262]](_0xfb0bx3[_0x7bc7[246]]);for(_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx2;_0xfb0bxa++){this[_0x7bc7[2792]](_0xfb0bx3,this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx9[_0x7bc7[263]](_0xfb0bx3[_0x7bc7[246]],_0xfb0bxa)),_0xfb0bx4,_0xfb0bx5)}}};mxCellStatePreview[_0x7bc7[202]][_0x7bc7[2793]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){if(null!=_0xfb0bx3){_0xfb0bx3[_0x7bc7[2080]]= !0;this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[2268]](_0xfb0bx2,_0xfb0bx3[_0x7bc7[246]]);var _0xfb0bxa=mxCellPath[_0x7bc7[385]](_0xfb0bx3[_0x7bc7[246]]),_0xfb0bx12=this[_0x7bc7[1179]][_0x7bc7[502]](),_0xfb0bx13=this[_0x7bc7[1179]][_0x7bc7[1198]](_0xfb0bx3[_0x7bc7[246]]);if((0!=_0xfb0bx4||0!=_0xfb0bx5)&&null!=_0xfb0bx13&&_0xfb0bx13[_0x7bc7[1500]]&&_0xfb0bx12[_0x7bc7[1193]](_0xfb0bx3[_0x7bc7[246]])&&(null==_0xfb0bx2||_0xfb0bx12[_0x7bc7[1193]](_0xfb0bx2[_0x7bc7[246]])||null!=this[_0x7bc7[2786]][_0xfb0bxa])){_0xfb0bx3[_0x7bc7[235]]+=_0xfb0bx4,_0xfb0bx3[_0x7bc7[236]]+=_0xfb0bx5,this[_0x7bc7[1179]][_0x7bc7[259]][_0x7bc7[258]](_0xfb0bx3)};null!=_0xfb0bx9&&_0xfb0bx9(_0xfb0bx3);_0xfb0bx2=_0xfb0bx12[_0x7bc7[262]](_0xfb0bx3[_0x7bc7[246]]);for(_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx2;_0xfb0bxa++){this[_0x7bc7[2793]](_0xfb0bx3,this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx12[_0x7bc7[263]](_0xfb0bx3[_0x7bc7[246]],_0xfb0bxa)),_0xfb0bx4,_0xfb0bx5,_0xfb0bx9)}}};mxCellStatePreview[_0x7bc7[202]][_0x7bc7[2790]]=function(_0xfb0bx2){for(var _0xfb0bx3=this[_0x7bc7[1179]][_0x7bc7[502]](),_0xfb0bx4=_0xfb0bx3[_0x7bc7[1707]](_0xfb0bx2[_0x7bc7[246]]),_0xfb0bx5=0;_0xfb0bx5<_0xfb0bx4;_0xfb0bx5++){var _0xfb0bx9=this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx3[_0x7bc7[1708]](_0xfb0bx2[_0x7bc7[246]],_0xfb0bx5));null!=_0xfb0bx9&&this[_0x7bc7[1194]](_0xfb0bx9,0,0)}};function mxConnectionConstraint(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[2546]]=_0xfb0bx2;this[_0x7bc7[591]]=null!=_0xfb0bx3?_0xfb0bx3:!0}mxConnectionConstraint[_0x7bc7[202]][_0x7bc7[2546]]=null;mxConnectionConstraint[_0x7bc7[202]][_0x7bc7[591]]=null;function mxGraphHandler(_0xfb0bx2){this[_0x7bc7[1179]]=_0xfb0bx2;this[_0x7bc7[1179]][_0x7bc7[1133]](this);this[_0x7bc7[2730]]=mxUtils[_0x7bc7[885]](this,function(){this[_0x7bc7[2794]]()});this[_0x7bc7[1179]][_0x7bc7[169]](mxEvent.PAN,this[_0x7bc7[2730]])}mxGraphHandler[_0x7bc7[202]][_0x7bc7[1179]]=null;mxGraphHandler[_0x7bc7[202]][_0x7bc7[2795]]=mxClient[_0x7bc7[80]]?20:50;mxGraphHandler[_0x7bc7[202]][_0x7bc7[984]]= !0;mxGraphHandler[_0x7bc7[202]][_0x7bc7[2796]]= !0;mxGraphHandler[_0x7bc7[202]][_0x7bc7[2797]]= !0;mxGraphHandler[_0x7bc7[202]][_0x7bc7[2798]]= !0;mxGraphHandler[_0x7bc7[202]][_0x7bc7[990]]= !1;mxGraphHandler[_0x7bc7[202]][_0x7bc7[2799]]=null;mxGraphHandler[_0x7bc7[202]][_0x7bc7[2800]]=null;mxGraphHandler[_0x7bc7[202]][_0x7bc7[2801]]=null;mxGraphHandler[_0x7bc7[202]][_0x7bc7[2802]]= !0;mxGraphHandler[_0x7bc7[202]][_0x7bc7[2803]]= !0;mxGraphHandler[_0x7bc7[202]][_0x7bc7[846]]= !0;mxGraphHandler[_0x7bc7[202]][_0x7bc7[2804]]= !1;mxGraphHandler[_0x7bc7[202]][_0x7bc7[2805]]= !0;mxGraphHandler[_0x7bc7[202]][_0x7bc7[923]]=6;mxGraphHandler[_0x7bc7[202]][_0x7bc7[2806]]=_0x7bc7[586];mxGraphHandler[_0x7bc7[202]][_0x7bc7[2807]]= !1;mxGraphHandler[_0x7bc7[202]][_0x7bc7[253]]=null;mxGraphHandler[_0x7bc7[202]][_0x7bc7[2808]]= !1;mxGraphHandler[_0x7bc7[202]][_0x7bc7[2809]]= !0;mxGraphHandler[_0x7bc7[202]][_0x7bc7[994]]=function(){return this[_0x7bc7[984]]};mxGraphHandler[_0x7bc7[202]][_0x7bc7[995]]=function(_0xfb0bx2){this[_0x7bc7[984]]=_0xfb0bx2};mxGraphHandler[_0x7bc7[202]][_0x7bc7[2810]]=function(){return this[_0x7bc7[2797]]};mxGraphHandler[_0x7bc7[202]][_0x7bc7[2811]]=function(_0xfb0bx2){this[_0x7bc7[2797]]=_0xfb0bx2};mxGraphHandler[_0x7bc7[202]][_0x7bc7[2812]]=function(){return this[_0x7bc7[2798]]};mxGraphHandler[_0x7bc7[202]][_0x7bc7[2813]]=function(_0xfb0bx2){this[_0x7bc7[2798]]=_0xfb0bx2};mxGraphHandler[_0x7bc7[202]][_0x7bc7[2814]]=function(){return this[_0x7bc7[2803]]};mxGraphHandler[_0x7bc7[202]][_0x7bc7[2815]]=function(_0xfb0bx2){this[_0x7bc7[2803]]=_0xfb0bx2};mxGraphHandler[_0x7bc7[202]][_0x7bc7[2816]]=function(){return this[_0x7bc7[846]]};mxGraphHandler[_0x7bc7[202]][_0x7bc7[2817]]=function(_0xfb0bx2){this[_0x7bc7[846]]=_0xfb0bx2};mxGraphHandler[_0x7bc7[202]][_0x7bc7[2818]]=function(_0xfb0bx2){return _0xfb0bx2[_0x7bc7[736]]()};mxGraphHandler[_0x7bc7[202]][_0x7bc7[2819]]=function(_0xfb0bx2){return this[_0x7bc7[1179]][_0x7bc7[2692]](_0xfb0bx2)};mxGraphHandler[_0x7bc7[202]][_0x7bc7[787]]=function(_0xfb0bx2,_0xfb0bx3){if(!_0xfb0bx3[_0x7bc7[721]]()&&this[_0x7bc7[994]]()&&this[_0x7bc7[1179]][_0x7bc7[994]]()&&!this[_0x7bc7[1179]][_0x7bc7[2579]](_0xfb0bx3[_0x7bc7[727]]())&&null!=_0xfb0bx3[_0x7bc7[248]]()){var _0xfb0bx4=this[_0x7bc7[2818]](_0xfb0bx3);this[_0x7bc7[246]]=null;this[_0x7bc7[2820]]=this[_0x7bc7[2819]](_0xfb0bx4);this[_0x7bc7[2814]]()&&!this[_0x7bc7[2820]]&&this[_0x7bc7[1179]][_0x7bc7[2459]](_0xfb0bx4,_0xfb0bx3[_0x7bc7[727]]());if(this[_0x7bc7[2812]]()){var _0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[251]],_0xfb0bx9=_0xfb0bx5[_0x7bc7[1721]](_0xfb0bx4);this[_0x7bc7[1179]][_0x7bc7[1712]](_0xfb0bx4)&&(!_0xfb0bx5[_0x7bc7[250]](_0xfb0bx4)||1_0xfb0bx5||Math[_0x7bc7[425]](_0xfb0bxa)>_0xfb0bx5){null==this[_0x7bc7[1016]]&&(this[_0x7bc7[1016]]= new mxCellHighlight(this[_0x7bc7[1179]],mxConstants.DROP_TARGET_COLOR,3));null==this[_0x7bc7[253]]&&(this[_0x7bc7[253]]=this[_0x7bc7[2825]](this[_0x7bc7[1562]]));var _0xfb0bx12=_0xfb0bx4[_0x7bc7[1018]](_0xfb0bx3[_0x7bc7[727]]()),_0xfb0bx5=!0;if(null!=this[_0x7bc7[2799]]&&this[_0x7bc7[2827]](_0xfb0bx3)){_0xfb0bxa=this[_0x7bc7[2799]][_0x7bc7[582]](this[_0x7bc7[1562]], new mxPoint(_0xfb0bx9,_0xfb0bxa),_0xfb0bx12),_0xfb0bx5= !1,_0xfb0bx9=_0xfb0bxa[_0x7bc7[235]],_0xfb0bxa=_0xfb0bxa[_0x7bc7[236]]}else {if(_0xfb0bx12){var _0xfb0bx13=_0xfb0bx4[_0x7bc7[249]]()[_0x7bc7[513]],_0xfb0bx14=_0xfb0bx4[_0x7bc7[249]]()[_0x7bc7[255]],_0xfb0bx12=this[_0x7bc7[1562]][_0x7bc7[235]]-(_0xfb0bx4[_0x7bc7[1021]](this[_0x7bc7[1562]][_0x7bc7[235]]/_0xfb0bx14-_0xfb0bx13[_0x7bc7[235]])+_0xfb0bx13[_0x7bc7[235]])*_0xfb0bx14,_0xfb0bx13=this[_0x7bc7[1562]][_0x7bc7[236]]-(_0xfb0bx4[_0x7bc7[1021]](this[_0x7bc7[1562]][_0x7bc7[236]]/_0xfb0bx14-_0xfb0bx13[_0x7bc7[236]])+_0xfb0bx13[_0x7bc7[236]])*_0xfb0bx14,_0xfb0bxa=this[_0x7bc7[1021]]( new mxPoint(_0xfb0bx9,_0xfb0bxa)),_0xfb0bx9=_0xfb0bxa[_0x7bc7[235]]-_0xfb0bx12,_0xfb0bxa=_0xfb0bxa[_0x7bc7[236]]-_0xfb0bx13}};null!=this[_0x7bc7[2799]]&&_0xfb0bx5&&this[_0x7bc7[2799]][_0x7bc7[801]]();_0xfb0bx4[_0x7bc7[2578]](_0xfb0bx3[_0x7bc7[727]]())&&(Math[_0x7bc7[425]](_0xfb0bx9)>Math[_0x7bc7[425]](_0xfb0bxa)?_0xfb0bxa=0:_0xfb0bx9=0);this[_0x7bc7[2800]]=_0xfb0bx9;this[_0x7bc7[2801]]=_0xfb0bxa;this[_0x7bc7[2794]]();_0xfb0bx5=null;_0xfb0bxa=_0xfb0bx3[_0x7bc7[736]]();_0xfb0bx4[_0x7bc7[1015]]()&&this[_0x7bc7[2796]]&&(_0xfb0bx5=_0xfb0bx4[_0x7bc7[564]](this[_0x7bc7[895]],_0xfb0bx3[_0x7bc7[727]](),_0xfb0bxa));_0xfb0bx12=_0xfb0bx5;for(_0xfb0bx13=_0xfb0bx4[_0x7bc7[502]]();null!=_0xfb0bx12&&_0xfb0bx12!=this[_0x7bc7[895]][0];){_0xfb0bx12=_0xfb0bx13[_0x7bc7[1197]](_0xfb0bx12)};var _0xfb0bx14=_0xfb0bx4[_0x7bc7[2574]](_0xfb0bx3[_0x7bc7[727]]())&&_0xfb0bx4[_0x7bc7[2617]]()&&this[_0x7bc7[2810]](),_0xfb0bx9=_0xfb0bx4[_0x7bc7[249]]()[_0x7bc7[248]](_0xfb0bx5),_0xfb0bx15=!1;null!=_0xfb0bx9&&null==_0xfb0bx12&&(_0xfb0bx13[_0x7bc7[1197]](this[_0x7bc7[246]])!=_0xfb0bx5||_0xfb0bx14)?(this[_0x7bc7[772]]!=_0xfb0bx5&&(this[_0x7bc7[772]]=_0xfb0bx5,this[_0x7bc7[2828]](mxConstants.DROP_TARGET_COLOR)),_0xfb0bx15= !0):(this[_0x7bc7[772]]=null,this[_0x7bc7[2804]]&&(null!=_0xfb0bxa&&1==this[_0x7bc7[895]][_0x7bc7[67]]&&_0xfb0bx4[_0x7bc7[502]]()[_0x7bc7[1193]](_0xfb0bxa)&&_0xfb0bx4[_0x7bc7[2565]](_0xfb0bxa))&&(_0xfb0bx9=_0xfb0bx4[_0x7bc7[249]]()[_0x7bc7[248]](_0xfb0bxa),null!=_0xfb0bx9&&(_0xfb0bx4=null==_0xfb0bx4[_0x7bc7[2500]](null,this[_0x7bc7[246]],_0xfb0bxa)?mxConstants[_0x7bc7[2829]]:mxConstants[_0x7bc7[2830]],this[_0x7bc7[2828]](_0xfb0bx4),_0xfb0bx15= !0)));null!=_0xfb0bx9&&_0xfb0bx15?this[_0x7bc7[1016]][_0x7bc7[1016]](_0xfb0bx9):this[_0x7bc7[1016]][_0x7bc7[801]]()};_0xfb0bx3[_0x7bc7[722]]();mxEvent[_0x7bc7[722]](_0xfb0bx3[_0x7bc7[727]]())}else {if((this[_0x7bc7[2812]]()||this[_0x7bc7[2810]]())&&this[_0x7bc7[2802]]&&!_0xfb0bx3[_0x7bc7[721]]()&&null!=_0xfb0bx3[_0x7bc7[248]]()&&!_0xfb0bx4[_0x7bc7[1009]]){_0xfb0bx9=_0xfb0bx4[_0x7bc7[2599]](_0xfb0bx3[_0x7bc7[736]]()),null==_0xfb0bx9&&(_0xfb0bx4[_0x7bc7[994]]()&&_0xfb0bx4[_0x7bc7[1712]](_0xfb0bx3[_0x7bc7[736]]()))&&(_0xfb0bx9=_0xfb0bx4[_0x7bc7[502]]()[_0x7bc7[250]](_0xfb0bx3[_0x7bc7[736]]())?mxConstants[_0x7bc7[2831]]:mxConstants[_0x7bc7[2832]]),_0xfb0bx3[_0x7bc7[248]]()[_0x7bc7[1609]](_0xfb0bx9),_0xfb0bx3[_0x7bc7[722]]()}}};mxGraphHandler[_0x7bc7[202]][_0x7bc7[2794]]=function(){null!=this[_0x7bc7[253]]&&(this[_0x7bc7[253]][_0x7bc7[1562]]= new mxRectangle(Math[_0x7bc7[488]](this[_0x7bc7[2826]][_0x7bc7[235]]+this[_0x7bc7[2800]]-this[_0x7bc7[1179]][_0x7bc7[1136]]),Math[_0x7bc7[488]](this[_0x7bc7[2826]][_0x7bc7[236]]+this[_0x7bc7[2801]]-this[_0x7bc7[1179]][_0x7bc7[1137]]),this[_0x7bc7[2826]][_0x7bc7[117]],this[_0x7bc7[2826]][_0x7bc7[119]]),this[_0x7bc7[253]][_0x7bc7[258]]())};mxGraphHandler[_0x7bc7[202]][_0x7bc7[2828]]=function(_0xfb0bx2){null!=this[_0x7bc7[1016]]&&this[_0x7bc7[1016]][_0x7bc7[2828]](_0xfb0bx2)};mxGraphHandler[_0x7bc7[202]][_0x7bc7[789]]=function(_0xfb0bx2,_0xfb0bx3){if(!_0xfb0bx3[_0x7bc7[721]]()){var _0xfb0bx4=this[_0x7bc7[1179]];if(null!=this[_0x7bc7[246]]&&null!=this[_0x7bc7[2823]]&&null!=this[_0x7bc7[253]]&&null!=this[_0x7bc7[2800]]&&null!=this[_0x7bc7[2801]]){var _0xfb0bx5=_0xfb0bx4[_0x7bc7[249]]()[_0x7bc7[255]],_0xfb0bx9=_0xfb0bx4[_0x7bc7[2574]](_0xfb0bx3[_0x7bc7[727]]())&&_0xfb0bx4[_0x7bc7[2617]]()&&this[_0x7bc7[2810]](),_0xfb0bxa=this[_0x7bc7[2800]]/_0xfb0bx5,_0xfb0bx5=this[_0x7bc7[2801]]/_0xfb0bx5,_0xfb0bx12=_0xfb0bx3[_0x7bc7[736]]();this[_0x7bc7[2804]]&&null==this[_0x7bc7[772]]&&null!=_0xfb0bx12&&_0xfb0bx4[_0x7bc7[502]]()[_0x7bc7[1193]](_0xfb0bx12)&&_0xfb0bx4[_0x7bc7[2565]](_0xfb0bx12)&&_0xfb0bx4[_0x7bc7[2581]](null,this[_0x7bc7[246]],_0xfb0bx12)?_0xfb0bx4[_0x7bc7[2433]][_0x7bc7[807]](this[_0x7bc7[246]],_0xfb0bx12,_0xfb0bx3[_0x7bc7[727]]()):(_0xfb0bx12=this[_0x7bc7[772]],_0xfb0bx4[_0x7bc7[2655]]()&&_0xfb0bx4[_0x7bc7[2686]](_0xfb0bx12,this[_0x7bc7[895]],_0xfb0bx3[_0x7bc7[727]]())?_0xfb0bx4[_0x7bc7[853]](_0xfb0bx12,this[_0x7bc7[895]],null,_0xfb0bxa,_0xfb0bx5):this[_0x7bc7[834]](this[_0x7bc7[895]],_0xfb0bxa,_0xfb0bx5,_0xfb0bx9,this[_0x7bc7[772]],_0xfb0bx3[_0x7bc7[727]]()))}else {this[_0x7bc7[2814]]()&&(this[_0x7bc7[2820]]&&null!=this[_0x7bc7[246]])&&this[_0x7bc7[2833]](_0xfb0bx3)}};this[_0x7bc7[2821]]&&_0xfb0bx3[_0x7bc7[722]]();this[_0x7bc7[862]]()};mxGraphHandler[_0x7bc7[202]][_0x7bc7[2833]]=function(_0xfb0bx2){this[_0x7bc7[1179]][_0x7bc7[2459]](this[_0x7bc7[246]],_0xfb0bx2[_0x7bc7[727]]())};mxGraphHandler[_0x7bc7[202]][_0x7bc7[862]]=function(){this[_0x7bc7[2834]]();this[_0x7bc7[2820]]=this[_0x7bc7[2821]]= !1;this[_0x7bc7[772]]=this[_0x7bc7[246]]=this[_0x7bc7[2823]]=this[_0x7bc7[2835]]=this[_0x7bc7[2801]]=this[_0x7bc7[2800]]=null};mxGraphHandler[_0x7bc7[202]][_0x7bc7[2836]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){if(this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[1193]](_0xfb0bx2)){_0xfb0bx2=this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[248]](_0xfb0bx2);_0xfb0bx4=mxUtils[_0x7bc7[2196]](this[_0x7bc7[1179]][_0x7bc7[526]],mxEvent[_0x7bc7[731]](_0xfb0bx4),mxEvent[_0x7bc7[733]](_0xfb0bx4));var _0xfb0bx5=mxUtils[_0x7bc7[431]](mxUtils[_0x7bc7[433]](_0xfb0bx2[_0x7bc7[124]],mxConstants.STYLE_ROTATION)||0);if(0!=_0xfb0bx5){_0xfb0bx3=Math[_0x7bc7[426]](-_0xfb0bx5);var _0xfb0bx5=Math[_0x7bc7[427]](-_0xfb0bx5),_0xfb0bx9= new mxPoint(_0xfb0bx2[_0x7bc7[241]](),_0xfb0bx2[_0x7bc7[242]]());_0xfb0bx4=mxUtils[_0x7bc7[432]](_0xfb0bx4,_0xfb0bx3,_0xfb0bx5,_0xfb0bx9)};return null!=_0xfb0bx2&&!mxUtils[_0x7bc7[442]](_0xfb0bx2,_0xfb0bx4[_0x7bc7[235]],_0xfb0bx4[_0x7bc7[236]])};return !1};mxGraphHandler[_0x7bc7[202]][_0x7bc7[834]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa){_0xfb0bx5&&(_0xfb0bx2=this[_0x7bc7[1179]][_0x7bc7[2615]](_0xfb0bx2));null==_0xfb0bx9&&(this[_0x7bc7[2816]]()&&this[_0x7bc7[2836]](this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[1197]](this[_0x7bc7[246]]),_0xfb0bx2,_0xfb0bxa))&&(_0xfb0bx9=this[_0x7bc7[1179]][_0x7bc7[902]]());_0xfb0bx2=this[_0x7bc7[1179]][_0x7bc7[834]](_0xfb0bx2,_0xfb0bx3-this[_0x7bc7[1179]][_0x7bc7[1136]]/this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[255]],_0xfb0bx4-this[_0x7bc7[1179]][_0x7bc7[1137]]/this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[255]],_0xfb0bx5,_0xfb0bx9,_0xfb0bxa);this[_0x7bc7[2814]]()&&this[_0x7bc7[2805]]&&this[_0x7bc7[1179]][_0x7bc7[2564]](_0xfb0bx2[0]);_0xfb0bx5&&this[_0x7bc7[1179]][_0x7bc7[904]](_0xfb0bx2)};mxGraphHandler[_0x7bc7[202]][_0x7bc7[2834]]=function(){null!=this[_0x7bc7[253]]&&(this[_0x7bc7[253]][_0x7bc7[515]](),this[_0x7bc7[253]]=null);null!=this[_0x7bc7[2799]]&&(this[_0x7bc7[2799]][_0x7bc7[515]](),this[_0x7bc7[2799]]=null);null!=this[_0x7bc7[1016]]&&(this[_0x7bc7[1016]][_0x7bc7[515]](),this[_0x7bc7[1016]]=null)};mxGraphHandler[_0x7bc7[202]][_0x7bc7[515]]=function(){this[_0x7bc7[1179]][_0x7bc7[1148]](this);this[_0x7bc7[1179]][_0x7bc7[745]](this[_0x7bc7[2730]]);this[_0x7bc7[2834]]()};function mxPanningHandler(_0xfb0bx2,_0xfb0bx3){null!=_0xfb0bx2&&(this[_0x7bc7[1179]]=_0xfb0bx2,this[_0x7bc7[1033]]=_0xfb0bx3,this[_0x7bc7[1179]][_0x7bc7[1133]](this),this[_0x7bc7[176]]())}mxPanningHandler[_0x7bc7[202]]= new mxPopupMenu;mxPanningHandler[_0x7bc7[202]][_0x7bc7[196]]=mxPanningHandler;mxPanningHandler[_0x7bc7[202]][_0x7bc7[1179]]=null;mxPanningHandler[_0x7bc7[202]][_0x7bc7[2837]]=null;mxPanningHandler[_0x7bc7[202]][_0x7bc7[2838]]=null;mxPanningHandler[_0x7bc7[202]][_0x7bc7[2839]]= !0;mxPanningHandler[_0x7bc7[202]][_0x7bc7[2840]]= !1;mxPanningHandler[_0x7bc7[202]][_0x7bc7[2841]]= !0;mxPanningHandler[_0x7bc7[202]][_0x7bc7[2842]]= !0;mxPanningHandler[_0x7bc7[202]][_0x7bc7[2843]]= !1;mxPanningHandler[_0x7bc7[202]][_0x7bc7[2844]]= !0;mxPanningHandler[_0x7bc7[202]][_0x7bc7[2845]]= !1;mxPanningHandler[_0x7bc7[202]][_0x7bc7[2431]]= !0;mxPanningHandler[_0x7bc7[202]][_0x7bc7[2846]]=function(){return this[_0x7bc7[2431]]};mxPanningHandler[_0x7bc7[202]][_0x7bc7[2847]]=function(_0xfb0bx2){this[_0x7bc7[2431]]=_0xfb0bx2};mxPanningHandler[_0x7bc7[202]][_0x7bc7[176]]=function(){mxPopupMenu[_0x7bc7[202]][_0x7bc7[176]][_0x7bc7[183]](this);mxEvent[_0x7bc7[169]](this[_0x7bc7[485]],mxClient[_0x7bc7[754]]?_0x7bc7[756]:_0x7bc7[752],mxUtils[_0x7bc7[885]](this,function(_0xfb0bx2){this[_0x7bc7[1179]][_0x7bc7[2276]][_0x7bc7[801]]()}))};mxPanningHandler[_0x7bc7[202]][_0x7bc7[2848]]=function(_0xfb0bx2){var _0xfb0bx3=_0xfb0bx2[_0x7bc7[727]]();return this[_0x7bc7[2840]]&&(this[_0x7bc7[2843]]||null==_0xfb0bx2[_0x7bc7[248]]())&&mxEvent[_0x7bc7[1158]](_0xfb0bx3)||mxEvent[_0x7bc7[775]](_0xfb0bx3)&&mxEvent[_0x7bc7[774]](_0xfb0bx3)||this[_0x7bc7[2839]]&&mxEvent[_0x7bc7[737]](_0xfb0bx3)};mxPanningHandler[_0x7bc7[202]][_0x7bc7[787]]=function(_0xfb0bx2,_0xfb0bx3){if(!_0xfb0bx3[_0x7bc7[721]]()&&this[_0x7bc7[994]]()){this[_0x7bc7[1032]]();this[_0x7bc7[2741]]=-this[_0x7bc7[1179]][_0x7bc7[526]][_0x7bc7[360]];this[_0x7bc7[2742]]=-this[_0x7bc7[1179]][_0x7bc7[526]][_0x7bc7[190]];var _0xfb0bx4=mxUtils[_0x7bc7[2196]](this[_0x7bc7[1179]][_0x7bc7[526]],_0xfb0bx3[_0x7bc7[730]](),_0xfb0bx3[_0x7bc7[732]]());this[_0x7bc7[2837]]=_0xfb0bx4[_0x7bc7[235]];this[_0x7bc7[2838]]=_0xfb0bx4[_0x7bc7[236]];this[_0x7bc7[2849]]=this[_0x7bc7[737]](_0xfb0bx3);this[_0x7bc7[2850]]=this[_0x7bc7[2846]]()&&this[_0x7bc7[2848]](_0xfb0bx3);this[_0x7bc7[2739]]=_0xfb0bx3[_0x7bc7[730]]();this[_0x7bc7[2740]]=_0xfb0bx3[_0x7bc7[732]]();this[_0x7bc7[2850]]&&this[_0x7bc7[2851]](_0xfb0bx3)}};mxPanningHandler[_0x7bc7[202]][_0x7bc7[2851]]=function(_0xfb0bx2){_0xfb0bx2[_0x7bc7[722]]()};mxPanningHandler[_0x7bc7[202]][_0x7bc7[788]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=_0xfb0bx3[_0x7bc7[730]]()-this[_0x7bc7[2739]],_0xfb0bx5=_0xfb0bx3[_0x7bc7[732]]()-this[_0x7bc7[2740]];if(this[_0x7bc7[1124]]){this[_0x7bc7[2844]]&&(this[_0x7bc7[2845]]&&(_0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[1021]](_0xfb0bx4),_0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[1021]](_0xfb0bx5)),this[_0x7bc7[1179]][_0x7bc7[1135]](_0xfb0bx4+this[_0x7bc7[2741]],_0xfb0bx5+this[_0x7bc7[2742]])),this[_0x7bc7[746]]( new mxEventObject(mxEvent.PAN,_0x7bc7[763],_0xfb0bx3)),_0xfb0bx3[_0x7bc7[722]]()}else {if(this[_0x7bc7[2850]]){var _0xfb0bx9=this[_0x7bc7[1124]];this[_0x7bc7[1124]]=Math[_0x7bc7[425]](_0xfb0bx4)>this[_0x7bc7[1179]][_0x7bc7[2353]]||Math[_0x7bc7[425]](_0xfb0bx5)>this[_0x7bc7[1179]][_0x7bc7[2353]];!_0xfb0bx9&&this[_0x7bc7[1124]]&&this[_0x7bc7[746]]( new mxEventObject(mxEvent.PAN_START,_0x7bc7[763],_0xfb0bx3))}}};mxPanningHandler[_0x7bc7[202]][_0x7bc7[789]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=Math[_0x7bc7[425]](_0xfb0bx3[_0x7bc7[730]]()-this[_0x7bc7[2739]]),_0xfb0bx5=Math[_0x7bc7[425]](_0xfb0bx3[_0x7bc7[732]]()-this[_0x7bc7[2740]]);if(this[_0x7bc7[1124]]){if(!this[_0x7bc7[1179]][_0x7bc7[2365]]||!mxUtils[_0x7bc7[1134]](this[_0x7bc7[1179]][_0x7bc7[526]])){_0xfb0bx4=_0xfb0bx3[_0x7bc7[730]]()-this[_0x7bc7[2739]];_0xfb0bx5=_0xfb0bx3[_0x7bc7[732]]()-this[_0x7bc7[2740]];this[_0x7bc7[2845]]&&(_0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[1021]](_0xfb0bx4),_0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[1021]](_0xfb0bx5));var _0xfb0bx9=this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[255]],_0xfb0bxa=this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[513]];this[_0x7bc7[1179]][_0x7bc7[1135]](0,0);this[_0x7bc7[1135]](_0xfb0bxa[_0x7bc7[235]]+_0xfb0bx4/_0xfb0bx9,_0xfb0bxa[_0x7bc7[236]]+_0xfb0bx5/_0xfb0bx9)};this[_0x7bc7[1124]]= !1;this[_0x7bc7[746]]( new mxEventObject(mxEvent.PAN_END,_0x7bc7[763],_0xfb0bx3));_0xfb0bx3[_0x7bc7[722]]()}else {this[_0x7bc7[2849]]&&(_0xfb0bx4this[_0x7bc7[1179]][_0x7bc7[2353]]||_0xfb0bx12>this[_0x7bc7[1179]][_0x7bc7[2353]])){this[_0x7bc7[253]]=this[_0x7bc7[2156]](),this[_0x7bc7[2928]](_0xfb0bx3)};null!=this[_0x7bc7[253]]&&(null!=this[_0x7bc7[2897]]?this[_0x7bc7[253]][_0x7bc7[1525]]=this[_0x7bc7[2897]][_0x7bc7[439]]:(_0xfb0bx4=[_0xfb0bxa],null!=this[_0x7bc7[2922]]&&(_0xfb0bx4=_0xfb0bx4[_0x7bc7[1919]](this[_0x7bc7[2922]])),_0xfb0bx4[_0x7bc7[207]](_0xfb0bx9),this[_0x7bc7[253]][_0x7bc7[1525]]=_0xfb0bx4),this[_0x7bc7[2932]]());mxEvent[_0x7bc7[722]](_0xfb0bx3[_0x7bc7[727]]());_0xfb0bx3[_0x7bc7[722]]()}else {!this[_0x7bc7[994]]()||!this[_0x7bc7[1179]][_0x7bc7[994]]()?this[_0x7bc7[2886]][_0x7bc7[862]]():this[_0x7bc7[257]]!=this[_0x7bc7[2915]]&&null==this[_0x7bc7[2897]]?(this[_0x7bc7[2906]](this[_0x7bc7[2904]]),this[_0x7bc7[2904]]=null,null!=this[_0x7bc7[2915]]&&null==this[_0x7bc7[267]]&&(this[_0x7bc7[2904]]=this[_0x7bc7[2913]](this[_0x7bc7[2915]]),null==this[_0x7bc7[2904]]&&(this[_0x7bc7[2915]][_0x7bc7[1609]](mxConstants.CURSOR_CONNECT),_0xfb0bx3[_0x7bc7[722]]())),this[_0x7bc7[257]]=this[_0x7bc7[2915]]):this[_0x7bc7[257]]==this[_0x7bc7[2915]]&&(null!=this[_0x7bc7[2915]]&&null==this[_0x7bc7[2904]]&&!this[_0x7bc7[1179]][_0x7bc7[1009]])&&_0xfb0bx3[_0x7bc7[722]]()};null!=this[_0x7bc7[2886]][_0x7bc7[2920]]&&this[_0x7bc7[2885]][_0x7bc7[862]]();if(!this[_0x7bc7[1179]][_0x7bc7[1009]]&&null!=this[_0x7bc7[2915]]&&null!=this[_0x7bc7[2904]]){_0xfb0bx4= !1;_0xfb0bxa=_0xfb0bx3[_0x7bc7[728]]();for(_0xfb0bx9=0;_0xfb0bx9this[_0x7bc7[1179]][_0x7bc7[2353]]||_0xfb0bx3>this[_0x7bc7[1179]][_0x7bc7[2353]])){null==this[_0x7bc7[2922]]&&(this[_0x7bc7[2922]]=[]),_0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[255]],_0xfb0bx3= new mxPoint(this[_0x7bc7[1179]][_0x7bc7[1021]](_0xfb0bx2[_0x7bc7[734]]()/_0xfb0bx4)*_0xfb0bx4,this[_0x7bc7[1179]][_0x7bc7[1021]](_0xfb0bx2[_0x7bc7[735]]()/_0xfb0bx4)*_0xfb0bx4),this[_0x7bc7[2922]][_0x7bc7[207]](_0xfb0bx3)}};mxConnectionHandler[_0x7bc7[202]][_0x7bc7[789]]=function(_0xfb0bx2,_0xfb0bx3){if(!_0xfb0bx3[_0x7bc7[721]]()&&this[_0x7bc7[2908]]()){if(this[_0x7bc7[2887]]&&!this[_0x7bc7[2934]](_0xfb0bx3)){this[_0x7bc7[2935]](_0xfb0bx3);_0xfb0bx3[_0x7bc7[722]]();return};if(null==this[_0x7bc7[267]]){var _0xfb0bx4=null!=this[_0x7bc7[257]]?this[_0x7bc7[257]][_0x7bc7[246]]:null,_0xfb0bx5=null;null!=this[_0x7bc7[2886]][_0x7bc7[2920]]&&null!=this[_0x7bc7[2886]][_0x7bc7[2919]]&&(_0xfb0bx5=this[_0x7bc7[2886]][_0x7bc7[2919]][_0x7bc7[246]]);null==_0xfb0bx5&&this[_0x7bc7[2885]][_0x7bc7[2867]]()&&(_0xfb0bx5=this[_0x7bc7[2885]][_0x7bc7[2861]][_0x7bc7[246]]);this[_0x7bc7[807]](_0xfb0bx4,_0xfb0bx5,_0xfb0bx3[_0x7bc7[727]](),_0xfb0bx3[_0x7bc7[736]]())}else {null!=this[_0x7bc7[257]]&&(null!=this[_0x7bc7[2885]][_0x7bc7[2861]]&&this[_0x7bc7[257]][_0x7bc7[246]]==this[_0x7bc7[2885]][_0x7bc7[2861]][_0x7bc7[246]])&&this[_0x7bc7[1179]][_0x7bc7[2459]](this[_0x7bc7[2885]][_0x7bc7[1097]],evt),0_0xfb0bx12||Math[_0x7bc7[425]](_0xfb0bxa)>_0xfb0bx12){null==this[_0x7bc7[485]]&&(this[_0x7bc7[485]]=this[_0x7bc7[2156]]()),mxUtils[_0x7bc7[2440]](),this[_0x7bc7[2729]](_0xfb0bx5,_0xfb0bx4),_0xfb0bx3[_0x7bc7[722]]()}}};mxRubberband[_0x7bc7[202]][_0x7bc7[2156]]=function(){null==this[_0x7bc7[2952]]&&(this[_0x7bc7[2952]]=document[_0x7bc7[55]](_0x7bc7[485]),this[_0x7bc7[2952]][_0x7bc7[926]]=_0x7bc7[2956],mxUtils[_0x7bc7[254]](this[_0x7bc7[2952]],this[_0x7bc7[2951]]));this[_0x7bc7[1179]][_0x7bc7[526]][_0x7bc7[62]](this[_0x7bc7[2952]]);return this[_0x7bc7[2952]]};mxRubberband[_0x7bc7[202]][_0x7bc7[789]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=null!=this[_0x7bc7[485]];this[_0x7bc7[862]]();_0xfb0bx4&&(_0xfb0bx4= new mxRectangle(this[_0x7bc7[235]],this[_0x7bc7[236]],this[_0x7bc7[117]],this[_0x7bc7[119]]),this[_0x7bc7[1179]][_0x7bc7[2698]](_0xfb0bx4,_0xfb0bx3[_0x7bc7[727]]()),_0xfb0bx3[_0x7bc7[722]]())};mxRubberband[_0x7bc7[202]][_0x7bc7[862]]=function(){null!=this[_0x7bc7[485]]&&this[_0x7bc7[485]][_0x7bc7[265]][_0x7bc7[266]](this[_0x7bc7[485]]);null!=this[_0x7bc7[2955]]&&(mxEvent[_0x7bc7[745]](document,_0x7bc7[752],this[_0x7bc7[2955]]),this[_0x7bc7[2955]]=null);null!=this[_0x7bc7[981]]&&(mxEvent[_0x7bc7[745]](document,_0x7bc7[753],this[_0x7bc7[981]]),this[_0x7bc7[981]]=null);this[_0x7bc7[2954]]=this[_0x7bc7[2953]]=0;this[_0x7bc7[485]]=this[_0x7bc7[2823]]=null};mxRubberband[_0x7bc7[202]][_0x7bc7[2729]]=function(_0xfb0bx2,_0xfb0bx3){this[_0x7bc7[2953]]=_0xfb0bx2;this[_0x7bc7[2954]]=_0xfb0bx3;this[_0x7bc7[2950]]()};mxRubberband[_0x7bc7[202]][_0x7bc7[2950]]=function(){if(null!=this[_0x7bc7[485]]){var _0xfb0bx2=this[_0x7bc7[2953]]-this[_0x7bc7[1179]][_0x7bc7[1136]],_0xfb0bx3=this[_0x7bc7[2954]]-this[_0x7bc7[1179]][_0x7bc7[1137]];this[_0x7bc7[235]]=Math[_0x7bc7[243]](this[_0x7bc7[2823]][_0x7bc7[235]],_0xfb0bx2);this[_0x7bc7[236]]=Math[_0x7bc7[243]](this[_0x7bc7[2823]][_0x7bc7[236]],_0xfb0bx3);this[_0x7bc7[117]]=Math[_0x7bc7[160]](this[_0x7bc7[2823]][_0x7bc7[235]],_0xfb0bx2)-this[_0x7bc7[235]];this[_0x7bc7[119]]=Math[_0x7bc7[160]](this[_0x7bc7[2823]][_0x7bc7[236]],_0xfb0bx3)-this[_0x7bc7[236]];_0xfb0bx2=mxClient[_0x7bc7[47]]?this[_0x7bc7[1179]][_0x7bc7[1137]]:0;this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[361]]=this[_0x7bc7[235]]+(mxClient[_0x7bc7[47]]?this[_0x7bc7[1179]][_0x7bc7[1136]]:0)+_0x7bc7[168];this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[125]]=this[_0x7bc7[236]]+_0xfb0bx2+_0x7bc7[168];this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[117]]=Math[_0x7bc7[160]](1,this[_0x7bc7[117]])+_0x7bc7[168];this[_0x7bc7[485]][_0x7bc7[124]][_0x7bc7[119]]=Math[_0x7bc7[160]](1,this[_0x7bc7[119]])+_0x7bc7[168]}};mxRubberband[_0x7bc7[202]][_0x7bc7[515]]=function(){this[_0x7bc7[2717]]||(this[_0x7bc7[2717]]= !0,this[_0x7bc7[1179]][_0x7bc7[1148]](this),this[_0x7bc7[1179]][_0x7bc7[745]](this[_0x7bc7[2730]]),this[_0x7bc7[862]](),null!=this[_0x7bc7[2952]]&&(this[_0x7bc7[2952]]=null))};function mxVertexHandler(_0xfb0bx2){null!=_0xfb0bx2&&(this[_0x7bc7[724]]=_0xfb0bx2,this[_0x7bc7[176]]())}mxVertexHandler[_0x7bc7[202]][_0x7bc7[1179]]=null;mxVertexHandler[_0x7bc7[202]][_0x7bc7[724]]=null;mxVertexHandler[_0x7bc7[202]][_0x7bc7[2957]]= !1;mxVertexHandler[_0x7bc7[202]][_0x7bc7[1983]]=null;mxVertexHandler[_0x7bc7[202]][_0x7bc7[2958]]= !0;mxVertexHandler[_0x7bc7[202]][_0x7bc7[2959]]=null;mxVertexHandler[_0x7bc7[202]][_0x7bc7[2353]]=0;mxVertexHandler[_0x7bc7[202]][_0x7bc7[2809]]= !1;mxVertexHandler[_0x7bc7[202]][_0x7bc7[2960]]= !0;mxVertexHandler[_0x7bc7[202]][_0x7bc7[176]]=function(){this[_0x7bc7[1179]]=this[_0x7bc7[724]][_0x7bc7[441]][_0x7bc7[1179]];this[_0x7bc7[2961]]=this[_0x7bc7[2962]](this[_0x7bc7[724]]);this[_0x7bc7[1562]]= new mxRectangle(this[_0x7bc7[2961]][_0x7bc7[235]],this[_0x7bc7[2961]][_0x7bc7[236]],this[_0x7bc7[2961]][_0x7bc7[117]],this[_0x7bc7[2961]][_0x7bc7[119]]);this[_0x7bc7[2732]]=this[_0x7bc7[2963]](this[_0x7bc7[1562]]);this[_0x7bc7[2732]][_0x7bc7[507]]=this[_0x7bc7[1179]][_0x7bc7[507]]!=mxConstants[_0x7bc7[508]]?mxConstants[_0x7bc7[1523]]:mxConstants[_0x7bc7[508]];this[_0x7bc7[2732]][_0x7bc7[1229]]= !1;this[_0x7bc7[2732]][_0x7bc7[176]](this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[1524]]());this[_0x7bc7[1179]][_0x7bc7[1712]](this[_0x7bc7[724]][_0x7bc7[246]])&&(this[_0x7bc7[2732]][_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[270]]=mxConstants[_0x7bc7[2832]]);mxEvent[_0x7bc7[2733]](this[_0x7bc7[2732]][_0x7bc7[252]],this[_0x7bc7[1179]],this[_0x7bc7[724]]);if(0>=mxGraphHandler[_0x7bc7[202]][_0x7bc7[2795]]||this[_0x7bc7[1179]][_0x7bc7[2694]]()this[_0x7bc7[724]][_0x7bc7[117]]&&2>this[_0x7bc7[724]][_0x7bc7[119]])&&(this[_0x7bc7[2972]]=this[_0x7bc7[2735]](mxConstants.CURSOR_MOVABLE_VERTEX,null,null,mxConstants.LABEL_HANDLE_FILLCOLOR),this[_0x7bc7[2964]][_0x7bc7[207]](this[_0x7bc7[2972]]))}};if(this[_0x7bc7[2809]]&&(0>=mxGraphHandler[_0x7bc7[202]][_0x7bc7[2795]]||this[_0x7bc7[1179]][_0x7bc7[2694]]()_0xfb0bx12?180:0;0<_0xfb0bxa&&(this[_0x7bc7[2989]]-=180);this[_0x7bc7[2960]]&&(_0xfb0bxa=_0xfb0bx4[_0x7bc7[235]]-this[_0x7bc7[724]][_0x7bc7[241]](),_0xfb0bx12=_0xfb0bx4[_0x7bc7[236]]-this[_0x7bc7[724]][_0x7bc7[242]](),_0xfb0bxa=Math[_0x7bc7[425]](Math[_0x7bc7[428]](_0xfb0bxa*_0xfb0bxa+_0xfb0bx12*_0xfb0bx12)-this[_0x7bc7[724]][_0x7bc7[119]]/2-20),_0xfb0bxa=Math[_0x7bc7[160]](1,5*Math[_0x7bc7[243]](3,Math[_0x7bc7[160]](0,Math[_0x7bc7[488]](80/Math[_0x7bc7[425]](_0xfb0bxa))))),this[_0x7bc7[2989]]=Math[_0x7bc7[488]](this[_0x7bc7[2989]]/_0xfb0bxa)*_0xfb0bxa);this[_0x7bc7[2732]][_0x7bc7[603]]=this[_0x7bc7[2989]];this[_0x7bc7[2732]][_0x7bc7[258]]()}else {var _0xfb0bx13=mxUtils[_0x7bc7[431]](this[_0x7bc7[724]][_0x7bc7[124]][mxConstants[_0x7bc7[2278]]]||_0x7bc7[468]),_0xfb0bx14=Math[_0x7bc7[426]](-_0xfb0bx13),_0xfb0bx15=Math[_0x7bc7[427]](-_0xfb0bx13),_0xfb0bx16= new mxPoint(this[_0x7bc7[724]][_0x7bc7[241]](),this[_0x7bc7[724]][_0x7bc7[242]]()),_0xfb0bxa=_0xfb0bx4[_0x7bc7[235]]-this[_0x7bc7[2739]],_0xfb0bx12=_0xfb0bx4[_0x7bc7[236]]-this[_0x7bc7[2740]],_0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[513]],_0xfb0bx17=_0xfb0bx15*_0xfb0bxa+_0xfb0bx14*_0xfb0bx12,_0xfb0bxa=_0xfb0bx14*_0xfb0bxa-_0xfb0bx15*_0xfb0bx12;this[_0x7bc7[1562]]=this[_0x7bc7[2991]](this[_0x7bc7[2961]],_0xfb0bxa,_0xfb0bx17,this[_0x7bc7[1983]],_0xfb0bx5,_0xfb0bx9,_0xfb0bx4);_0xfb0bx14=Math[_0x7bc7[426]](_0xfb0bx13);_0xfb0bx15=Math[_0x7bc7[427]](_0xfb0bx13);_0xfb0bx12= new mxPoint(this[_0x7bc7[1562]][_0x7bc7[241]](),this[_0x7bc7[1562]][_0x7bc7[242]]());_0xfb0bxa=_0xfb0bx12[_0x7bc7[235]]-_0xfb0bx16[_0x7bc7[235]];_0xfb0bx12=_0xfb0bx12[_0x7bc7[236]]-_0xfb0bx16[_0x7bc7[236]];_0xfb0bx16=_0xfb0bx15*_0xfb0bxa+_0xfb0bx14*_0xfb0bx12-_0xfb0bx12;this[_0x7bc7[1562]][_0x7bc7[235]]+=_0xfb0bx14*_0xfb0bxa-_0xfb0bx15*_0xfb0bx12-_0xfb0bxa;this[_0x7bc7[1562]][_0x7bc7[236]]+=_0xfb0bx16;this[_0x7bc7[2932]]()}};_0xfb0bx3[_0x7bc7[722]]()}else {null!=this[_0x7bc7[2986]](_0xfb0bx3)&&_0xfb0bx3[_0x7bc7[722]](!1)}};mxVertexHandler[_0x7bc7[202]][_0x7bc7[789]]=function(_0xfb0bx2,_0xfb0bx3){if(!_0xfb0bx3[_0x7bc7[721]]()&&null!=this[_0x7bc7[1983]]&&null!=this[_0x7bc7[724]]){var _0xfb0bx4= new mxPoint(_0xfb0bx3[_0x7bc7[734]](),_0xfb0bx3[_0x7bc7[735]]());this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[473]]();try{if(this[_0x7bc7[1983]]==mxEvent[_0x7bc7[2983]]){null!=this[_0x7bc7[2989]]&&this[_0x7bc7[2992]](this[_0x7bc7[724]][_0x7bc7[246]],this[_0x7bc7[2989]]-(this[_0x7bc7[724]][_0x7bc7[124]][mxConstants[_0x7bc7[2278]]]||0))}else {var _0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[1018]](_0xfb0bx3[_0x7bc7[727]]()),_0xfb0bx9=mxUtils[_0x7bc7[431]](this[_0x7bc7[724]][_0x7bc7[124]][mxConstants[_0x7bc7[2278]]]||_0x7bc7[468]),_0xfb0bxa=Math[_0x7bc7[426]](-_0xfb0bx9),_0xfb0bx12=Math[_0x7bc7[427]](-_0xfb0bx9),_0xfb0bx13=_0xfb0bx4[_0x7bc7[235]]-this[_0x7bc7[2739]],_0xfb0bx14=_0xfb0bx4[_0x7bc7[236]]-this[_0x7bc7[2740]],_0xfb0bx4=_0xfb0bx12*_0xfb0bx13+_0xfb0bxa*_0xfb0bx14,_0xfb0bx13=_0xfb0bxa*_0xfb0bx13-_0xfb0bx12*_0xfb0bx14,_0xfb0bx14=_0xfb0bx4,_0xfb0bx15=this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[255]];this[_0x7bc7[2526]](this[_0x7bc7[724]][_0x7bc7[246]],_0xfb0bx13/_0xfb0bx15,_0xfb0bx14/_0xfb0bx15,this[_0x7bc7[1983]],_0xfb0bx5)}}finally{this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[476]]()};this[_0x7bc7[862]]();_0xfb0bx3[_0x7bc7[722]]()}};mxVertexHandler[_0x7bc7[202]][_0x7bc7[2992]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=this[_0x7bc7[1179]][_0x7bc7[502]]();if(_0xfb0bx4[_0x7bc7[1193]](_0xfb0bx2)){var _0xfb0bx5=_0xfb0bx2==this[_0x7bc7[724]]?this[_0x7bc7[724]]:this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx2);null!=_0xfb0bx5&&this[_0x7bc7[1179]][_0x7bc7[1717]](mxConstants.STYLE_ROTATION,(_0xfb0bx5[_0x7bc7[124]][mxConstants[_0x7bc7[2278]]]||0)+_0xfb0bx3,[_0xfb0bx2]);if(this[_0x7bc7[724]][_0x7bc7[246]]!=_0xfb0bx2&&(_0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[1198]](_0xfb0bx2),null!=_0xfb0bx5&&!_0xfb0bx5[_0x7bc7[1500]])){if(0!=_0xfb0bx3){var _0xfb0bx9=this[_0x7bc7[1179]][_0x7bc7[502]]()[_0x7bc7[1197]](_0xfb0bx2),_0xfb0bxa=this[_0x7bc7[1179]][_0x7bc7[1198]](_0xfb0bx9);if(!_0xfb0bx5[_0x7bc7[1500]]&&null!=_0xfb0bxa){var _0xfb0bx12=mxUtils[_0x7bc7[431]](_0xfb0bx3),_0xfb0bx9=Math[_0x7bc7[426]](_0xfb0bx12),_0xfb0bx12=Math[_0x7bc7[427]](_0xfb0bx12),_0xfb0bx13= new mxPoint(_0xfb0bx5[_0x7bc7[241]](),_0xfb0bx5[_0x7bc7[242]]()),_0xfb0bxa= new mxPoint(_0xfb0bxa[_0x7bc7[117]]/2,_0xfb0bxa[_0x7bc7[119]]/2),_0xfb0bx9=mxUtils[_0x7bc7[432]](_0xfb0bx13,_0xfb0bx9,_0xfb0bx12,_0xfb0bxa),_0xfb0bx5=_0xfb0bx5[_0x7bc7[238]]();_0xfb0bx5[_0x7bc7[235]]=_0xfb0bx9[_0x7bc7[235]]-_0xfb0bx5[_0x7bc7[117]]/2;_0xfb0bx5[_0x7bc7[236]]=_0xfb0bx9[_0x7bc7[236]]-_0xfb0bx5[_0x7bc7[119]]/2;_0xfb0bx4[_0x7bc7[1724]](_0xfb0bx2,_0xfb0bx5)}}else {_0xfb0bx5=_0xfb0bx5[_0x7bc7[238]](),_0xfb0bx5[_0x7bc7[235]]+=dx,_0xfb0bx5[_0x7bc7[236]]+=dy,_0xfb0bx4[_0x7bc7[1724]](_0xfb0bx2,_0xfb0bx5)}};_0xfb0bx5=_0xfb0bx4[_0x7bc7[262]](_0xfb0bx2);for(_0xfb0bx9=0;_0xfb0bx9<_0xfb0bx5;_0xfb0bx9++){this[_0x7bc7[2992]](_0xfb0bx4[_0x7bc7[263]](_0xfb0bx2,_0xfb0bx9),_0xfb0bx3)}}};mxVertexHandler[_0x7bc7[202]][_0x7bc7[862]]=function(){this[_0x7bc7[1983]]=this[_0x7bc7[2989]]=null;null!=this[_0x7bc7[2988]]&&(this[_0x7bc7[2988]][_0x7bc7[515]](),this[_0x7bc7[2988]]=null);null!=this[_0x7bc7[2732]]&&(this[_0x7bc7[2732]][_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[495]]=_0x7bc7[497],this[_0x7bc7[2961]]=this[_0x7bc7[2962]](this[_0x7bc7[724]]),this[_0x7bc7[1562]]= new mxRectangle(this[_0x7bc7[2961]][_0x7bc7[235]],this[_0x7bc7[2961]][_0x7bc7[236]],this[_0x7bc7[2961]][_0x7bc7[117]],this[_0x7bc7[2961]][_0x7bc7[119]]),this[_0x7bc7[2932]]())};mxVertexHandler[_0x7bc7[202]][_0x7bc7[2526]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){var _0xfb0bxa=this[_0x7bc7[1179]][_0x7bc7[251]][_0x7bc7[1721]](_0xfb0bx2);if(null!=_0xfb0bxa){if(_0xfb0bx5==mxEvent[_0x7bc7[2987]]){_0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[255]],_0xfb0bx3=(this[_0x7bc7[2972]][_0x7bc7[1562]][_0x7bc7[241]]()-this[_0x7bc7[2739]])/_0xfb0bx5,_0xfb0bx4=(this[_0x7bc7[2972]][_0x7bc7[1562]][_0x7bc7[242]]()-this[_0x7bc7[2740]])/_0xfb0bx5,_0xfb0bxa=_0xfb0bxa[_0x7bc7[238]](),null==_0xfb0bxa[_0x7bc7[1368]]?_0xfb0bxa[_0x7bc7[1368]]= new mxPoint(_0xfb0bx3,_0xfb0bx4):(_0xfb0bxa[_0x7bc7[1368]][_0x7bc7[235]]+=_0xfb0bx3,_0xfb0bxa[_0x7bc7[1368]][_0x7bc7[236]]+=_0xfb0bx4),this[_0x7bc7[1179]][_0x7bc7[251]][_0x7bc7[1724]](_0xfb0bx2,_0xfb0bxa)}else {_0xfb0bx5=this[_0x7bc7[2991]](_0xfb0bxa,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,1, new mxPoint(0,0));var _0xfb0bx12=mxUtils[_0x7bc7[431]](this[_0x7bc7[724]][_0x7bc7[124]][mxConstants[_0x7bc7[2278]]]||_0x7bc7[468]);if(0!=_0xfb0bx12){_0xfb0bx3=_0xfb0bx5[_0x7bc7[241]]()-_0xfb0bxa[_0x7bc7[241]]();_0xfb0bx4=_0xfb0bx5[_0x7bc7[242]]()-_0xfb0bxa[_0x7bc7[242]]();_0xfb0bx9=Math[_0x7bc7[426]](_0xfb0bx12);var _0xfb0bx13=Math[_0x7bc7[427]](_0xfb0bx12),_0xfb0bx12=_0xfb0bx9*_0xfb0bx3-_0xfb0bx13*_0xfb0bx4-_0xfb0bx3;_0xfb0bx3=_0xfb0bx13*_0xfb0bx3+_0xfb0bx9*_0xfb0bx4-_0xfb0bx4;_0xfb0bx4=_0xfb0bx5[_0x7bc7[235]]-_0xfb0bxa[_0x7bc7[235]];var _0xfb0bx14=_0xfb0bx5[_0x7bc7[236]]-_0xfb0bxa[_0x7bc7[236]],_0xfb0bx15=_0xfb0bx9*_0xfb0bx4-_0xfb0bx13*_0xfb0bx14;_0xfb0bx9=_0xfb0bx13*_0xfb0bx4+_0xfb0bx9*_0xfb0bx14;_0xfb0bx5[_0x7bc7[235]]+=_0xfb0bx12;_0xfb0bx5[_0x7bc7[236]]+=_0xfb0bx3;if(!this[_0x7bc7[1179]][_0x7bc7[1742]](_0xfb0bx2)&&(0!=_0xfb0bx12||0!=_0xfb0bx3)){_0xfb0bx4=_0xfb0bxa[_0x7bc7[235]]-_0xfb0bx5[_0x7bc7[235]]+_0xfb0bx15,_0xfb0bx14=_0xfb0bxa[_0x7bc7[236]]-_0xfb0bx5[_0x7bc7[236]]+_0xfb0bx9,this[_0x7bc7[2993]](_0xfb0bx2,_0xfb0bx4,_0xfb0bx14)}};this[_0x7bc7[1179]][_0x7bc7[2526]](_0xfb0bx2,_0xfb0bx5)}}};mxVertexHandler[_0x7bc7[202]][_0x7bc7[2993]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){for(var _0xfb0bx5=this[_0x7bc7[1179]][_0x7bc7[502]](),_0xfb0bx9=_0xfb0bx5[_0x7bc7[262]](_0xfb0bx2),_0xfb0bxa=0;_0xfb0bxa<_0xfb0bx9;_0xfb0bxa++){var _0xfb0bx12=_0xfb0bx5[_0x7bc7[263]](_0xfb0bx2,_0xfb0bxa);if(_0xfb0bx5[_0x7bc7[1193]](_0xfb0bx12)){var _0xfb0bx13=this[_0x7bc7[1179]][_0x7bc7[1198]](_0xfb0bx12);null!=_0xfb0bx13&&!_0xfb0bx13[_0x7bc7[1500]]&&(_0xfb0bx13=_0xfb0bx13[_0x7bc7[238]](),_0xfb0bx13[_0x7bc7[235]]+=_0xfb0bx3,_0xfb0bx13[_0x7bc7[236]]+=_0xfb0bx4,_0xfb0bx5[_0x7bc7[1724]](_0xfb0bx12,_0xfb0bx13))}}};mxVertexHandler[_0x7bc7[202]][_0x7bc7[2991]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9,_0xfb0bxa,_0xfb0bx12){if(this[_0x7bc7[2957]]){_0xfb0bx12=_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx2[_0x7bc7[117]]+_0xfb0bx3;var _0xfb0bx13=_0xfb0bx2[_0x7bc7[236]]+_0xfb0bx2[_0x7bc7[119]]+_0xfb0bx4;_0xfb0bx9&&(_0xfb0bx12=this[_0x7bc7[1179]][_0x7bc7[1021]](_0xfb0bx12/_0xfb0bxa)*_0xfb0bxa,_0xfb0bx13=this[_0x7bc7[1179]][_0x7bc7[1021]](_0xfb0bx13/_0xfb0bxa)*_0xfb0bxa);_0xfb0bxa= new mxRectangle(_0xfb0bx2[_0x7bc7[235]],_0xfb0bx2[_0x7bc7[236]],0,0);_0xfb0bxa[_0x7bc7[99]]( new mxRectangle(_0xfb0bx12,_0xfb0bx13,0,0));return _0xfb0bxa};var _0xfb0bx13=_0xfb0bx2[_0x7bc7[235]]-_0xfb0bx12[_0x7bc7[235]]*_0xfb0bxa,_0xfb0bx14=_0xfb0bx13+_0xfb0bx2[_0x7bc7[117]],_0xfb0bx15=_0xfb0bx2[_0x7bc7[236]]-_0xfb0bx12[_0x7bc7[236]]*_0xfb0bxa;_0xfb0bx2=_0xfb0bx15+_0xfb0bx2[_0x7bc7[119]];4<_0xfb0bx5?(_0xfb0bx2+=_0xfb0bx4,_0xfb0bx9&&(_0xfb0bx2=this[_0x7bc7[1179]][_0x7bc7[1021]](_0xfb0bx2/_0xfb0bxa)*_0xfb0bxa)):3>_0xfb0bx5&&(_0xfb0bx15+=_0xfb0bx4,_0xfb0bx9&&(_0xfb0bx15=this[_0x7bc7[1179]][_0x7bc7[1021]](_0xfb0bx15/_0xfb0bxa)*_0xfb0bxa));if(0==_0xfb0bx5||3==_0xfb0bx5||5==_0xfb0bx5){_0xfb0bx13+=_0xfb0bx3,_0xfb0bx9&&(_0xfb0bx13=this[_0x7bc7[1179]][_0x7bc7[1021]](_0xfb0bx13/_0xfb0bxa)*_0xfb0bxa)}else {if(2==_0xfb0bx5||4==_0xfb0bx5||7==_0xfb0bx5){_0xfb0bx14+=_0xfb0bx3,_0xfb0bx9&&(_0xfb0bx14=this[_0x7bc7[1179]][_0x7bc7[1021]](_0xfb0bx14/_0xfb0bxa)*_0xfb0bxa)}};_0xfb0bx9=_0xfb0bx14-_0xfb0bx13;_0xfb0bx2-=_0xfb0bx15;0>_0xfb0bx9&&(_0xfb0bx13+=_0xfb0bx9,_0xfb0bx9=Math[_0x7bc7[425]](_0xfb0bx9));0>_0xfb0bx2&&(_0xfb0bx15+=_0xfb0bx2,_0xfb0bx2=Math[_0x7bc7[425]](_0xfb0bx2));return new mxRectangle(_0xfb0bx13+_0xfb0bx12[_0x7bc7[235]]*_0xfb0bxa,_0xfb0bx15+_0xfb0bx12[_0x7bc7[236]]*_0xfb0bxa,_0xfb0bx9,_0xfb0bx2)};mxVertexHandler[_0x7bc7[202]][_0x7bc7[258]]=function(){this[_0x7bc7[2961]]=this[_0x7bc7[2962]](this[_0x7bc7[724]]);this[_0x7bc7[1562]]= new mxRectangle(this[_0x7bc7[724]][_0x7bc7[235]],this[_0x7bc7[724]][_0x7bc7[236]],this[_0x7bc7[724]][_0x7bc7[117]],this[_0x7bc7[724]][_0x7bc7[119]]);var _0xfb0bx2=this[_0x7bc7[724]];if(null!=this[_0x7bc7[2964]]){var _0xfb0bx3=_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx2[_0x7bc7[117]],_0xfb0bx4=_0xfb0bx2[_0x7bc7[236]]+_0xfb0bx2[_0x7bc7[119]];if(this[_0x7bc7[2957]]){this[_0x7bc7[2985]](this[_0x7bc7[2964]][0],_0xfb0bx3,_0xfb0bx4)}else {var _0xfb0bx5=_0xfb0bx2[_0x7bc7[235]]+_0xfb0bx2[_0x7bc7[117]]/2,_0xfb0bx9=_0xfb0bx2[_0x7bc7[236]]+_0xfb0bx2[_0x7bc7[119]]/2;if(1=mxGraphHandler[_0x7bc7[202]][_0x7bc7[2795]]){this[_0x7bc7[2994]]=this[_0x7bc7[3001]]()};this[_0x7bc7[685]]= new mxPoint(this[_0x7bc7[724]][_0x7bc7[2079]][_0x7bc7[235]],this[_0x7bc7[724]][_0x7bc7[2079]][_0x7bc7[236]]);this[_0x7bc7[2972]]= new mxRectangleShape( new mxRectangle,mxConstants.LABEL_HANDLE_FILLCOLOR,mxConstants.HANDLE_STROKECOLOR);this[_0x7bc7[2972]][_0x7bc7[1229]]= !1;this[_0x7bc7[3002]](this[_0x7bc7[2972]]);this[_0x7bc7[2972]][_0x7bc7[252]][_0x7bc7[124]][_0x7bc7[270]]=mxConstants[_0x7bc7[3003]];mxEvent[_0x7bc7[2733]](this[_0x7bc7[2972]][_0x7bc7[252]],this[_0x7bc7[1179]],this[_0x7bc7[724]]);this[_0x7bc7[258]]()};mxEdgeHandler[_0x7bc7[202]][_0x7bc7[2999]]=function(_0xfb0bx2){return mxEvent[_0x7bc7[774]](_0xfb0bx2)};mxEdgeHandler[_0x7bc7[202]][_0x7bc7[3004]]=function(_0xfb0bx2){return mxEvent[_0x7bc7[774]](_0xfb0bx2)};mxEdgeHandler[_0x7bc7[202]][_0x7bc7[2998]]=function(_0xfb0bx2){return _0xfb0bx2[_0x7bc7[439]]};mxEdgeHandler[_0x7bc7[202]][_0x7bc7[2963]]=function(_0xfb0bx2){_0xfb0bx2= new mxPolyline(_0xfb0bx2,this[_0x7bc7[2975]]());_0xfb0bx2[_0x7bc7[1307]]=this[_0x7bc7[2976]]();_0xfb0bx2[_0x7bc7[1522]]=this[_0x7bc7[2977]]();return _0xfb0bx2};mxEdgeHandler[_0x7bc7[202]][_0x7bc7[2975]]=function(){return mxConstants[_0x7bc7[3005]]};mxEdgeHandler[_0x7bc7[202]][_0x7bc7[2976]]=function(){return mxConstants[_0x7bc7[3006]]};mxEdgeHandler[_0x7bc7[202]][_0x7bc7[2977]]=function(){return mxConstants[_0x7bc7[3007]]};mxEdgeHandler[_0x7bc7[202]][_0x7bc7[2907]]=function(_0xfb0bx2){return !0};mxEdgeHandler[_0x7bc7[202]][_0x7bc7[1689]]=function(){var _0xfb0bx2= new mxCellMarker(this[_0x7bc7[1179]]),_0xfb0bx3=this;_0xfb0bx2[_0x7bc7[736]]=function(_0xfb0bx2){var _0xfb0bx5=mxCellMarker[_0x7bc7[202]][_0x7bc7[736]][_0x7bc7[183]](this,arguments);if(!_0xfb0bx3[_0x7bc7[2907]](_0xfb0bx5)){return null};var _0xfb0bx9=_0xfb0bx3[_0x7bc7[1179]][_0x7bc7[502]]();if(_0xfb0bx5==_0xfb0bx3[_0x7bc7[724]][_0x7bc7[246]]||null!=_0xfb0bx5&&!_0xfb0bx3[_0x7bc7[1179]][_0x7bc7[2405]]&&_0xfb0bx9[_0x7bc7[250]](_0xfb0bx5)){_0xfb0bx5=null};return _0xfb0bx5};_0xfb0bx2[_0x7bc7[2872]]=function(_0xfb0bx2){var _0xfb0bx5=_0xfb0bx3[_0x7bc7[1179]][_0x7bc7[502]](),_0xfb0bx5=_0xfb0bx3[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[2292]](_0xfb0bx2,_0xfb0bx3[_0x7bc7[1179]][_0x7bc7[441]][_0x7bc7[248]](_0xfb0bx5[_0x7bc7[1709]](_0xfb0bx3[_0x7bc7[724]][_0x7bc7[246]],!_0xfb0bx3[_0x7bc7[729]])),!_0xfb0bx3[_0x7bc7[729]]),_0xfb0bx5=null!=_0xfb0bx5?_0xfb0bx5[_0x7bc7[246]]:null;_0xfb0bx3[_0x7bc7[267]]=_0xfb0bx3[_0x7bc7[2909]](_0xfb0bx3[_0x7bc7[729]]?_0xfb0bx2[_0x7bc7[246]]:_0xfb0bx5,_0xfb0bx3[_0x7bc7[729]]?_0xfb0bx5:_0xfb0bx2[_0x7bc7[246]]);return null==_0xfb0bx3[_0x7bc7[267]]};return _0xfb0bx2};mxEdgeHandler[_0x7bc7[202]][_0x7bc7[2909]]=function(_0xfb0bx2,_0xfb0bx3){return this[_0x7bc7[1179]][_0x7bc7[2500]](this[_0x7bc7[724]][_0x7bc7[246]],_0xfb0bx2,_0xfb0bx3)};mxEdgeHandler[_0x7bc7[202]][_0x7bc7[3001]]=function(){for(var _0xfb0bx2=this[_0x7bc7[724]][_0x7bc7[246]],_0xfb0bx3=[],_0xfb0bx4=0;_0xfb0bx4_0xfb0bx16&&(_0xfb0bx4-=_0xfb0bx4+_0xfb0bx13-_0xfb0bx16);_0xfb0bx16=_0xfb0bx14[_0x7bc7[236]]+_0xfb0bx14[_0x7bc7[119]];_0xfb0bx5+_0xfb0bx15>_0xfb0bx16&&(_0xfb0bx5-=_0xfb0bx5+_0xfb0bx15-_0xfb0bx16)}}else {null!=_0xfb0bx13&&(_0xfb0bx4-=_0xfb0bx13[_0x7bc7[235]]*_0xfb0bxa,_0xfb0bx5-=_0xfb0bx13[_0x7bc7[236]]*_0xfb0bxa)}}};_0xfb0bx12=_0xfb0bx12[_0x7bc7[238]]();_0xfb0bx12[_0x7bc7[235]]=this[_0x7bc7[1179]][_0x7bc7[1021]](_0xfb0bx4/_0xfb0bxa-this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[513]][_0x7bc7[235]]-this[_0x7bc7[1179]][_0x7bc7[1020]]/2);_0xfb0bx12[_0x7bc7[236]]=this[_0x7bc7[1179]][_0x7bc7[1021]](_0xfb0bx5/_0xfb0bxa-this[_0x7bc7[1179]][_0x7bc7[249]]()[_0x7bc7[513]][_0x7bc7[236]]-this[_0x7bc7[1179]][_0x7bc7[1020]]/2);_0xfb0bx3[_0x7bc7[1724]](_0xfb0bx12);null==_0xfb0bx2&&(_0xfb0bx2=this[_0x7bc7[1179]][_0x7bc7[902]]());this[_0x7bc7[3228]](_0xfb0bx3);this[_0x7bc7[746]]( new mxEventObject(mxEvent.BEFORE_ADD_VERTEX,_0x7bc7[1988],_0xfb0bx3,_0x7bc7[1101],_0xfb0bx2));_0xfb0bx9[_0x7bc7[473]]();try{_0xfb0bx3=this[_0x7bc7[1179]][_0x7bc7[2103]](_0xfb0bx3,_0xfb0bx2),null!=_0xfb0bx3&&(this[_0x7bc7[1179]][_0x7bc7[2509]](_0xfb0bx3),this[_0x7bc7[746]]( new mxEventObject(mxEvent.ADD_VERTEX,_0x7bc7[1988],_0xfb0bx3)))}finally{_0xfb0bx9[_0x7bc7[476]]()};null!=_0xfb0bx3&&(this[_0x7bc7[1179]][_0x7bc7[2450]](_0xfb0bx3),this[_0x7bc7[1179]][_0x7bc7[2564]](_0xfb0bx3),this[_0x7bc7[746]]( new mxEventObject(mxEvent.AFTER_ADD_VERTEX,_0x7bc7[1988],_0xfb0bx3)));return _0xfb0bx3};mxEditor[_0x7bc7[202]][_0x7bc7[515]]=function(){this[_0x7bc7[2717]]||(this[_0x7bc7[2717]]= !0,null!=this[_0x7bc7[3112]]&&this[_0x7bc7[3112]][_0x7bc7[515]](),null!=this[_0x7bc7[2136]]&&this[_0x7bc7[2136]][_0x7bc7[515]](),null!=this[_0x7bc7[716]]&&this[_0x7bc7[716]][_0x7bc7[515]](),null!=this[_0x7bc7[3098]]&&this[_0x7bc7[3098]][_0x7bc7[515]](),null!=this[_0x7bc7[3203]]&&this[_0x7bc7[3203]][_0x7bc7[515]](),null!=this[_0x7bc7[3082]]&&this[_0x7bc7[3082]][_0x7bc7[515]](),null!=this[_0x7bc7[1179]]&&this[_0x7bc7[1179]][_0x7bc7[515]](),this[_0x7bc7[3118]]=this[_0x7bc7[879]]=null)};var mxCodecRegistry={codecs:[],aliases:[],register:function(_0xfb0bx2){if(null!=_0xfb0bx2){var _0xfb0bx3=_0xfb0bx2[_0x7bc7[718]]();mxCodecRegistry[_0x7bc7[3230]][_0xfb0bx3]=_0xfb0bx2;var _0xfb0bx4=mxUtils[_0x7bc7[197]](_0xfb0bx2[_0x7bc7[3231]][_0x7bc7[196]]);_0xfb0bx4!=_0xfb0bx3&&mxCodecRegistry[_0x7bc7[3232]](_0xfb0bx4,_0xfb0bx3)};return _0xfb0bx2},addAlias:function(_0xfb0bx2,_0xfb0bx3){mxCodecRegistry[_0x7bc7[3233]][_0xfb0bx2]=_0xfb0bx3},getCodec:function(_0xfb0bx2){var _0xfb0bx3=null;if(null!=_0xfb0bx2){var _0xfb0bx3=mxUtils[_0x7bc7[197]](_0xfb0bx2),_0xfb0bx4=mxCodecRegistry[_0x7bc7[3233]][_0xfb0bx3];null!=_0xfb0bx4&&(_0xfb0bx3=_0xfb0bx4);_0xfb0bx3=mxCodecRegistry[_0x7bc7[3230]][_0xfb0bx3];if(null==_0xfb0bx3){try{_0xfb0bx3= new mxObjectCodec( new _0xfb0bx2),mxCodecRegistry[_0x7bc7[3234]](_0xfb0bx3)}catch(d){}}};return _0xfb0bx3}};function mxCodec(_0xfb0bx2){this[_0x7bc7[395]]=_0xfb0bx2||mxUtils[_0x7bc7[306]]();this[_0x7bc7[68]]=[]}mxCodec[_0x7bc7[202]][_0x7bc7[395]]=null;mxCodec[_0x7bc7[202]][_0x7bc7[68]]=null;mxCodec[_0x7bc7[202]][_0x7bc7[3235]]= !1;mxCodec[_0x7bc7[202]][_0x7bc7[1104]]=function(_0xfb0bx2,_0xfb0bx3){return this[_0x7bc7[68]][_0xfb0bx2]=_0xfb0bx3};mxCodec[_0x7bc7[202]][_0x7bc7[3236]]=function(_0xfb0bx2){var _0xfb0bx3=null;null!=_0xfb0bx2&&(_0xfb0bx3=this[_0x7bc7[68]][_0xfb0bx2],null==_0xfb0bx3&&(_0xfb0bx3=this[_0x7bc7[1060]](_0xfb0bx2),null==_0xfb0bx3&&(_0xfb0bx2=this[_0x7bc7[1362]](_0xfb0bx2),null!=_0xfb0bx2&&(_0xfb0bx3=this[_0x7bc7[1093]](_0xfb0bx2)))));return _0xfb0bx3};mxCodec[_0x7bc7[202]][_0x7bc7[1060]]=function(_0xfb0bx2){return null};mxCodec[_0x7bc7[202]][_0x7bc7[1362]]=function(_0xfb0bx2,_0xfb0bx3){return mxUtils[_0x7bc7[290]](this[_0x7bc7[395]][_0x7bc7[158]],null!=_0xfb0bx3?_0xfb0bx3:_0x7bc7[1363],_0xfb0bx2)};mxCodec[_0x7bc7[202]][_0x7bc7[1103]]=function(_0xfb0bx2){var _0xfb0bx3=null;null!=_0xfb0bx2&&(_0xfb0bx3=this[_0x7bc7[3237]](_0xfb0bx2),null==_0xfb0bx3&&_0xfb0bx2 instanceof mxCell&&(_0xfb0bx3=_0xfb0bx2[_0x7bc7[1103]](),null==_0xfb0bx3&&(_0xfb0bx3=mxCellPath[_0x7bc7[385]](_0xfb0bx2),0==_0xfb0bx3[_0x7bc7[67]]&&(_0xfb0bx3=_0x7bc7[813]))));return _0xfb0bx3};mxCodec[_0x7bc7[202]][_0x7bc7[3237]]=function(_0xfb0bx2){return null};mxCodec[_0x7bc7[202]][_0x7bc7[514]]=function(_0xfb0bx2){var _0xfb0bx3=null;if(null!=_0xfb0bx2&&null!=_0xfb0bx2[_0x7bc7[196]]){var _0xfb0bx4=mxCodecRegistry[_0x7bc7[3238]](_0xfb0bx2[_0x7bc7[196]]);null!=_0xfb0bx4?_0xfb0bx3=_0xfb0bx4[_0x7bc7[514]](this,_0xfb0bx2):mxUtils[_0x7bc7[1331]](_0xfb0bx2)?_0xfb0bx3=mxClient[_0x7bc7[80]]?_0xfb0bx2[_0x7bc7[511]](!0):this[_0x7bc7[395]][_0x7bc7[3239]](_0xfb0bx2,!0):mxLog[_0x7bc7[283]](_0x7bc7[3240]+mxUtils[_0x7bc7[197]](_0xfb0bx2[_0x7bc7[196]]))};return _0xfb0bx3};mxCodec[_0x7bc7[202]][_0x7bc7[1093]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=null;if(null!=_0xfb0bx2&&_0xfb0bx2[_0x7bc7[288]]==mxConstants[_0x7bc7[289]]){var _0xfb0bx5=null;try{_0xfb0bx5=eval(_0xfb0bx2[_0x7bc7[301]])}catch(e){};try{var _0xfb0bxa=mxCodecRegistry[_0x7bc7[3238]](_0xfb0bx5);null!=_0xfb0bxa?_0xfb0bx4=_0xfb0bxa[_0x7bc7[1093]](this,_0xfb0bx2,_0xfb0bx3):(_0xfb0bx4=_0xfb0bx2[_0x7bc7[511]](!0),_0xfb0bx4[_0x7bc7[1390]](_0x7bc7[3069]))}catch(g){mxLog[_0x7bc7[143]](_0x7bc7[3241]+_0xfb0bx2[_0x7bc7[301]]+_0x7bc7[3186]+g[_0x7bc7[281]])}};return _0xfb0bx4};mxCodec[_0x7bc7[202]][_0x7bc7[3242]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx3[_0x7bc7[62]](this[_0x7bc7[514]](_0xfb0bx2));if(null==_0xfb0bx4||_0xfb0bx4){_0xfb0bx4=_0xfb0bx2[_0x7bc7[262]]();for(var _0xfb0bx5=0;_0xfb0bx5<_0xfb0bx4;_0xfb0bx5++){this[_0x7bc7[3242]](_0xfb0bx2[_0x7bc7[263]](_0xfb0bx5),_0xfb0bx3)}}};mxCodec[_0x7bc7[202]][_0x7bc7[3243]]=function(_0xfb0bx2){return null!=_0xfb0bx2&&_0x7bc7[279]== typeof _0xfb0bx2[_0x7bc7[3243]]?_0xfb0bx2[_0x7bc7[3243]]():!1};mxCodec[_0x7bc7[202]][_0x7bc7[3244]]=function(_0xfb0bx2,_0xfb0bx3){_0xfb0bx3=null!=_0xfb0bx3?_0xfb0bx3:!0;var _0xfb0bx4=null;if(null!=_0xfb0bx2&&_0xfb0bx2[_0x7bc7[288]]==mxConstants[_0x7bc7[289]]){_0xfb0bx4=mxCodecRegistry[_0x7bc7[3238]](_0xfb0bx2[_0x7bc7[301]]);if(!this[_0x7bc7[3243]](_0xfb0bx4)){for(var _0xfb0bx5=_0xfb0bx2[_0x7bc7[285]];null!=_0xfb0bx5&&!this[_0x7bc7[3243]](_0xfb0bx4);){_0xfb0bx4=mxCodecRegistry[_0x7bc7[3238]](_0xfb0bx5[_0x7bc7[301]]),_0xfb0bx5=_0xfb0bx5[_0x7bc7[287]]}};this[_0x7bc7[3243]](_0xfb0bx4)||(_0xfb0bx4=mxCodecRegistry[_0x7bc7[3238]](mxCell));_0xfb0bx4=_0xfb0bx4[_0x7bc7[1093]](this,_0xfb0bx2);_0xfb0bx3&&this[_0x7bc7[3245]](_0xfb0bx4)};return _0xfb0bx4};mxCodec[_0x7bc7[202]][_0x7bc7[3245]]=function(_0xfb0bx2){var _0xfb0bx3=_0xfb0bx2[_0x7bc7[1101]],_0xfb0bx4=_0xfb0bx2[_0x7bc7[1709]](!0),_0xfb0bx5=_0xfb0bx2[_0x7bc7[1709]](!1);_0xfb0bx2[_0x7bc7[1957]](null,!1);_0xfb0bx2[_0x7bc7[1957]](null,!0);_0xfb0bx2[_0x7bc7[1101]]=null;null!=_0xfb0bx3&&_0xfb0bx3[_0x7bc7[1937]](_0xfb0bx2);null!=_0xfb0bx4&&_0xfb0bx4[_0x7bc7[1960]](_0xfb0bx2,!0);null!=_0xfb0bx5&&_0xfb0bx5[_0x7bc7[1960]](_0xfb0bx2,!1)};mxCodec[_0x7bc7[202]][_0x7bc7[57]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){null!=_0xfb0bx3&&null!=_0xfb0bx4&&_0xfb0bx2[_0x7bc7[57]](_0xfb0bx3,_0xfb0bx4)};function mxObjectCodec(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){this[_0x7bc7[3231]]=_0xfb0bx2;this[_0x7bc7[3246]]=null!=_0xfb0bx3?_0xfb0bx3:[];this[_0x7bc7[3247]]=null!=_0xfb0bx4?_0xfb0bx4:[];this[_0x7bc7[3248]]=null!=_0xfb0bx5?_0xfb0bx5:[];this[_0x7bc7[3249]]={};for(var _0xfb0bx9 in this[_0x7bc7[3248]]){this[_0x7bc7[3249]][this[_0x7bc7[3248]][_0xfb0bx9]]=_0xfb0bx9}}mxObjectCodec[_0x7bc7[202]][_0x7bc7[3231]]=null;mxObjectCodec[_0x7bc7[202]][_0x7bc7[3246]]=null;mxObjectCodec[_0x7bc7[202]][_0x7bc7[3247]]=null;mxObjectCodec[_0x7bc7[202]][_0x7bc7[3248]]=null;mxObjectCodec[_0x7bc7[202]][_0x7bc7[3249]]=null;mxObjectCodec[_0x7bc7[202]][_0x7bc7[718]]=function(){return mxUtils[_0x7bc7[197]](this[_0x7bc7[3231]][_0x7bc7[196]])};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3250]]=function(){return new this[_0x7bc7[3231]][_0x7bc7[196]]};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3251]]=function(_0xfb0bx2){if(null!=_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[3249]][_0xfb0bx2];null!=_0xfb0bx3&&(_0xfb0bx2=_0xfb0bx3)};return _0xfb0bx2};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3252]]=function(_0xfb0bx2){if(null!=_0xfb0bx2){var _0xfb0bx3=this[_0x7bc7[3248]][_0xfb0bx2];null!=_0xfb0bx3&&(_0xfb0bx2=_0xfb0bx3)};return _0xfb0bx2};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3253]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){return _0xfb0bx3==mxObjectIdentity[_0x7bc7[195]]||0<=mxUtils[_0x7bc7[2]](this[_0x7bc7[3246]],_0xfb0bx3)};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3254]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){return 0<=mxUtils[_0x7bc7[2]](this[_0x7bc7[3247]],_0xfb0bx3)};mxObjectCodec[_0x7bc7[202]][_0x7bc7[514]]=function(_0xfb0bx2,_0xfb0bx3){var _0xfb0bx4=_0xfb0bx2[_0x7bc7[395]][_0x7bc7[55]](this[_0x7bc7[718]]());_0xfb0bx3=this[_0x7bc7[3255]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4);this[_0x7bc7[3256]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4);return this[_0x7bc7[3257]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4)};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3256]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx2[_0x7bc7[57]](_0xfb0bx4,_0x7bc7[1363],_0xfb0bx2[_0x7bc7[1103]](_0xfb0bx3));for(var _0xfb0bx5 in _0xfb0bx3){var _0xfb0bx9=_0xfb0bx5,_0xfb0bxa=_0xfb0bx3[_0xfb0bx9];null!=_0xfb0bxa&&!this[_0x7bc7[3253]](_0xfb0bx3,_0xfb0bx9,_0xfb0bxa,!0)&&(mxUtils[_0x7bc7[1946]](_0xfb0bx9)&&(_0xfb0bx9=null),this[_0x7bc7[3258]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx9,_0xfb0bxa,_0xfb0bx4))}};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3258]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){if(null!=_0xfb0bx5){if(this[_0x7bc7[3254]](_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,!0)){var _0xfb0bxa=_0xfb0bx2[_0x7bc7[1103]](_0xfb0bx5);if(null==_0xfb0bxa){mxLog[_0x7bc7[283]](_0x7bc7[3259]+this[_0x7bc7[718]]()+_0x7bc7[87]+_0xfb0bx4+_0x7bc7[226]+_0xfb0bx5);return};_0xfb0bx5=_0xfb0bxa};_0xfb0bxa=this[_0x7bc7[3231]][_0xfb0bx4];if(null==_0xfb0bx4||_0xfb0bx2[_0x7bc7[3235]]||_0xfb0bxa!=_0xfb0bx5){_0xfb0bx4=this[_0x7bc7[3252]](_0xfb0bx4),this[_0x7bc7[3260]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9)}}};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3260]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0x7bc7[194]!= typeof _0xfb0bx5?this[_0x7bc7[3261]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9):this[_0x7bc7[3262]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9)};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3261]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0xfb0bx5=this[_0x7bc7[3263]](_0xfb0bx5);null==_0xfb0bx4?(_0xfb0bx3=_0xfb0bx2[_0x7bc7[395]][_0x7bc7[55]](_0x7bc7[99]),_0x7bc7[279]== typeof _0xfb0bx5?_0xfb0bx3[_0x7bc7[62]](_0xfb0bx2[_0x7bc7[395]][_0x7bc7[344]](_0xfb0bx5)):_0xfb0bx2[_0x7bc7[57]](_0xfb0bx3,_0x7bc7[131],_0xfb0bx5),_0xfb0bx9[_0x7bc7[62]](_0xfb0bx3)):_0x7bc7[279]!= typeof _0xfb0bx5&&_0xfb0bx2[_0x7bc7[57]](_0xfb0bx9,_0xfb0bx4,_0xfb0bx5)};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3262]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){_0xfb0bx2=_0xfb0bx2[_0x7bc7[514]](_0xfb0bx5);null!=_0xfb0bx2?(null!=_0xfb0bx4&&_0xfb0bx2[_0x7bc7[57]](_0x7bc7[3069],_0xfb0bx4),_0xfb0bx9[_0x7bc7[62]](_0xfb0bx2)):mxLog[_0x7bc7[283]](_0x7bc7[3264]+this[_0x7bc7[718]]()+_0x7bc7[87]+_0xfb0bx4+_0x7bc7[3186]+_0xfb0bx5)};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3263]]=function(_0xfb0bx2){if(_0x7bc7[82]== typeof _0xfb0bx2[_0x7bc7[67]]&&(!0==_0xfb0bx2||!1==_0xfb0bx2)){_0xfb0bx2=!0==_0xfb0bx2?_0x7bc7[500]:_0x7bc7[468]};return _0xfb0bx2};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3265]]=function(_0xfb0bx2){mxUtils[_0x7bc7[1946]](_0xfb0bx2)&&(_0xfb0bx2=parseFloat(_0xfb0bx2));return _0xfb0bx2};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3255]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){return _0xfb0bx3};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3257]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){return _0xfb0bx4};mxObjectCodec[_0x7bc7[202]][_0x7bc7[1093]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=_0xfb0bx3[_0x7bc7[284]](_0x7bc7[1363]),_0xfb0bx9=_0xfb0bx2[_0x7bc7[68]][_0xfb0bx5];null==_0xfb0bx9&&(_0xfb0bx9=_0xfb0bx4||this[_0x7bc7[3250]](),null!=_0xfb0bx5&&_0xfb0bx2[_0x7bc7[1104]](_0xfb0bx5,_0xfb0bx9));_0xfb0bx3=this[_0x7bc7[3266]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx9);this[_0x7bc7[3267]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx9);return this[_0x7bc7[3268]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx9)};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3267]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){null!=_0xfb0bx3&&(this[_0x7bc7[3269]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4),this[_0x7bc7[3270]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4))};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3269]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx3=_0xfb0bx3[_0x7bc7[320]];if(null!=_0xfb0bx3){for(var _0xfb0bx5=0;_0xfb0bx5<_0xfb0bx3[_0x7bc7[67]];_0xfb0bx5++){this[_0x7bc7[3271]](_0xfb0bx2,_0xfb0bx3[_0xfb0bx5],_0xfb0bx4)}}};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3271]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=_0xfb0bx3[_0x7bc7[301]];if(_0x7bc7[3069]!=_0xfb0bx5&&_0x7bc7[1363]!=_0xfb0bx5){_0xfb0bx3=this[_0x7bc7[3265]](_0xfb0bx3[_0x7bc7[318]]);var _0xfb0bx9=this[_0x7bc7[3251]](_0xfb0bx5);if(this[_0x7bc7[3254]](_0xfb0bx4,_0xfb0bx9,_0xfb0bx3,!1)){_0xfb0bx2=_0xfb0bx2[_0x7bc7[3236]](_0xfb0bx3);if(null==_0xfb0bx2){mxLog[_0x7bc7[283]](_0x7bc7[3272]+this[_0x7bc7[718]]()+_0x7bc7[87]+_0xfb0bx5+_0x7bc7[226]+_0xfb0bx3);return};_0xfb0bx3=_0xfb0bx2};this[_0x7bc7[3253]](_0xfb0bx4,_0xfb0bx5,_0xfb0bx3,!1)||(_0xfb0bx4[_0xfb0bx5]=_0xfb0bx3)}};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3270]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){for(_0xfb0bx3=_0xfb0bx3[_0x7bc7[285]];null!=_0xfb0bx3;){var _0xfb0bx5=_0xfb0bx3[_0x7bc7[287]];_0xfb0bx3[_0x7bc7[288]]==mxConstants[_0x7bc7[289]]&&!this[_0x7bc7[3273]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4)&&this[_0x7bc7[3274]](_0xfb0bx2,_0xfb0bx3,_0xfb0bx4);_0xfb0bx3=_0xfb0bx5}};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3274]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){var _0xfb0bx5=this[_0x7bc7[3251]](_0xfb0bx3[_0x7bc7[284]](_0x7bc7[3069]));if(null==_0xfb0bx5||!this[_0x7bc7[3253]](_0xfb0bx4,_0xfb0bx5,_0xfb0bx3,!1)){var _0xfb0bx9=this[_0x7bc7[3275]](_0xfb0bx4,_0xfb0bx5,_0xfb0bx3),_0xfb0bxa=null;_0x7bc7[99]==_0xfb0bx3[_0x7bc7[301]]?(_0xfb0bxa=_0xfb0bx3[_0x7bc7[284]](_0x7bc7[131]),null==_0xfb0bxa&&(_0xfb0bxa=mxUtils[_0x7bc7[1545]](mxUtils[_0x7bc7[329]](_0xfb0bx3)))):_0xfb0bxa=_0xfb0bx2[_0x7bc7[1093]](_0xfb0bx3,_0xfb0bx9);this[_0x7bc7[3276]](_0xfb0bx4,_0xfb0bx5,_0xfb0bxa,_0xfb0bx9)}};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3275]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){_0xfb0bx2=_0xfb0bx2[_0xfb0bx3];_0xfb0bx2 instanceof Array&&0<_0xfb0bx2[_0x7bc7[67]]&&(_0xfb0bx2=null);return _0xfb0bx2};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3276]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4,_0xfb0bx5){null!=_0xfb0bx4&&_0xfb0bx4!=_0xfb0bx5&&(null!=_0xfb0bx3&&0<_0xfb0bx3[_0x7bc7[67]]?_0xfb0bx2[_0xfb0bx3]=_0xfb0bx4:_0xfb0bx2[_0x7bc7[207]](_0xfb0bx4))};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3273]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){if(_0x7bc7[3277]==_0xfb0bx3[_0x7bc7[301]]){_0xfb0bx3=_0xfb0bx3[_0x7bc7[284]](_0x7bc7[298]);if(null!=_0xfb0bx3){try{var _0xfb0bx5=mxUtils[_0x7bc7[219]](_0xfb0bx3)[_0x7bc7[874]]();null!=_0xfb0bx5&&_0xfb0bx2[_0x7bc7[1093]](_0xfb0bx5,_0xfb0bx4)}catch(e){}};return !0};return !1};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3266]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){return _0xfb0bx3};mxObjectCodec[_0x7bc7[202]][_0x7bc7[3268]]=function(_0xfb0bx2,_0xfb0bx3,_0xfb0bx4){return _0xfb0bx4};mxCodecRegistry[_0x7bc7[3234]](function(){var _0xfb0bx2= new mxObjectCodec( new mxCell,[_0x7bc7[1954],_0x7bc7[1842],_0x7bc7[1211],_0x7bc7[1991]],[_0x7bc7[1101],_0x7bc7[1097],_0x7bc7[772]]);_0xfb0bx2[_0x7bc7[3243]]=function(){return !0};_0xfb0bx2[_0x7bc7[3253]]=function(_0xfb0bx2,_0xfb0bx4,_0xfb0bx5,_0xfb0bx9){return mxObjectCodec[_0x7bc7[202]][_0x7bc7[3253]][_0x7bc7[183]](this,arguments)||_0xfb0bx9&&_0x7bc7[131]==_0xfb0bx4&&_0xfb0bx5[_0x7bc7[288]]==mxConstants[_0x7bc7[289]]};_0xfb0bx2[_0x7bc7[3257]]=function(_0xfb0bx2,_0xfb0bx4,_0xfb0bx5){if(null!=_0xfb0bx4[_0x7bc7[131]]&&_0xfb0bx4[_0x7bc7[131]][_0x7bc7[288]]==mxConstants[_0x7bc7[289]]){var _0xfb0bx9=_0xfb0bx5;_0xfb0bx5=mxClient[_0x7bc7[80]]?_0xfb0bx4[_0x7bc7[131]][_0x7bc7[511]](!0):_0xfb0bx2[_0x7bc7[395]][_0x7bc7[3239]](_0xfb0bx4[_0x7bc7[131]],!0);_0xfb0bx5[_0x7bc7[62]](_0xfb0bx9);_0xfb0bx2=_0xfb0bx9[_0x7bc7[284]](_0x7bc7[1363]);_0xfb0bx5[_0x7bc7[57]](_0x7bc7[1363],_0xfb0bx2);_0xfb0bx9[_0x7bc7[1390]](_0x7bc7[1363])};return _0xfb0bx5};_0xfb0bx2[_0x7bc7[3266]]=function(_0xfb0bx2,_0xfb0bx4,_0xfb0bx5){var _0xfb0bx9=_0xfb0bx4,_0xfb0bxa=this[_0x7bc7[718]]();_0xfb0bx4[_0x7bc7[301]]!=_0xfb0bxa?(_0xfb0bx9=_0xfb0bx4[_0x7bc7[64]](_0xfb0bxa)[0],null!=_0xfb0bx9&&_0xfb0bx9[_0x7bc7[265]]==_0xfb0bx4?(mxUtils[_0x7bc7[3278]](_0xfb0bx9,!0),mxUtils[_0x7bc7[3278]](_0xfb0bx9,!1),_0xfb0bx9[_0x7bc7[265]][_0x7bc7[266]](_0xfb0bx9)):_0xfb0bx9=null,_0xfb0bx5[_0x7bc7[131]]=_0xfb0bx4[_0x7bc7[511]](!0),_0xfb0bx4=_0xfb0bx5[_0x7bc7[131]][_0x7bc7[284]](_0x7bc7[1363]),null!=_0xfb0bx4&&(_0xfb0bx5[_0x7bc7[1945]](_0xfb0bx4),_0xfb0bx5[_0x7bc7[131]][_0x7bc7[1390]](_0x7bc7[1363]))):_0xfb0bx5[_0x7bc7[1945]](_0xfb0bx4[_0x7bc7[284]](_0x7bc7[1363]));if(null!=_0xfb0bx9){for(_0xfb0bx4=0;_0xfb0bx40&&!isNaN(parseInt(_0x699fxc))){_0x699fx5[_0x34ef[137]](parseInt(_0x699fxc)/100)}}));var _0x699fx15=null;_0x699fx15=this[_0x34ef[10]](_0x34ef[164],function(){_0x699fx5[_0x34ef[166]](!_0x699fx5[_0x34ef[165]]());_0x699fx4[_0x34ef[167]]()},null,null,_0x34ef[168]);_0x699fx15[_0x34ef[169]](true);_0x699fx15[_0x34ef[170]](function(){return _0x699fx5[_0x34ef[165]]()});_0x699fx15=this[_0x34ef[10]](_0x34ef[171],function(){_0x699fx5[_0x34ef[173]][_0x34ef[172]]=!_0x699fx5[_0x34ef[173]][_0x34ef[172]]});_0x699fx15[_0x34ef[169]](true);_0x699fx15[_0x34ef[170]](function(){return _0x699fx5[_0x34ef[173]][_0x34ef[172]]});_0x699fx15=this[_0x34ef[10]](_0x34ef[174],function(){_0x699fx5[_0x34ef[176]][_0x34ef[177]](!_0x699fx5[_0x34ef[176]][_0x34ef[175]]())});_0x699fx15[_0x34ef[169]](true);_0x699fx15[_0x34ef[170]](function(){return _0x699fx5[_0x34ef[176]][_0x34ef[175]]()});_0x699fx15=this[_0x34ef[10]](_0x34ef[178],function(){_0x699fx5[_0x34ef[179]]=!_0x699fx5[_0x34ef[179]];_0x699fx5[_0x34ef[129]][_0x34ef[180]]()});_0x699fx15[_0x34ef[169]](true);_0x699fx15[_0x34ef[170]](function(){return _0x699fx5[_0x34ef[179]]});_0x699fx15=this[_0x34ef[10]](_0x34ef[181],function(){_0x699fx5[_0x34ef[181]]=!_0x699fx5[_0x34ef[181]];_0x699fx4[_0x34ef[167]]();if(!_0x699fx5[_0x34ef[181]]){var _0x699fx16=_0x699fx5[_0x34ef[129]][_0x34ef[155]];_0x699fx5[_0x34ef[129]][_0x34ef[183]](_0x699fx16[_0x34ef[124]]-_0x699fx5[_0x34ef[8]][_0x34ef[154]]/_0x699fx5[_0x34ef[129]][_0x34ef[182]],_0x699fx16[_0x34ef[127]]-_0x699fx5[_0x34ef[8]][_0x34ef[158]]/_0x699fx5[_0x34ef[129]][_0x34ef[182]]);_0x699fx5[_0x34ef[8]][_0x34ef[154]]=0;_0x699fx5[_0x34ef[8]][_0x34ef[158]]=0;_0x699fx5[_0x34ef[184]]()}else {var _0x699fx17=_0x699fx5[_0x34ef[129]][_0x34ef[155]][_0x34ef[124]];var _0x699fx18=_0x699fx5[_0x34ef[129]][_0x34ef[155]][_0x34ef[127]];_0x699fx5[_0x34ef[129]][_0x34ef[155]][_0x34ef[124]]=0;_0x699fx5[_0x34ef[129]][_0x34ef[155]][_0x34ef[127]]=0;_0x699fx5[_0x34ef[184]]();_0x699fx5[_0x34ef[8]][_0x34ef[154]]-=Math[_0x34ef[157]](_0x699fx17*_0x699fx5[_0x34ef[129]][_0x34ef[182]]);_0x699fx5[_0x34ef[8]][_0x34ef[158]]-=Math[_0x34ef[157]](_0x699fx18*_0x699fx5[_0x34ef[129]][_0x34ef[182]])}},!mxClient.IS_TOUCH);_0x699fx15[_0x34ef[169]](true);_0x699fx15[_0x34ef[170]](function(){return _0x699fx5[_0x34ef[8]][_0x34ef[113]][_0x34ef[185]]==_0x34ef[186]});_0x699fx15=this[_0x34ef[10]](_0x34ef[147],mxUtils[_0x34ef[27]](this,function(){_0x699fx5[_0x34ef[145]]=!_0x699fx5[_0x34ef[145]];_0x699fx5[_0x34ef[187]]=_0x699fx5[_0x34ef[145]];_0x699fx5[_0x34ef[188]]=_0x699fx5[_0x34ef[187]];_0x699fx5[_0x34ef[129]][_0x34ef[189]]();_0x699fx5[_0x34ef[184]]();_0x699fx4[_0x34ef[167]]();_0x699fx4[_0x34ef[191]][_0x34ef[190]]();if(mxUtils[_0x34ef[192]](_0x699fx5[_0x34ef[8]])){if(_0x699fx5[_0x34ef[145]]){_0x699fx5[_0x34ef[8]][_0x34ef[154]]-=20;_0x699fx5[_0x34ef[8]][_0x34ef[158]]-=20}else {_0x699fx5[_0x34ef[8]][_0x34ef[154]]+=20;_0x699fx5[_0x34ef[8]][_0x34ef[158]]+=20}}}));_0x699fx15[_0x34ef[169]](true);_0x699fx15[_0x34ef[170]](function(){return _0x699fx5[_0x34ef[145]]});_0x699fx15=this[_0x34ef[10]](_0x34ef[193],function(){_0x699fx5[_0x34ef[195]](!_0x699fx5[_0x34ef[194]][_0x34ef[175]]())},null,null,_0x34ef[196]);_0x699fx15[_0x34ef[169]](true);_0x699fx15[_0x34ef[170]](function(){return _0x699fx5[_0x34ef[194]][_0x34ef[175]]()});_0x699fx15=this[_0x34ef[10]](_0x34ef[197],function(){_0x699fx5[_0x34ef[194]][_0x34ef[199]](!_0x699fx5[_0x34ef[194]][_0x34ef[198]]())});_0x699fx15[_0x34ef[169]](true);_0x699fx15[_0x34ef[170]](function(){return _0x699fx5[_0x34ef[194]][_0x34ef[198]]()});this[_0x34ef[10]](_0x34ef[200],function(){var _0x699fx19=_0x34ef[95];if(mxResources[_0x34ef[202]](mxClient[_0x34ef[201]])){_0x699fx19=_0x34ef[203]+mxClient[_0x34ef[201]]};window[_0x34ef[11]](_0x34ef[204])});this[_0x34ef[28]](_0x34ef[205], new Action(mxResources[_0x34ef[26]](_0x34ef[205])+_0x34ef[206],function(){_0x699fx3[_0x34ef[9]]( new AboutDialog(_0x699fx3)[_0x34ef[8]],320,280,true,true)},null,null,_0x34ef[207]));var _0x699fx1a=mxUtils[_0x34ef[27]](this,function(_0x699fx1b,_0x699fx1c){this[_0x34ef[10]](_0x699fx1b,function(){_0x699fx5[_0x34ef[208]](mxConstants.STYLE_FONTSTYLE,_0x699fx1c)})});_0x699fx1a(_0x34ef[209],mxConstants.FONT_BOLD);_0x699fx1a(_0x34ef[210],mxConstants.FONT_ITALIC);_0x699fx1a(_0x34ef[211],mxConstants.FONT_UNDERLINE);this[_0x34ef[10]](_0x34ef[212],function(){_0x699fx5[_0x34ef[213]](mxConstants.STYLE_SHADOW)});this[_0x34ef[10]](_0x34ef[214],function(){_0x699fx5[_0x34ef[213]](mxConstants.STYLE_DASHED)});this[_0x34ef[10]](_0x34ef[215],function(){_0x699fx5[_0x34ef[213]](mxConstants.STYLE_ROUNDED)});this[_0x34ef[10]](_0x34ef[216],function(){_0x699fx5[_0x34ef[213]](mxConstants.STYLE_CURVED)});this[_0x34ef[10]](_0x34ef[113],function(){var _0x699fx9=_0x699fx5[_0x34ef[57]]();if(_0x699fx9!=null&&_0x699fx9[_0x34ef[103]]>0){var _0x699fx1d=_0x699fx5[_0x34ef[102]]();var _0x699fx1c=mxUtils[_0x34ef[97]](mxResources[_0x34ef[26]](_0x34ef[96])+_0x34ef[118]+mxResources[_0x34ef[26]](_0x34ef[113])+_0x34ef[217],_0x699fx1d[_0x34ef[218]](_0x699fx9[0])||_0x34ef[95]);if(_0x699fx1c!=null){_0x699fx5[_0x34ef[219]](_0x699fx1c,_0x699fx9)}}});this[_0x34ef[10]](_0x34ef[220],function(){_0x699fx5[_0x34ef[221]](_0x699fx5[_0x34ef[93]]())});this[_0x34ef[10]](_0x34ef[222],function(){var _0x699fx7=_0x699fx5[_0x34ef[93]]();if(_0x699fx7!=null&&_0x699fx5[_0x34ef[102]]()[_0x34ef[223]](_0x699fx7)){var _0x699fx1e=_0x699fx4[_0x34ef[6]][_0x34ef[225]][_0x34ef[224]](_0x699fx7);if(_0x699fx1e instanceof mxEdgeHandler){var _0x699fx16=_0x699fx5[_0x34ef[129]][_0x34ef[155]];var _0x699fx6=_0x699fx5[_0x34ef[129]][_0x34ef[182]];var _0x699fx17=_0x699fx16[_0x34ef[124]];var _0x699fx18=_0x699fx16[_0x34ef[127]];var _0x699fx1f=_0x699fx5[_0x34ef[102]]()[_0x34ef[226]](_0x699fx7);var _0x699fx20=_0x699fx5[_0x34ef[122]](_0x699fx1f);if(_0x699fx5[_0x34ef[102]]()[_0x34ef[121]](_0x699fx1f)&&_0x699fx20!=null){_0x699fx17+=_0x699fx20[_0x34ef[124]];_0x699fx18+=_0x699fx20[_0x34ef[127]]};_0x699fx1e[_0x34ef[231]](_0x699fx1e[_0x34ef[227]],_0x699fx5[_0x34ef[229]][_0x34ef[228]]/_0x699fx6-_0x699fx17,_0x699fx5[_0x34ef[229]][_0x34ef[230]]/_0x699fx6-_0x699fx18)}}});this[_0x34ef[10]](_0x34ef[232],function(){var _0x699fx21=_0x699fx3[_0x34ef[2]][_0x34ef[26]](_0x34ef[232]);if(_0x699fx21[_0x34ef[233]]!=null){_0x699fx21[_0x34ef[233]][_0x34ef[235]](_0x699fx21[_0x34ef[233]][_0x34ef[227]],_0x699fx21[_0x34ef[234]])}});this[_0x34ef[10]](_0x34ef[236],function(){function _0x699fx22(_0x699fxc,_0x699fx23,_0x699fx24){var _0x699fx25=null;var _0x699fx9=_0x699fx5[_0x34ef[57]]();_0x699fx5[_0x34ef[102]]()[_0x34ef[101]]();try{if(_0x699fx9[_0x34ef[103]]==0){var _0x699fx26=_0x699fx5[_0x34ef[237]]();_0x699fx9=[_0x699fx5[_0x34ef[239]](_0x699fx5[_0x34ef[238]](),null,_0x34ef[95],_0x699fx26,_0x699fx26,_0x699fx23,_0x699fx24)];_0x699fx25=_0x699fx9};_0x699fx5[_0x34ef[114]](mxConstants.STYLE_IMAGE,_0x699fxc,_0x699fx9);_0x699fx5[_0x34ef[114]](mxConstants.STYLE_SHAPE,_0x34ef[236],_0x699fx9);if(_0x699fx5[_0x34ef[240]]()==1){if(_0x699fx23!=null&&_0x699fx24!=null){var _0x699fx7=_0x699fx9[0];var _0x699fxd=_0x699fx5[_0x34ef[102]]()[_0x34ef[241]](_0x699fx7);if(_0x699fxd!=null){_0x699fxd=_0x699fxd[_0x34ef[123]]();_0x699fxd[_0x34ef[125]]=_0x699fx23;_0x699fxd[_0x34ef[126]]=_0x699fx24;_0x699fx5[_0x34ef[102]]()[_0x34ef[128]](_0x699fx7,_0x699fxd)}}}}finally{_0x699fx5[_0x34ef[102]]()[_0x34ef[107]]()};if(_0x699fx25!=null){_0x699fx5[_0x34ef[59]](_0x699fx25);_0x699fx5[_0x34ef[242]](_0x699fx25[0])}}var _0x699fxc=_0x34ef[95];var _0x699fxb=_0x699fx5[_0x34ef[110]]()[_0x34ef[109]](_0x699fx5[_0x34ef[93]]());if(_0x699fxb!=null){_0x699fxc=_0x699fxb[_0x34ef[113]][mxConstants[_0x34ef[243]]]||_0x699fxc};_0x699fxc=mxUtils[_0x34ef[97]](mxResources[_0x34ef[26]](_0x34ef[96])+_0x34ef[118]+mxResources[_0x34ef[26]](_0x34ef[244])+_0x34ef[217],_0x699fxc);if(_0x699fxc!=null){if(_0x699fxc[_0x34ef[103]]>0){var _0x699fx27= new Image();_0x699fx27[_0x34ef[245]]=function(){_0x699fx22(_0x699fxc,_0x699fx27[_0x34ef[125]],_0x699fx27[_0x34ef[126]])};_0x699fx27[_0x34ef[246]]=function(){mxUtils[_0x34ef[248]](mxResources[_0x34ef[26]](_0x34ef[247]))};_0x699fx27[_0x34ef[249]]=_0x699fxc}}})};Actions[_0x34ef[4]][_0x34ef[10]]=function(_0x699fx1b,_0x699fx28,_0x699fx29,_0x699fx2a,_0x699fx2b){return this[_0x34ef[28]](_0x699fx1b, new Action(mxResources[_0x34ef[26]](_0x699fx1b),_0x699fx28,_0x699fx29,_0x699fx2a,_0x699fx2b))};Actions[_0x34ef[4]][_0x34ef[28]]=function(_0x699fx2c,_0x699fx15){this[_0x34ef[2]][_0x699fx2c]=_0x699fx15;return _0x699fx15};Actions[_0x34ef[4]][_0x34ef[26]]=function(_0x699fx2c){return this[_0x34ef[2]][_0x699fx2c]};function Action(_0x699fx2e,_0x699fx28,_0x699fx29,_0x699fx2a,_0x699fx2b){mxEventSource[_0x34ef[250]](this);this[_0x34ef[251]]=_0x699fx2e;this[_0x34ef[146]]=_0x699fx28;this[_0x34ef[252]]=(_0x699fx29!=null)?_0x699fx29:true;this[_0x34ef[253]]=_0x699fx2a;this[_0x34ef[254]]=_0x699fx2b}mxUtils[_0x34ef[255]](Action,mxEventSource);Action[_0x34ef[4]][_0x34ef[177]]=function(_0x699fxc){if(this[_0x34ef[252]]!=_0x699fxc){this[_0x34ef[252]]=_0x699fxc;this[_0x34ef[257]]( new mxEventObject(_0x34ef[256]))}};Action[_0x34ef[4]][_0x34ef[169]]=function(_0x699fxc){this[_0x34ef[258]]=_0x699fxc};Action[_0x34ef[4]][_0x34ef[170]]=function(_0x699fx28){this[_0x34ef[259]]=_0x699fx28};Action[_0x34ef[4]][_0x34ef[260]]=function(){return this[_0x34ef[259]]()} \ No newline at end of file +var _0xd573=["\x75\x73\x65\x20\x73\x74\x72\x69\x63\x74","\x65\x64\x69\x74\x6F\x72\x55\x69","\x61\x63\x74\x69\x6F\x6E\x73","\x69\x6E\x69\x74","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x65\x64\x69\x74\x6F\x72","\x67\x72\x61\x70\x68","\x6E\x65\x77","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x73\x68\x6F\x77\x44\x69\x61\x6C\x6F\x67","\x61\x64\x64\x41\x63\x74\x69\x6F\x6E","\x6F\x70\x65\x6E","\x73\x61\x76\x65","\x73\x61\x76\x65\x46\x69\x6C\x65","\x43\x74\x72\x6C\x2B\x53","\x73\x61\x76\x65\x41\x73","\x43\x74\x72\x6C\x2B\x53\x68\x69\x66\x74\x2D\x53","\x70\x75\x62\x6C\x69\x73\x68","\x70\x75\x62\x6C\x69\x73\x68\x46\x69\x6C\x65","\x43\x74\x72\x6C\x2B\x53\x68\x69\x66\x74\x2B\x50","\x76\x61\x72\x69\x61\x62\x6C\x65","\x69\x6D\x70\x6F\x72\x74","\x65\x78\x70\x6F\x72\x74","\x43\x74\x72\x6C\x2B\x45","\x65\x64\x69\x74\x46\x69\x6C\x65","\x65\x64\x69\x74","\x67\x65\x74","\x62\x69\x6E\x64","\x70\x75\x74","\x72\x65\x6E\x61\x6D\x65","\x70\x72\x69\x6E\x74","\x70\x72\x69\x6E\x74\x53\x63\x72\x65\x65\x6E","\x73\x70\x72\x69\x74\x65\x2D\x70\x72\x69\x6E\x74","\x43\x74\x72\x6C\x2B\x50","\x70\x72\x65\x76\x69\x65\x77","\x73\x68\x6F\x77","\x75\x6E\x64\x6F","\x75\x6E\x64\x6F\x4D\x61\x6E\x61\x67\x65\x72","\x73\x70\x72\x69\x74\x65\x2D\x75\x6E\x64\x6F","\x43\x74\x72\x6C\x2B\x5A","\x72\x65\x64\x6F","\x73\x70\x72\x69\x74\x65\x2D\x72\x65\x64\x6F","\x43\x74\x72\x6C\x2B\x59","\x63\x75\x74","\x73\x70\x72\x69\x74\x65\x2D\x63\x75\x74","\x43\x74\x72\x6C\x2B\x58","\x63\x6F\x70\x79","\x73\x70\x72\x69\x74\x65\x2D\x63\x6F\x70\x79","\x43\x74\x72\x6C\x2B\x43","\x70\x61\x73\x74\x65","\x73\x70\x72\x69\x74\x65\x2D\x70\x61\x73\x74\x65","\x43\x74\x72\x6C\x2B\x56","\x64\x65\x6C\x65\x74\x65","\x72\x65\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73","\x44\x65\x6C\x65\x74\x65","\x64\x75\x70\x6C\x69\x63\x61\x74\x65","\x67\x72\x69\x64\x53\x69\x7A\x65","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x43\x74\x72\x6C\x2B\x44","\x73\x65\x6C\x65\x63\x74\x56\x65\x72\x74\x69\x63\x65\x73","\x43\x74\x72\x6C\x2B\x53\x68\x69\x66\x74\x2B\x56","\x73\x65\x6C\x65\x63\x74\x45\x64\x67\x65\x73","\x43\x74\x72\x6C\x2B\x53\x68\x69\x66\x74\x2B\x45","\x73\x65\x6C\x65\x63\x74\x41\x6C\x6C","\x43\x74\x72\x6C\x2B\x41","\x68\x6F\x6D\x65","\x48\x6F\x6D\x65","\x65\x78\x69\x74\x47\x72\x6F\x75\x70","\x50\x61\x67\x65\x20\x55\x70","\x65\x6E\x74\x65\x72\x47\x72\x6F\x75\x70","\x50\x61\x67\x65\x20\x44\x6F\x77\x6E","\x65\x78\x70\x61\x6E\x64","\x66\x6F\x6C\x64\x43\x65\x6C\x6C\x73","\x45\x6E\x74\x65\x72","\x63\x6F\x6C\x6C\x61\x70\x73\x65","\x42\x61\x63\x6B\x73\x70\x61\x63\x65","\x74\x6F\x46\x72\x6F\x6E\x74","\x6F\x72\x64\x65\x72\x43\x65\x6C\x6C\x73","\x43\x74\x72\x6C\x2B\x46","\x74\x6F\x42\x61\x63\x6B","\x43\x74\x72\x6C\x2B\x42","\x67\x72\x6F\x75\x70","\x67\x72\x6F\x75\x70\x43\x65\x6C\x6C\x73","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x43\x74\x72\x6C\x2B\x47","\x75\x6E\x67\x72\x6F\x75\x70","\x75\x6E\x67\x72\x6F\x75\x70\x43\x65\x6C\x6C\x73","\x43\x74\x72\x6C\x2B\x55","\x72\x65\x6D\x6F\x76\x65\x46\x72\x6F\x6D\x47\x72\x6F\x75\x70","\x72\x65\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73\x46\x72\x6F\x6D\x50\x61\x72\x65\x6E\x74","\x65\x64\x69\x74\x4C\x69\x6E\x6B","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x67\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","","\x65\x6E\x74\x65\x72\x56\x61\x6C\x75\x65","\x70\x72\x6F\x6D\x70\x74","\x73\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x6F\x70\x65\x6E\x4C\x69\x6E\x6B","\x61\x75\x74\x6F\x73\x69\x7A\x65","\x62\x65\x67\x69\x6E\x55\x70\x64\x61\x74\x65","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x6C\x65\x6E\x67\x74\x68","\x67\x65\x74\x43\x68\x69\x6C\x64\x43\x6F\x75\x6E\x74","\x75\x70\x64\x61\x74\x65\x47\x72\x6F\x75\x70\x42\x6F\x75\x6E\x64\x73","\x75\x70\x64\x61\x74\x65\x43\x65\x6C\x6C\x53\x69\x7A\x65","\x65\x6E\x64\x55\x70\x64\x61\x74\x65","\x77\x6F\x72\x64\x57\x72\x61\x70","\x67\x65\x74\x53\x74\x61\x74\x65","\x67\x65\x74\x56\x69\x65\x77","\x77\x72\x61\x70","\x53\x54\x59\x4C\x45\x5F\x57\x48\x49\x54\x45\x5F\x53\x50\x41\x43\x45","\x73\x74\x79\x6C\x65","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x72\x6F\x74\x61\x74\x69\x6F\x6E","\x30","\x53\x54\x59\x4C\x45\x5F\x52\x4F\x54\x41\x54\x49\x4F\x4E","\x20\x28","\x20\x30\x2D\x33\x36\x30\x29","\x74\x69\x6C\x74","\x69\x73\x56\x65\x72\x74\x65\x78","\x67\x65\x74\x43\x65\x6C\x6C\x47\x65\x6F\x6D\x65\x74\x72\x79","\x63\x6C\x6F\x6E\x65","\x78","\x77\x69\x64\x74\x68","\x68\x65\x69\x67\x68\x74","\x79","\x73\x65\x74\x47\x65\x6F\x6D\x65\x74\x72\x79","\x76\x69\x65\x77","\x53\x54\x59\x4C\x45\x5F\x44\x49\x52\x45\x43\x54\x49\x4F\x4E","\x65\x61\x73\x74","\x73\x6F\x75\x74\x68","\x77\x65\x73\x74","\x6E\x6F\x72\x74\x68","\x43\x74\x72\x6C\x2B\x52","\x61\x63\x74\x75\x61\x6C\x53\x69\x7A\x65","\x7A\x6F\x6F\x6D\x54\x6F","\x7A\x6F\x6F\x6D\x49\x6E","\x41\x64\x64","\x7A\x6F\x6F\x6D\x4F\x75\x74","\x53\x75\x62\x74\x72\x61\x63\x74","\x66\x69\x74\x57\x69\x6E\x64\x6F\x77","\x66\x69\x74","\x66\x69\x74\x50\x61\x67\x65","\x70\x61\x67\x65\x56\x69\x73\x69\x62\x6C\x65","\x66\x75\x6E\x63\x74","\x70\x61\x67\x65\x56\x69\x65\x77","\x70\x61\x67\x65\x46\x6F\x72\x6D\x61\x74","\x70\x61\x67\x65\x53\x63\x61\x6C\x65","\x63\x6C\x69\x65\x6E\x74\x57\x69\x64\x74\x68","\x63\x6C\x69\x65\x6E\x74\x48\x65\x69\x67\x68\x74","\x6D\x69\x6E","\x66\x6C\x6F\x6F\x72","\x73\x63\x72\x6F\x6C\x6C\x4C\x65\x66\x74","\x74\x72\x61\x6E\x73\x6C\x61\x74\x65","\x6D\x61\x78","\x72\x6F\x75\x6E\x64","\x73\x63\x72\x6F\x6C\x6C\x54\x6F\x70","\x66\x69\x74\x50\x61\x67\x65\x57\x69\x64\x74\x68","\x63\x75\x73\x74\x6F\x6D\x5A\x6F\x6F\x6D","\x63\x75\x73\x74\x6F\x6D","\x20\x28\x25\x29","\x67\x65\x74\x53\x63\x61\x6C\x65","\x67\x72\x69\x64","\x69\x73\x47\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x47\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64","\x75\x70\x64\x61\x74\x65\x47\x72\x61\x70\x68\x43\x6F\x6D\x70\x6F\x6E\x65\x6E\x74\x73","\x43\x74\x72\x6C\x2B\x53\x68\x69\x66\x74\x2B\x47","\x73\x65\x74\x54\x6F\x67\x67\x6C\x65\x41\x63\x74\x69\x6F\x6E","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x65\x64\x43\x61\x6C\x6C\x62\x61\x63\x6B","\x67\x75\x69\x64\x65\x73","\x67\x75\x69\x64\x65\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x61\x70\x68\x48\x61\x6E\x64\x6C\x65\x72","\x74\x6F\x6F\x6C\x74\x69\x70\x73","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x74\x6F\x6F\x6C\x74\x69\x70\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x6E\x61\x76\x69\x67\x61\x74\x69\x6F\x6E","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x72\x65\x76\x61\x6C\x69\x64\x61\x74\x65","\x73\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73","\x73\x63\x61\x6C\x65","\x73\x65\x74\x54\x72\x61\x6E\x73\x6C\x61\x74\x65","\x73\x69\x7A\x65\x44\x69\x64\x43\x68\x61\x6E\x67\x65","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x61\x75\x74\x6F","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x73\x56\x69\x73\x69\x62\x6C\x65","\x70\x72\x65\x66\x65\x72\x50\x61\x67\x65\x53\x69\x7A\x65","\x76\x61\x6C\x69\x64\x61\x74\x65","\x75\x70\x64\x61\x74\x65","\x6F\x75\x74\x6C\x69\x6E\x65","\x68\x61\x73\x53\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73","\x63\x6F\x6E\x6E\x65\x63\x74","\x63\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x43\x74\x72\x6C\x2B\x51","\x63\x6F\x70\x79\x43\x6F\x6E\x6E\x65\x63\x74","\x69\x73\x43\x72\x65\x61\x74\x65\x54\x61\x72\x67\x65\x74","\x73\x65\x74\x43\x72\x65\x61\x74\x65\x54\x61\x72\x67\x65\x74","\x68\x65\x6C\x70","\x6C\x61\x6E\x67\x75\x61\x67\x65","\x69\x73\x4C\x61\x6E\x67\x75\x61\x67\x65\x53\x75\x70\x70\x6F\x72\x74\x65\x64","\x5F","\x68\x74\x74\x70\x73\x3A\x2F\x2F\x77\x69\x6B\x69\x2E\x78\x63\x61\x6C\x6C\x79\x6D\x6F\x74\x69\x6F\x6E\x2E\x63\x6F\x6D\x2F\x64\x69\x73\x70\x6C\x61\x79\x2F\x58\x4D\x44\x2F\x43\x61\x6C\x6C\x79\x2B\x53\x71\x75\x61\x72\x65","\x61\x62\x6F\x75\x74","\x20\x43\x61\x6C\x6C\x79\x20\x53\x71\x75\x61\x72\x65","\x46\x31","\x74\x6F\x67\x67\x6C\x65\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x46\x6C\x61\x67\x73","\x62\x6F\x6C\x64","\x69\x74\x61\x6C\x69\x63","\x75\x6E\x64\x65\x72\x6C\x69\x6E\x65","\x73\x68\x61\x64\x6F\x77","\x74\x6F\x67\x67\x6C\x65\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x64\x61\x73\x68\x65\x64","\x72\x6F\x75\x6E\x64\x65\x64","\x63\x75\x72\x76\x65\x64","\x29","\x67\x65\x74\x53\x74\x79\x6C\x65","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65","\x73\x65\x74\x41\x73\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x73\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x61\x64\x64\x57\x61\x79\x70\x6F\x69\x6E\x74","\x69\x73\x45\x64\x67\x65","\x67\x65\x74\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x50\x61\x72\x65\x6E\x74","\x73\x74\x61\x74\x65","\x74\x72\x69\x67\x67\x65\x72\x58","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x74\x72\x69\x67\x67\x65\x72\x59","\x61\x64\x64\x50\x6F\x69\x6E\x74\x41\x74","\x72\x65\x6D\x6F\x76\x65\x57\x61\x79\x70\x6F\x69\x6E\x74","\x68\x61\x6E\x64\x6C\x65\x72","\x69\x6E\x64\x65\x78","\x72\x65\x6D\x6F\x76\x65\x50\x6F\x69\x6E\x74","\x69\x6D\x61\x67\x65","\x67\x65\x74\x47\x72\x69\x64\x53\x69\x7A\x65","\x67\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x50\x61\x72\x65\x6E\x74","\x69\x6E\x73\x65\x72\x74\x56\x65\x72\x74\x65\x78","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x6F\x75\x6E\x74","\x67\x65\x74\x47\x65\x6F\x6D\x65\x74\x72\x79","\x73\x63\x72\x6F\x6C\x6C\x43\x65\x6C\x6C\x54\x6F\x56\x69\x73\x69\x62\x6C\x65","\x53\x54\x59\x4C\x45\x5F\x49\x4D\x41\x47\x45","\x75\x72\x6C","\x6F\x6E\x6C\x6F\x61\x64","\x6F\x6E\x65\x72\x72\x6F\x72","\x66\x69\x6C\x65\x4E\x6F\x74\x46\x6F\x75\x6E\x64","\x61\x6C\x65\x72\x74","\x73\x72\x63","\x63\x61\x6C\x6C","\x6C\x61\x62\x65\x6C","\x65\x6E\x61\x62\x6C\x65\x64","\x69\x63\x6F\x6E\x43\x6C\x73","\x73\x68\x6F\x72\x74\x63\x75\x74","\x65\x78\x74\x65\x6E\x64","\x73\x74\x61\x74\x65\x43\x68\x61\x6E\x67\x65\x64","\x66\x69\x72\x65\x45\x76\x65\x6E\x74","\x74\x6F\x67\x67\x6C\x65\x41\x63\x74\x69\x6F\x6E","\x73\x65\x6C\x65\x63\x74\x65\x64\x43\x61\x6C\x6C\x62\x61\x63\x6B","\x69\x73\x53\x65\x6C\x65\x63\x74\x65\x64"];_0xd573[0];function Actions(_0xf7e1x2){this[_0xd573[1]]=_0xf7e1x2;this[_0xd573[2]]= new Object();this[_0xd573[3]]()}Actions[_0xd573[4]][_0xd573[3]]=function(){var _0xf7e1x3=this[_0xd573[1]];var _0xf7e1x4=_0xf7e1x3[_0xd573[5]];var _0xf7e1x5=_0xf7e1x4[_0xd573[6]];this[_0xd573[10]](_0xd573[7],function(){_0xf7e1x3[_0xd573[9]]( new NewDialog(_0xf7e1x3)[_0xd573[8]],300,180,true,true)});this[_0xd573[10]](_0xd573[11],function(){_0xf7e1x3[_0xd573[9]]( new OpenDialog(_0xf7e1x3)[_0xd573[8]],300,180,true,true)});this[_0xd573[10]](_0xd573[12],function(){_0xf7e1x3[_0xd573[13]](false)},null,null,_0xd573[14]);this[_0xd573[10]](_0xd573[15],function(){_0xf7e1x3[_0xd573[13]](true)},null,null,_0xd573[16]);this[_0xd573[10]](_0xd573[17],function(){_0xf7e1x3[_0xd573[18]](false)},null,null,_0xd573[19]);this[_0xd573[10]](_0xd573[20],function(){_0xf7e1x3[_0xd573[9]]( new VariableDialog(_0xf7e1x3)[_0xd573[8]],300,180,true,true)});this[_0xd573[10]](_0xd573[21],function(){_0xf7e1x3[_0xd573[9]]( new ImportDialog(_0xf7e1x3)[_0xd573[8]],300,200,true,true)});this[_0xd573[10]](_0xd573[22],function(){_0xf7e1x3[_0xd573[9]]( new ExportDialog(_0xf7e1x3)[_0xd573[8]],300,200,true,true)},null,null,_0xd573[23]);this[_0xd573[28]](_0xd573[24], new Action(mxResources[_0xd573[26]](_0xd573[25]),mxUtils[_0xd573[27]](this,function(){this[_0xd573[1]][_0xd573[9]]( new EditFileDialog(_0xf7e1x3)[_0xd573[8]],620,420,true,true)})));this[_0xd573[10]](_0xd573[29],function(){_0xf7e1x3[_0xd573[9]]( new RenameDialog(_0xf7e1x3)[_0xd573[8]],300,180,true,true)});this[_0xd573[10]](_0xd573[30],function(){mxUtils[_0xd573[31]](_0xf7e1x5)},null,_0xd573[32],_0xd573[33]);this[_0xd573[10]](_0xd573[34],function(){mxUtils[_0xd573[35]](_0xf7e1x5,null,10,10)});this[_0xd573[10]](_0xd573[36],function(){_0xf7e1x4[_0xd573[37]][_0xd573[36]]()},null,_0xd573[38],_0xd573[39]);this[_0xd573[10]](_0xd573[40],function(){_0xf7e1x4[_0xd573[37]][_0xd573[40]]()},null,_0xd573[41],_0xd573[42]);this[_0xd573[10]](_0xd573[43],function(){mxClipboard[_0xd573[43]](_0xf7e1x5)},null,_0xd573[44],_0xd573[45]);this[_0xd573[10]](_0xd573[46],function(){mxClipboard[_0xd573[46]](_0xf7e1x5)},null,_0xd573[47],_0xd573[48]);this[_0xd573[10]](_0xd573[49],function(){mxClipboard[_0xd573[49]](_0xf7e1x5)},false,_0xd573[50],_0xd573[51]);this[_0xd573[10]](_0xd573[52],function(){_0xf7e1x5[_0xd573[53]]()},null,null,_0xd573[54]);this[_0xd573[10]](_0xd573[55],function(){var _0xf7e1x6=_0xf7e1x5[_0xd573[56]];_0xf7e1x5[_0xd573[59]](_0xf7e1x5[_0xd573[58]](_0xf7e1x5[_0xd573[57]](),_0xf7e1x6,_0xf7e1x6,true))},null,null,_0xd573[60]);this[_0xd573[10]](_0xd573[61],function(){_0xf7e1x5[_0xd573[61]]()},null,null,_0xd573[62]);this[_0xd573[10]](_0xd573[63],function(){_0xf7e1x5[_0xd573[63]]()},null,null,_0xd573[64]);this[_0xd573[10]](_0xd573[65],function(){_0xf7e1x5[_0xd573[65]]()},null,null,_0xd573[66]);this[_0xd573[10]](_0xd573[67],function(){_0xf7e1x5[_0xd573[67]]()},null,null,_0xd573[68]);this[_0xd573[10]](_0xd573[69],function(){_0xf7e1x5[_0xd573[69]]()},null,null,_0xd573[70]);this[_0xd573[10]](_0xd573[71],function(){_0xf7e1x5[_0xd573[71]]()},null,null,_0xd573[72]);this[_0xd573[10]](_0xd573[73],function(){_0xf7e1x5[_0xd573[74]](false)},null,null,_0xd573[75]);this[_0xd573[10]](_0xd573[76],function(){_0xf7e1x5[_0xd573[74]](true)},null,null,_0xd573[77]);this[_0xd573[10]](_0xd573[78],function(){_0xf7e1x5[_0xd573[79]](false)},null,null,_0xd573[80]);this[_0xd573[10]](_0xd573[81],function(){_0xf7e1x5[_0xd573[79]](true)},null,null,_0xd573[82]);this[_0xd573[10]](_0xd573[83],function(){_0xf7e1x5[_0xd573[85]](_0xf7e1x5[_0xd573[84]](null,0))},null,null,_0xd573[86]);this[_0xd573[10]](_0xd573[87],function(){_0xf7e1x5[_0xd573[59]](_0xf7e1x5[_0xd573[88]]())},null,null,_0xd573[89]);this[_0xd573[10]](_0xd573[90],function(){_0xf7e1x5[_0xd573[91]]()});this[_0xd573[10]](_0xd573[92],function(){var _0xf7e1x7=_0xf7e1x5[_0xd573[93]]();var _0xf7e1x8=_0xf7e1x5[_0xd573[94]](_0xf7e1x7);if(_0xf7e1x8==null){_0xf7e1x8=_0xd573[95]};_0xf7e1x8=mxUtils[_0xd573[97]](mxResources[_0xd573[26]](_0xd573[96]),_0xf7e1x8);if(_0xf7e1x8!=null){_0xf7e1x5[_0xd573[98]](_0xf7e1x7,_0xf7e1x8)}});this[_0xd573[10]](_0xd573[99],function(){var _0xf7e1x7=_0xf7e1x5[_0xd573[93]]();var _0xf7e1x8=_0xf7e1x5[_0xd573[94]](_0xf7e1x7);if(_0xf7e1x8!=null){window[_0xd573[11]](_0xf7e1x8)}});this[_0xd573[10]](_0xd573[100],function(){var _0xf7e1x9=_0xf7e1x5[_0xd573[57]]();if(_0xf7e1x9!=null){_0xf7e1x5[_0xd573[102]]()[_0xd573[101]]();try{for(var _0xf7e1xa=0;_0xf7e1xa<_0xf7e1x9[_0xd573[103]];_0xf7e1xa++){var _0xf7e1x7=_0xf7e1x9[_0xf7e1xa];if(_0xf7e1x5[_0xd573[102]]()[_0xd573[104]](_0xf7e1x7)){_0xf7e1x5[_0xd573[105]]([_0xf7e1x7],20)}else {_0xf7e1x5[_0xd573[106]](_0xf7e1x7)}}}finally{_0xf7e1x5[_0xd573[102]]()[_0xd573[107]]()}}});this[_0xd573[10]](_0xd573[108],function(){var _0xf7e1xb=_0xf7e1x5[_0xd573[110]]()[_0xd573[109]](_0xf7e1x5[_0xd573[93]]());var _0xf7e1xc=_0xd573[111];if(_0xf7e1xb!=null&&_0xf7e1xb[_0xd573[113]][mxConstants[_0xd573[112]]]==_0xd573[111]){_0xf7e1xc=null};_0xf7e1x5[_0xd573[114]](mxConstants.STYLE_WHITE_SPACE,_0xf7e1xc)});this[_0xd573[10]](_0xd573[115],function(){var _0xf7e1xc=_0xd573[116];var _0xf7e1xb=_0xf7e1x5[_0xd573[110]]()[_0xd573[109]](_0xf7e1x5[_0xd573[93]]());if(_0xf7e1xb!=null){_0xf7e1xc=_0xf7e1xb[_0xd573[113]][mxConstants[_0xd573[117]]]||_0xf7e1xc};_0xf7e1xc=mxUtils[_0xd573[97]](mxResources[_0xd573[26]](_0xd573[96])+_0xd573[118]+mxResources[_0xd573[26]](_0xd573[115])+_0xd573[119],_0xf7e1xc);if(_0xf7e1xc!=null){_0xf7e1x5[_0xd573[114]](mxConstants.STYLE_ROTATION,_0xf7e1xc)}});this[_0xd573[10]](_0xd573[120],function(){var _0xf7e1x9=_0xf7e1x5[_0xd573[57]]();if(_0xf7e1x9!=null){_0xf7e1x5[_0xd573[102]]()[_0xd573[101]]();try{for(var _0xf7e1xa=0;_0xf7e1xa<_0xf7e1x9[_0xd573[103]];_0xf7e1xa++){var _0xf7e1x7=_0xf7e1x9[_0xf7e1xa];if(_0xf7e1x5[_0xd573[102]]()[_0xd573[121]](_0xf7e1x7)&&_0xf7e1x5[_0xd573[102]]()[_0xd573[104]](_0xf7e1x7)==0){var _0xf7e1xd=_0xf7e1x5[_0xd573[122]](_0xf7e1x7);if(_0xf7e1xd!=null){_0xf7e1xd=_0xf7e1xd[_0xd573[123]]();_0xf7e1xd[_0xd573[124]]+=_0xf7e1xd[_0xd573[125]]/2-_0xf7e1xd[_0xd573[126]]/2;_0xf7e1xd[_0xd573[127]]+=_0xf7e1xd[_0xd573[126]]/2-_0xf7e1xd[_0xd573[125]]/2;var _0xf7e1xe=_0xf7e1xd[_0xd573[125]];_0xf7e1xd[_0xd573[125]]=_0xf7e1xd[_0xd573[126]];_0xf7e1xd[_0xd573[126]]=_0xf7e1xe;_0xf7e1x5[_0xd573[102]]()[_0xd573[128]](_0xf7e1x7,_0xf7e1xd);var _0xf7e1xb=_0xf7e1x5[_0xd573[129]][_0xd573[109]](_0xf7e1x7);if(_0xf7e1xb!=null){var _0xf7e1xf=_0xf7e1xb[_0xd573[113]][mxConstants[_0xd573[130]]]||_0xd573[131];if(_0xf7e1xf==_0xd573[131]){_0xf7e1xf=_0xd573[132]}else {if(_0xf7e1xf==_0xd573[132]){_0xf7e1xf=_0xd573[133]}else {if(_0xf7e1xf==_0xd573[133]){_0xf7e1xf=_0xd573[134]}else {if(_0xf7e1xf==_0xd573[134]){_0xf7e1xf=_0xd573[131]}}}};_0xf7e1x5[_0xd573[114]](mxConstants.STYLE_DIRECTION,_0xf7e1xf,[_0xf7e1x7])}}}}}finally{_0xf7e1x5[_0xd573[102]]()[_0xd573[107]]()}}},null,null,_0xd573[135]);this[_0xd573[10]](_0xd573[136],function(){_0xf7e1x5[_0xd573[137]](1)});this[_0xd573[10]](_0xd573[138],function(){_0xf7e1x5[_0xd573[138]]()},null,null,_0xd573[139]);this[_0xd573[10]](_0xd573[140],function(){_0xf7e1x5[_0xd573[140]]()},null,null,_0xd573[141]);this[_0xd573[10]](_0xd573[142],function(){_0xf7e1x5[_0xd573[143]]()});this[_0xd573[10]](_0xd573[144],mxUtils[_0xd573[27]](this,function(){if(!_0xf7e1x5[_0xd573[145]]){this[_0xd573[26]](_0xd573[147])[_0xd573[146]]()};var _0xf7e1x10=_0xf7e1x5[_0xd573[148]];var _0xf7e1x11=_0xf7e1x5[_0xd573[149]];var _0xf7e1x12=_0xf7e1x5[_0xd573[8]][_0xd573[150]]-20;var _0xf7e1x13=_0xf7e1x5[_0xd573[8]][_0xd573[151]]-20;var _0xf7e1x14=Math[_0xd573[153]](100*Math[_0xd573[152]](_0xf7e1x12/_0xf7e1x10[_0xd573[125]]/_0xf7e1x11,_0xf7e1x13/_0xf7e1x10[_0xd573[126]]/_0xf7e1x11))/100;_0xf7e1x5[_0xd573[137]](_0xf7e1x14);_0xf7e1x5[_0xd573[8]][_0xd573[154]]=Math[_0xd573[157]](_0xf7e1x5[_0xd573[129]][_0xd573[155]][_0xd573[124]]*_0xf7e1x14-Math[_0xd573[156]](10,(_0xf7e1x5[_0xd573[8]][_0xd573[150]]-_0xf7e1x10[_0xd573[125]]*_0xf7e1x11*_0xf7e1x14)/2));_0xf7e1x5[_0xd573[8]][_0xd573[158]]=Math[_0xd573[157]](_0xf7e1x5[_0xd573[129]][_0xd573[155]][_0xd573[127]]*_0xf7e1x14-Math[_0xd573[156]](10,(_0xf7e1x5[_0xd573[8]][_0xd573[151]]-_0xf7e1x10[_0xd573[126]]*_0xf7e1x11*_0xf7e1x14)/2))}));this[_0xd573[10]](_0xd573[159],mxUtils[_0xd573[27]](this,function(){if(!_0xf7e1x5[_0xd573[145]]){this[_0xd573[26]](_0xd573[147])[_0xd573[146]]()};var _0xf7e1x10=_0xf7e1x5[_0xd573[148]];var _0xf7e1x11=_0xf7e1x5[_0xd573[149]];var _0xf7e1x12=_0xf7e1x5[_0xd573[8]][_0xd573[150]]-20;var _0xf7e1x14=Math[_0xd573[153]](100*_0xf7e1x12/_0xf7e1x10[_0xd573[125]]/_0xf7e1x11)/100;_0xf7e1x5[_0xd573[137]](_0xf7e1x14);_0xf7e1x5[_0xd573[8]][_0xd573[154]]=Math[_0xd573[157]](_0xf7e1x5[_0xd573[129]][_0xd573[155]][_0xd573[124]]*_0xf7e1x14-Math[_0xd573[156]](10,(_0xf7e1x5[_0xd573[8]][_0xd573[150]]-_0xf7e1x10[_0xd573[125]]*_0xf7e1x11*_0xf7e1x14)/2));_0xf7e1x5[_0xd573[8]][_0xd573[158]]=Math[_0xd573[157]](_0xf7e1x5[_0xd573[129]][_0xd573[155]][_0xd573[127]]*_0xf7e1x14-Math[_0xd573[156]](10,(_0xf7e1x5[_0xd573[8]][_0xd573[151]]-_0xf7e1x10[_0xd573[126]]*_0xf7e1x11*_0xf7e1x14)/2))}));this[_0xd573[28]](_0xd573[160], new Action(mxResources[_0xd573[26]](_0xd573[161]),function(){var _0xf7e1xc=mxUtils[_0xd573[97]](mxResources[_0xd573[26]](_0xd573[96])+_0xd573[162],parseInt(_0xf7e1x5[_0xd573[110]]()[_0xd573[163]]()*100));if(_0xf7e1xc!=null&&_0xf7e1xc[_0xd573[103]]>0&&!isNaN(parseInt(_0xf7e1xc))){_0xf7e1x5[_0xd573[137]](parseInt(_0xf7e1xc)/100)}}));var _0xf7e1x15=null;_0xf7e1x15=this[_0xd573[10]](_0xd573[164],function(){_0xf7e1x5[_0xd573[166]](!_0xf7e1x5[_0xd573[165]]());_0xf7e1x4[_0xd573[167]]()},null,null,_0xd573[168]);_0xf7e1x15[_0xd573[169]](true);_0xf7e1x15[_0xd573[170]](function(){return _0xf7e1x5[_0xd573[165]]()});_0xf7e1x15=this[_0xd573[10]](_0xd573[171],function(){_0xf7e1x5[_0xd573[173]][_0xd573[172]]=!_0xf7e1x5[_0xd573[173]][_0xd573[172]]});_0xf7e1x15[_0xd573[169]](true);_0xf7e1x15[_0xd573[170]](function(){return _0xf7e1x5[_0xd573[173]][_0xd573[172]]});_0xf7e1x15=this[_0xd573[10]](_0xd573[174],function(){_0xf7e1x5[_0xd573[176]][_0xd573[177]](!_0xf7e1x5[_0xd573[176]][_0xd573[175]]())});_0xf7e1x15[_0xd573[169]](true);_0xf7e1x15[_0xd573[170]](function(){return _0xf7e1x5[_0xd573[176]][_0xd573[175]]()});_0xf7e1x15=this[_0xd573[10]](_0xd573[178],function(){_0xf7e1x5[_0xd573[179]]=!_0xf7e1x5[_0xd573[179]];_0xf7e1x5[_0xd573[129]][_0xd573[180]]()});_0xf7e1x15[_0xd573[169]](true);_0xf7e1x15[_0xd573[170]](function(){return _0xf7e1x5[_0xd573[179]]});_0xf7e1x15=this[_0xd573[10]](_0xd573[181],function(){_0xf7e1x5[_0xd573[181]]=!_0xf7e1x5[_0xd573[181]];_0xf7e1x4[_0xd573[167]]();if(!_0xf7e1x5[_0xd573[181]]){var _0xf7e1x16=_0xf7e1x5[_0xd573[129]][_0xd573[155]];_0xf7e1x5[_0xd573[129]][_0xd573[183]](_0xf7e1x16[_0xd573[124]]-_0xf7e1x5[_0xd573[8]][_0xd573[154]]/_0xf7e1x5[_0xd573[129]][_0xd573[182]],_0xf7e1x16[_0xd573[127]]-_0xf7e1x5[_0xd573[8]][_0xd573[158]]/_0xf7e1x5[_0xd573[129]][_0xd573[182]]);_0xf7e1x5[_0xd573[8]][_0xd573[154]]=0;_0xf7e1x5[_0xd573[8]][_0xd573[158]]=0;_0xf7e1x5[_0xd573[184]]()}else {var _0xf7e1x17=_0xf7e1x5[_0xd573[129]][_0xd573[155]][_0xd573[124]];var _0xf7e1x18=_0xf7e1x5[_0xd573[129]][_0xd573[155]][_0xd573[127]];_0xf7e1x5[_0xd573[129]][_0xd573[155]][_0xd573[124]]=0;_0xf7e1x5[_0xd573[129]][_0xd573[155]][_0xd573[127]]=0;_0xf7e1x5[_0xd573[184]]();_0xf7e1x5[_0xd573[8]][_0xd573[154]]-=Math[_0xd573[157]](_0xf7e1x17*_0xf7e1x5[_0xd573[129]][_0xd573[182]]);_0xf7e1x5[_0xd573[8]][_0xd573[158]]-=Math[_0xd573[157]](_0xf7e1x18*_0xf7e1x5[_0xd573[129]][_0xd573[182]])}},!mxClient.IS_TOUCH);_0xf7e1x15[_0xd573[169]](true);_0xf7e1x15[_0xd573[170]](function(){return _0xf7e1x5[_0xd573[8]][_0xd573[113]][_0xd573[185]]==_0xd573[186]});_0xf7e1x15=this[_0xd573[10]](_0xd573[147],mxUtils[_0xd573[27]](this,function(){_0xf7e1x5[_0xd573[145]]=!_0xf7e1x5[_0xd573[145]];_0xf7e1x5[_0xd573[187]]=_0xf7e1x5[_0xd573[145]];_0xf7e1x5[_0xd573[188]]=_0xf7e1x5[_0xd573[187]];_0xf7e1x5[_0xd573[129]][_0xd573[189]]();_0xf7e1x5[_0xd573[184]]();_0xf7e1x4[_0xd573[167]]();_0xf7e1x4[_0xd573[191]][_0xd573[190]]();if(mxUtils[_0xd573[192]](_0xf7e1x5[_0xd573[8]])){if(_0xf7e1x5[_0xd573[145]]){_0xf7e1x5[_0xd573[8]][_0xd573[154]]-=20;_0xf7e1x5[_0xd573[8]][_0xd573[158]]-=20}else {_0xf7e1x5[_0xd573[8]][_0xd573[154]]+=20;_0xf7e1x5[_0xd573[8]][_0xd573[158]]+=20}}}));_0xf7e1x15[_0xd573[169]](true);_0xf7e1x15[_0xd573[170]](function(){return _0xf7e1x5[_0xd573[145]]});_0xf7e1x15=this[_0xd573[10]](_0xd573[193],function(){_0xf7e1x5[_0xd573[195]](!_0xf7e1x5[_0xd573[194]][_0xd573[175]]())},null,null,_0xd573[196]);_0xf7e1x15[_0xd573[169]](true);_0xf7e1x15[_0xd573[170]](function(){return _0xf7e1x5[_0xd573[194]][_0xd573[175]]()});_0xf7e1x15=this[_0xd573[10]](_0xd573[197],function(){_0xf7e1x5[_0xd573[194]][_0xd573[199]](!_0xf7e1x5[_0xd573[194]][_0xd573[198]]())});_0xf7e1x15[_0xd573[169]](true);_0xf7e1x15[_0xd573[170]](function(){return _0xf7e1x5[_0xd573[194]][_0xd573[198]]()});this[_0xd573[10]](_0xd573[200],function(){var _0xf7e1x19=_0xd573[95];if(mxResources[_0xd573[202]](mxClient[_0xd573[201]])){_0xf7e1x19=_0xd573[203]+mxClient[_0xd573[201]]};window[_0xd573[11]](_0xd573[204])});this[_0xd573[28]](_0xd573[205], new Action(mxResources[_0xd573[26]](_0xd573[205])+_0xd573[206],function(){_0xf7e1x3[_0xd573[9]]( new AboutDialog(_0xf7e1x3)[_0xd573[8]],320,280,true,true)},null,null,_0xd573[207]));var _0xf7e1x1a=mxUtils[_0xd573[27]](this,function(_0xf7e1x1b,_0xf7e1x1c){this[_0xd573[10]](_0xf7e1x1b,function(){_0xf7e1x5[_0xd573[208]](mxConstants.STYLE_FONTSTYLE,_0xf7e1x1c)})});_0xf7e1x1a(_0xd573[209],mxConstants.FONT_BOLD);_0xf7e1x1a(_0xd573[210],mxConstants.FONT_ITALIC);_0xf7e1x1a(_0xd573[211],mxConstants.FONT_UNDERLINE);this[_0xd573[10]](_0xd573[212],function(){_0xf7e1x5[_0xd573[213]](mxConstants.STYLE_SHADOW)});this[_0xd573[10]](_0xd573[214],function(){_0xf7e1x5[_0xd573[213]](mxConstants.STYLE_DASHED)});this[_0xd573[10]](_0xd573[215],function(){_0xf7e1x5[_0xd573[213]](mxConstants.STYLE_ROUNDED)});this[_0xd573[10]](_0xd573[216],function(){_0xf7e1x5[_0xd573[213]](mxConstants.STYLE_CURVED)});this[_0xd573[10]](_0xd573[113],function(){var _0xf7e1x9=_0xf7e1x5[_0xd573[57]]();if(_0xf7e1x9!=null&&_0xf7e1x9[_0xd573[103]]>0){var _0xf7e1x1d=_0xf7e1x5[_0xd573[102]]();var _0xf7e1x1c=mxUtils[_0xd573[97]](mxResources[_0xd573[26]](_0xd573[96])+_0xd573[118]+mxResources[_0xd573[26]](_0xd573[113])+_0xd573[217],_0xf7e1x1d[_0xd573[218]](_0xf7e1x9[0])||_0xd573[95]);if(_0xf7e1x1c!=null){_0xf7e1x5[_0xd573[219]](_0xf7e1x1c,_0xf7e1x9)}}});this[_0xd573[10]](_0xd573[220],function(){_0xf7e1x5[_0xd573[221]](_0xf7e1x5[_0xd573[93]]())});this[_0xd573[10]](_0xd573[222],function(){var _0xf7e1x7=_0xf7e1x5[_0xd573[93]]();if(_0xf7e1x7!=null&&_0xf7e1x5[_0xd573[102]]()[_0xd573[223]](_0xf7e1x7)){var _0xf7e1x1e=_0xf7e1x4[_0xd573[6]][_0xd573[225]][_0xd573[224]](_0xf7e1x7);if(_0xf7e1x1e instanceof mxEdgeHandler){var _0xf7e1x16=_0xf7e1x5[_0xd573[129]][_0xd573[155]];var _0xf7e1x6=_0xf7e1x5[_0xd573[129]][_0xd573[182]];var _0xf7e1x17=_0xf7e1x16[_0xd573[124]];var _0xf7e1x18=_0xf7e1x16[_0xd573[127]];var _0xf7e1x1f=_0xf7e1x5[_0xd573[102]]()[_0xd573[226]](_0xf7e1x7);var _0xf7e1x20=_0xf7e1x5[_0xd573[122]](_0xf7e1x1f);if(_0xf7e1x5[_0xd573[102]]()[_0xd573[121]](_0xf7e1x1f)&&_0xf7e1x20!=null){_0xf7e1x17+=_0xf7e1x20[_0xd573[124]];_0xf7e1x18+=_0xf7e1x20[_0xd573[127]]};_0xf7e1x1e[_0xd573[231]](_0xf7e1x1e[_0xd573[227]],_0xf7e1x5[_0xd573[229]][_0xd573[228]]/_0xf7e1x6-_0xf7e1x17,_0xf7e1x5[_0xd573[229]][_0xd573[230]]/_0xf7e1x6-_0xf7e1x18)}}});this[_0xd573[10]](_0xd573[232],function(){var _0xf7e1x21=_0xf7e1x3[_0xd573[2]][_0xd573[26]](_0xd573[232]);if(_0xf7e1x21[_0xd573[233]]!=null){_0xf7e1x21[_0xd573[233]][_0xd573[235]](_0xf7e1x21[_0xd573[233]][_0xd573[227]],_0xf7e1x21[_0xd573[234]])}});this[_0xd573[10]](_0xd573[236],function(){function _0xf7e1x22(_0xf7e1xc,_0xf7e1x23,_0xf7e1x24){var _0xf7e1x25=null;var _0xf7e1x9=_0xf7e1x5[_0xd573[57]]();_0xf7e1x5[_0xd573[102]]()[_0xd573[101]]();try{if(_0xf7e1x9[_0xd573[103]]==0){var _0xf7e1x26=_0xf7e1x5[_0xd573[237]]();_0xf7e1x9=[_0xf7e1x5[_0xd573[239]](_0xf7e1x5[_0xd573[238]](),null,_0xd573[95],_0xf7e1x26,_0xf7e1x26,_0xf7e1x23,_0xf7e1x24)];_0xf7e1x25=_0xf7e1x9};_0xf7e1x5[_0xd573[114]](mxConstants.STYLE_IMAGE,_0xf7e1xc,_0xf7e1x9);_0xf7e1x5[_0xd573[114]](mxConstants.STYLE_SHAPE,_0xd573[236],_0xf7e1x9);if(_0xf7e1x5[_0xd573[240]]()==1){if(_0xf7e1x23!=null&&_0xf7e1x24!=null){var _0xf7e1x7=_0xf7e1x9[0];var _0xf7e1xd=_0xf7e1x5[_0xd573[102]]()[_0xd573[241]](_0xf7e1x7);if(_0xf7e1xd!=null){_0xf7e1xd=_0xf7e1xd[_0xd573[123]]();_0xf7e1xd[_0xd573[125]]=_0xf7e1x23;_0xf7e1xd[_0xd573[126]]=_0xf7e1x24;_0xf7e1x5[_0xd573[102]]()[_0xd573[128]](_0xf7e1x7,_0xf7e1xd)}}}}finally{_0xf7e1x5[_0xd573[102]]()[_0xd573[107]]()};if(_0xf7e1x25!=null){_0xf7e1x5[_0xd573[59]](_0xf7e1x25);_0xf7e1x5[_0xd573[242]](_0xf7e1x25[0])}}var _0xf7e1xc=_0xd573[95];var _0xf7e1xb=_0xf7e1x5[_0xd573[110]]()[_0xd573[109]](_0xf7e1x5[_0xd573[93]]());if(_0xf7e1xb!=null){_0xf7e1xc=_0xf7e1xb[_0xd573[113]][mxConstants[_0xd573[243]]]||_0xf7e1xc};_0xf7e1xc=mxUtils[_0xd573[97]](mxResources[_0xd573[26]](_0xd573[96])+_0xd573[118]+mxResources[_0xd573[26]](_0xd573[244])+_0xd573[217],_0xf7e1xc);if(_0xf7e1xc!=null){if(_0xf7e1xc[_0xd573[103]]>0){var _0xf7e1x27= new Image();_0xf7e1x27[_0xd573[245]]=function(){_0xf7e1x22(_0xf7e1xc,_0xf7e1x27[_0xd573[125]],_0xf7e1x27[_0xd573[126]])};_0xf7e1x27[_0xd573[246]]=function(){mxUtils[_0xd573[248]](mxResources[_0xd573[26]](_0xd573[247]))};_0xf7e1x27[_0xd573[249]]=_0xf7e1xc}}})};Actions[_0xd573[4]][_0xd573[10]]=function(_0xf7e1x1b,_0xf7e1x28,_0xf7e1x29,_0xf7e1x2a,_0xf7e1x2b){return this[_0xd573[28]](_0xf7e1x1b, new Action(mxResources[_0xd573[26]](_0xf7e1x1b),_0xf7e1x28,_0xf7e1x29,_0xf7e1x2a,_0xf7e1x2b))};Actions[_0xd573[4]][_0xd573[28]]=function(_0xf7e1x2c,_0xf7e1x15){this[_0xd573[2]][_0xf7e1x2c]=_0xf7e1x15;return _0xf7e1x15};Actions[_0xd573[4]][_0xd573[26]]=function(_0xf7e1x2c){return this[_0xd573[2]][_0xf7e1x2c]};function Action(_0xf7e1x2e,_0xf7e1x28,_0xf7e1x29,_0xf7e1x2a,_0xf7e1x2b){mxEventSource[_0xd573[250]](this);this[_0xd573[251]]=_0xf7e1x2e;this[_0xd573[146]]=_0xf7e1x28;this[_0xd573[252]]=(_0xf7e1x29!=null)?_0xf7e1x29:true;this[_0xd573[253]]=_0xf7e1x2a;this[_0xd573[254]]=_0xf7e1x2b}mxUtils[_0xd573[255]](Action,mxEventSource);Action[_0xd573[4]][_0xd573[177]]=function(_0xf7e1xc){if(this[_0xd573[252]]!=_0xf7e1xc){this[_0xd573[252]]=_0xf7e1xc;this[_0xd573[257]]( new mxEventObject(_0xd573[256]))}};Action[_0xd573[4]][_0xd573[169]]=function(_0xf7e1xc){this[_0xd573[258]]=_0xf7e1xc};Action[_0xd573[4]][_0xd573[170]]=function(_0xf7e1x28){this[_0xd573[259]]=_0xf7e1x28};Action[_0xd573[4]][_0xd573[260]]=function(){return this[_0xd573[259]]()} \ No newline at end of file diff --git a/public/assets/plugins/square/js/Dialogs.js b/public/assets/plugins/square/js/Dialogs.js index f77d0e1..09ac278 100644 --- a/public/assets/plugins/square/js/Dialogs.js +++ b/public/assets/plugins/square/js/Dialogs.js @@ -1 +1 @@ -var _0xfd5e=["\x75\x73\x65\x20\x73\x74\x72\x69\x63\x74","\x69\x6E\x70\x75\x74","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x74\x79\x70\x65","\x63\x68\x65\x63\x6B\x62\x6F\x78","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x68\x65\x63\x6B\x65\x64","\x47\x45\x54","\x6F\x70\x65\x6E","\x41\x75\x74\x68\x6F\x72\x69\x7A\x61\x74\x69\x6F\x6E","\x42\x65\x61\x72\x65\x72\x20","\x74\x6F\x6B\x65\x6E","\x64\x61\x74\x61","\x65\x64\x69\x74\x6F\x72","\x73\x65\x74\x52\x65\x71\x75\x65\x73\x74\x48\x65\x61\x64\x65\x72","\x73\x65\x6E\x64","\x73\x74\x61\x74\x75\x73","\x72\x65\x73\x70\x6F\x6E\x73\x65","\x70\x61\x72\x73\x65","\x73\x65\x6C\x65\x63\x74","\x6F\x70\x74\x69\x6F\x6E","\x74\x65\x78\x74","\x2D\x2D\x20\x4E\x6F\x6E\x65\x20\x2D\x2D","\x76\x61\x6C\x75\x65","\x2D\x31","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x25","\x72\x65\x70\x6C\x61\x63\x65","\x66\x6F\x72\x45\x61\x63\x68","\x73\x65\x6C\x65\x63\x74\x65\x64","\x72\x6F\x77\x73","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x66\x6F\x72\x6D\x2D\x63\x6F\x6E\x74\x72\x6F\x6C\x20\x73\x65\x6C\x65\x63\x74\x32","\x30","\x73\x65\x6C\x65\x63\x74\x2D\x67\x72\x6F\x75\x70\x2D\x66\x61\x74\x68\x65\x72","\x74\x6F\x55\x70\x70\x65\x72\x43\x61\x73\x65","\x73\x65\x6C\x65\x63\x74\x2D\x67\x72\x6F\x75\x70\x2D\x73\x6F\x6E","\x2D","\x63\x61\x70\x69\x74\x61\x6C\x69\x7A\x65","","\x50\x4F\x53\x54","\x2F\x61\x70\x69\x2F\x72\x65\x73\x74\x2F\x6C\x69\x73\x74\x2F\x6C\x69\x73\x74","\x73\x74\x72\x69\x6E\x67\x69\x66\x79","\x52\x4D\x49","\x69\x64","\x6E\x61\x6D\x65","\x6C\x6F\x67","\x49\x53\x5F\x49\x45","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x4D\x6F\x64\x65","\x73\x63\x72\x6F\x6C\x6C\x57\x69\x64\x74\x68","\x62\x6F\x64\x79","\x72\x6F\x75\x6E\x64","\x6D\x61\x78","\x73\x63\x72\x6F\x6C\x6C\x48\x65\x69\x67\x68\x74","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x67\x65\x44\x69\x61\x6C\x6F\x67","\x63\x72\x65\x61\x74\x65\x44\x69\x76","\x6D\x6F\x64\x61\x6C\x20\x66\x61\x64\x65\x20\x69\x6E\x20\x63\x65\x6E\x74\x65\x72","\x64\x69\x73\x70\x6C\x61\x79","\x73\x74\x79\x6C\x65","\x62\x6C\x6F\x63\x6B","\x70\x61\x64\x64\x69\x6E\x67\x52\x69\x67\x68\x74","\x31\x32\x70\x78","\x67\x65\x4D\x6F\x64\x61\x6C\x44\x69\x61\x6C\x6F\x67","\x6D\x6F\x64\x61\x6C\x2D\x64\x69\x61\x6C\x6F\x67","\x62\x67","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x6D\x6F\x64\x61\x6C\x2D\x62\x61\x63\x6B\x64\x72\x6F\x70\x20\x66\x61\x64\x65\x20\x69\x6E","\x49\x53\x5F\x51\x55\x49\x52\x4B\x53","\x6F\x6E\x44\x69\x61\x6C\x6F\x67\x43\x6C\x6F\x73\x65","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x63\x6C\x6F\x73\x65","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x72\x65\x6D\x6F\x76\x65\x43\x68\x69\x6C\x64","\x70\x61\x72\x65\x6E\x74\x4E\x6F\x64\x65","\x6D\x6F\x64\x61\x6C\x2D\x63\x6F\x6E\x74\x65\x6E\x74","\x6D\x6F\x64\x61\x6C\x2D\x68\x65\x61\x64\x65\x72","\x6D\x6F\x64\x61\x6C\x2D\x62\x6F\x64\x79","\x6D\x6F\x64\x61\x6C\x2D\x66\x6F\x6F\x74\x65\x72","\x68\x34","\x63\x72\x65\x61\x74\x65\x48\x65\x61\x64\x65\x72","\x69\x6D\x70\x6F\x72\x74","\x67\x65\x74","\x20\x58\x4D\x4C","\x77\x72\x69\x74\x65","\x68\x69\x64\x65\x44\x69\x61\x6C\x6F\x67","\x62\x75\x74\x74\x6F\x6E","\x72\x6F\x77","\x63\x6F\x6C\x2D\x6D\x64\x2D\x31\x32","\x74\x65\x78\x74\x61\x72\x65\x61","\x77\x69\x64\x74\x68","\x31\x30\x30\x25","\x68\x65\x69\x67\x68\x74","\x33\x37\x34\x70\x78","\x66\x69\x6C\x65","\x61\x63\x63\x65\x70\x74","\x74\x65\x78\x74\x2F\x78\x6D\x6C","\x63\x68\x61\x6E\x67\x65","\x66\x69\x6C\x65\x73","\x74\x61\x72\x67\x65\x74","\x6F\x6E\x6C\x6F\x61\x64","\x72\x65\x73\x75\x6C\x74","\x72\x65\x61\x64\x41\x73\x54\x65\x78\x74","\x46\x61\x69\x6C\x65\x64\x20\x74\x6F\x20\x6C\x6F\x61\x64\x20\x66\x6F\x72\x6D\x61\x74\x20\x66\x69\x6C\x65","\x46\x61\x69\x6C\x65\x64\x20\x74\x6F\x20\x6C\x6F\x61\x64\x20\x66\x69\x6C\x65","\x61\x64\x64\x45\x76\x65\x6E\x74\x4C\x69\x73\x74\x65\x6E\x65\x72","\x70\x61\x72\x73\x65\x58\x6D\x6C","\x73\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x62\x69\x6E\x64","\x62\x74\x6E\x20\x62\x6C\x75\x65","\x63\x61\x6E\x63\x65\x6C","\x62\x74\x6E\x20\x64\x65\x66\x61\x75\x6C\x74","\x61\x62\x6F\x75\x74","\x20\x43\x61\x6C\x6C\x79\x20\x53\x71\x75\x61\x72\x65","\x69\x6D\x67","\x62\x6F\x72\x64\x65\x72","\x30\x70\x78","\x31\x37\x36","\x31\x35\x31","\x73\x72\x63","\x2F\x6C\x6F\x67\x6F\x2E\x70\x6E\x67","\x62\x72","\x50\x6F\x77\x65\x72\x65\x64\x20\x62\x79\x20\x58\x65\x6E\x69\x61\x6C\x61\x62\x20","\x56\x45\x52\x53\x49\x4F\x4E","\x61","\x68\x72\x65\x66","\x68\x74\x74\x70\x3A\x2F\x2F\x77\x77\x77\x2E\x63\x61\x6C\x6C\x79\x73\x71\x75\x61\x72\x65\x2E\x63\x6F\x6D\x2F","\x5F\x62\x6C\x61\x6E\x6B","\x77\x77\x77\x2E\x63\x61\x6C\x6C\x79\x73\x71\x75\x61\x72\x65\x2E\x63\x6F\x6D","\x73\x61\x76\x65\x41\x73","\x5F","\x67\x65\x74\x4F\x72\x43\x72\x65\x61\x74\x65\x46\x69\x6C\x65\x6E\x61\x6D\x65","\x63\x6F\x6C\x2D\x6D\x64\x2D\x34","\x63\x6F\x6C\x2D\x6D\x64\x2D\x38","\x6C\x61\x62\x65\x6C","\x63\x6F\x6E\x74\x72\x6F\x6C\x2D\x6C\x61\x62\x65\x6C\x20\x70\x75\x6C\x6C\x2D\x72\x69\x67\x68\x74","\x5F\x63\x6F\x70\x79","\x66\x6F\x72\x6D\x2D\x63\x6F\x6E\x74\x72\x6F\x6C","\x73\x61\x76\x65","\x6E\x65\x77","\x5F\x6E\x65\x77","\x76\x61\x72\x69\x61\x62\x6C\x65","\x76\x61\x72\x69\x61\x62\x6C\x65\x20\x6E\x61\x6D\x65","\x2F\x61\x70\x69\x2F\x73\x71\x75\x61\x72\x65\x2F\x70\x72\x6F\x6A\x65\x63\x74\x73","\x6C\x65\x6E\x67\x74\x68","\x73\x71\x75\x61\x72\x65\x2F\x70\x72\x6F\x6A\x65\x63\x74\x2F","\x72\x65\x6E\x61\x6D\x65","\x66\x69\x6C\x65\x6E\x61\x6D\x65","\x50\x55\x54","\x43\x6F\x6E\x74\x65\x6E\x74\x2D\x54\x79\x70\x65","\x61\x70\x70\x6C\x69\x63\x61\x74\x69\x6F\x6E\x2F\x78\x2D\x77\x77\x77\x2D\x66\x6F\x72\x6D\x2D\x75\x72\x6C\x65\x6E\x63\x6F\x64\x65\x64","\x6E\x61\x6D\x65\x3D","\x50\x72\x6F\x6A\x65\x63\x74\x20\x73\x75\x63\x63\x65\x73\x73\x66\x75\x6C\x6C\x79\x20\x72\x65\x6E\x61\x6D\x65\x64","\x73\x65\x74\x53\x74\x61\x74\x75\x73","\x6D\x65\x73\x73\x61\x67\x65","\x65\x72\x72\x6F\x72\x73","\x6F\x6E\x65\x72\x72\x6F\x72","\x73\x74\x61\x74\x75\x73\x54\x65\x78\x74","\x61\x6C\x65\x72\x74","\x65\x64\x69\x74","\x67\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x67\x65\x74\x50\x72\x65\x74\x74\x79\x58\x6D\x6C","\x73\x74\x6F\x70\x50\x72\x6F\x70\x61\x67\x61\x74\x69\x6F\x6E","\x70\x72\x65\x76\x65\x6E\x74\x44\x65\x66\x61\x75\x6C\x74","\x64\x61\x74\x61\x54\x72\x61\x6E\x73\x66\x65\x72","\x64\x72\x61\x67\x6F\x76\x65\x72","\x64\x72\x6F\x70","\x6D\x6F\x64\x61\x6C\x2D\x62\x6F\x64\x79\x20\x66\x6F\x72\x6D","\x65\x78\x70\x6F\x72\x74","\x66\x6F\x72\x6D\x2D\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C\x20\x66\x6F\x72\x6D\x2D\x72\x6F\x77\x2D\x73\x65\x70\x65\x72\x61\x74\x65\x64","\x66\x6F\x72\x6D\x2D\x67\x72\x6F\x75\x70\x20\x6C\x61\x73\x74","\x63\x6F\x6C\x2D\x73\x6D\x2D\x34\x20\x63\x6F\x6E\x74\x72\x6F\x6C\x2D\x6C\x61\x62\x65\x6C","\x63\x6F\x6C\x2D\x73\x6D\x2D\x38","\x67\x65\x74\x58\x6D\x6C","\x73\x69\x6D\x75\x6C\x61\x74\x65","\x2F\x64\x6F\x77\x6E\x6C\x6F\x61\x64","\x66\x69\x6C\x65\x6E\x61\x6D\x65\x3D","\x67\x72\x61\x70\x68","\x6D\x6F\x64\x61\x6C\x2D\x62\x6F\x64\x79\x20\x66\x6F\x72\x6D\x20\x6D\x6F\x64\x61\x6C\x2D\x62\x6F\x64\x79\x2D\x73\x63\x72\x6F\x6C\x6C","\x20","\x6E\x6F\x64\x65\x4E\x61\x6D\x65","\x61\x74\x74\x72\x69\x62\x75\x74\x65\x73","\x66\x6F\x72\x6D\x2D\x67\x72\x6F\x75\x70","\x2F\x61\x70\x69\x2F\x61\x67\x65\x6E\x74\x73","\x73\x69\x70\x5F\x69\x64","\x2F\x61\x70\x69\x2F\x76\x6F\x69\x63\x65\x2F\x71\x75\x65\x75\x65\x73","\x71\x75\x65\x75\x65\x5F\x69\x64","\x2F\x61\x70\x69\x2F\x74\x72\x75\x6E\x6B\x73","\x74\x72\x75\x6E\x6B\x5F\x6E\x61\x6D\x65","\x2F\x61\x70\x69\x2F\x76\x61\x72\x69\x61\x62\x6C\x65\x73","\x76\x61\x72\x69\x61\x62\x6C\x65\x5F\x69\x64","\x2F\x61\x70\x69\x2F\x73\x6D\x73\x2F\x61\x63\x63\x6F\x75\x6E\x74\x73","\x61\x63\x63\x6F\x75\x6E\x74\x5F\x69\x64","\x2F\x61\x70\x69\x2F\x76\x6F\x69\x63\x65\x2F\x63\x6F\x6E\x74\x65\x78\x74\x73","\x63\x6F\x6E\x74\x65\x78\x74","\x2F\x61\x70\x69\x2F\x76\x6F\x69\x63\x65\x2F\x76\x6F\x69\x63\x65\x6D\x61\x69\x6C\x73","\x6D\x61\x69\x6C\x62\x6F\x78","\x25\x6D\x61\x69\x6C\x62\x6F\x78\x25\x40\x25\x63\x6F\x6E\x74\x65\x78\x74\x25","\x6D\x6F\x64\x65\x6C","\x69\x73\x70\x65\x65\x63\x68\x5F\x61\x73\x72\x5F\x6C\x61\x6E\x67\x75\x61\x67\x65","\x62\x65\x65\x70","\x69\x73\x70\x65\x65\x63\x68\x5F\x74\x74\x73\x5F\x6C\x61\x6E\x67\x75\x61\x67\x65","\x67\x6F\x6F\x67\x6C\x65\x5F\x74\x74\x73\x5F\x6C\x61\x6E\x67\x75\x61\x67\x65","\x6C\x69\x73\x74\x5F\x69\x64","\x2F\x61\x70\x69\x2F\x69\x6E\x74\x65\x72\x76\x61\x6C\x73","\x53\x75\x62\x49\x6E\x74\x65\x72\x76\x61\x6C\x73","\x69\x6E\x74\x65\x72\x76\x61\x6C\x5F\x69\x64","\x70\x72\x6F\x6A\x65\x63\x74\x5F\x69\x64","\x2F\x61\x70\x69\x2F\x73\x71\x75\x61\x72\x65\x2F\x6F\x64\x62\x63","\x6F\x64\x62\x63\x5F\x69\x64","\x2F\x61\x70\x69\x2F\x73\x6F\x75\x6E\x64\x73","\x64\x69\x73\x70\x6C\x61\x79\x5F\x6E\x61\x6D\x65","\x66\x69\x6C\x65\x5F\x69\x64","\x74\x69\x6D\x65\x6F\x75\x74","\x64\x69\x67\x69\x74","\x6D\x69\x6E\x64\x69\x67\x69\x74","\x6D\x61\x78\x64\x69\x67\x69\x74","\x72\x65\x74\x72\x79","\x6E\x75\x6D\x62\x65\x72","\x6D\x69\x6E","\x73\x70\x65\x65\x64","\x6B\x65\x79","\x71\x75\x65\x72\x79","\x63\x6F\x6E\x64\x69\x74\x69\x6F\x6E","\x69\x6E\x6E\x65\x72\x48\x54\x4D\x4C","\x63\x6F\x6D\x6D\x61\x6E\x64","\x6D\x61\x78\x6C\x65\x6E\x67\x74\x68","\x31\x36\x30","\x73\x6D\x73\x5F\x74\x65\x78\x74","\x68\x65\x6C\x70\x5F","\x70","\x68\x65\x6C\x70\x2D\x62\x6C\x6F\x63\x6B","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74\x42\x79\x49\x64","\x72\x65\x66\x72\x65\x73\x68"];_0xfd5e[0];function createCheckbox(_0x82ffx2){var _0x82ffx3=document[_0xfd5e[2]](_0xfd5e[1]);_0x82ffx3[_0xfd5e[5]](_0xfd5e[3],_0xfd5e[4]);if(_0x82ffx2){_0x82ffx3[_0xfd5e[5]](_0xfd5e[6],true)};return _0x82ffx3}function createDropdownFromApi(_0x82ffx5,_0x82ffx2,_0x82ffx6,_0x82ffx7,_0x82ffx8,_0x82ffx9,_0x82ffxa){var _0x82ffxb= new XMLHttpRequest();_0x82ffxb[_0xfd5e[8]](_0xfd5e[7],_0x82ffx5,false);_0x82ffxb[_0xfd5e[14]](_0xfd5e[9],_0xfd5e[10]+_0x82ffx8[_0xfd5e[13]][_0xfd5e[12]][_0xfd5e[11]]);_0x82ffxb[_0xfd5e[15]](null);var _0x82ffxc=[];if(_0x82ffxb[_0xfd5e[16]]===200){_0x82ffxc=JSON[_0xfd5e[18]](_0x82ffxb[_0xfd5e[17]])};var _0x82ffx3=document[_0xfd5e[2]](_0xfd5e[19]);var _0x82ffxd=document[_0xfd5e[2]](_0xfd5e[20]);_0x82ffxd[_0xfd5e[21]]=_0xfd5e[22];_0x82ffxd[_0xfd5e[23]]=_0xfd5e[24];_0x82ffx3[_0xfd5e[25]](_0x82ffxd);_0x82ffxc[_0xfd5e[30]][_0xfd5e[28]](function(_0x82ffxe){_0x82ffxd=document[_0xfd5e[2]](_0xfd5e[20]);_0x82ffxd[_0xfd5e[21]]=_0x82ffxe[_0x82ffx6];if(_0x82ffx9&&_0x82ffxa){_0x82ffx9[_0xfd5e[28]](function(_0x82ffxf){_0x82ffxa=_0x82ffxa[_0xfd5e[27]](_0xfd5e[26]+_0x82ffxf+_0xfd5e[26],_0x82ffxe[_0x82ffxf])});_0x82ffxd[_0xfd5e[23]]=_0x82ffxa;_0x82ffxd[_0xfd5e[29]]=(_0x82ffxa==_0x82ffx2)}else {_0x82ffxd[_0xfd5e[23]]=_0x82ffxe[_0x82ffx7];_0x82ffxd[_0xfd5e[29]]=(_0x82ffxe[_0x82ffx7]==_0x82ffx2)};_0x82ffx3[_0xfd5e[25]](_0x82ffxd)});_0x82ffx3[_0xfd5e[31]]=_0xfd5e[32];return _0x82ffx3}function createGroupedDropdownFromApi(_0x82ffx5,_0x82ffx2,_0x82ffx6,_0x82ffx7,_0x82ffx8,_0x82ffx11){var _0x82ffxb= new XMLHttpRequest();_0x82ffxb[_0xfd5e[8]](_0xfd5e[7],_0x82ffx5,false);_0x82ffxb[_0xfd5e[14]](_0xfd5e[9],_0xfd5e[10]+_0x82ffx8[_0xfd5e[13]][_0xfd5e[12]][_0xfd5e[11]]);_0x82ffxb[_0xfd5e[15]](null);var _0x82ffxc=[];if(_0x82ffxb[_0xfd5e[16]]===200){_0x82ffxc=JSON[_0xfd5e[18]](_0x82ffxb[_0xfd5e[17]])};var _0x82ffx3=document[_0xfd5e[2]](_0xfd5e[19]);var _0x82ffxd=document[_0xfd5e[2]](_0xfd5e[20]);_0x82ffxd[_0xfd5e[21]]=_0xfd5e[22];_0x82ffxd[_0xfd5e[23]]=_0xfd5e[33];_0x82ffx3[_0xfd5e[25]](_0x82ffxd);_0x82ffxc[_0xfd5e[30]][_0xfd5e[28]](function(_0x82ffxe){_0x82ffxd=document[_0xfd5e[2]](_0xfd5e[20]);_0x82ffxd[_0xfd5e[31]]=_0xfd5e[34];_0x82ffxd[_0xfd5e[21]]=_0x82ffxe[_0x82ffx6][_0xfd5e[35]]();_0x82ffxd[_0xfd5e[23]]=_0x82ffxe[_0x82ffx7];_0x82ffxd[_0xfd5e[29]]=(_0x82ffxe[_0x82ffx7]==_0x82ffx2);_0x82ffx3[_0xfd5e[25]](_0x82ffxd);_0x82ffxe[_0x82ffx11][_0xfd5e[28]](function(_0x82ffxe){_0x82ffxd=document[_0xfd5e[2]](_0xfd5e[20]);_0x82ffxd[_0xfd5e[31]]=_0xfd5e[36];_0x82ffxd[_0xfd5e[21]]=_0xfd5e[37]+_[_0xfd5e[38]](_0x82ffxe[_0x82ffx6]);_0x82ffxd[_0xfd5e[23]]=_0x82ffxe[_0x82ffx7];_0x82ffxd[_0xfd5e[29]]=(_0x82ffxe[_0x82ffx7]==_0x82ffx2);_0x82ffx3[_0xfd5e[25]](_0x82ffxd)})});_0x82ffx3[_0xfd5e[31]]=_0xfd5e[32];return _0x82ffx3}function createDropdownFromArray(_0x82ffx13,_0x82ffx2){var _0x82ffx3=document[_0xfd5e[2]](_0xfd5e[19]);for(var _0x82ffx14 in _0x82ffx13){var _0x82ffxd=document[_0xfd5e[2]](_0xfd5e[20]);_0x82ffxd[_0xfd5e[21]]=_0x82ffx13[_0x82ffx14];_0x82ffxd[_0xfd5e[23]]=_0x82ffx14;if(_0x82ffx2>0||_0x82ffx2!=_0xfd5e[39]){_0x82ffxd[_0xfd5e[29]]=(_0x82ffx2===_0x82ffx14)?true:false};_0x82ffx3[_0xfd5e[25]](_0x82ffxd)};_0x82ffx3[_0xfd5e[31]]=_0xfd5e[32];return _0x82ffx3}function createDropdownFromTigerDialList(_0x82ffx2){var _0x82ffx3=document[_0xfd5e[2]](_0xfd5e[19]);var _0x82ffx13=[];var _0x82ffx16= new XMLHttpRequest();try{_0x82ffx16[_0xfd5e[8]](_0xfd5e[40],_0xfd5e[41],false);_0x82ffx16[_0xfd5e[15]](JSON[_0xfd5e[42]]({page:1,size:600000}));if(_0x82ffx16[_0xfd5e[16]]===200){var _0x82ffxc=JSON[_0xfd5e[18]](_0x82ffx16[_0xfd5e[17]]);if(_0x82ffxc[_0xfd5e[16]]>0){var _0x82ffx17=_0x82ffxc[_0xfd5e[17]][_0xfd5e[43]][_0xfd5e[30]];for(var _0x82ffx18 in _0x82ffx17){_0x82ffx13[_0x82ffx17[_0x82ffx18][_0xfd5e[44]]]=_0x82ffx17[_0x82ffx18][_0xfd5e[45]]}}}}catch(e){console[_0xfd5e[46]](e)};for(var _0x82ffx14 in _0x82ffx13){var _0x82ffxd=document[_0xfd5e[2]](_0xfd5e[20]);_0x82ffxd[_0xfd5e[21]]=_0x82ffx13[_0x82ffx14];_0x82ffxd[_0xfd5e[23]]=_0x82ffx14;if(_0x82ffx2>0||_0x82ffx2!=_0xfd5e[39]){_0x82ffxd[_0xfd5e[29]]=(_0x82ffx2===_0x82ffx14)?true:false};_0x82ffx3[_0xfd5e[25]](_0x82ffxd)};_0x82ffx3[_0xfd5e[31]]=_0xfd5e[32];return _0x82ffx3}function Dialog(_0x82ffx8,_0x82ffx1a,_0x82ffx1b,_0x82ffx1c,_0x82ffx1d,_0x82ffx1e,_0x82ffx1f){var _0x82ffx20=0;if(mxClient[_0xfd5e[47]]&&document[_0xfd5e[48]]!=9){_0x82ffx20=60};_0x82ffx1b+=_0x82ffx20;_0x82ffx1c+=_0x82ffx20;var _0x82ffx21=Math[_0xfd5e[52]](0,Math[_0xfd5e[51]]((document[_0xfd5e[50]][_0xfd5e[49]]-_0x82ffx1b)/2));var _0x82ffx22=Math[_0xfd5e[52]](0,Math[_0xfd5e[51]]((Math[_0xfd5e[52]](document[_0xfd5e[50]][_0xfd5e[53]],document[_0xfd5e[54]][_0xfd5e[53]])-_0x82ffx1c)/3));var _0x82ffx23=_0x82ffx8[_0xfd5e[56]](_0xfd5e[55]);_0x82ffx23[_0xfd5e[31]]=_0xfd5e[57];_0x82ffx23[_0xfd5e[59]][_0xfd5e[58]]=_0xfd5e[60];_0x82ffx23[_0xfd5e[59]][_0xfd5e[61]]=_0xfd5e[62];var _0x82ffx24=_0x82ffx8[_0xfd5e[56]](_0xfd5e[63]);_0x82ffx24[_0xfd5e[31]]=_0xfd5e[64];_0x82ffx24[_0xfd5e[25]](_0x82ffx1a);_0x82ffx23[_0xfd5e[25]](_0x82ffx24);if(this[_0xfd5e[65]]==null){this[_0xfd5e[65]]=_0x82ffx8[_0xfd5e[56]](_0xfd5e[66]);this[_0xfd5e[65]][_0xfd5e[31]]=_0xfd5e[67];if(mxClient[_0xfd5e[68]]){ new mxDivResizer(this[_0xfd5e[65]])}};if(_0x82ffx1d){document[_0xfd5e[50]][_0xfd5e[25]](this[_0xfd5e[65]])};document[_0xfd5e[50]][_0xfd5e[25]](_0x82ffx23);this[_0xfd5e[69]]=_0x82ffx1f;this[_0xfd5e[70]]=_0x82ffx23}Dialog[_0xfd5e[72]][_0xfd5e[71]]=function(){if(this[_0xfd5e[69]]!=null){this[_0xfd5e[69]]();this[_0xfd5e[69]]=null};this[_0xfd5e[70]][_0xfd5e[74]][_0xfd5e[73]](this[_0xfd5e[70]]);this[_0xfd5e[65]][_0xfd5e[74]][_0xfd5e[73]](this[_0xfd5e[65]])};function ImportDialog(_0x82ffx8){var _0x82ffx26=_0x82ffx8[_0xfd5e[56]](_0xfd5e[75]);var _0x82ffx27=_0x82ffx8[_0xfd5e[56]](_0xfd5e[76]);var _0x82ffx28=_0x82ffx8[_0xfd5e[56]](_0xfd5e[77]);var _0x82ffx29=_0x82ffx8[_0xfd5e[56]](_0xfd5e[78]);var _0x82ffx2a=_0x82ffx8[_0xfd5e[80]](_0xfd5e[79]);mxUtils[_0xfd5e[84]](_0x82ffx2a,mxResources[_0xfd5e[82]](_0xfd5e[81])+_0xfd5e[83]);var _0x82ffx2b=mxUtils[_0xfd5e[86]](_0xfd5e[39],function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx2b[_0xfd5e[31]]=_0xfd5e[71];_0x82ffx27[_0xfd5e[25]](_0x82ffx2b);_0x82ffx27[_0xfd5e[25]](_0x82ffx2a);var _0x82ffx2c=_0x82ffx8[_0xfd5e[56]](_0xfd5e[87]);var _0x82ffx2d=_0x82ffx8[_0xfd5e[56]](_0xfd5e[88]);var _0x82ffx2e=document[_0xfd5e[2]](_0xfd5e[89]);_0x82ffx2e[_0xfd5e[59]][_0xfd5e[90]]=_0xfd5e[91];_0x82ffx2e[_0xfd5e[59]][_0xfd5e[92]]=_0xfd5e[93];var _0x82ffx3=document[_0xfd5e[2]](_0xfd5e[1]);_0x82ffx3[_0xfd5e[3]]=_0xfd5e[94];_0x82ffx3[_0xfd5e[5]](_0xfd5e[95],_0xfd5e[96]);_0x82ffx3[_0xfd5e[105]](_0xfd5e[97],function _0x82ffx2f(_0x82ffx30){var _0x82ffx31=_0x82ffx30[_0xfd5e[99]][_0xfd5e[98]][0];console[_0xfd5e[46]](_0x82ffx31);if(_0x82ffx31){if(_0x82ffx31[_0xfd5e[3]]===_0xfd5e[96]){var _0x82ffx32= new FileReader();_0x82ffx32[_0xfd5e[100]]=function(_0x82ffx33){var _0x82ffx34=_0x82ffx33[_0xfd5e[99]][_0xfd5e[101]];mxUtils[_0xfd5e[84]](_0x82ffx2e,_0x82ffx34)};_0x82ffx32[_0xfd5e[102]](_0x82ffx31)}else {alert(_0xfd5e[103])}}else {alert(_0xfd5e[104])}},false);_0x82ffx2d[_0xfd5e[25]](_0x82ffx3);_0x82ffx2d[_0xfd5e[25]](_0x82ffx2e);_0x82ffx2c[_0xfd5e[25]](_0x82ffx2d);_0x82ffx28[_0xfd5e[25]](_0x82ffx2c);var _0x82ffx35=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[81]),mxUtils[_0xfd5e[108]](this,function(_0x82ffx36){var _0x82ffx37=mxUtils[_0xfd5e[106]](_0x82ffx2e[_0xfd5e[23]]);_0x82ffx8[_0xfd5e[13]][_0xfd5e[107]](_0x82ffx37[_0xfd5e[54]]);_0x82ffx8[_0xfd5e[85]]()}));_0x82ffx35[_0xfd5e[31]]=_0xfd5e[109];var _0x82ffx38=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[110]),function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx38[_0xfd5e[31]]=_0xfd5e[111];_0x82ffx29[_0xfd5e[25]](_0x82ffx35);_0x82ffx29[_0xfd5e[25]](_0x82ffx38);_0x82ffx26[_0xfd5e[25]](_0x82ffx27);_0x82ffx26[_0xfd5e[25]](_0x82ffx28);_0x82ffx26[_0xfd5e[25]](_0x82ffx29);this[_0xfd5e[70]]=_0x82ffx26}function AboutDialog(_0x82ffx8){var _0x82ffx26=_0x82ffx8[_0xfd5e[56]](_0xfd5e[75]);var _0x82ffx27=_0x82ffx8[_0xfd5e[56]](_0xfd5e[76]);var _0x82ffx28=_0x82ffx8[_0xfd5e[56]](_0xfd5e[77]);var _0x82ffx29=_0x82ffx8[_0xfd5e[56]](_0xfd5e[78]);var _0x82ffx2a=_0x82ffx8[_0xfd5e[80]](_0xfd5e[79]);mxUtils[_0xfd5e[84]](_0x82ffx2a,mxResources[_0xfd5e[82]](_0xfd5e[112])+_0xfd5e[113]);var _0x82ffx2b=mxUtils[_0xfd5e[86]](_0xfd5e[39],function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx2b[_0xfd5e[31]]=_0xfd5e[71];_0x82ffx27[_0xfd5e[25]](_0x82ffx2b);_0x82ffx27[_0xfd5e[25]](_0x82ffx2a);var _0x82ffx3a=document[_0xfd5e[2]](_0xfd5e[114]);_0x82ffx3a[_0xfd5e[59]][_0xfd5e[115]]=_0xfd5e[116];_0x82ffx3a[_0xfd5e[5]](_0xfd5e[90],_0xfd5e[117]);_0x82ffx3a[_0xfd5e[5]](_0xfd5e[90],_0xfd5e[118]);_0x82ffx3a[_0xfd5e[5]](_0xfd5e[119],IMAGE_PATH+_0xfd5e[120]);_0x82ffx28[_0xfd5e[25]](_0x82ffx3a);mxUtils[_0xfd5e[121]](_0x82ffx28);mxUtils[_0xfd5e[84]](_0x82ffx28,_0xfd5e[122]+mxClient[_0xfd5e[123]]);mxUtils[_0xfd5e[121]](_0x82ffx28);var _0x82ffx3b=document[_0xfd5e[2]](_0xfd5e[124]);_0x82ffx3b[_0xfd5e[5]](_0xfd5e[125],_0xfd5e[126]);_0x82ffx3b[_0xfd5e[5]](_0xfd5e[99],_0xfd5e[127]);mxUtils[_0xfd5e[84]](_0x82ffx3b,_0xfd5e[128]);_0x82ffx28[_0xfd5e[25]](_0x82ffx3b);mxUtils[_0xfd5e[121]](_0x82ffx28);mxUtils[_0xfd5e[121]](_0x82ffx28);var _0x82ffx38=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[71]),function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx38[_0xfd5e[31]]=_0xfd5e[111];_0x82ffx29[_0xfd5e[25]](_0x82ffx38);_0x82ffx26[_0xfd5e[25]](_0x82ffx27);_0x82ffx26[_0xfd5e[25]](_0x82ffx28);_0x82ffx26[_0xfd5e[25]](_0x82ffx29);this[_0xfd5e[70]]=_0x82ffx26}function SaveDialog(_0x82ffx8){var _0x82ffx26=_0x82ffx8[_0xfd5e[56]](_0xfd5e[75]);var _0x82ffx27=_0x82ffx8[_0xfd5e[56]](_0xfd5e[76]);var _0x82ffx28=_0x82ffx8[_0xfd5e[56]](_0xfd5e[77]);var _0x82ffx29=_0x82ffx8[_0xfd5e[56]](_0xfd5e[78]);var _0x82ffx2a=_0x82ffx8[_0xfd5e[80]](_0xfd5e[79]);mxUtils[_0xfd5e[84]](_0x82ffx2a,mxResources[_0xfd5e[82]](_0xfd5e[129]));var _0x82ffx2b=mxUtils[_0xfd5e[86]](_0xfd5e[39],function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx2b[_0xfd5e[31]]=_0xfd5e[71];_0x82ffx27[_0xfd5e[25]](_0x82ffx2b);_0x82ffx27[_0xfd5e[25]](_0x82ffx2a);var _0x82ffx3d=_0xfd5e[45];var _0x82ffx3e=_0xfd5e[130]+_0x82ffx3d;var _0x82ffx2=_0x82ffx8[_0xfd5e[13]][_0xfd5e[131]]();var _0x82ffx2c=_0x82ffx8[_0xfd5e[56]](_0xfd5e[87]);var _0x82ffx2d=_0x82ffx8[_0xfd5e[56]](_0xfd5e[132]);var _0x82ffx3f=_0x82ffx8[_0xfd5e[56]](_0xfd5e[133]);var _0x82ffx40=document[_0xfd5e[2]](_0xfd5e[134]);_0x82ffx40[_0xfd5e[31]]=_0xfd5e[135];mxUtils[_0xfd5e[84]](_0x82ffx40,mxResources[_0xfd5e[82]](_0x82ffx3d));_0x82ffx2d[_0xfd5e[25]](_0x82ffx40);var _0x82ffx41=document[_0xfd5e[2]](_0xfd5e[1]);_0x82ffx41[_0xfd5e[5]](_0xfd5e[23],_0x82ffx2+_0xfd5e[136]);_0x82ffx41[_0xfd5e[5]](_0xfd5e[44],_0x82ffx3e);_0x82ffx41[_0xfd5e[31]]=_0xfd5e[137];_0x82ffx3f[_0xfd5e[25]](_0x82ffx41);_0x82ffx2c[_0xfd5e[25]](_0x82ffx2d);_0x82ffx2c[_0xfd5e[25]](_0x82ffx3f);_0x82ffx28[_0xfd5e[25]](_0x82ffx2c);var _0x82ffx35=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[138]),function(){_0x82ffx8[_0xfd5e[129]](_0x82ffx41[_0xfd5e[23]]);_0x82ffx8[_0xfd5e[85]]()});_0x82ffx35[_0xfd5e[31]]=_0xfd5e[109];var _0x82ffx38=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[110]),function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx38[_0xfd5e[31]]=_0xfd5e[111];_0x82ffx29[_0xfd5e[25]](_0x82ffx35);_0x82ffx29[_0xfd5e[25]](_0x82ffx38);_0x82ffx26[_0xfd5e[25]](_0x82ffx27);_0x82ffx26[_0xfd5e[25]](_0x82ffx28);_0x82ffx26[_0xfd5e[25]](_0x82ffx29);this[_0xfd5e[70]]=_0x82ffx26}function NewDialog(_0x82ffx8){var _0x82ffx26=_0x82ffx8[_0xfd5e[56]](_0xfd5e[75]);var _0x82ffx27=_0x82ffx8[_0xfd5e[56]](_0xfd5e[76]);var _0x82ffx28=_0x82ffx8[_0xfd5e[56]](_0xfd5e[77]);var _0x82ffx29=_0x82ffx8[_0xfd5e[56]](_0xfd5e[78]);var _0x82ffx2a=_0x82ffx8[_0xfd5e[80]](_0xfd5e[79]);mxUtils[_0xfd5e[84]](_0x82ffx2a,mxResources[_0xfd5e[82]](_0xfd5e[139]));var _0x82ffx2b=mxUtils[_0xfd5e[86]](_0xfd5e[39],function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx2b[_0xfd5e[31]]=_0xfd5e[71];_0x82ffx27[_0xfd5e[25]](_0x82ffx2b);_0x82ffx27[_0xfd5e[25]](_0x82ffx2a);var _0x82ffx3d=_0xfd5e[45];var _0x82ffx3e=_0xfd5e[130]+_0x82ffx3d;var _0x82ffx2=_0x82ffx8[_0xfd5e[13]][_0xfd5e[131]]();var _0x82ffx2c=_0x82ffx8[_0xfd5e[56]](_0xfd5e[87]);var _0x82ffx2d=_0x82ffx8[_0xfd5e[56]](_0xfd5e[132]);var _0x82ffx3f=_0x82ffx8[_0xfd5e[56]](_0xfd5e[133]);var _0x82ffx40=document[_0xfd5e[2]](_0xfd5e[134]);_0x82ffx40[_0xfd5e[31]]=_0xfd5e[135];mxUtils[_0xfd5e[84]](_0x82ffx40,mxResources[_0xfd5e[82]](_0x82ffx3d));_0x82ffx2d[_0xfd5e[25]](_0x82ffx40);var _0x82ffx41=document[_0xfd5e[2]](_0xfd5e[1]);_0x82ffx41[_0xfd5e[5]](_0xfd5e[23],_0x82ffx2+_0xfd5e[140]);_0x82ffx41[_0xfd5e[5]](_0xfd5e[44],_0x82ffx3e);_0x82ffx41[_0xfd5e[31]]=_0xfd5e[137];_0x82ffx3f[_0xfd5e[25]](_0x82ffx41);_0x82ffx2c[_0xfd5e[25]](_0x82ffx2d);_0x82ffx2c[_0xfd5e[25]](_0x82ffx3f);_0x82ffx28[_0xfd5e[25]](_0x82ffx2c);var _0x82ffx35=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[139]),function(){_0x82ffx8[_0xfd5e[139]](_0x82ffx41[_0xfd5e[23]]);_0x82ffx8[_0xfd5e[85]]()});_0x82ffx35[_0xfd5e[31]]=_0xfd5e[109];var _0x82ffx38=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[110]),function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx38[_0xfd5e[31]]=_0xfd5e[111];_0x82ffx29[_0xfd5e[25]](_0x82ffx35);_0x82ffx29[_0xfd5e[25]](_0x82ffx38);_0x82ffx26[_0xfd5e[25]](_0x82ffx27);_0x82ffx26[_0xfd5e[25]](_0x82ffx28);_0x82ffx26[_0xfd5e[25]](_0x82ffx29);this[_0xfd5e[70]]=_0x82ffx26}function VariableDialog(_0x82ffx8){var _0x82ffx26=_0x82ffx8[_0xfd5e[56]](_0xfd5e[75]);var _0x82ffx27=_0x82ffx8[_0xfd5e[56]](_0xfd5e[76]);var _0x82ffx28=_0x82ffx8[_0xfd5e[56]](_0xfd5e[77]);var _0x82ffx29=_0x82ffx8[_0xfd5e[56]](_0xfd5e[78]);var _0x82ffx2a=_0x82ffx8[_0xfd5e[80]](_0xfd5e[79]);mxUtils[_0xfd5e[84]](_0x82ffx2a,mxResources[_0xfd5e[82]](_0xfd5e[141]));var _0x82ffx2b=mxUtils[_0xfd5e[86]](_0xfd5e[39],function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx2b[_0xfd5e[31]]=_0xfd5e[71];_0x82ffx27[_0xfd5e[25]](_0x82ffx2b);_0x82ffx27[_0xfd5e[25]](_0x82ffx2a);var _0x82ffx3d=_0xfd5e[45];var _0x82ffx3e=_0xfd5e[130]+_0x82ffx3d;var _0x82ffx2=_0x82ffx8[_0xfd5e[13]][_0xfd5e[131]]();var _0x82ffx2c=_0x82ffx8[_0xfd5e[56]](_0xfd5e[87]);var _0x82ffx2d=_0x82ffx8[_0xfd5e[56]](_0xfd5e[132]);var _0x82ffx3f=_0x82ffx8[_0xfd5e[56]](_0xfd5e[133]);var _0x82ffx40=document[_0xfd5e[2]](_0xfd5e[134]);_0x82ffx40[_0xfd5e[31]]=_0xfd5e[135];mxUtils[_0xfd5e[84]](_0x82ffx40,mxResources[_0xfd5e[82]](_0x82ffx3d));_0x82ffx2d[_0xfd5e[25]](_0x82ffx40);var _0x82ffx41=document[_0xfd5e[2]](_0xfd5e[1]);_0x82ffx41[_0xfd5e[5]](_0xfd5e[23],_0xfd5e[142]);_0x82ffx41[_0xfd5e[5]](_0xfd5e[44],_0x82ffx3e);_0x82ffx41[_0xfd5e[31]]=_0xfd5e[137];_0x82ffx3f[_0xfd5e[25]](_0x82ffx41);_0x82ffx2c[_0xfd5e[25]](_0x82ffx2d);_0x82ffx2c[_0xfd5e[25]](_0x82ffx3f);_0x82ffx28[_0xfd5e[25]](_0x82ffx2c);var _0x82ffx35=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[139]),function(){_0x82ffx8[_0xfd5e[141]](_0x82ffx41[_0xfd5e[23]]);_0x82ffx8[_0xfd5e[85]]()});_0x82ffx35[_0xfd5e[31]]=_0xfd5e[109];var _0x82ffx38=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[110]),function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx38[_0xfd5e[31]]=_0xfd5e[111];_0x82ffx29[_0xfd5e[25]](_0x82ffx35);_0x82ffx29[_0xfd5e[25]](_0x82ffx38);_0x82ffx26[_0xfd5e[25]](_0x82ffx27);_0x82ffx26[_0xfd5e[25]](_0x82ffx28);_0x82ffx26[_0xfd5e[25]](_0x82ffx29);this[_0xfd5e[70]]=_0x82ffx26}function OpenDialog(_0x82ffx8){var _0x82ffx26=_0x82ffx8[_0xfd5e[56]](_0xfd5e[75]);var _0x82ffx27=_0x82ffx8[_0xfd5e[56]](_0xfd5e[76]);var _0x82ffx28=_0x82ffx8[_0xfd5e[56]](_0xfd5e[77]);var _0x82ffx29=_0x82ffx8[_0xfd5e[56]](_0xfd5e[78]);var _0x82ffx2a=_0x82ffx8[_0xfd5e[80]](_0xfd5e[79]);mxUtils[_0xfd5e[84]](_0x82ffx2a,mxResources[_0xfd5e[82]](_0xfd5e[8]));var _0x82ffx2b=mxUtils[_0xfd5e[86]](_0xfd5e[39],function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx2b[_0xfd5e[31]]=_0xfd5e[71];_0x82ffx27[_0xfd5e[25]](_0x82ffx2b);_0x82ffx27[_0xfd5e[25]](_0x82ffx2a);var _0x82ffx2c=_0x82ffx8[_0xfd5e[56]](_0xfd5e[87]);var _0x82ffx2d=_0x82ffx8[_0xfd5e[56]](_0xfd5e[132]);var _0x82ffx3f=_0x82ffx8[_0xfd5e[56]](_0xfd5e[133]);var _0x82ffx40=document[_0xfd5e[2]](_0xfd5e[134]);_0x82ffx40[_0xfd5e[31]]=_0xfd5e[135];mxUtils[_0xfd5e[84]](_0x82ffx40,mxResources[_0xfd5e[82]](_0xfd5e[45]));_0x82ffx2d[_0xfd5e[25]](_0x82ffx40);var _0x82ffxb= new XMLHttpRequest();_0x82ffxb[_0xfd5e[8]](_0xfd5e[7],_0xfd5e[143],false);_0x82ffxb[_0xfd5e[14]](_0xfd5e[9],_0xfd5e[10]+_0x82ffx8[_0xfd5e[13]][_0xfd5e[12]][_0xfd5e[11]]);_0x82ffxb[_0xfd5e[15]](null);var _0x82ffxc=[];if(_0x82ffxb[_0xfd5e[16]]===200){_0x82ffxc=JSON[_0xfd5e[18]](_0x82ffxb[_0xfd5e[17]])};var _0x82ffx41=document[_0xfd5e[2]](_0xfd5e[19]);for(var _0x82ffx45=0;_0x82ffx45<_0x82ffxc[_0xfd5e[144]];_0x82ffx45++){var _0x82ffxd=document[_0xfd5e[2]](_0xfd5e[20]);_0x82ffxd[_0xfd5e[21]]=_0x82ffxc[_0x82ffx45][_0xfd5e[45]];_0x82ffxd[_0xfd5e[23]]=_0x82ffxc[_0x82ffx45][_0xfd5e[44]];_0x82ffx41[_0xfd5e[25]](_0x82ffxd)};_0x82ffx41[_0xfd5e[31]]=_0xfd5e[137];_0x82ffx3f[_0xfd5e[25]](_0x82ffx41);_0x82ffx2c[_0xfd5e[25]](_0x82ffx2d);_0x82ffx2c[_0xfd5e[25]](_0x82ffx3f);_0x82ffx28[_0xfd5e[25]](_0x82ffx2c);var _0x82ffx35=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[8]),function(){console[_0xfd5e[46]](_0x82ffx41);console[_0xfd5e[46]](_0x82ffx41[_0xfd5e[23]]);window[_0xfd5e[8]](_0xfd5e[145]+_0x82ffx41[_0xfd5e[23]],_0xfd5e[127]);_0x82ffx8[_0xfd5e[85]]()});_0x82ffx35[_0xfd5e[31]]=_0xfd5e[109];var _0x82ffx38=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[110]),function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx38[_0xfd5e[31]]=_0xfd5e[111];_0x82ffx29[_0xfd5e[25]](_0x82ffx35);_0x82ffx29[_0xfd5e[25]](_0x82ffx38);_0x82ffx26[_0xfd5e[25]](_0x82ffx27);_0x82ffx26[_0xfd5e[25]](_0x82ffx28);_0x82ffx26[_0xfd5e[25]](_0x82ffx29);this[_0xfd5e[70]]=_0x82ffx26}function RenameDialog(_0x82ffx8){var _0x82ffx26=_0x82ffx8[_0xfd5e[56]](_0xfd5e[75]);var _0x82ffx27=_0x82ffx8[_0xfd5e[56]](_0xfd5e[76]);var _0x82ffx28=_0x82ffx8[_0xfd5e[56]](_0xfd5e[77]);var _0x82ffx29=_0x82ffx8[_0xfd5e[56]](_0xfd5e[78]);var _0x82ffx2a=_0x82ffx8[_0xfd5e[80]](_0xfd5e[79]);mxUtils[_0xfd5e[84]](_0x82ffx2a,mxResources[_0xfd5e[82]](_0xfd5e[146]));var _0x82ffx2b=mxUtils[_0xfd5e[86]](_0xfd5e[39],function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx2b[_0xfd5e[31]]=_0xfd5e[71];_0x82ffx27[_0xfd5e[25]](_0x82ffx2b);_0x82ffx27[_0xfd5e[25]](_0x82ffx2a);var _0x82ffx2c=_0x82ffx8[_0xfd5e[56]](_0xfd5e[87]);var _0x82ffx2d=_0x82ffx8[_0xfd5e[56]](_0xfd5e[132]);var _0x82ffx3f=_0x82ffx8[_0xfd5e[56]](_0xfd5e[133]);var _0x82ffx40=document[_0xfd5e[2]](_0xfd5e[134]);_0x82ffx40[_0xfd5e[31]]=_0xfd5e[135];mxUtils[_0xfd5e[84]](_0x82ffx40,mxResources[_0xfd5e[82]](_0xfd5e[45]));_0x82ffx2d[_0xfd5e[25]](_0x82ffx40);var _0x82ffx3d=_0x82ffx8[_0xfd5e[13]][_0xfd5e[147]];var _0x82ffx3=document[_0xfd5e[2]](_0xfd5e[1]);_0x82ffx3[_0xfd5e[5]](_0xfd5e[23],_0x82ffx3d);_0x82ffx3[_0xfd5e[31]]=_0xfd5e[137];_0x82ffx3f[_0xfd5e[25]](_0x82ffx3);_0x82ffx2c[_0xfd5e[25]](_0x82ffx2d);_0x82ffx2c[_0xfd5e[25]](_0x82ffx3f);_0x82ffx28[_0xfd5e[25]](_0x82ffx2c);var _0x82ffx35=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[138]),function(){var _0x82ffxb= new XMLHttpRequest();_0x82ffxb[_0xfd5e[8]](_0xfd5e[148],SAVE_URL+_0x82ffx8[_0xfd5e[13]][_0xfd5e[12]][_0xfd5e[44]],true);_0x82ffxb[_0xfd5e[14]](_0xfd5e[149],_0xfd5e[150]);_0x82ffxb[_0xfd5e[14]](_0xfd5e[9],_0xfd5e[10]+_0x82ffx8[_0xfd5e[13]][_0xfd5e[12]][_0xfd5e[11]]);_0x82ffxb[_0xfd5e[15]](_0xfd5e[151]+_0x82ffx3[_0xfd5e[23]]);_0x82ffxb[_0xfd5e[100]]=function(_0x82ffx33){if(_0x82ffxb[_0xfd5e[16]]===200){_0x82ffx8[_0xfd5e[13]][_0xfd5e[153]](_0xfd5e[152]);_0x82ffx8[_0xfd5e[13]][_0xfd5e[147]]=_0x82ffx3[_0xfd5e[23]]}else {console[_0xfd5e[46]](_0x82ffxb[_0xfd5e[17]]);_0x82ffx8[_0xfd5e[13]][_0xfd5e[153]](JSON[_0xfd5e[18]](_0x82ffxb[_0xfd5e[17]])[_0xfd5e[155]][0][_0xfd5e[154]])}};_0x82ffxb[_0xfd5e[156]]=function(_0x82ffx33){mxUtils[_0xfd5e[158]](_0x82ffxb[_0xfd5e[157]])};_0x82ffx8[_0xfd5e[85]]()});_0x82ffx35[_0xfd5e[31]]=_0xfd5e[109];var _0x82ffx38=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[110]),function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx38[_0xfd5e[31]]=_0xfd5e[111];_0x82ffx29[_0xfd5e[25]](_0x82ffx35);_0x82ffx29[_0xfd5e[25]](_0x82ffx38);_0x82ffx26[_0xfd5e[25]](_0x82ffx27);_0x82ffx26[_0xfd5e[25]](_0x82ffx28);_0x82ffx26[_0xfd5e[25]](_0x82ffx29);this[_0xfd5e[70]]=_0x82ffx26}function EditFileDialog(_0x82ffx8){var _0x82ffx26=_0x82ffx8[_0xfd5e[56]](_0xfd5e[75]);var _0x82ffx27=_0x82ffx8[_0xfd5e[56]](_0xfd5e[76]);var _0x82ffx28=_0x82ffx8[_0xfd5e[56]](_0xfd5e[77]);var _0x82ffx29=_0x82ffx8[_0xfd5e[56]](_0xfd5e[78]);var _0x82ffx2a=_0x82ffx8[_0xfd5e[80]](_0xfd5e[79]);mxUtils[_0xfd5e[84]](_0x82ffx2a,mxResources[_0xfd5e[82]](_0xfd5e[159]));var _0x82ffx2b=mxUtils[_0xfd5e[86]](_0xfd5e[39],function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx2b[_0xfd5e[31]]=_0xfd5e[71];_0x82ffx27[_0xfd5e[25]](_0x82ffx2b);_0x82ffx27[_0xfd5e[25]](_0x82ffx2a);var _0x82ffx2c=_0x82ffx8[_0xfd5e[56]](_0xfd5e[87]);var _0x82ffx2d=_0x82ffx8[_0xfd5e[56]](_0xfd5e[88]);var _0x82ffx2e=document[_0xfd5e[2]](_0xfd5e[89]);_0x82ffx2e[_0xfd5e[59]][_0xfd5e[90]]=_0xfd5e[91];_0x82ffx2e[_0xfd5e[59]][_0xfd5e[92]]=_0xfd5e[93];_0x82ffx2e[_0xfd5e[23]]=mxUtils[_0xfd5e[161]](_0x82ffx8[_0xfd5e[13]][_0xfd5e[160]]());if(fileSupport){function _0x82ffx48(_0x82ffx30){_0x82ffx30[_0xfd5e[162]]();_0x82ffx30[_0xfd5e[163]]();if(_0x82ffx30[_0xfd5e[164]][_0xfd5e[98]][_0xfd5e[144]]>0){var _0x82ffx49=_0x82ffx30[_0xfd5e[164]][_0xfd5e[98]][0];var _0x82ffx4a= new FileReader();_0x82ffx4a[_0xfd5e[100]]=function(_0x82ffx33){_0x82ffx2e[_0xfd5e[23]]=_0x82ffx33[_0xfd5e[99]][_0xfd5e[101]]};_0x82ffx4a[_0xfd5e[102]](_0x82ffx49)}}function _0x82ffx4b(_0x82ffx30){_0x82ffx30[_0xfd5e[162]]();_0x82ffx30[_0xfd5e[163]]()}_0x82ffx2e[_0xfd5e[105]](_0xfd5e[165],_0x82ffx4b,false);_0x82ffx2e[_0xfd5e[105]](_0xfd5e[166],_0x82ffx48,false)};_0x82ffx2d[_0xfd5e[25]](_0x82ffx2e);_0x82ffx2c[_0xfd5e[25]](_0x82ffx2d);_0x82ffx28[_0xfd5e[25]](_0x82ffx2c);var _0x82ffx35=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[138]),function(){var _0x82ffx37=mxUtils[_0xfd5e[106]](_0x82ffx2e[_0xfd5e[23]]);_0x82ffx8[_0xfd5e[13]][_0xfd5e[107]](_0x82ffx37[_0xfd5e[54]]);_0x82ffx8[_0xfd5e[85]]()});_0x82ffx35[_0xfd5e[31]]=_0xfd5e[109];var _0x82ffx38=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[110]),function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx38[_0xfd5e[31]]=_0xfd5e[111];_0x82ffx29[_0xfd5e[25]](_0x82ffx35);_0x82ffx29[_0xfd5e[25]](_0x82ffx38);_0x82ffx26[_0xfd5e[25]](_0x82ffx27);_0x82ffx26[_0xfd5e[25]](_0x82ffx28);_0x82ffx26[_0xfd5e[25]](_0x82ffx29);this[_0xfd5e[70]]=_0x82ffx26}function ExportDialog(_0x82ffx8){var _0x82ffx26=_0x82ffx8[_0xfd5e[56]](_0xfd5e[75]);var _0x82ffx27=_0x82ffx8[_0xfd5e[56]](_0xfd5e[76]);var _0x82ffx28=_0x82ffx8[_0xfd5e[56]](_0xfd5e[167]);var _0x82ffx29=_0x82ffx8[_0xfd5e[56]](_0xfd5e[78]);var _0x82ffx2a=_0x82ffx8[_0xfd5e[80]](_0xfd5e[79]);mxUtils[_0xfd5e[84]](_0x82ffx2a,mxResources[_0xfd5e[82]](_0xfd5e[168])+_0xfd5e[83]);var _0x82ffx2b=mxUtils[_0xfd5e[86]](_0xfd5e[39],function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx2b[_0xfd5e[31]]=_0xfd5e[71];_0x82ffx27[_0xfd5e[25]](_0x82ffx2b);_0x82ffx27[_0xfd5e[25]](_0x82ffx2a);var _0x82ffx4d=_0x82ffx8[_0xfd5e[56]](_0xfd5e[169]);var _0x82ffx4e=_0x82ffx8[_0xfd5e[56]](_0xfd5e[170]);var _0x82ffx40=document[_0xfd5e[2]](_0xfd5e[134]);_0x82ffx40[_0xfd5e[31]]=_0xfd5e[171];mxUtils[_0xfd5e[84]](_0x82ffx40,mxResources[_0xfd5e[82]](_0xfd5e[147]));var _0x82ffx3=document[_0xfd5e[2]](_0xfd5e[1]);_0x82ffx3[_0xfd5e[5]](_0xfd5e[23],_0x82ffx8[_0xfd5e[13]][_0xfd5e[131]]());_0x82ffx3[_0xfd5e[31]]=_0xfd5e[137];var _0x82ffx23=_0x82ffx8[_0xfd5e[56]](_0xfd5e[172]);_0x82ffx23[_0xfd5e[25]](_0x82ffx3);_0x82ffx4e[_0xfd5e[25]](_0x82ffx40);_0x82ffx4e[_0xfd5e[25]](_0x82ffx23);_0x82ffx4d[_0xfd5e[25]](_0x82ffx4e);_0x82ffx28[_0xfd5e[25]](_0x82ffx4d);var _0x82ffx35=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[168]),mxUtils[_0xfd5e[108]](this,function(_0x82ffx36){_0x82ffx8[_0xfd5e[138]](false);var _0x82ffx4f=encodeURIComponent(mxUtils[_0xfd5e[173]](_0x82ffx8[_0xfd5e[13]][_0xfd5e[160]]())); new mxXmlRequest(SAVE_URL+_0x82ffx8[_0xfd5e[13]][_0xfd5e[12]][_0xfd5e[44]]+_0xfd5e[175],_0xfd5e[176]+_0x82ffx3[_0xfd5e[23]],_0xfd5e[7])[_0xfd5e[174]](document,_0xfd5e[127]);_0x82ffx8[_0xfd5e[85]]()}));_0x82ffx35[_0xfd5e[31]]=_0xfd5e[109];var _0x82ffx38=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[110]),function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx38[_0xfd5e[31]]=_0xfd5e[111];_0x82ffx29[_0xfd5e[25]](_0x82ffx35);_0x82ffx29[_0xfd5e[25]](_0x82ffx38);_0x82ffx26[_0xfd5e[25]](_0x82ffx27);_0x82ffx26[_0xfd5e[25]](_0x82ffx28);_0x82ffx26[_0xfd5e[25]](_0x82ffx29);this[_0xfd5e[70]]=_0x82ffx26}function GeneralDialog(_0x82ffx8,_0x82ffx51){var _0x82ffx52=_0x82ffx8[_0xfd5e[13]][_0xfd5e[177]];var _0x82ffx26=_0x82ffx8[_0xfd5e[56]](_0xfd5e[75]);var _0x82ffx27=_0x82ffx8[_0xfd5e[56]](_0xfd5e[76]);var _0x82ffx28=_0x82ffx8[_0xfd5e[56]](_0xfd5e[178]);var _0x82ffx29=_0x82ffx8[_0xfd5e[56]](_0xfd5e[78]);var _0x82ffx2a=_0x82ffx8[_0xfd5e[80]](_0xfd5e[79]);mxUtils[_0xfd5e[84]](_0x82ffx2a,mxResources[_0xfd5e[82]](_0xfd5e[159])+_0xfd5e[179]+mxResources[_0xfd5e[82]](_0x82ffx51[_0xfd5e[23]][_0xfd5e[180]]));var _0x82ffx2b=mxUtils[_0xfd5e[86]](_0xfd5e[39],function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx2b[_0xfd5e[31]]=_0xfd5e[71];_0x82ffx27[_0xfd5e[25]](_0x82ffx2b);_0x82ffx27[_0xfd5e[25]](_0x82ffx2a);var _0x82ffx53=_0x82ffx51[_0xfd5e[23]][_0xfd5e[181]][_0xfd5e[144]];var _0x82ffx4d=_0x82ffx8[_0xfd5e[56]](_0xfd5e[169]);for(var _0x82ffx54=0;_0x82ffx54<_0x82ffx53;_0x82ffx54++){var _0x82ffx3d=_0x82ffx51[_0xfd5e[23]][_0xfd5e[181]][_0x82ffx54][_0xfd5e[45]];var _0x82ffx3e=_0xfd5e[130]+_0x82ffx3d;var _0x82ffx2=_0x82ffx51[_0xfd5e[23]][_0xfd5e[181]][_0x82ffx54][_0xfd5e[23]];var _0x82ffx4e=_0x82ffx8[_0xfd5e[56]]((_0x82ffx54==_0x82ffx53-1)?_0xfd5e[170]:_0xfd5e[182]);var _0x82ffx40=document[_0xfd5e[2]](_0xfd5e[134]);_0x82ffx40[_0xfd5e[31]]=_0xfd5e[171];mxUtils[_0xfd5e[84]](_0x82ffx40,mxResources[_0xfd5e[82]](_0x82ffx3d));_0x82ffx4e[_0xfd5e[25]](_0x82ffx40);var _0x82ffx3;switch(_0x82ffx3d){case _0xfd5e[184]:_0x82ffx3=createDropdownFromApi(_0xfd5e[183],_0x82ffx2,_0xfd5e[45],_0xfd5e[44],_0x82ffx8);break;case _0xfd5e[186]:_0x82ffx3=createDropdownFromApi(_0xfd5e[185],_0x82ffx2,_0xfd5e[45],_0xfd5e[45],_0x82ffx8);break;case _0xfd5e[188]:_0x82ffx3=createDropdownFromApi(_0xfd5e[187],_0x82ffx2,_0xfd5e[45],_0xfd5e[45],_0x82ffx8);break;case _0xfd5e[190]:_0x82ffx3=createDropdownFromApi(_0xfd5e[189],_0x82ffx2,_0xfd5e[45],_0xfd5e[44],_0x82ffx8);break;case _0xfd5e[192]:_0x82ffx3=createDropdownFromApi(_0xfd5e[191],_0x82ffx2,_0xfd5e[45],_0xfd5e[44],_0x82ffx8);break;case _0xfd5e[194]:_0x82ffx3=createDropdownFromApi(_0xfd5e[193],_0x82ffx2,_0xfd5e[45],_0xfd5e[45],_0x82ffx8);break;case _0xfd5e[196]:_0x82ffx3=createDropdownFromApi(_0xfd5e[195],_0x82ffx2,_0xfd5e[196],_0xfd5e[44],_0x82ffx8,[_0xfd5e[196],_0xfd5e[194]],_0xfd5e[197]);break;case _0xfd5e[198]:_0x82ffx3=createDropdownFromArray(ISPEECHASRMODEL,_0x82ffx2);break;case _0xfd5e[199]:_0x82ffx3=createDropdownFromArray(ISPEECHASRLANG,_0x82ffx2);break;case _0xfd5e[200]:_0x82ffx3=createDropdownFromArray(ISPEECHBEEP,_0x82ffx2);break;case _0xfd5e[201]:_0x82ffx3=createDropdownFromArray(ISPEECHLANG,_0x82ffx2);break;case _0xfd5e[202]:_0x82ffx3=createDropdownFromArray(GOOGLETTSLANG,_0x82ffx2);break;case _0xfd5e[203]:_0x82ffx3=createDropdownFromTigerDialList(_0x82ffx2);break;case _0xfd5e[206]:_0x82ffx3=createGroupedDropdownFromApi(_0xfd5e[204],_0x82ffx2,_0xfd5e[45],_0xfd5e[44],_0x82ffx8,_0xfd5e[205]);break;case _0xfd5e[207]:_0x82ffx3=createDropdownFromApi(_0xfd5e[143],_0x82ffx2,_0xfd5e[45],_0xfd5e[44],_0x82ffx8);break;case _0xfd5e[209]:_0x82ffx3=createDropdownFromApi(_0xfd5e[208],_0x82ffx2,_0xfd5e[45],_0xfd5e[44],_0x82ffx8);break;case _0xfd5e[212]:_0x82ffx3=createDropdownFromApi(_0xfd5e[210],_0x82ffx2,_0xfd5e[211],_0xfd5e[44],_0x82ffx8);break;case _0xfd5e[213]:;case _0xfd5e[214]:;case _0xfd5e[215]:;case _0xfd5e[216]:;case _0xfd5e[17]:;case _0xfd5e[217]:;case _0xfd5e[220]:_0x82ffx3=document[_0xfd5e[2]](_0xfd5e[1]);_0x82ffx3[_0xfd5e[5]](_0xfd5e[3],_0xfd5e[218]);_0x82ffx3[_0xfd5e[5]](_0xfd5e[219],0);_0x82ffx3[_0xfd5e[5]](_0xfd5e[52],1000);_0x82ffx3[_0xfd5e[5]](_0xfd5e[23],_0x82ffx2);_0x82ffx3[_0xfd5e[31]]=_0xfd5e[137];break;case _0xfd5e[21]:;case _0xfd5e[221]:;case _0xfd5e[222]:;case _0xfd5e[223]:;case _0xfd5e[225]:_0x82ffx3=document[_0xfd5e[2]](_0xfd5e[89]);_0x82ffx3[_0xfd5e[224]]=_0x82ffx2;_0x82ffx3[_0xfd5e[31]]=_0xfd5e[137];break;case _0xfd5e[228]:_0x82ffx3=document[_0xfd5e[2]](_0xfd5e[89]);_0x82ffx3[_0xfd5e[224]]=_0x82ffx2;_0x82ffx3[_0xfd5e[31]]=_0xfd5e[137];_0x82ffx3[_0xfd5e[5]](_0xfd5e[226],_0xfd5e[227]);break;default:_0x82ffx3=document[_0xfd5e[2]](_0xfd5e[1]);_0x82ffx3[_0xfd5e[5]](_0xfd5e[23],_0x82ffx2);_0x82ffx3[_0xfd5e[31]]=_0xfd5e[137];break};_0x82ffx3[_0xfd5e[5]](_0xfd5e[44],_0x82ffx3e);var _0x82ffx23=_0x82ffx8[_0xfd5e[56]](_0xfd5e[172]);_0x82ffx23[_0xfd5e[25]](_0x82ffx3);if(mxResources[_0xfd5e[82]](_0xfd5e[229]+_0x82ffx3d)){var _0x82ffx55=_0x82ffx8[_0xfd5e[56]](_0xfd5e[230]);_0x82ffx55[_0xfd5e[31]]=_0xfd5e[231];mxUtils[_0xfd5e[84]](_0x82ffx55,mxResources[_0xfd5e[82]](_0xfd5e[229]+_0x82ffx3d));_0x82ffx23[_0xfd5e[25]](_0x82ffx55)};_0x82ffx4e[_0xfd5e[25]](_0x82ffx23);_0x82ffx4d[_0xfd5e[25]](_0x82ffx4e)};_0x82ffx28[_0xfd5e[25]](_0x82ffx4d);var _0x82ffx35=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[138]),mxUtils[_0xfd5e[108]](this,function(_0x82ffx36){for(var _0x82ffx54=0;_0x82ffx54<_0x82ffx51[_0xfd5e[23]][_0xfd5e[181]][_0xfd5e[144]];_0x82ffx54++){var _0x82ffx3e=_0xfd5e[130]+_0x82ffx51[_0xfd5e[23]][_0xfd5e[181]][_0x82ffx54][_0xfd5e[45]];var _0x82ffx3d=_0x82ffx51[_0xfd5e[23]][_0xfd5e[181]][_0x82ffx54][_0xfd5e[45]];console[_0xfd5e[46]](_0x82ffx3d,document[_0xfd5e[232]](_0x82ffx3e)[_0xfd5e[23]]);_0x82ffx51[_0xfd5e[5]](_0x82ffx3d,document[_0xfd5e[232]](_0x82ffx3e)[_0xfd5e[23]])};_0x82ffx52[_0xfd5e[233]](_0x82ffx51);_0x82ffx8[_0xfd5e[85]]()}));_0x82ffx35[_0xfd5e[31]]=_0xfd5e[109];var _0x82ffx38=mxUtils[_0xfd5e[86]](mxResources[_0xfd5e[82]](_0xfd5e[110]),function(){_0x82ffx8[_0xfd5e[85]]()});_0x82ffx38[_0xfd5e[31]]=_0xfd5e[111];_0x82ffx29[_0xfd5e[25]](_0x82ffx35);_0x82ffx29[_0xfd5e[25]](_0x82ffx38);_0x82ffx26[_0xfd5e[25]](_0x82ffx27);_0x82ffx26[_0xfd5e[25]](_0x82ffx28);_0x82ffx26[_0xfd5e[25]](_0x82ffx29);this[_0xfd5e[70]]=_0x82ffx26} \ No newline at end of file +var _0xc098=["\x75\x73\x65\x20\x73\x74\x72\x69\x63\x74","\x69\x6E\x70\x75\x74","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x74\x79\x70\x65","\x63\x68\x65\x63\x6B\x62\x6F\x78","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x68\x65\x63\x6B\x65\x64","\x47\x45\x54","\x6F\x70\x65\x6E","\x41\x75\x74\x68\x6F\x72\x69\x7A\x61\x74\x69\x6F\x6E","\x42\x65\x61\x72\x65\x72\x20","\x74\x6F\x6B\x65\x6E","\x64\x61\x74\x61","\x65\x64\x69\x74\x6F\x72","\x73\x65\x74\x52\x65\x71\x75\x65\x73\x74\x48\x65\x61\x64\x65\x72","\x73\x65\x6E\x64","\x73\x74\x61\x74\x75\x73","\x72\x65\x73\x70\x6F\x6E\x73\x65","\x70\x61\x72\x73\x65","\x73\x65\x6C\x65\x63\x74","\x6F\x70\x74\x69\x6F\x6E","\x74\x65\x78\x74","\x2D\x2D\x20\x4E\x6F\x6E\x65\x20\x2D\x2D","\x76\x61\x6C\x75\x65","\x2D\x31","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x25","\x72\x65\x70\x6C\x61\x63\x65","\x66\x6F\x72\x45\x61\x63\x68","\x73\x65\x6C\x65\x63\x74\x65\x64","\x72\x6F\x77\x73","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x66\x6F\x72\x6D\x2D\x63\x6F\x6E\x74\x72\x6F\x6C\x20\x73\x65\x6C\x65\x63\x74\x32","\x30","\x73\x65\x6C\x65\x63\x74\x2D\x67\x72\x6F\x75\x70\x2D\x66\x61\x74\x68\x65\x72","\x74\x6F\x55\x70\x70\x65\x72\x43\x61\x73\x65","\x73\x65\x6C\x65\x63\x74\x2D\x67\x72\x6F\x75\x70\x2D\x73\x6F\x6E","\x2D","\x63\x61\x70\x69\x74\x61\x6C\x69\x7A\x65","","\x50\x4F\x53\x54","\x2F\x61\x70\x69\x2F\x72\x65\x73\x74\x2F\x6C\x69\x73\x74\x2F\x6C\x69\x73\x74","\x73\x74\x72\x69\x6E\x67\x69\x66\x79","\x52\x4D\x49","\x69\x64","\x6E\x61\x6D\x65","\x6C\x6F\x67","\x49\x53\x5F\x49\x45","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x4D\x6F\x64\x65","\x73\x63\x72\x6F\x6C\x6C\x57\x69\x64\x74\x68","\x62\x6F\x64\x79","\x72\x6F\x75\x6E\x64","\x6D\x61\x78","\x73\x63\x72\x6F\x6C\x6C\x48\x65\x69\x67\x68\x74","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x67\x65\x44\x69\x61\x6C\x6F\x67","\x63\x72\x65\x61\x74\x65\x44\x69\x76","\x6D\x6F\x64\x61\x6C\x20\x66\x61\x64\x65\x20\x69\x6E\x20\x63\x65\x6E\x74\x65\x72","\x64\x69\x73\x70\x6C\x61\x79","\x73\x74\x79\x6C\x65","\x62\x6C\x6F\x63\x6B","\x70\x61\x64\x64\x69\x6E\x67\x52\x69\x67\x68\x74","\x31\x32\x70\x78","\x67\x65\x4D\x6F\x64\x61\x6C\x44\x69\x61\x6C\x6F\x67","\x6D\x6F\x64\x61\x6C\x2D\x64\x69\x61\x6C\x6F\x67","\x62\x67","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x6D\x6F\x64\x61\x6C\x2D\x62\x61\x63\x6B\x64\x72\x6F\x70\x20\x66\x61\x64\x65\x20\x69\x6E","\x49\x53\x5F\x51\x55\x49\x52\x4B\x53","\x6F\x6E\x44\x69\x61\x6C\x6F\x67\x43\x6C\x6F\x73\x65","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x63\x6C\x6F\x73\x65","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x72\x65\x6D\x6F\x76\x65\x43\x68\x69\x6C\x64","\x70\x61\x72\x65\x6E\x74\x4E\x6F\x64\x65","\x6D\x6F\x64\x61\x6C\x2D\x63\x6F\x6E\x74\x65\x6E\x74","\x6D\x6F\x64\x61\x6C\x2D\x68\x65\x61\x64\x65\x72","\x6D\x6F\x64\x61\x6C\x2D\x62\x6F\x64\x79","\x6D\x6F\x64\x61\x6C\x2D\x66\x6F\x6F\x74\x65\x72","\x68\x34","\x63\x72\x65\x61\x74\x65\x48\x65\x61\x64\x65\x72","\x69\x6D\x70\x6F\x72\x74","\x67\x65\x74","\x20\x58\x4D\x4C","\x77\x72\x69\x74\x65","\x68\x69\x64\x65\x44\x69\x61\x6C\x6F\x67","\x62\x75\x74\x74\x6F\x6E","\x72\x6F\x77","\x63\x6F\x6C\x2D\x6D\x64\x2D\x31\x32","\x74\x65\x78\x74\x61\x72\x65\x61","\x77\x69\x64\x74\x68","\x31\x30\x30\x25","\x68\x65\x69\x67\x68\x74","\x33\x37\x34\x70\x78","\x66\x69\x6C\x65","\x61\x63\x63\x65\x70\x74","\x74\x65\x78\x74\x2F\x78\x6D\x6C","\x63\x68\x61\x6E\x67\x65","\x66\x69\x6C\x65\x73","\x74\x61\x72\x67\x65\x74","\x6F\x6E\x6C\x6F\x61\x64","\x72\x65\x73\x75\x6C\x74","\x72\x65\x61\x64\x41\x73\x54\x65\x78\x74","\x46\x61\x69\x6C\x65\x64\x20\x74\x6F\x20\x6C\x6F\x61\x64\x20\x66\x6F\x72\x6D\x61\x74\x20\x66\x69\x6C\x65","\x46\x61\x69\x6C\x65\x64\x20\x74\x6F\x20\x6C\x6F\x61\x64\x20\x66\x69\x6C\x65","\x61\x64\x64\x45\x76\x65\x6E\x74\x4C\x69\x73\x74\x65\x6E\x65\x72","\x70\x61\x72\x73\x65\x58\x6D\x6C","\x73\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x62\x69\x6E\x64","\x62\x74\x6E\x20\x62\x6C\x75\x65","\x63\x61\x6E\x63\x65\x6C","\x62\x74\x6E\x20\x64\x65\x66\x61\x75\x6C\x74","\x61\x62\x6F\x75\x74","\x20\x43\x61\x6C\x6C\x79\x20\x53\x71\x75\x61\x72\x65","\x69\x6D\x67","\x62\x6F\x72\x64\x65\x72","\x30\x70\x78","\x31\x37\x36","\x31\x35\x31","\x73\x72\x63","\x2F\x6C\x6F\x67\x6F\x2E\x70\x6E\x67","\x62\x72","\x50\x6F\x77\x65\x72\x65\x64\x20\x62\x79\x20\x58\x65\x6E\x69\x61\x6C\x61\x62\x20","\x56\x45\x52\x53\x49\x4F\x4E","\x61","\x68\x72\x65\x66","\x68\x74\x74\x70\x3A\x2F\x2F\x77\x77\x77\x2E\x63\x61\x6C\x6C\x79\x73\x71\x75\x61\x72\x65\x2E\x63\x6F\x6D\x2F","\x5F\x62\x6C\x61\x6E\x6B","\x77\x77\x77\x2E\x63\x61\x6C\x6C\x79\x73\x71\x75\x61\x72\x65\x2E\x63\x6F\x6D","\x73\x61\x76\x65\x41\x73","\x5F","\x67\x65\x74\x4F\x72\x43\x72\x65\x61\x74\x65\x46\x69\x6C\x65\x6E\x61\x6D\x65","\x63\x6F\x6C\x2D\x6D\x64\x2D\x34","\x63\x6F\x6C\x2D\x6D\x64\x2D\x38","\x6C\x61\x62\x65\x6C","\x63\x6F\x6E\x74\x72\x6F\x6C\x2D\x6C\x61\x62\x65\x6C\x20\x70\x75\x6C\x6C\x2D\x72\x69\x67\x68\x74","\x5F\x63\x6F\x70\x79","\x66\x6F\x72\x6D\x2D\x63\x6F\x6E\x74\x72\x6F\x6C","\x73\x61\x76\x65","\x6E\x65\x77","\x5F\x6E\x65\x77","\x76\x61\x72\x69\x61\x62\x6C\x65","\x76\x61\x72\x69\x61\x62\x6C\x65\x20\x6E\x61\x6D\x65","\x2F\x61\x70\x69\x2F\x73\x71\x75\x61\x72\x65\x2F\x70\x72\x6F\x6A\x65\x63\x74\x73","\x6C\x65\x6E\x67\x74\x68","\x73\x71\x75\x61\x72\x65\x2F\x70\x72\x6F\x6A\x65\x63\x74\x2F","\x72\x65\x6E\x61\x6D\x65","\x66\x69\x6C\x65\x6E\x61\x6D\x65","\x50\x55\x54","\x43\x6F\x6E\x74\x65\x6E\x74\x2D\x54\x79\x70\x65","\x61\x70\x70\x6C\x69\x63\x61\x74\x69\x6F\x6E\x2F\x78\x2D\x77\x77\x77\x2D\x66\x6F\x72\x6D\x2D\x75\x72\x6C\x65\x6E\x63\x6F\x64\x65\x64","\x6E\x61\x6D\x65\x3D","\x50\x72\x6F\x6A\x65\x63\x74\x20\x73\x75\x63\x63\x65\x73\x73\x66\x75\x6C\x6C\x79\x20\x72\x65\x6E\x61\x6D\x65\x64","\x73\x65\x74\x53\x74\x61\x74\x75\x73","\x6D\x65\x73\x73\x61\x67\x65","\x65\x72\x72\x6F\x72\x73","\x6F\x6E\x65\x72\x72\x6F\x72","\x73\x74\x61\x74\x75\x73\x54\x65\x78\x74","\x61\x6C\x65\x72\x74","\x65\x64\x69\x74","\x67\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x67\x65\x74\x50\x72\x65\x74\x74\x79\x58\x6D\x6C","\x73\x74\x6F\x70\x50\x72\x6F\x70\x61\x67\x61\x74\x69\x6F\x6E","\x70\x72\x65\x76\x65\x6E\x74\x44\x65\x66\x61\x75\x6C\x74","\x64\x61\x74\x61\x54\x72\x61\x6E\x73\x66\x65\x72","\x64\x72\x61\x67\x6F\x76\x65\x72","\x64\x72\x6F\x70","\x6D\x6F\x64\x61\x6C\x2D\x62\x6F\x64\x79\x20\x66\x6F\x72\x6D","\x65\x78\x70\x6F\x72\x74","\x66\x6F\x72\x6D\x2D\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C\x20\x66\x6F\x72\x6D\x2D\x72\x6F\x77\x2D\x73\x65\x70\x65\x72\x61\x74\x65\x64","\x66\x6F\x72\x6D\x2D\x67\x72\x6F\x75\x70\x20\x6C\x61\x73\x74","\x63\x6F\x6C\x2D\x73\x6D\x2D\x34\x20\x63\x6F\x6E\x74\x72\x6F\x6C\x2D\x6C\x61\x62\x65\x6C","\x63\x6F\x6C\x2D\x73\x6D\x2D\x38","\x67\x65\x74\x58\x6D\x6C","\x73\x69\x6D\x75\x6C\x61\x74\x65","\x2F\x64\x6F\x77\x6E\x6C\x6F\x61\x64","\x66\x69\x6C\x65\x6E\x61\x6D\x65\x3D","\x67\x72\x61\x70\x68","\x6D\x6F\x64\x61\x6C\x2D\x62\x6F\x64\x79\x20\x66\x6F\x72\x6D\x20\x6D\x6F\x64\x61\x6C\x2D\x62\x6F\x64\x79\x2D\x73\x63\x72\x6F\x6C\x6C","\x20","\x6E\x6F\x64\x65\x4E\x61\x6D\x65","\x61\x74\x74\x72\x69\x62\x75\x74\x65\x73","\x66\x6F\x72\x6D\x2D\x67\x72\x6F\x75\x70","\x2F\x61\x70\x69\x2F\x61\x67\x65\x6E\x74\x73","\x73\x69\x70\x5F\x69\x64","\x2F\x61\x70\x69\x2F\x76\x6F\x69\x63\x65\x2F\x71\x75\x65\x75\x65\x73","\x71\x75\x65\x75\x65\x5F\x69\x64","\x2F\x61\x70\x69\x2F\x74\x72\x75\x6E\x6B\x73","\x74\x72\x75\x6E\x6B\x5F\x6E\x61\x6D\x65","\x2F\x61\x70\x69\x2F\x76\x61\x72\x69\x61\x62\x6C\x65\x73","\x76\x61\x72\x69\x61\x62\x6C\x65\x5F\x69\x64","\x2F\x61\x70\x69\x2F\x73\x6D\x73\x2F\x61\x63\x63\x6F\x75\x6E\x74\x73","\x61\x63\x63\x6F\x75\x6E\x74\x5F\x69\x64","\x2F\x61\x70\x69\x2F\x76\x6F\x69\x63\x65\x2F\x63\x6F\x6E\x74\x65\x78\x74\x73","\x63\x6F\x6E\x74\x65\x78\x74","\x2F\x61\x70\x69\x2F\x76\x6F\x69\x63\x65\x2F\x76\x6F\x69\x63\x65\x6D\x61\x69\x6C\x73","\x6D\x61\x69\x6C\x62\x6F\x78","\x25\x6D\x61\x69\x6C\x62\x6F\x78\x25\x40\x25\x63\x6F\x6E\x74\x65\x78\x74\x25","\x6D\x6F\x64\x65\x6C","\x69\x73\x70\x65\x65\x63\x68\x5F\x61\x73\x72\x5F\x6C\x61\x6E\x67\x75\x61\x67\x65","\x62\x65\x65\x70","\x69\x73\x70\x65\x65\x63\x68\x5F\x74\x74\x73\x5F\x6C\x61\x6E\x67\x75\x61\x67\x65","\x67\x6F\x6F\x67\x6C\x65\x5F\x74\x74\x73\x5F\x6C\x61\x6E\x67\x75\x61\x67\x65","\x6C\x69\x73\x74\x5F\x69\x64","\x2F\x61\x70\x69\x2F\x69\x6E\x74\x65\x72\x76\x61\x6C\x73","\x53\x75\x62\x49\x6E\x74\x65\x72\x76\x61\x6C\x73","\x69\x6E\x74\x65\x72\x76\x61\x6C\x5F\x69\x64","\x70\x72\x6F\x6A\x65\x63\x74\x5F\x69\x64","\x2F\x61\x70\x69\x2F\x73\x71\x75\x61\x72\x65\x2F\x6F\x64\x62\x63","\x6F\x64\x62\x63\x5F\x69\x64","\x2F\x61\x70\x69\x2F\x73\x6F\x75\x6E\x64\x73","\x64\x69\x73\x70\x6C\x61\x79\x5F\x6E\x61\x6D\x65","\x66\x69\x6C\x65\x5F\x69\x64","\x74\x69\x6D\x65\x6F\x75\x74","\x64\x69\x67\x69\x74","\x6D\x69\x6E\x64\x69\x67\x69\x74","\x6D\x61\x78\x64\x69\x67\x69\x74","\x72\x65\x74\x72\x79","\x6E\x75\x6D\x62\x65\x72","\x6D\x69\x6E","\x73\x70\x65\x65\x64","\x6B\x65\x79","\x71\x75\x65\x72\x79","\x63\x6F\x6E\x64\x69\x74\x69\x6F\x6E","\x69\x6E\x6E\x65\x72\x48\x54\x4D\x4C","\x63\x6F\x6D\x6D\x61\x6E\x64","\x6D\x61\x78\x6C\x65\x6E\x67\x74\x68","\x31\x36\x30","\x73\x6D\x73\x5F\x74\x65\x78\x74","\x68\x65\x6C\x70\x5F","\x70","\x68\x65\x6C\x70\x2D\x62\x6C\x6F\x63\x6B","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74\x42\x79\x49\x64","\x72\x65\x66\x72\x65\x73\x68"];_0xc098[0];function createCheckbox(_0xa1c9x2){var _0xa1c9x3=document[_0xc098[2]](_0xc098[1]);_0xa1c9x3[_0xc098[5]](_0xc098[3],_0xc098[4]);if(_0xa1c9x2){_0xa1c9x3[_0xc098[5]](_0xc098[6],true)};return _0xa1c9x3}function createDropdownFromApi(_0xa1c9x5,_0xa1c9x2,_0xa1c9x6,_0xa1c9x7,_0xa1c9x8,_0xa1c9x9,_0xa1c9xa){var _0xa1c9xb= new XMLHttpRequest();_0xa1c9xb[_0xc098[8]](_0xc098[7],_0xa1c9x5,false);_0xa1c9xb[_0xc098[14]](_0xc098[9],_0xc098[10]+_0xa1c9x8[_0xc098[13]][_0xc098[12]][_0xc098[11]]);_0xa1c9xb[_0xc098[15]](null);var _0xa1c9xc=[];if(_0xa1c9xb[_0xc098[16]]===200){_0xa1c9xc=JSON[_0xc098[18]](_0xa1c9xb[_0xc098[17]])};var _0xa1c9x3=document[_0xc098[2]](_0xc098[19]);var _0xa1c9xd=document[_0xc098[2]](_0xc098[20]);_0xa1c9xd[_0xc098[21]]=_0xc098[22];_0xa1c9xd[_0xc098[23]]=_0xc098[24];_0xa1c9x3[_0xc098[25]](_0xa1c9xd);_0xa1c9xc[_0xc098[30]][_0xc098[28]](function(_0xa1c9xe){_0xa1c9xd=document[_0xc098[2]](_0xc098[20]);_0xa1c9xd[_0xc098[21]]=_0xa1c9xe[_0xa1c9x6];if(_0xa1c9x9&&_0xa1c9xa){_0xa1c9x9[_0xc098[28]](function(_0xa1c9xf){_0xa1c9xa=_0xa1c9xa[_0xc098[27]](_0xc098[26]+_0xa1c9xf+_0xc098[26],_0xa1c9xe[_0xa1c9xf])});_0xa1c9xd[_0xc098[23]]=_0xa1c9xa;_0xa1c9xd[_0xc098[29]]=(_0xa1c9xa==_0xa1c9x2)}else {_0xa1c9xd[_0xc098[23]]=_0xa1c9xe[_0xa1c9x7];_0xa1c9xd[_0xc098[29]]=(_0xa1c9xe[_0xa1c9x7]==_0xa1c9x2)};_0xa1c9x3[_0xc098[25]](_0xa1c9xd)});_0xa1c9x3[_0xc098[31]]=_0xc098[32];return _0xa1c9x3}function createGroupedDropdownFromApi(_0xa1c9x5,_0xa1c9x2,_0xa1c9x6,_0xa1c9x7,_0xa1c9x8,_0xa1c9x11){var _0xa1c9xb= new XMLHttpRequest();_0xa1c9xb[_0xc098[8]](_0xc098[7],_0xa1c9x5,false);_0xa1c9xb[_0xc098[14]](_0xc098[9],_0xc098[10]+_0xa1c9x8[_0xc098[13]][_0xc098[12]][_0xc098[11]]);_0xa1c9xb[_0xc098[15]](null);var _0xa1c9xc=[];if(_0xa1c9xb[_0xc098[16]]===200){_0xa1c9xc=JSON[_0xc098[18]](_0xa1c9xb[_0xc098[17]])};var _0xa1c9x3=document[_0xc098[2]](_0xc098[19]);var _0xa1c9xd=document[_0xc098[2]](_0xc098[20]);_0xa1c9xd[_0xc098[21]]=_0xc098[22];_0xa1c9xd[_0xc098[23]]=_0xc098[33];_0xa1c9x3[_0xc098[25]](_0xa1c9xd);_0xa1c9xc[_0xc098[30]][_0xc098[28]](function(_0xa1c9xe){_0xa1c9xd=document[_0xc098[2]](_0xc098[20]);_0xa1c9xd[_0xc098[31]]=_0xc098[34];_0xa1c9xd[_0xc098[21]]=_0xa1c9xe[_0xa1c9x6][_0xc098[35]]();_0xa1c9xd[_0xc098[23]]=_0xa1c9xe[_0xa1c9x7];_0xa1c9xd[_0xc098[29]]=(_0xa1c9xe[_0xa1c9x7]==_0xa1c9x2);_0xa1c9x3[_0xc098[25]](_0xa1c9xd);_0xa1c9xe[_0xa1c9x11][_0xc098[28]](function(_0xa1c9xe){_0xa1c9xd=document[_0xc098[2]](_0xc098[20]);_0xa1c9xd[_0xc098[31]]=_0xc098[36];_0xa1c9xd[_0xc098[21]]=_0xc098[37]+_[_0xc098[38]](_0xa1c9xe[_0xa1c9x6]);_0xa1c9xd[_0xc098[23]]=_0xa1c9xe[_0xa1c9x7];_0xa1c9xd[_0xc098[29]]=(_0xa1c9xe[_0xa1c9x7]==_0xa1c9x2);_0xa1c9x3[_0xc098[25]](_0xa1c9xd)})});_0xa1c9x3[_0xc098[31]]=_0xc098[32];return _0xa1c9x3}function createDropdownFromArray(_0xa1c9x13,_0xa1c9x2){var _0xa1c9x3=document[_0xc098[2]](_0xc098[19]);for(var _0xa1c9x14 in _0xa1c9x13){var _0xa1c9xd=document[_0xc098[2]](_0xc098[20]);_0xa1c9xd[_0xc098[21]]=_0xa1c9x13[_0xa1c9x14];_0xa1c9xd[_0xc098[23]]=_0xa1c9x14;if(_0xa1c9x2>0||_0xa1c9x2!=_0xc098[39]){_0xa1c9xd[_0xc098[29]]=(_0xa1c9x2===_0xa1c9x14)?true:false};_0xa1c9x3[_0xc098[25]](_0xa1c9xd)};_0xa1c9x3[_0xc098[31]]=_0xc098[32];return _0xa1c9x3}function createDropdownFromTigerDialList(_0xa1c9x2){var _0xa1c9x3=document[_0xc098[2]](_0xc098[19]);var _0xa1c9x13=[];var _0xa1c9x16= new XMLHttpRequest();try{_0xa1c9x16[_0xc098[8]](_0xc098[40],_0xc098[41],false);_0xa1c9x16[_0xc098[15]](JSON[_0xc098[42]]({page:1,size:600000}));if(_0xa1c9x16[_0xc098[16]]===200){var _0xa1c9xc=JSON[_0xc098[18]](_0xa1c9x16[_0xc098[17]]);if(_0xa1c9xc[_0xc098[16]]>0){var _0xa1c9x17=_0xa1c9xc[_0xc098[17]][_0xc098[43]][_0xc098[30]];for(var _0xa1c9x18 in _0xa1c9x17){_0xa1c9x13[_0xa1c9x17[_0xa1c9x18][_0xc098[44]]]=_0xa1c9x17[_0xa1c9x18][_0xc098[45]]}}}}catch(e){console[_0xc098[46]](e)};for(var _0xa1c9x14 in _0xa1c9x13){var _0xa1c9xd=document[_0xc098[2]](_0xc098[20]);_0xa1c9xd[_0xc098[21]]=_0xa1c9x13[_0xa1c9x14];_0xa1c9xd[_0xc098[23]]=_0xa1c9x14;if(_0xa1c9x2>0||_0xa1c9x2!=_0xc098[39]){_0xa1c9xd[_0xc098[29]]=(_0xa1c9x2===_0xa1c9x14)?true:false};_0xa1c9x3[_0xc098[25]](_0xa1c9xd)};_0xa1c9x3[_0xc098[31]]=_0xc098[32];return _0xa1c9x3}function Dialog(_0xa1c9x8,_0xa1c9x1a,_0xa1c9x1b,_0xa1c9x1c,_0xa1c9x1d,_0xa1c9x1e,_0xa1c9x1f){var _0xa1c9x20=0;if(mxClient[_0xc098[47]]&&document[_0xc098[48]]!=9){_0xa1c9x20=60};_0xa1c9x1b+=_0xa1c9x20;_0xa1c9x1c+=_0xa1c9x20;var _0xa1c9x21=Math[_0xc098[52]](0,Math[_0xc098[51]]((document[_0xc098[50]][_0xc098[49]]-_0xa1c9x1b)/2));var _0xa1c9x22=Math[_0xc098[52]](0,Math[_0xc098[51]]((Math[_0xc098[52]](document[_0xc098[50]][_0xc098[53]],document[_0xc098[54]][_0xc098[53]])-_0xa1c9x1c)/3));var _0xa1c9x23=_0xa1c9x8[_0xc098[56]](_0xc098[55]);_0xa1c9x23[_0xc098[31]]=_0xc098[57];_0xa1c9x23[_0xc098[59]][_0xc098[58]]=_0xc098[60];_0xa1c9x23[_0xc098[59]][_0xc098[61]]=_0xc098[62];var _0xa1c9x24=_0xa1c9x8[_0xc098[56]](_0xc098[63]);_0xa1c9x24[_0xc098[31]]=_0xc098[64];_0xa1c9x24[_0xc098[25]](_0xa1c9x1a);_0xa1c9x23[_0xc098[25]](_0xa1c9x24);if(this[_0xc098[65]]==null){this[_0xc098[65]]=_0xa1c9x8[_0xc098[56]](_0xc098[66]);this[_0xc098[65]][_0xc098[31]]=_0xc098[67];if(mxClient[_0xc098[68]]){ new mxDivResizer(this[_0xc098[65]])}};if(_0xa1c9x1d){document[_0xc098[50]][_0xc098[25]](this[_0xc098[65]])};document[_0xc098[50]][_0xc098[25]](_0xa1c9x23);this[_0xc098[69]]=_0xa1c9x1f;this[_0xc098[70]]=_0xa1c9x23}Dialog[_0xc098[72]][_0xc098[71]]=function(){if(this[_0xc098[69]]!=null){this[_0xc098[69]]();this[_0xc098[69]]=null};this[_0xc098[70]][_0xc098[74]][_0xc098[73]](this[_0xc098[70]]);this[_0xc098[65]][_0xc098[74]][_0xc098[73]](this[_0xc098[65]])};function ImportDialog(_0xa1c9x8){var _0xa1c9x26=_0xa1c9x8[_0xc098[56]](_0xc098[75]);var _0xa1c9x27=_0xa1c9x8[_0xc098[56]](_0xc098[76]);var _0xa1c9x28=_0xa1c9x8[_0xc098[56]](_0xc098[77]);var _0xa1c9x29=_0xa1c9x8[_0xc098[56]](_0xc098[78]);var _0xa1c9x2a=_0xa1c9x8[_0xc098[80]](_0xc098[79]);mxUtils[_0xc098[84]](_0xa1c9x2a,mxResources[_0xc098[82]](_0xc098[81])+_0xc098[83]);var _0xa1c9x2b=mxUtils[_0xc098[86]](_0xc098[39],function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x2b[_0xc098[31]]=_0xc098[71];_0xa1c9x27[_0xc098[25]](_0xa1c9x2b);_0xa1c9x27[_0xc098[25]](_0xa1c9x2a);var _0xa1c9x2c=_0xa1c9x8[_0xc098[56]](_0xc098[87]);var _0xa1c9x2d=_0xa1c9x8[_0xc098[56]](_0xc098[88]);var _0xa1c9x2e=document[_0xc098[2]](_0xc098[89]);_0xa1c9x2e[_0xc098[59]][_0xc098[90]]=_0xc098[91];_0xa1c9x2e[_0xc098[59]][_0xc098[92]]=_0xc098[93];var _0xa1c9x3=document[_0xc098[2]](_0xc098[1]);_0xa1c9x3[_0xc098[3]]=_0xc098[94];_0xa1c9x3[_0xc098[5]](_0xc098[95],_0xc098[96]);_0xa1c9x3[_0xc098[105]](_0xc098[97],function _0xa1c9x2f(_0xa1c9x30){var _0xa1c9x31=_0xa1c9x30[_0xc098[99]][_0xc098[98]][0];console[_0xc098[46]](_0xa1c9x31);if(_0xa1c9x31){if(_0xa1c9x31[_0xc098[3]]===_0xc098[96]){var _0xa1c9x32= new FileReader();_0xa1c9x32[_0xc098[100]]=function(_0xa1c9x33){var _0xa1c9x34=_0xa1c9x33[_0xc098[99]][_0xc098[101]];mxUtils[_0xc098[84]](_0xa1c9x2e,_0xa1c9x34)};_0xa1c9x32[_0xc098[102]](_0xa1c9x31)}else {alert(_0xc098[103])}}else {alert(_0xc098[104])}},false);_0xa1c9x2d[_0xc098[25]](_0xa1c9x3);_0xa1c9x2d[_0xc098[25]](_0xa1c9x2e);_0xa1c9x2c[_0xc098[25]](_0xa1c9x2d);_0xa1c9x28[_0xc098[25]](_0xa1c9x2c);var _0xa1c9x35=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[81]),mxUtils[_0xc098[108]](this,function(_0xa1c9x36){var _0xa1c9x37=mxUtils[_0xc098[106]](_0xa1c9x2e[_0xc098[23]]);_0xa1c9x8[_0xc098[13]][_0xc098[107]](_0xa1c9x37[_0xc098[54]]);_0xa1c9x8[_0xc098[85]]()}));_0xa1c9x35[_0xc098[31]]=_0xc098[109];var _0xa1c9x38=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[110]),function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x38[_0xc098[31]]=_0xc098[111];_0xa1c9x29[_0xc098[25]](_0xa1c9x35);_0xa1c9x29[_0xc098[25]](_0xa1c9x38);_0xa1c9x26[_0xc098[25]](_0xa1c9x27);_0xa1c9x26[_0xc098[25]](_0xa1c9x28);_0xa1c9x26[_0xc098[25]](_0xa1c9x29);this[_0xc098[70]]=_0xa1c9x26}function AboutDialog(_0xa1c9x8){var _0xa1c9x26=_0xa1c9x8[_0xc098[56]](_0xc098[75]);var _0xa1c9x27=_0xa1c9x8[_0xc098[56]](_0xc098[76]);var _0xa1c9x28=_0xa1c9x8[_0xc098[56]](_0xc098[77]);var _0xa1c9x29=_0xa1c9x8[_0xc098[56]](_0xc098[78]);var _0xa1c9x2a=_0xa1c9x8[_0xc098[80]](_0xc098[79]);mxUtils[_0xc098[84]](_0xa1c9x2a,mxResources[_0xc098[82]](_0xc098[112])+_0xc098[113]);var _0xa1c9x2b=mxUtils[_0xc098[86]](_0xc098[39],function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x2b[_0xc098[31]]=_0xc098[71];_0xa1c9x27[_0xc098[25]](_0xa1c9x2b);_0xa1c9x27[_0xc098[25]](_0xa1c9x2a);var _0xa1c9x3a=document[_0xc098[2]](_0xc098[114]);_0xa1c9x3a[_0xc098[59]][_0xc098[115]]=_0xc098[116];_0xa1c9x3a[_0xc098[5]](_0xc098[90],_0xc098[117]);_0xa1c9x3a[_0xc098[5]](_0xc098[90],_0xc098[118]);_0xa1c9x3a[_0xc098[5]](_0xc098[119],IMAGE_PATH+_0xc098[120]);_0xa1c9x28[_0xc098[25]](_0xa1c9x3a);mxUtils[_0xc098[121]](_0xa1c9x28);mxUtils[_0xc098[84]](_0xa1c9x28,_0xc098[122]+mxClient[_0xc098[123]]);mxUtils[_0xc098[121]](_0xa1c9x28);var _0xa1c9x3b=document[_0xc098[2]](_0xc098[124]);_0xa1c9x3b[_0xc098[5]](_0xc098[125],_0xc098[126]);_0xa1c9x3b[_0xc098[5]](_0xc098[99],_0xc098[127]);mxUtils[_0xc098[84]](_0xa1c9x3b,_0xc098[128]);_0xa1c9x28[_0xc098[25]](_0xa1c9x3b);mxUtils[_0xc098[121]](_0xa1c9x28);mxUtils[_0xc098[121]](_0xa1c9x28);var _0xa1c9x38=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[71]),function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x38[_0xc098[31]]=_0xc098[111];_0xa1c9x29[_0xc098[25]](_0xa1c9x38);_0xa1c9x26[_0xc098[25]](_0xa1c9x27);_0xa1c9x26[_0xc098[25]](_0xa1c9x28);_0xa1c9x26[_0xc098[25]](_0xa1c9x29);this[_0xc098[70]]=_0xa1c9x26}function SaveDialog(_0xa1c9x8){var _0xa1c9x26=_0xa1c9x8[_0xc098[56]](_0xc098[75]);var _0xa1c9x27=_0xa1c9x8[_0xc098[56]](_0xc098[76]);var _0xa1c9x28=_0xa1c9x8[_0xc098[56]](_0xc098[77]);var _0xa1c9x29=_0xa1c9x8[_0xc098[56]](_0xc098[78]);var _0xa1c9x2a=_0xa1c9x8[_0xc098[80]](_0xc098[79]);mxUtils[_0xc098[84]](_0xa1c9x2a,mxResources[_0xc098[82]](_0xc098[129]));var _0xa1c9x2b=mxUtils[_0xc098[86]](_0xc098[39],function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x2b[_0xc098[31]]=_0xc098[71];_0xa1c9x27[_0xc098[25]](_0xa1c9x2b);_0xa1c9x27[_0xc098[25]](_0xa1c9x2a);var _0xa1c9x3d=_0xc098[45];var _0xa1c9x3e=_0xc098[130]+_0xa1c9x3d;var _0xa1c9x2=_0xa1c9x8[_0xc098[13]][_0xc098[131]]();var _0xa1c9x2c=_0xa1c9x8[_0xc098[56]](_0xc098[87]);var _0xa1c9x2d=_0xa1c9x8[_0xc098[56]](_0xc098[132]);var _0xa1c9x3f=_0xa1c9x8[_0xc098[56]](_0xc098[133]);var _0xa1c9x40=document[_0xc098[2]](_0xc098[134]);_0xa1c9x40[_0xc098[31]]=_0xc098[135];mxUtils[_0xc098[84]](_0xa1c9x40,mxResources[_0xc098[82]](_0xa1c9x3d));_0xa1c9x2d[_0xc098[25]](_0xa1c9x40);var _0xa1c9x41=document[_0xc098[2]](_0xc098[1]);_0xa1c9x41[_0xc098[5]](_0xc098[23],_0xa1c9x2+_0xc098[136]);_0xa1c9x41[_0xc098[5]](_0xc098[44],_0xa1c9x3e);_0xa1c9x41[_0xc098[31]]=_0xc098[137];_0xa1c9x3f[_0xc098[25]](_0xa1c9x41);_0xa1c9x2c[_0xc098[25]](_0xa1c9x2d);_0xa1c9x2c[_0xc098[25]](_0xa1c9x3f);_0xa1c9x28[_0xc098[25]](_0xa1c9x2c);var _0xa1c9x35=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[138]),function(){_0xa1c9x8[_0xc098[129]](_0xa1c9x41[_0xc098[23]]);_0xa1c9x8[_0xc098[85]]()});_0xa1c9x35[_0xc098[31]]=_0xc098[109];var _0xa1c9x38=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[110]),function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x38[_0xc098[31]]=_0xc098[111];_0xa1c9x29[_0xc098[25]](_0xa1c9x35);_0xa1c9x29[_0xc098[25]](_0xa1c9x38);_0xa1c9x26[_0xc098[25]](_0xa1c9x27);_0xa1c9x26[_0xc098[25]](_0xa1c9x28);_0xa1c9x26[_0xc098[25]](_0xa1c9x29);this[_0xc098[70]]=_0xa1c9x26}function NewDialog(_0xa1c9x8){var _0xa1c9x26=_0xa1c9x8[_0xc098[56]](_0xc098[75]);var _0xa1c9x27=_0xa1c9x8[_0xc098[56]](_0xc098[76]);var _0xa1c9x28=_0xa1c9x8[_0xc098[56]](_0xc098[77]);var _0xa1c9x29=_0xa1c9x8[_0xc098[56]](_0xc098[78]);var _0xa1c9x2a=_0xa1c9x8[_0xc098[80]](_0xc098[79]);mxUtils[_0xc098[84]](_0xa1c9x2a,mxResources[_0xc098[82]](_0xc098[139]));var _0xa1c9x2b=mxUtils[_0xc098[86]](_0xc098[39],function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x2b[_0xc098[31]]=_0xc098[71];_0xa1c9x27[_0xc098[25]](_0xa1c9x2b);_0xa1c9x27[_0xc098[25]](_0xa1c9x2a);var _0xa1c9x3d=_0xc098[45];var _0xa1c9x3e=_0xc098[130]+_0xa1c9x3d;var _0xa1c9x2=_0xa1c9x8[_0xc098[13]][_0xc098[131]]();var _0xa1c9x2c=_0xa1c9x8[_0xc098[56]](_0xc098[87]);var _0xa1c9x2d=_0xa1c9x8[_0xc098[56]](_0xc098[132]);var _0xa1c9x3f=_0xa1c9x8[_0xc098[56]](_0xc098[133]);var _0xa1c9x40=document[_0xc098[2]](_0xc098[134]);_0xa1c9x40[_0xc098[31]]=_0xc098[135];mxUtils[_0xc098[84]](_0xa1c9x40,mxResources[_0xc098[82]](_0xa1c9x3d));_0xa1c9x2d[_0xc098[25]](_0xa1c9x40);var _0xa1c9x41=document[_0xc098[2]](_0xc098[1]);_0xa1c9x41[_0xc098[5]](_0xc098[23],_0xa1c9x2+_0xc098[140]);_0xa1c9x41[_0xc098[5]](_0xc098[44],_0xa1c9x3e);_0xa1c9x41[_0xc098[31]]=_0xc098[137];_0xa1c9x3f[_0xc098[25]](_0xa1c9x41);_0xa1c9x2c[_0xc098[25]](_0xa1c9x2d);_0xa1c9x2c[_0xc098[25]](_0xa1c9x3f);_0xa1c9x28[_0xc098[25]](_0xa1c9x2c);var _0xa1c9x35=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[139]),function(){_0xa1c9x8[_0xc098[139]](_0xa1c9x41[_0xc098[23]]);_0xa1c9x8[_0xc098[85]]()});_0xa1c9x35[_0xc098[31]]=_0xc098[109];var _0xa1c9x38=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[110]),function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x38[_0xc098[31]]=_0xc098[111];_0xa1c9x29[_0xc098[25]](_0xa1c9x35);_0xa1c9x29[_0xc098[25]](_0xa1c9x38);_0xa1c9x26[_0xc098[25]](_0xa1c9x27);_0xa1c9x26[_0xc098[25]](_0xa1c9x28);_0xa1c9x26[_0xc098[25]](_0xa1c9x29);this[_0xc098[70]]=_0xa1c9x26}function VariableDialog(_0xa1c9x8){var _0xa1c9x26=_0xa1c9x8[_0xc098[56]](_0xc098[75]);var _0xa1c9x27=_0xa1c9x8[_0xc098[56]](_0xc098[76]);var _0xa1c9x28=_0xa1c9x8[_0xc098[56]](_0xc098[77]);var _0xa1c9x29=_0xa1c9x8[_0xc098[56]](_0xc098[78]);var _0xa1c9x2a=_0xa1c9x8[_0xc098[80]](_0xc098[79]);mxUtils[_0xc098[84]](_0xa1c9x2a,mxResources[_0xc098[82]](_0xc098[141]));var _0xa1c9x2b=mxUtils[_0xc098[86]](_0xc098[39],function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x2b[_0xc098[31]]=_0xc098[71];_0xa1c9x27[_0xc098[25]](_0xa1c9x2b);_0xa1c9x27[_0xc098[25]](_0xa1c9x2a);var _0xa1c9x3d=_0xc098[45];var _0xa1c9x3e=_0xc098[130]+_0xa1c9x3d;var _0xa1c9x2=_0xa1c9x8[_0xc098[13]][_0xc098[131]]();var _0xa1c9x2c=_0xa1c9x8[_0xc098[56]](_0xc098[87]);var _0xa1c9x2d=_0xa1c9x8[_0xc098[56]](_0xc098[132]);var _0xa1c9x3f=_0xa1c9x8[_0xc098[56]](_0xc098[133]);var _0xa1c9x40=document[_0xc098[2]](_0xc098[134]);_0xa1c9x40[_0xc098[31]]=_0xc098[135];mxUtils[_0xc098[84]](_0xa1c9x40,mxResources[_0xc098[82]](_0xa1c9x3d));_0xa1c9x2d[_0xc098[25]](_0xa1c9x40);var _0xa1c9x41=document[_0xc098[2]](_0xc098[1]);_0xa1c9x41[_0xc098[5]](_0xc098[23],_0xc098[142]);_0xa1c9x41[_0xc098[5]](_0xc098[44],_0xa1c9x3e);_0xa1c9x41[_0xc098[31]]=_0xc098[137];_0xa1c9x3f[_0xc098[25]](_0xa1c9x41);_0xa1c9x2c[_0xc098[25]](_0xa1c9x2d);_0xa1c9x2c[_0xc098[25]](_0xa1c9x3f);_0xa1c9x28[_0xc098[25]](_0xa1c9x2c);var _0xa1c9x35=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[139]),function(){_0xa1c9x8[_0xc098[141]](_0xa1c9x41[_0xc098[23]]);_0xa1c9x8[_0xc098[85]]()});_0xa1c9x35[_0xc098[31]]=_0xc098[109];var _0xa1c9x38=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[110]),function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x38[_0xc098[31]]=_0xc098[111];_0xa1c9x29[_0xc098[25]](_0xa1c9x35);_0xa1c9x29[_0xc098[25]](_0xa1c9x38);_0xa1c9x26[_0xc098[25]](_0xa1c9x27);_0xa1c9x26[_0xc098[25]](_0xa1c9x28);_0xa1c9x26[_0xc098[25]](_0xa1c9x29);this[_0xc098[70]]=_0xa1c9x26}function OpenDialog(_0xa1c9x8){var _0xa1c9x26=_0xa1c9x8[_0xc098[56]](_0xc098[75]);var _0xa1c9x27=_0xa1c9x8[_0xc098[56]](_0xc098[76]);var _0xa1c9x28=_0xa1c9x8[_0xc098[56]](_0xc098[77]);var _0xa1c9x29=_0xa1c9x8[_0xc098[56]](_0xc098[78]);var _0xa1c9x2a=_0xa1c9x8[_0xc098[80]](_0xc098[79]);mxUtils[_0xc098[84]](_0xa1c9x2a,mxResources[_0xc098[82]](_0xc098[8]));var _0xa1c9x2b=mxUtils[_0xc098[86]](_0xc098[39],function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x2b[_0xc098[31]]=_0xc098[71];_0xa1c9x27[_0xc098[25]](_0xa1c9x2b);_0xa1c9x27[_0xc098[25]](_0xa1c9x2a);var _0xa1c9x2c=_0xa1c9x8[_0xc098[56]](_0xc098[87]);var _0xa1c9x2d=_0xa1c9x8[_0xc098[56]](_0xc098[132]);var _0xa1c9x3f=_0xa1c9x8[_0xc098[56]](_0xc098[133]);var _0xa1c9x40=document[_0xc098[2]](_0xc098[134]);_0xa1c9x40[_0xc098[31]]=_0xc098[135];mxUtils[_0xc098[84]](_0xa1c9x40,mxResources[_0xc098[82]](_0xc098[45]));_0xa1c9x2d[_0xc098[25]](_0xa1c9x40);var _0xa1c9xb= new XMLHttpRequest();_0xa1c9xb[_0xc098[8]](_0xc098[7],_0xc098[143],false);_0xa1c9xb[_0xc098[14]](_0xc098[9],_0xc098[10]+_0xa1c9x8[_0xc098[13]][_0xc098[12]][_0xc098[11]]);_0xa1c9xb[_0xc098[15]](null);var _0xa1c9xc=[];if(_0xa1c9xb[_0xc098[16]]===200){_0xa1c9xc=JSON[_0xc098[18]](_0xa1c9xb[_0xc098[17]])};var _0xa1c9x41=document[_0xc098[2]](_0xc098[19]);for(var _0xa1c9x45=0;_0xa1c9x45<_0xa1c9xc[_0xc098[144]];_0xa1c9x45++){var _0xa1c9xd=document[_0xc098[2]](_0xc098[20]);_0xa1c9xd[_0xc098[21]]=_0xa1c9xc[_0xa1c9x45][_0xc098[45]];_0xa1c9xd[_0xc098[23]]=_0xa1c9xc[_0xa1c9x45][_0xc098[44]];_0xa1c9x41[_0xc098[25]](_0xa1c9xd)};_0xa1c9x41[_0xc098[31]]=_0xc098[137];_0xa1c9x3f[_0xc098[25]](_0xa1c9x41);_0xa1c9x2c[_0xc098[25]](_0xa1c9x2d);_0xa1c9x2c[_0xc098[25]](_0xa1c9x3f);_0xa1c9x28[_0xc098[25]](_0xa1c9x2c);var _0xa1c9x35=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[8]),function(){console[_0xc098[46]](_0xa1c9x41);console[_0xc098[46]](_0xa1c9x41[_0xc098[23]]);window[_0xc098[8]](_0xc098[145]+_0xa1c9x41[_0xc098[23]],_0xc098[127]);_0xa1c9x8[_0xc098[85]]()});_0xa1c9x35[_0xc098[31]]=_0xc098[109];var _0xa1c9x38=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[110]),function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x38[_0xc098[31]]=_0xc098[111];_0xa1c9x29[_0xc098[25]](_0xa1c9x35);_0xa1c9x29[_0xc098[25]](_0xa1c9x38);_0xa1c9x26[_0xc098[25]](_0xa1c9x27);_0xa1c9x26[_0xc098[25]](_0xa1c9x28);_0xa1c9x26[_0xc098[25]](_0xa1c9x29);this[_0xc098[70]]=_0xa1c9x26}function RenameDialog(_0xa1c9x8){var _0xa1c9x26=_0xa1c9x8[_0xc098[56]](_0xc098[75]);var _0xa1c9x27=_0xa1c9x8[_0xc098[56]](_0xc098[76]);var _0xa1c9x28=_0xa1c9x8[_0xc098[56]](_0xc098[77]);var _0xa1c9x29=_0xa1c9x8[_0xc098[56]](_0xc098[78]);var _0xa1c9x2a=_0xa1c9x8[_0xc098[80]](_0xc098[79]);mxUtils[_0xc098[84]](_0xa1c9x2a,mxResources[_0xc098[82]](_0xc098[146]));var _0xa1c9x2b=mxUtils[_0xc098[86]](_0xc098[39],function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x2b[_0xc098[31]]=_0xc098[71];_0xa1c9x27[_0xc098[25]](_0xa1c9x2b);_0xa1c9x27[_0xc098[25]](_0xa1c9x2a);var _0xa1c9x2c=_0xa1c9x8[_0xc098[56]](_0xc098[87]);var _0xa1c9x2d=_0xa1c9x8[_0xc098[56]](_0xc098[132]);var _0xa1c9x3f=_0xa1c9x8[_0xc098[56]](_0xc098[133]);var _0xa1c9x40=document[_0xc098[2]](_0xc098[134]);_0xa1c9x40[_0xc098[31]]=_0xc098[135];mxUtils[_0xc098[84]](_0xa1c9x40,mxResources[_0xc098[82]](_0xc098[45]));_0xa1c9x2d[_0xc098[25]](_0xa1c9x40);var _0xa1c9x3d=_0xa1c9x8[_0xc098[13]][_0xc098[147]];var _0xa1c9x3=document[_0xc098[2]](_0xc098[1]);_0xa1c9x3[_0xc098[5]](_0xc098[23],_0xa1c9x3d);_0xa1c9x3[_0xc098[31]]=_0xc098[137];_0xa1c9x3f[_0xc098[25]](_0xa1c9x3);_0xa1c9x2c[_0xc098[25]](_0xa1c9x2d);_0xa1c9x2c[_0xc098[25]](_0xa1c9x3f);_0xa1c9x28[_0xc098[25]](_0xa1c9x2c);var _0xa1c9x35=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[138]),function(){var _0xa1c9xb= new XMLHttpRequest();_0xa1c9xb[_0xc098[8]](_0xc098[148],SAVE_URL+_0xa1c9x8[_0xc098[13]][_0xc098[12]][_0xc098[44]],true);_0xa1c9xb[_0xc098[14]](_0xc098[149],_0xc098[150]);_0xa1c9xb[_0xc098[14]](_0xc098[9],_0xc098[10]+_0xa1c9x8[_0xc098[13]][_0xc098[12]][_0xc098[11]]);_0xa1c9xb[_0xc098[15]](_0xc098[151]+_0xa1c9x3[_0xc098[23]]);_0xa1c9xb[_0xc098[100]]=function(_0xa1c9x33){if(_0xa1c9xb[_0xc098[16]]===200){_0xa1c9x8[_0xc098[13]][_0xc098[153]](_0xc098[152]);_0xa1c9x8[_0xc098[13]][_0xc098[147]]=_0xa1c9x3[_0xc098[23]]}else {console[_0xc098[46]](_0xa1c9xb[_0xc098[17]]);_0xa1c9x8[_0xc098[13]][_0xc098[153]](JSON[_0xc098[18]](_0xa1c9xb[_0xc098[17]])[_0xc098[155]][0][_0xc098[154]])}};_0xa1c9xb[_0xc098[156]]=function(_0xa1c9x33){mxUtils[_0xc098[158]](_0xa1c9xb[_0xc098[157]])};_0xa1c9x8[_0xc098[85]]()});_0xa1c9x35[_0xc098[31]]=_0xc098[109];var _0xa1c9x38=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[110]),function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x38[_0xc098[31]]=_0xc098[111];_0xa1c9x29[_0xc098[25]](_0xa1c9x35);_0xa1c9x29[_0xc098[25]](_0xa1c9x38);_0xa1c9x26[_0xc098[25]](_0xa1c9x27);_0xa1c9x26[_0xc098[25]](_0xa1c9x28);_0xa1c9x26[_0xc098[25]](_0xa1c9x29);this[_0xc098[70]]=_0xa1c9x26}function EditFileDialog(_0xa1c9x8){var _0xa1c9x26=_0xa1c9x8[_0xc098[56]](_0xc098[75]);var _0xa1c9x27=_0xa1c9x8[_0xc098[56]](_0xc098[76]);var _0xa1c9x28=_0xa1c9x8[_0xc098[56]](_0xc098[77]);var _0xa1c9x29=_0xa1c9x8[_0xc098[56]](_0xc098[78]);var _0xa1c9x2a=_0xa1c9x8[_0xc098[80]](_0xc098[79]);mxUtils[_0xc098[84]](_0xa1c9x2a,mxResources[_0xc098[82]](_0xc098[159]));var _0xa1c9x2b=mxUtils[_0xc098[86]](_0xc098[39],function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x2b[_0xc098[31]]=_0xc098[71];_0xa1c9x27[_0xc098[25]](_0xa1c9x2b);_0xa1c9x27[_0xc098[25]](_0xa1c9x2a);var _0xa1c9x2c=_0xa1c9x8[_0xc098[56]](_0xc098[87]);var _0xa1c9x2d=_0xa1c9x8[_0xc098[56]](_0xc098[88]);var _0xa1c9x2e=document[_0xc098[2]](_0xc098[89]);_0xa1c9x2e[_0xc098[59]][_0xc098[90]]=_0xc098[91];_0xa1c9x2e[_0xc098[59]][_0xc098[92]]=_0xc098[93];_0xa1c9x2e[_0xc098[23]]=mxUtils[_0xc098[161]](_0xa1c9x8[_0xc098[13]][_0xc098[160]]());if(fileSupport){function _0xa1c9x48(_0xa1c9x30){_0xa1c9x30[_0xc098[162]]();_0xa1c9x30[_0xc098[163]]();if(_0xa1c9x30[_0xc098[164]][_0xc098[98]][_0xc098[144]]>0){var _0xa1c9x49=_0xa1c9x30[_0xc098[164]][_0xc098[98]][0];var _0xa1c9x4a= new FileReader();_0xa1c9x4a[_0xc098[100]]=function(_0xa1c9x33){_0xa1c9x2e[_0xc098[23]]=_0xa1c9x33[_0xc098[99]][_0xc098[101]]};_0xa1c9x4a[_0xc098[102]](_0xa1c9x49)}}function _0xa1c9x4b(_0xa1c9x30){_0xa1c9x30[_0xc098[162]]();_0xa1c9x30[_0xc098[163]]()}_0xa1c9x2e[_0xc098[105]](_0xc098[165],_0xa1c9x4b,false);_0xa1c9x2e[_0xc098[105]](_0xc098[166],_0xa1c9x48,false)};_0xa1c9x2d[_0xc098[25]](_0xa1c9x2e);_0xa1c9x2c[_0xc098[25]](_0xa1c9x2d);_0xa1c9x28[_0xc098[25]](_0xa1c9x2c);var _0xa1c9x35=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[138]),function(){var _0xa1c9x37=mxUtils[_0xc098[106]](_0xa1c9x2e[_0xc098[23]]);_0xa1c9x8[_0xc098[13]][_0xc098[107]](_0xa1c9x37[_0xc098[54]]);_0xa1c9x8[_0xc098[85]]()});_0xa1c9x35[_0xc098[31]]=_0xc098[109];var _0xa1c9x38=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[110]),function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x38[_0xc098[31]]=_0xc098[111];_0xa1c9x29[_0xc098[25]](_0xa1c9x35);_0xa1c9x29[_0xc098[25]](_0xa1c9x38);_0xa1c9x26[_0xc098[25]](_0xa1c9x27);_0xa1c9x26[_0xc098[25]](_0xa1c9x28);_0xa1c9x26[_0xc098[25]](_0xa1c9x29);this[_0xc098[70]]=_0xa1c9x26}function ExportDialog(_0xa1c9x8){var _0xa1c9x26=_0xa1c9x8[_0xc098[56]](_0xc098[75]);var _0xa1c9x27=_0xa1c9x8[_0xc098[56]](_0xc098[76]);var _0xa1c9x28=_0xa1c9x8[_0xc098[56]](_0xc098[167]);var _0xa1c9x29=_0xa1c9x8[_0xc098[56]](_0xc098[78]);var _0xa1c9x2a=_0xa1c9x8[_0xc098[80]](_0xc098[79]);mxUtils[_0xc098[84]](_0xa1c9x2a,mxResources[_0xc098[82]](_0xc098[168])+_0xc098[83]);var _0xa1c9x2b=mxUtils[_0xc098[86]](_0xc098[39],function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x2b[_0xc098[31]]=_0xc098[71];_0xa1c9x27[_0xc098[25]](_0xa1c9x2b);_0xa1c9x27[_0xc098[25]](_0xa1c9x2a);var _0xa1c9x4d=_0xa1c9x8[_0xc098[56]](_0xc098[169]);var _0xa1c9x4e=_0xa1c9x8[_0xc098[56]](_0xc098[170]);var _0xa1c9x40=document[_0xc098[2]](_0xc098[134]);_0xa1c9x40[_0xc098[31]]=_0xc098[171];mxUtils[_0xc098[84]](_0xa1c9x40,mxResources[_0xc098[82]](_0xc098[147]));var _0xa1c9x3=document[_0xc098[2]](_0xc098[1]);_0xa1c9x3[_0xc098[5]](_0xc098[23],_0xa1c9x8[_0xc098[13]][_0xc098[131]]());_0xa1c9x3[_0xc098[31]]=_0xc098[137];var _0xa1c9x23=_0xa1c9x8[_0xc098[56]](_0xc098[172]);_0xa1c9x23[_0xc098[25]](_0xa1c9x3);_0xa1c9x4e[_0xc098[25]](_0xa1c9x40);_0xa1c9x4e[_0xc098[25]](_0xa1c9x23);_0xa1c9x4d[_0xc098[25]](_0xa1c9x4e);_0xa1c9x28[_0xc098[25]](_0xa1c9x4d);var _0xa1c9x35=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[168]),mxUtils[_0xc098[108]](this,function(_0xa1c9x36){_0xa1c9x8[_0xc098[138]](false);var _0xa1c9x4f=encodeURIComponent(mxUtils[_0xc098[173]](_0xa1c9x8[_0xc098[13]][_0xc098[160]]())); new mxXmlRequest(SAVE_URL+_0xa1c9x8[_0xc098[13]][_0xc098[12]][_0xc098[44]]+_0xc098[175],_0xc098[176]+_0xa1c9x3[_0xc098[23]],_0xc098[7])[_0xc098[174]](document,_0xc098[127]);_0xa1c9x8[_0xc098[85]]()}));_0xa1c9x35[_0xc098[31]]=_0xc098[109];var _0xa1c9x38=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[110]),function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x38[_0xc098[31]]=_0xc098[111];_0xa1c9x29[_0xc098[25]](_0xa1c9x35);_0xa1c9x29[_0xc098[25]](_0xa1c9x38);_0xa1c9x26[_0xc098[25]](_0xa1c9x27);_0xa1c9x26[_0xc098[25]](_0xa1c9x28);_0xa1c9x26[_0xc098[25]](_0xa1c9x29);this[_0xc098[70]]=_0xa1c9x26}function GeneralDialog(_0xa1c9x8,_0xa1c9x51){var _0xa1c9x52=_0xa1c9x8[_0xc098[13]][_0xc098[177]];var _0xa1c9x26=_0xa1c9x8[_0xc098[56]](_0xc098[75]);var _0xa1c9x27=_0xa1c9x8[_0xc098[56]](_0xc098[76]);var _0xa1c9x28=_0xa1c9x8[_0xc098[56]](_0xc098[178]);var _0xa1c9x29=_0xa1c9x8[_0xc098[56]](_0xc098[78]);var _0xa1c9x2a=_0xa1c9x8[_0xc098[80]](_0xc098[79]);mxUtils[_0xc098[84]](_0xa1c9x2a,mxResources[_0xc098[82]](_0xc098[159])+_0xc098[179]+mxResources[_0xc098[82]](_0xa1c9x51[_0xc098[23]][_0xc098[180]]));var _0xa1c9x2b=mxUtils[_0xc098[86]](_0xc098[39],function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x2b[_0xc098[31]]=_0xc098[71];_0xa1c9x27[_0xc098[25]](_0xa1c9x2b);_0xa1c9x27[_0xc098[25]](_0xa1c9x2a);var _0xa1c9x53=_0xa1c9x51[_0xc098[23]][_0xc098[181]][_0xc098[144]];var _0xa1c9x4d=_0xa1c9x8[_0xc098[56]](_0xc098[169]);for(var _0xa1c9x54=0;_0xa1c9x54<_0xa1c9x53;_0xa1c9x54++){var _0xa1c9x3d=_0xa1c9x51[_0xc098[23]][_0xc098[181]][_0xa1c9x54][_0xc098[45]];var _0xa1c9x3e=_0xc098[130]+_0xa1c9x3d;var _0xa1c9x2=_0xa1c9x51[_0xc098[23]][_0xc098[181]][_0xa1c9x54][_0xc098[23]];var _0xa1c9x4e=_0xa1c9x8[_0xc098[56]]((_0xa1c9x54==_0xa1c9x53-1)?_0xc098[170]:_0xc098[182]);var _0xa1c9x40=document[_0xc098[2]](_0xc098[134]);_0xa1c9x40[_0xc098[31]]=_0xc098[171];mxUtils[_0xc098[84]](_0xa1c9x40,mxResources[_0xc098[82]](_0xa1c9x3d));_0xa1c9x4e[_0xc098[25]](_0xa1c9x40);var _0xa1c9x3;switch(_0xa1c9x3d){case _0xc098[184]:_0xa1c9x3=createDropdownFromApi(_0xc098[183],_0xa1c9x2,_0xc098[45],_0xc098[44],_0xa1c9x8);break;case _0xc098[186]:_0xa1c9x3=createDropdownFromApi(_0xc098[185],_0xa1c9x2,_0xc098[45],_0xc098[45],_0xa1c9x8);break;case _0xc098[188]:_0xa1c9x3=createDropdownFromApi(_0xc098[187],_0xa1c9x2,_0xc098[45],_0xc098[45],_0xa1c9x8);break;case _0xc098[190]:_0xa1c9x3=createDropdownFromApi(_0xc098[189],_0xa1c9x2,_0xc098[45],_0xc098[44],_0xa1c9x8);break;case _0xc098[192]:_0xa1c9x3=createDropdownFromApi(_0xc098[191],_0xa1c9x2,_0xc098[45],_0xc098[44],_0xa1c9x8);break;case _0xc098[194]:_0xa1c9x3=createDropdownFromApi(_0xc098[193],_0xa1c9x2,_0xc098[45],_0xc098[45],_0xa1c9x8);break;case _0xc098[196]:_0xa1c9x3=createDropdownFromApi(_0xc098[195],_0xa1c9x2,_0xc098[196],_0xc098[44],_0xa1c9x8,[_0xc098[196],_0xc098[194]],_0xc098[197]);break;case _0xc098[198]:_0xa1c9x3=createDropdownFromArray(ISPEECHASRMODEL,_0xa1c9x2);break;case _0xc098[199]:_0xa1c9x3=createDropdownFromArray(ISPEECHASRLANG,_0xa1c9x2);break;case _0xc098[200]:_0xa1c9x3=createDropdownFromArray(ISPEECHBEEP,_0xa1c9x2);break;case _0xc098[201]:_0xa1c9x3=createDropdownFromArray(ISPEECHLANG,_0xa1c9x2);break;case _0xc098[202]:_0xa1c9x3=createDropdownFromArray(GOOGLETTSLANG,_0xa1c9x2);break;case _0xc098[203]:_0xa1c9x3=createDropdownFromTigerDialList(_0xa1c9x2);break;case _0xc098[206]:_0xa1c9x3=createGroupedDropdownFromApi(_0xc098[204],_0xa1c9x2,_0xc098[45],_0xc098[44],_0xa1c9x8,_0xc098[205]);break;case _0xc098[207]:_0xa1c9x3=createDropdownFromApi(_0xc098[143],_0xa1c9x2,_0xc098[45],_0xc098[44],_0xa1c9x8);break;case _0xc098[209]:_0xa1c9x3=createDropdownFromApi(_0xc098[208],_0xa1c9x2,_0xc098[45],_0xc098[44],_0xa1c9x8);break;case _0xc098[212]:_0xa1c9x3=createDropdownFromApi(_0xc098[210],_0xa1c9x2,_0xc098[211],_0xc098[44],_0xa1c9x8);break;case _0xc098[213]:;case _0xc098[214]:;case _0xc098[215]:;case _0xc098[216]:;case _0xc098[17]:;case _0xc098[217]:;case _0xc098[220]:_0xa1c9x3=document[_0xc098[2]](_0xc098[1]);_0xa1c9x3[_0xc098[5]](_0xc098[3],_0xc098[218]);_0xa1c9x3[_0xc098[5]](_0xc098[219],0);_0xa1c9x3[_0xc098[5]](_0xc098[52],1000);_0xa1c9x3[_0xc098[5]](_0xc098[23],_0xa1c9x2);_0xa1c9x3[_0xc098[31]]=_0xc098[137];break;case _0xc098[21]:;case _0xc098[221]:;case _0xc098[222]:;case _0xc098[223]:;case _0xc098[225]:_0xa1c9x3=document[_0xc098[2]](_0xc098[89]);_0xa1c9x3[_0xc098[224]]=_0xa1c9x2;_0xa1c9x3[_0xc098[31]]=_0xc098[137];break;case _0xc098[228]:_0xa1c9x3=document[_0xc098[2]](_0xc098[89]);_0xa1c9x3[_0xc098[224]]=_0xa1c9x2;_0xa1c9x3[_0xc098[31]]=_0xc098[137];_0xa1c9x3[_0xc098[5]](_0xc098[226],_0xc098[227]);break;default:_0xa1c9x3=document[_0xc098[2]](_0xc098[1]);_0xa1c9x3[_0xc098[5]](_0xc098[23],_0xa1c9x2);_0xa1c9x3[_0xc098[31]]=_0xc098[137];break};_0xa1c9x3[_0xc098[5]](_0xc098[44],_0xa1c9x3e);var _0xa1c9x23=_0xa1c9x8[_0xc098[56]](_0xc098[172]);_0xa1c9x23[_0xc098[25]](_0xa1c9x3);if(mxResources[_0xc098[82]](_0xc098[229]+_0xa1c9x3d)){var _0xa1c9x55=_0xa1c9x8[_0xc098[56]](_0xc098[230]);_0xa1c9x55[_0xc098[31]]=_0xc098[231];mxUtils[_0xc098[84]](_0xa1c9x55,mxResources[_0xc098[82]](_0xc098[229]+_0xa1c9x3d));_0xa1c9x23[_0xc098[25]](_0xa1c9x55)};_0xa1c9x4e[_0xc098[25]](_0xa1c9x23);_0xa1c9x4d[_0xc098[25]](_0xa1c9x4e)};_0xa1c9x28[_0xc098[25]](_0xa1c9x4d);var _0xa1c9x35=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[138]),mxUtils[_0xc098[108]](this,function(_0xa1c9x36){for(var _0xa1c9x54=0;_0xa1c9x54<_0xa1c9x51[_0xc098[23]][_0xc098[181]][_0xc098[144]];_0xa1c9x54++){var _0xa1c9x3e=_0xc098[130]+_0xa1c9x51[_0xc098[23]][_0xc098[181]][_0xa1c9x54][_0xc098[45]];var _0xa1c9x3d=_0xa1c9x51[_0xc098[23]][_0xc098[181]][_0xa1c9x54][_0xc098[45]];console[_0xc098[46]](_0xa1c9x3d,document[_0xc098[232]](_0xa1c9x3e)[_0xc098[23]]);_0xa1c9x51[_0xc098[5]](_0xa1c9x3d,document[_0xc098[232]](_0xa1c9x3e)[_0xc098[23]])};_0xa1c9x52[_0xc098[233]](_0xa1c9x51);_0xa1c9x8[_0xc098[85]]()}));_0xa1c9x35[_0xc098[31]]=_0xc098[109];var _0xa1c9x38=mxUtils[_0xc098[86]](mxResources[_0xc098[82]](_0xc098[110]),function(){_0xa1c9x8[_0xc098[85]]()});_0xa1c9x38[_0xc098[31]]=_0xc098[111];_0xa1c9x29[_0xc098[25]](_0xa1c9x35);_0xa1c9x29[_0xc098[25]](_0xa1c9x38);_0xa1c9x26[_0xc098[25]](_0xa1c9x27);_0xa1c9x26[_0xc098[25]](_0xa1c9x28);_0xa1c9x26[_0xc098[25]](_0xa1c9x29);this[_0xc098[70]]=_0xa1c9x26} \ No newline at end of file diff --git a/public/assets/plugins/square/js/Editor.js b/public/assets/plugins/square/js/Editor.js index 2751d88..1ef60a8 100644 --- a/public/assets/plugins/square/js/Editor.js +++ b/public/assets/plugins/square/js/Editor.js @@ -1 +1 @@ -var _0xcbcb=["\x49\x53\x5F\x54\x4F\x55\x43\x48","\x73\x74\x6F\x72\x61\x67\x65","\x6C\x6F\x63\x61\x6C","\x75\x6E\x64\x65\x66\x69\x6E\x65\x64","\x46\x69\x6C\x65","\x46\x69\x6C\x65\x52\x65\x61\x64\x65\x72","\x46\x69\x6C\x65\x4C\x69\x73\x74","\x74\x6F\x75\x63\x68","\x31","\x6F\x70\x65\x6E\x65\x72","\x63\x6F\x75\x6E\x74\x65\x72","\x63\x61\x6C\x6C","\x69\x6E\x69\x74","\x69\x6E\x69\x74\x53\x74\x65\x6E\x63\x69\x6C\x52\x65\x67\x69\x73\x74\x72\x79","\x67\x72\x61\x70\x68","\x6F\x75\x74\x6C\x69\x6E\x65","\x75\x70\x64\x61\x74\x65\x4F\x6E\x50\x61\x6E","\x75\x6E\x64\x6F\x4D\x61\x6E\x61\x67\x65\x72","\x63\x72\x65\x61\x74\x65\x55\x6E\x64\x6F\x4D\x61\x6E\x61\x67\x65\x72","\x73\x74\x61\x74\x75\x73","","\x66\x69\x6C\x65\x6E\x61\x6D\x65","\x67\x65\x74\x4F\x72\x43\x72\x65\x61\x74\x65\x46\x69\x6C\x65\x6E\x61\x6D\x65","\x64\x72\x61\x77\x69\x6E\x67","\x67\x65\x74","\x2E\x78\x6D\x6C","\x67\x65\x74\x46\x69\x6C\x65\x6E\x61\x6D\x65","\x73\x65\x74\x53\x74\x61\x74\x75\x73","\x73\x74\x61\x74\x75\x73\x43\x68\x61\x6E\x67\x65\x64","\x66\x69\x72\x65\x45\x76\x65\x6E\x74","\x67\x65\x74\x53\x74\x61\x74\x75\x73","\x6D\x6F\x64\x69\x66\x69\x65\x64","\x67\x72\x61\x70\x68\x43\x68\x61\x6E\x67\x65\x4C\x69\x73\x74\x65\x6E\x65\x72","\x61\x70\x70\x6C\x79","\x62\x69\x6E\x64","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x6F\x6E\x62\x65\x66\x6F\x72\x65\x75\x6E\x6C\x6F\x61\x64","\x61\x6C\x6C\x43\x68\x61\x6E\x67\x65\x73\x4C\x6F\x73\x74","\x72\x65\x73\x65\x74\x56\x69\x65\x77\x4F\x6E\x52\x6F\x6F\x74\x43\x68\x61\x6E\x67\x65","\x73\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x65\x78\x74\x65\x6E\x64","\x67\x72\x69\x64\x49\x6D\x61\x67\x65","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x2F\x67\x72\x69\x64\x2E\x67\x69\x66","\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x49\x6D\x61\x67\x65","\x2F\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x2E\x67\x69\x66","\x73\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x6F\x77\x6E\x65\x72\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x6E\x6F\x64\x65\x4E\x61\x6D\x65","\x6D\x78\x47\x72\x61\x70\x68\x4D\x6F\x64\x65\x6C","\x73\x63\x61\x6C\x65","\x76\x69\x65\x77","\x67\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x69\x64","\x67\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x30","\x67\x75\x69\x64\x65\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x61\x70\x68\x48\x61\x6E\x64\x6C\x65\x72","\x67\x75\x69\x64\x65\x73","\x74\x6F\x6F\x6C\x74\x69\x70\x73","\x73\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x63\x6F\x6E\x6E\x65\x63\x74","\x73\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x66\x6F\x6C\x64","\x73\x63\x72\x6F\x6C\x6C\x4C\x65\x66\x74","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x73\x63\x72\x6F\x6C\x6C\x54\x6F\x70","\x78","\x74\x72\x61\x6E\x73\x6C\x61\x74\x65","\x64\x78","\x79","\x64\x79","\x70\x61\x67\x65\x56\x69\x73\x69\x62\x6C\x65","\x70\x61\x67\x65","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x73\x56\x69\x73\x69\x62\x6C\x65","\x70\x72\x65\x66\x65\x72\x50\x61\x67\x65\x53\x69\x7A\x65","\x70\x61\x67\x65\x53\x63\x61\x6C\x65","\x70\x61\x67\x65\x57\x69\x64\x74\x68","\x70\x61\x67\x65\x48\x65\x69\x67\x68\x74","\x70\x61\x67\x65\x46\x6F\x72\x6D\x61\x74","\x6C\x65\x6E\x67\x74\x68","\x64\x65\x63\x6F\x64\x65","\x75\x70\x64\x61\x74\x65\x47\x72\x61\x70\x68\x43\x6F\x6D\x70\x6F\x6E\x65\x6E\x74\x73","\x67\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x63\x72\x65\x61\x74\x65\x58\x6D\x6C\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x65\x6E\x63\x6F\x64\x65","\x72\x6F\x75\x6E\x64","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x69\x73\x47\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x74\x6F\x6F\x6C\x74\x69\x70\x48\x61\x6E\x64\x6C\x65\x72","\x63\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x77\x69\x64\x74\x68","\x68\x65\x69\x67\x68\x74","\x6E\x6F\x6E\x65","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x43\x6F\x6C\x6F\x72","\x73\x74\x79\x6C\x65","\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65\x53\x68\x61\x70\x65","\x66\x69\x6C\x6C","\x72\x65\x63\x6F\x6E\x66\x69\x67\x75\x72\x65","\x23\x65\x62\x65\x62\x65\x62","\x62\x6F\x72\x64\x65\x72\x53\x74\x79\x6C\x65","\x73\x6F\x6C\x69\x64","\x62\x6F\x72\x64\x65\x72\x43\x6F\x6C\x6F\x72","\x23\x65\x35\x65\x35\x65\x35","\x62\x6F\x72\x64\x65\x72\x54\x6F\x70\x57\x69\x64\x74\x68","\x31\x70\x78","\x62\x6F\x72\x64\x65\x72\x4C\x65\x66\x74\x57\x69\x64\x74\x68","\x62\x6F\x72\x64\x65\x72\x52\x69\x67\x68\x74\x57\x69\x64\x74\x68","\x30\x70\x78","\x62\x6F\x72\x64\x65\x72\x42\x6F\x74\x74\x6F\x6D\x57\x69\x64\x74\x68","\x62\x6F\x72\x64\x65\x72","\x76\x61\x6C\x69\x64\x61\x74\x65","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x68\x69\x64\x64\x65\x6E","\x61\x75\x74\x6F","\x49\x53\x5F\x49\x45","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x4D\x6F\x64\x65","\x75\x72\x6C\x28","\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x6E\x6F\x64\x65","\x49\x53\x5F\x49\x45\x36","\x73\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x2F\x67\x72\x61\x70\x68\x65\x64\x69\x74\x6F\x72\x2D\x69\x65\x36\x2E\x63\x73\x73","\x6C\x69\x6E\x6B","\x6C\x6F\x61\x64\x44\x65\x66\x61\x75\x6C\x74\x42\x75\x6E\x64\x6C\x65","\x61\x64\x64","\x44\x45\x46\x41\x55\x4C\x54\x5F\x48\x4F\x54\x53\x50\x4F\x54","\x63\x72\x65\x61\x74\x65\x4D\x61\x72\x6B\x65\x72","\x69\x6E\x74\x65\x72\x73\x65\x63\x74\x73","\x69\x73\x43\x6F\x6E\x6E\x65\x63\x74\x69\x6E\x67","\x53\x48\x41\x44\x4F\x57\x43\x4F\x4C\x4F\x52","\x23\x64\x30\x64\x30\x64\x30","\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x23\x39\x39\x63\x63\x66\x66","\x48\x41\x4E\x44\x4C\x45\x5F\x53\x54\x52\x4F\x4B\x45\x43\x4F\x4C\x4F\x52","\x23\x30\x30\x38\x38\x63\x66","\x56\x45\x52\x54\x45\x58\x5F\x53\x45\x4C\x45\x43\x54\x49\x4F\x4E\x5F\x43\x4F\x4C\x4F\x52","\x23\x30\x30\x61\x38\x66\x66","\x4F\x55\x54\x4C\x49\x4E\x45\x5F\x43\x4F\x4C\x4F\x52","\x4F\x55\x54\x4C\x49\x4E\x45\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x4F\x55\x54\x4C\x49\x4E\x45\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x53\x54\x52\x4F\x4B\x45\x43\x4F\x4C\x4F\x52","\x43\x4F\x4E\x4E\x45\x43\x54\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x23\x63\x65\x65\x37\x66\x66","\x45\x44\x47\x45\x5F\x53\x45\x4C\x45\x43\x54\x49\x4F\x4E\x5F\x43\x4F\x4C\x4F\x52","\x44\x45\x46\x41\x55\x4C\x54\x5F\x56\x41\x4C\x49\x44\x5F\x43\x4F\x4C\x4F\x52","\x4C\x41\x42\x45\x4C\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x47\x55\x49\x44\x45\x5F\x43\x4F\x4C\x4F\x52","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x43\x6F\x6C\x6F\x72","\x23\x63\x30\x63\x30\x63\x30","\x64\x65\x66\x61\x75\x6C\x74\x4F\x70\x61\x63\x69\x74\x79","\x63\x72\x65\x61\x74\x65\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65\x53\x68\x61\x70\x65","\x77\x68\x69\x74\x65","\x23\x63\x61\x63\x61\x63\x61","\x67\x65\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65\x42\x6F\x75\x6E\x64\x73","\x67\x65\x74\x47\x72\x61\x70\x68\x42\x6F\x75\x6E\x64\x73","\x6D\x69\x6E","\x66\x6C\x6F\x6F\x72","\x6D\x61\x78","\x63\x65\x69\x6C","\x70\x61\x6E\x47\x72\x61\x70\x68","\x64\x69\x61\x6C\x65\x63\x74","\x44\x49\x41\x4C\x45\x43\x54\x5F\x53\x56\x47","\x75\x73\x65\x53\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73\x46\x6F\x72\x50\x61\x6E\x6E\x69\x6E\x67","\x68\x61\x73\x53\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73","\x6D\x61\x72\x67\x69\x6E\x4C\x65\x66\x74","\x70\x78","\x6D\x61\x72\x67\x69\x6E\x54\x6F\x70","\x76\x61\x6C\x69\x64\x61\x74\x65\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x67\x65\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x69\x6D\x61\x67\x65","\x73\x72\x63","\x64\x65\x73\x74\x72\x6F\x79","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x6E\x65","\x72\x65\x64\x72\x61\x77","\x72\x65\x64\x72\x61\x77\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x69\x73\x53\x68\x61\x64\x6F\x77","\x44\x49\x41\x4C\x45\x43\x54\x5F\x53\x54\x52\x49\x43\x54\x48\x54\x4D\x4C","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x66\x69\x72\x73\x74\x43\x68\x69\x6C\x64","\x61\x62\x73\x6F\x6C\x75\x74\x65","\x69\x6E\x73\x65\x72\x74\x42\x65\x66\x6F\x72\x65","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x2D\x31\x70\x78\x20\x2D\x31\x70\x78","\x64\x62\x6C\x63\x6C\x69\x63\x6B","\x64\x62\x6C\x43\x6C\x69\x63\x6B","\x66\x69\x72\x65\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74","\x69\x73\x48\x69\x64\x65\x4F\x6E\x48\x6F\x76\x65\x72","\x68\x69\x64\x65","\x69\x73\x4D\x6F\x75\x73\x65\x44\x6F\x77\x6E","\x69\x73\x43\x6F\x6E\x73\x75\x6D\x65\x64","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x62\x6F\x75\x6E\x64\x73","\x75\x70\x64\x61\x74\x65\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x73","\x6D\x69\x6E\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x44\x69\x73\x74","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x73","\x70\x6F\x69\x6E\x74\x73","\x69\x73\x44\x61\x73\x68\x65\x64","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x44\x61\x73\x68\x65\x64","\x61\x64\x64\x50\x69\x70\x65","\x73\x70\x6C\x69\x63\x65","\x76\x65\x72\x74\x69\x63\x61\x6C\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x73","\x73\x6E\x61\x70\x54\x6F\x54\x65\x72\x6D\x69\x6E\x61\x6C\x73","\x73\x68\x6F\x75\x6C\x64\x52\x65\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73\x46\x72\x6F\x6D\x50\x61\x72\x65\x6E\x74","\x69\x73\x56\x65\x72\x74\x65\x78","\x67\x65\x74\x43\x65\x6C\x6C\x47\x65\x6F\x6D\x65\x74\x72\x79","\x72\x65\x6C\x61\x74\x69\x76\x65","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64\x46\x6F\x72\x45\x76\x65\x6E\x74","\x69\x73\x41\x6C\x74\x44\x6F\x77\x6E","\x61\x64\x64\x49\x74\x65\x6D","\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E","\x63\x6F\x6E\x73\x75\x6D\x65","\x67\x65\x74\x49\x6E\x69\x74\x69\x61\x6C\x43\x65\x6C\x6C\x46\x6F\x72\x45\x76\x65\x6E\x74","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x67\x65\x74\x50\x61\x72\x65\x6E\x74","\x69\x73\x43\x65\x6C\x6C\x53\x65\x6C\x65\x63\x74\x65\x64","\x69\x73\x56\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x69\x73\x44\x65\x6C\x61\x79\x65\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x73\x65\x6C\x65\x63\x74\x44\x65\x6C\x61\x79\x65\x64","\x67\x65\x74\x43\x65\x6C\x6C","\x63\x65\x6C\x6C","\x67\x65\x74\x45\x76\x65\x6E\x74","\x73\x65\x6C\x65\x63\x74\x43\x65\x6C\x6C\x46\x6F\x72\x45\x76\x65\x6E\x74","\x67\x65\x74\x43\x65\x6C\x6C\x46\x6F\x72\x50\x6F\x70\x75\x70\x45\x76\x65\x6E\x74","\x65\x64\x69\x74","\x67\x65\x74\x50\x72\x6F\x70\x65\x72\x74\x79","\x75\x6E\x64\x6F\x61\x62\x6C\x65\x45\x64\x69\x74\x48\x61\x70\x70\x65\x6E\x65\x64","\x67\x65\x74\x56\x69\x65\x77","\x63\x68\x61\x6E\x67\x65\x73","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73\x46\x6F\x72\x43\x68\x61\x6E\x67\x65\x73","\x67\x65\x74\x53\x74\x61\x74\x65","\x70\x75\x73\x68","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x2F\x67\x65\x6E\x65\x72\x61\x6C\x2E\x78\x6D\x6C","\x6C\x6F\x61\x64\x53\x74\x65\x6E\x63\x69\x6C\x53\x65\x74","\x6C\x69\x62\x72\x61\x72\x69\x65\x73","\x70\x61\x63\x6B\x61\x67\x65\x73","\x67\x65\x74\x53\x74\x65\x6E\x63\x69\x6C","\x73\x74\x65\x6E\x63\x69\x6C\x73","\x67\x65\x74\x42\x61\x73\x65\x6E\x61\x6D\x65\x46\x6F\x72\x53\x74\x65\x6E\x63\x69\x6C","\x73\x75\x62\x73\x74\x72\x69\x6E\x67","\x74\x6F\x4C\x6F\x77\x65\x72\x43\x61\x73\x65","\x2E\x6A\x73","\x6C\x6F\x61\x64","\x67\x65\x74\x54\x65\x78\x74","\x2F","\x2E","\x73\x70\x6C\x69\x74","\x6D\x78\x67\x72\x61\x70\x68","\x67\x65\x74\x58\x6D\x6C","\x70\x61\x72\x73\x65\x53\x74\x65\x6E\x63\x69\x6C\x53\x65\x74","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x6E\x61\x6D\x65","\x6E\x6F\x64\x65\x54\x79\x70\x65","\x4E\x4F\x44\x45\x54\x59\x50\x45\x5F\x45\x4C\x45\x4D\x45\x4E\x54","\x5F","\x72\x65\x70\x6C\x61\x63\x65","\x61\x64\x64\x53\x74\x65\x6E\x63\x69\x6C","\x77","\x68","\x6E\x65\x78\x74\x53\x69\x62\x6C\x69\x6E\x67","\x70\x72\x6F\x64\x75\x63\x65\x72","\x63\x6F\x6E\x73\x75\x6D\x65\x72","\x64\x6F\x6E\x65","\x73\x65\x74\x43\x6F\x6E\x73\x75\x6D\x65\x72","\x65\x78\x65\x63\x75\x74\x65","\x73\x65\x74\x44\x61\x74\x61","\x64\x61\x74\x61","\x65\x72\x72\x6F\x72","\x63\x61\x6E\x63\x65\x6C","\x61\x6C\x65\x72\x74"];var useLocalStorage=(mxClient[_0xcbcb[0]]||urlParams[_0xcbcb[1]]==_0xcbcb[2])&& typeof (localStorage)!=_0xcbcb[3];var fileSupport=window[_0xcbcb[4]]!=null&&window[_0xcbcb[5]]!=null&&window[_0xcbcb[6]]!=null;var touchStyle=mxClient[_0xcbcb[0]]||urlParams[_0xcbcb[7]]==_0xcbcb[8];var counter=0;try{var op=window;while(op[_0xcbcb[9]]!=null&&!isNaN(op[_0xcbcb[9]][_0xcbcb[10]])){op=op[_0xcbcb[9]]};if(op!=null){op[_0xcbcb[10]]++;counter=op[_0xcbcb[10]]}}catch(e){};Editor=function(){mxEventSource[_0xcbcb[11]](this);this[_0xcbcb[12]]();this[_0xcbcb[13]]();this[_0xcbcb[14]]= new Graph();this[_0xcbcb[15]]= new mxOutline(this[_0xcbcb[14]]);this[_0xcbcb[15]][_0xcbcb[16]]=true;this[_0xcbcb[17]]=this[_0xcbcb[18]]();this[_0xcbcb[19]]=_0xcbcb[20];this[_0xcbcb[21]]=null;this[_0xcbcb[22]]=function(){return this[_0xcbcb[21]]||mxResources[_0xcbcb[24]](_0xcbcb[23],[counter])+_0xcbcb[25]};this[_0xcbcb[26]]=function(){return this[_0xcbcb[21]]};this[_0xcbcb[27]]=function(_0x1f59x6){this[_0xcbcb[19]]=_0x1f59x6;this[_0xcbcb[29]]( new mxEventObject(_0xcbcb[28]))};this[_0xcbcb[30]]=function(){return this[_0xcbcb[19]]};this[_0xcbcb[31]]=false;this[_0xcbcb[32]]=function(){this[_0xcbcb[31]]=true};this[_0xcbcb[14]][_0xcbcb[36]]()[_0xcbcb[35]](mxEvent.CHANGE,mxUtils[_0xcbcb[34]](this,function(){this[_0xcbcb[32]][_0xcbcb[33]](this,arguments)}));window[_0xcbcb[37]]=mxUtils[_0xcbcb[34]](this,function(){if(this[_0xcbcb[31]]){return mxResources[_0xcbcb[24]](_0xcbcb[38])}});this[_0xcbcb[14]][_0xcbcb[39]]=false;this[_0xcbcb[14]][_0xcbcb[40]]=true;this[_0xcbcb[14]][_0xcbcb[41]]=null};mxUtils[_0xcbcb[42]](Editor,mxEventSource);Editor[_0xcbcb[44]][_0xcbcb[43]]=IMAGE_PATH+_0xcbcb[45];Editor[_0xcbcb[44]][_0xcbcb[46]]=IMAGE_PATH+_0xcbcb[47];Editor[_0xcbcb[44]][_0xcbcb[48]]=function(_0x1f59x7){var _0x1f59x8= new mxCodec(_0x1f59x7[_0xcbcb[49]]);if(_0x1f59x7[_0xcbcb[50]]==_0xcbcb[51]){this[_0xcbcb[14]][_0xcbcb[53]][_0xcbcb[52]]=1;this[_0xcbcb[14]][_0xcbcb[54]]=_0x1f59x7[_0xcbcb[56]](_0xcbcb[55])!=_0xcbcb[57];this[_0xcbcb[14]][_0xcbcb[59]][_0xcbcb[58]]=_0x1f59x7[_0xcbcb[56]](_0xcbcb[60])!=_0xcbcb[57];this[_0xcbcb[14]][_0xcbcb[62]](_0x1f59x7[_0xcbcb[56]](_0xcbcb[61])!=_0xcbcb[57]);this[_0xcbcb[14]][_0xcbcb[64]](_0x1f59x7[_0xcbcb[56]](_0xcbcb[63])!=_0xcbcb[57]);this[_0xcbcb[14]][_0xcbcb[65]]=_0x1f59x7[_0xcbcb[56]](_0xcbcb[66])!=_0xcbcb[57];this[_0xcbcb[14]][_0xcbcb[40]]=_0x1f59x7[_0xcbcb[56]](_0xcbcb[40])!=_0xcbcb[57];if(!this[_0xcbcb[14]][_0xcbcb[40]]){this[_0xcbcb[14]][_0xcbcb[68]][_0xcbcb[67]]=0;this[_0xcbcb[14]][_0xcbcb[68]][_0xcbcb[69]]=0;this[_0xcbcb[14]][_0xcbcb[53]][_0xcbcb[71]][_0xcbcb[70]]=Number(_0x1f59x7[_0xcbcb[56]](_0xcbcb[72])||0);this[_0xcbcb[14]][_0xcbcb[53]][_0xcbcb[71]][_0xcbcb[73]]=Number(_0x1f59x7[_0xcbcb[56]](_0xcbcb[74])||0)};this[_0xcbcb[14]][_0xcbcb[75]]=_0x1f59x7[_0xcbcb[56]](_0xcbcb[76])==_0xcbcb[8];this[_0xcbcb[14]][_0xcbcb[77]]=this[_0xcbcb[14]][_0xcbcb[75]];this[_0xcbcb[14]][_0xcbcb[78]]=this[_0xcbcb[14]][_0xcbcb[77]];var _0x1f59x9=_0x1f59x7[_0xcbcb[56]](_0xcbcb[79]);if(_0x1f59x9!=null){this[_0xcbcb[14]][_0xcbcb[79]]=_0x1f59x9}else {this[_0xcbcb[14]][_0xcbcb[79]]=1.5};var _0x1f59xa=_0x1f59x7[_0xcbcb[56]](_0xcbcb[80]);var _0x1f59xb=_0x1f59x7[_0xcbcb[56]](_0xcbcb[81]);if(_0x1f59xa!=null&&_0x1f59xb!=null){this[_0xcbcb[14]][_0xcbcb[82]]= new mxRectangle(0,0,parseFloat(_0x1f59xa),parseFloat(_0x1f59xb));this[_0xcbcb[15]][_0xcbcb[15]][_0xcbcb[82]]=this[_0xcbcb[14]][_0xcbcb[82]]};var _0x1f59xc=_0x1f59x7[_0xcbcb[56]](_0xcbcb[41]);if(_0x1f59xc!=null&&_0x1f59xc[_0xcbcb[83]]>0){this[_0xcbcb[14]][_0xcbcb[41]]=_0x1f59xc};_0x1f59x8[_0xcbcb[84]](_0x1f59x7,this[_0xcbcb[14]][_0xcbcb[36]]());this[_0xcbcb[85]]()}};Editor[_0xcbcb[44]][_0xcbcb[86]]=function(){var _0x1f59xd= new mxCodec(mxUtils[_0xcbcb[87]]());var _0x1f59x7=_0x1f59xd[_0xcbcb[88]](this[_0xcbcb[14]][_0xcbcb[36]]());if(this[_0xcbcb[14]][_0xcbcb[53]][_0xcbcb[71]][_0xcbcb[70]]!=0||this[_0xcbcb[14]][_0xcbcb[53]][_0xcbcb[71]][_0xcbcb[73]]!=0){_0x1f59x7[_0xcbcb[90]](_0xcbcb[72],Math[_0xcbcb[89]](this[_0xcbcb[14]][_0xcbcb[53]][_0xcbcb[71]][_0xcbcb[70]]*100)/100);_0x1f59x7[_0xcbcb[90]](_0xcbcb[74],Math[_0xcbcb[89]](this[_0xcbcb[14]][_0xcbcb[53]][_0xcbcb[71]][_0xcbcb[73]]*100)/100)};_0x1f59x7[_0xcbcb[90]](_0xcbcb[55],(this[_0xcbcb[14]][_0xcbcb[91]]())?_0xcbcb[8]:_0xcbcb[57]);_0x1f59x7[_0xcbcb[90]](_0xcbcb[60],(this[_0xcbcb[14]][_0xcbcb[59]][_0xcbcb[58]])?_0xcbcb[8]:_0xcbcb[57]);_0x1f59x7[_0xcbcb[90]](_0xcbcb[60],(this[_0xcbcb[14]][_0xcbcb[59]][_0xcbcb[58]])?_0xcbcb[8]:_0xcbcb[57]);_0x1f59x7[_0xcbcb[90]](_0xcbcb[61],(this[_0xcbcb[14]][_0xcbcb[93]][_0xcbcb[92]]())?_0xcbcb[8]:_0xcbcb[57]);_0x1f59x7[_0xcbcb[90]](_0xcbcb[63],(this[_0xcbcb[14]][_0xcbcb[94]][_0xcbcb[92]]())?_0xcbcb[8]:_0xcbcb[57]);_0x1f59x7[_0xcbcb[90]](_0xcbcb[66],(this[_0xcbcb[14]][_0xcbcb[65]])?_0xcbcb[8]:_0xcbcb[57]);_0x1f59x7[_0xcbcb[90]](_0xcbcb[76],(this[_0xcbcb[14]][_0xcbcb[75]])?_0xcbcb[8]:_0xcbcb[57]);_0x1f59x7[_0xcbcb[90]](_0xcbcb[79],this[_0xcbcb[14]][_0xcbcb[79]]);_0x1f59x7[_0xcbcb[90]](_0xcbcb[80],this[_0xcbcb[14]][_0xcbcb[82]][_0xcbcb[95]]);_0x1f59x7[_0xcbcb[90]](_0xcbcb[81],this[_0xcbcb[14]][_0xcbcb[82]][_0xcbcb[96]]);if(!this[_0xcbcb[14]][_0xcbcb[40]]){_0x1f59x7[_0xcbcb[90]](_0xcbcb[40],_0xcbcb[57])};if(this[_0xcbcb[14]][_0xcbcb[41]]!=null){_0x1f59x7[_0xcbcb[90]](_0xcbcb[41],this[_0xcbcb[14]][_0xcbcb[41]])};return _0x1f59x7};Editor[_0xcbcb[44]][_0xcbcb[85]]=function(){var _0x1f59xe=this[_0xcbcb[14]];var _0x1f59xf=this[_0xcbcb[15]];if(_0x1f59xe[_0xcbcb[68]]!=null&&_0x1f59xf[_0xcbcb[15]][_0xcbcb[68]]!=null){if(_0x1f59xe[_0xcbcb[41]]!=null){if(_0x1f59xe[_0xcbcb[41]]==_0xcbcb[97]){_0x1f59xe[_0xcbcb[68]][_0xcbcb[99]][_0xcbcb[98]]=_0xcbcb[100]}else {if(_0x1f59xe[_0xcbcb[53]][_0xcbcb[101]]!=null){_0x1f59xe[_0xcbcb[53]][_0xcbcb[101]][_0xcbcb[102]]=_0x1f59xe[_0xcbcb[41]];_0x1f59xe[_0xcbcb[53]][_0xcbcb[101]][_0xcbcb[103]]()};_0x1f59xe[_0xcbcb[68]][_0xcbcb[99]][_0xcbcb[98]]=_0x1f59xe[_0xcbcb[41]]}}else {_0x1f59xe[_0xcbcb[68]][_0xcbcb[99]][_0xcbcb[98]]=_0xcbcb[20]};if(_0x1f59xe[_0xcbcb[75]]){_0x1f59xe[_0xcbcb[68]][_0xcbcb[99]][_0xcbcb[98]]=_0xcbcb[104];_0x1f59xe[_0xcbcb[68]][_0xcbcb[99]][_0xcbcb[105]]=_0xcbcb[106];_0x1f59xe[_0xcbcb[68]][_0xcbcb[99]][_0xcbcb[107]]=_0xcbcb[108];_0x1f59xe[_0xcbcb[68]][_0xcbcb[99]][_0xcbcb[109]]=_0xcbcb[110];_0x1f59xe[_0xcbcb[68]][_0xcbcb[99]][_0xcbcb[111]]=_0xcbcb[110];_0x1f59xe[_0xcbcb[68]][_0xcbcb[99]][_0xcbcb[112]]=_0xcbcb[113];_0x1f59xe[_0xcbcb[68]][_0xcbcb[99]][_0xcbcb[114]]=_0xcbcb[113]}else {_0x1f59xe[_0xcbcb[68]][_0xcbcb[99]][_0xcbcb[115]]=_0xcbcb[20]};_0x1f59xf[_0xcbcb[15]][_0xcbcb[68]][_0xcbcb[99]][_0xcbcb[98]]=_0x1f59xe[_0xcbcb[68]][_0xcbcb[99]][_0xcbcb[98]];if(_0x1f59xf[_0xcbcb[15]][_0xcbcb[75]]!=_0x1f59xe[_0xcbcb[75]]||_0x1f59xf[_0xcbcb[15]][_0xcbcb[79]]!=_0x1f59xe[_0xcbcb[79]]){_0x1f59xf[_0xcbcb[15]][_0xcbcb[79]]=_0x1f59xe[_0xcbcb[79]];_0x1f59xf[_0xcbcb[15]][_0xcbcb[75]]=_0x1f59xe[_0xcbcb[75]];_0x1f59xf[_0xcbcb[15]][_0xcbcb[53]][_0xcbcb[116]]()};if(_0x1f59xe[_0xcbcb[40]]&&_0x1f59xe[_0xcbcb[68]][_0xcbcb[99]][_0xcbcb[117]]==_0xcbcb[118]&& !touchStyle){_0x1f59xe[_0xcbcb[68]][_0xcbcb[99]][_0xcbcb[117]]=_0xcbcb[119]}else {if(!_0x1f59xe[_0xcbcb[40]]||touchStyle){_0x1f59xe[_0xcbcb[68]][_0xcbcb[99]][_0xcbcb[117]]=_0xcbcb[118]}};var _0x1f59x10=(mxClient[_0xcbcb[120]]&&document[_0xcbcb[121]]>=9)?_0xcbcb[122]+this[_0xcbcb[46]]+_0xcbcb[123]:_0xcbcb[97];_0x1f59xe[_0xcbcb[68]][_0xcbcb[99]][_0xcbcb[124]]=(!_0x1f59xe[_0xcbcb[75]]&&_0x1f59xe[_0xcbcb[91]]())?_0xcbcb[122]+this[_0xcbcb[43]]+_0xcbcb[123]:_0x1f59x10;if(_0x1f59xe[_0xcbcb[53]][_0xcbcb[101]]!=null){_0x1f59xe[_0xcbcb[53]][_0xcbcb[101]][_0xcbcb[125]][_0xcbcb[99]][_0xcbcb[124]]=(this[_0xcbcb[14]][_0xcbcb[91]]())?_0xcbcb[122]+this[_0xcbcb[43]]+_0xcbcb[123]:_0xcbcb[97]}}};Editor[_0xcbcb[44]][_0xcbcb[12]]=function(){if(mxClient[_0xcbcb[126]]){mxClient[_0xcbcb[129]](_0xcbcb[127],CSS_PATH+_0xcbcb[128])};mxResources[_0xcbcb[130]]=false;mxResources[_0xcbcb[131]](RESOURCE_BASE);mxConstants[_0xcbcb[132]]=0.3;var _0x1f59x11=mxConnectionHandler[_0xcbcb[44]][_0xcbcb[133]];mxConnectionHandler[_0xcbcb[44]][_0xcbcb[133]]=function(){var _0x1f59x12=_0x1f59x11[_0xcbcb[33]](this,arguments);_0x1f59x12[_0xcbcb[134]]=mxUtils[_0xcbcb[34]](this,function(_0x1f59x13,_0x1f59x14){if(this[_0xcbcb[135]]()){return true};return mxCellMarker[_0xcbcb[44]][_0xcbcb[134]][_0xcbcb[33]](_0x1f59x12,arguments)});return _0x1f59x12};mxConstants[_0xcbcb[136]]=_0xcbcb[137];mxConstants[_0xcbcb[138]]=_0xcbcb[139];mxConstants[_0xcbcb[140]]=_0xcbcb[141];mxConstants[_0xcbcb[142]]=_0xcbcb[143];mxConstants[_0xcbcb[144]]=_0xcbcb[143];mxConstants[_0xcbcb[145]]=_0xcbcb[139];mxConstants[_0xcbcb[146]]=_0xcbcb[143];mxConstants[_0xcbcb[147]]=_0xcbcb[148];mxConstants[_0xcbcb[149]]=_0xcbcb[143];mxConstants[_0xcbcb[150]]=_0xcbcb[143];mxConstants[_0xcbcb[151]]=_0xcbcb[148];mxConstants[_0xcbcb[152]]=_0xcbcb[141];mxGraph[_0xcbcb[44]][_0xcbcb[153]]=_0xcbcb[154];mxGraph[_0xcbcb[44]][_0xcbcb[79]]=1;mxRubberband[_0xcbcb[44]][_0xcbcb[155]]=30;mxGraphView[_0xcbcb[44]][_0xcbcb[156]]=function(_0x1f59x15){return new mxRectangleShape(_0x1f59x15,this[_0xcbcb[14]][_0xcbcb[41]]||_0xcbcb[157],_0xcbcb[158])};mxGraphView[_0xcbcb[44]][_0xcbcb[159]]=function(){var _0x1f59x16=this[_0xcbcb[160]]();var _0x1f59x17=(_0x1f59x16[_0xcbcb[95]]>0)?_0x1f59x16[_0xcbcb[70]]/this[_0xcbcb[52]]-this[_0xcbcb[71]][_0xcbcb[70]]:0;var _0x1f59x18=(_0x1f59x16[_0xcbcb[96]]>0)?_0x1f59x16[_0xcbcb[73]]/this[_0xcbcb[52]]-this[_0xcbcb[71]][_0xcbcb[73]]:0;var _0x1f59x19=_0x1f59x16[_0xcbcb[95]]/this[_0xcbcb[52]];var _0x1f59x1a=_0x1f59x16[_0xcbcb[96]]/this[_0xcbcb[52]];var _0x1f59x1b=this[_0xcbcb[14]][_0xcbcb[82]];var _0x1f59x9=this[_0xcbcb[14]][_0xcbcb[79]];var _0x1f59xa=_0x1f59x1b[_0xcbcb[95]]*_0x1f59x9;var _0x1f59xb=_0x1f59x1b[_0xcbcb[96]]*_0x1f59x9;var _0x1f59x1c=Math[_0xcbcb[162]](Math[_0xcbcb[161]](0,_0x1f59x17)/_0x1f59xa);var _0x1f59x1d=Math[_0xcbcb[162]](Math[_0xcbcb[161]](0,_0x1f59x18)/_0x1f59xb);var _0x1f59x1e=Math[_0xcbcb[164]](Math[_0xcbcb[163]](1,_0x1f59x17+_0x1f59x19)/_0x1f59xa);var _0x1f59x1f=Math[_0xcbcb[164]](Math[_0xcbcb[163]](1,_0x1f59x18+_0x1f59x1a)/_0x1f59xb);var _0x1f59x20=_0x1f59x1e-_0x1f59x1c;var _0x1f59x21=_0x1f59x1f-_0x1f59x1d;var _0x1f59x15= new mxRectangle(this[_0xcbcb[52]]*(this[_0xcbcb[71]][_0xcbcb[70]]+_0x1f59x1c*_0x1f59xa),this[_0xcbcb[52]]*(this[_0xcbcb[71]][_0xcbcb[73]]+_0x1f59x1d*_0x1f59xb),this[_0xcbcb[52]]*_0x1f59x20*_0x1f59xa,this[_0xcbcb[52]]*_0x1f59x21*_0x1f59xb);return _0x1f59x15};var _0x1f59x22=mxGraph[_0xcbcb[44]][_0xcbcb[165]];mxGraph[_0xcbcb[44]][_0xcbcb[165]]=function(_0x1f59x23,_0x1f59x24){_0x1f59x22[_0xcbcb[33]](this,arguments);if((this[_0xcbcb[166]]!=mxConstants[_0xcbcb[167]]&&this[_0xcbcb[53]][_0xcbcb[101]]!=null)&&(!this[_0xcbcb[168]]||!mxUtils[_0xcbcb[169]](this[_0xcbcb[68]]))){this[_0xcbcb[53]][_0xcbcb[101]][_0xcbcb[125]][_0xcbcb[99]][_0xcbcb[170]]=_0x1f59x23+_0xcbcb[171];this[_0xcbcb[53]][_0xcbcb[101]][_0xcbcb[125]][_0xcbcb[99]][_0xcbcb[172]]=_0x1f59x24+_0xcbcb[171]}};var _0x1f59x25=this;mxGraphView[_0xcbcb[44]][_0xcbcb[173]]=function(){var _0x1f59xc=this[_0xcbcb[14]][_0xcbcb[174]]();if(_0x1f59xc!=null){if(this[_0xcbcb[124]]==null||this[_0xcbcb[124]][_0xcbcb[175]]!=_0x1f59xc[_0xcbcb[176]]){if(this[_0xcbcb[124]]!=null){this[_0xcbcb[124]][_0xcbcb[177]]()};var _0x1f59x15= new mxRectangle(0,0,1,1);this[_0xcbcb[124]]= new mxImageShape(_0x1f59x15,_0x1f59xc[_0xcbcb[176]]);this[_0xcbcb[124]][_0xcbcb[166]]=this[_0xcbcb[14]][_0xcbcb[166]];this[_0xcbcb[124]][_0xcbcb[12]](this[_0xcbcb[178]]);this[_0xcbcb[124]][_0xcbcb[179]]()};this[_0xcbcb[180]](this[_0xcbcb[124]],_0x1f59xc)}else {if(this[_0xcbcb[124]]!=null){this[_0xcbcb[124]][_0xcbcb[177]]();this[_0xcbcb[124]]=null}};if(this[_0xcbcb[14]][_0xcbcb[75]]){var _0x1f59x15=this[_0xcbcb[159]]();if(this[_0xcbcb[101]]==null){this[_0xcbcb[101]]=this[_0xcbcb[156]](_0x1f59x15);this[_0xcbcb[101]][_0xcbcb[52]]=1;this[_0xcbcb[101]][_0xcbcb[181]]=true;this[_0xcbcb[101]][_0xcbcb[166]]=mxConstants[_0xcbcb[182]];this[_0xcbcb[101]][_0xcbcb[12]](this[_0xcbcb[14]][_0xcbcb[68]]);this[_0xcbcb[14]][_0xcbcb[68]][_0xcbcb[184]][_0xcbcb[99]][_0xcbcb[183]]=_0xcbcb[185];this[_0xcbcb[14]][_0xcbcb[68]][_0xcbcb[186]](this[_0xcbcb[101]][_0xcbcb[125]],this[_0xcbcb[14]][_0xcbcb[68]][_0xcbcb[184]]);this[_0xcbcb[101]][_0xcbcb[179]]();this[_0xcbcb[101]][_0xcbcb[125]][_0xcbcb[187]]=_0xcbcb[188];this[_0xcbcb[101]][_0xcbcb[125]][_0xcbcb[99]][_0xcbcb[189]]=_0xcbcb[190];mxEvent[_0xcbcb[35]](this[_0xcbcb[101]][_0xcbcb[125]],_0xcbcb[191],mxUtils[_0xcbcb[34]](this,function(_0x1f59x14){this[_0xcbcb[14]][_0xcbcb[192]](_0x1f59x14)}));mxEvent[_0xcbcb[198]](this[_0xcbcb[101]][_0xcbcb[125]],mxUtils[_0xcbcb[34]](this,function(_0x1f59x14){this[_0xcbcb[14]][_0xcbcb[193]](mxEvent.MOUSE_DOWN, new mxMouseEvent(_0x1f59x14))}),mxUtils[_0xcbcb[34]](this,function(_0x1f59x14){if(this[_0xcbcb[14]][_0xcbcb[93]]!=null&&this[_0xcbcb[14]][_0xcbcb[93]][_0xcbcb[194]]()){this[_0xcbcb[14]][_0xcbcb[93]][_0xcbcb[195]]()};if(this[_0xcbcb[14]][_0xcbcb[196]]&&!mxEvent[_0xcbcb[197]](_0x1f59x14)){this[_0xcbcb[14]][_0xcbcb[193]](mxEvent.MOUSE_MOVE, new mxMouseEvent(_0x1f59x14))}}),mxUtils[_0xcbcb[34]](this,function(_0x1f59x14){this[_0xcbcb[14]][_0xcbcb[193]](mxEvent.MOUSE_UP, new mxMouseEvent(_0x1f59x14))}))}else {this[_0xcbcb[101]][_0xcbcb[52]]=1;this[_0xcbcb[101]][_0xcbcb[199]]=_0x1f59x15;this[_0xcbcb[101]][_0xcbcb[179]]()};this[_0xcbcb[101]][_0xcbcb[125]][_0xcbcb[99]][_0xcbcb[124]]=(this[_0xcbcb[14]][_0xcbcb[91]]())?_0xcbcb[122]+_0x1f59x25[_0xcbcb[43]]+_0xcbcb[123]:_0xcbcb[97]}else {if(this[_0xcbcb[101]]!=null){this[_0xcbcb[101]][_0xcbcb[177]]();this[_0xcbcb[101]]=null}}};mxGraph[_0xcbcb[44]][_0xcbcb[200]]=function(_0x1f59x26,_0x1f59x27,_0x1f59x28){var _0x1f59x29=this[_0xcbcb[53]][_0xcbcb[52]];var _0x1f59x2a=this[_0xcbcb[53]][_0xcbcb[71]];var _0x1f59x1b=this[_0xcbcb[82]];var _0x1f59x9=_0x1f59x29*this[_0xcbcb[79]];var _0x1f59x2b=this[_0xcbcb[53]][_0xcbcb[159]]();_0x1f59x27=_0x1f59x2b[_0xcbcb[95]];_0x1f59x28=_0x1f59x2b[_0xcbcb[96]];var _0x1f59x15= new mxRectangle(_0x1f59x29*_0x1f59x2a[_0xcbcb[70]],_0x1f59x29*_0x1f59x2a[_0xcbcb[73]],_0x1f59x1b[_0xcbcb[95]]*_0x1f59x9,_0x1f59x1b[_0xcbcb[96]]*_0x1f59x9);_0x1f59x26=_0x1f59x26&&Math[_0xcbcb[161]](_0x1f59x15[_0xcbcb[95]],_0x1f59x15[_0xcbcb[96]])>this[_0xcbcb[201]];var _0x1f59x2c=(_0x1f59x26)?Math[_0xcbcb[164]](_0x1f59x27/_0x1f59x15[_0xcbcb[95]])-1:0;var _0x1f59x2d=(_0x1f59x26)?Math[_0xcbcb[164]](_0x1f59x28/_0x1f59x15[_0xcbcb[96]])-1:0;var _0x1f59x2e=_0x1f59x2b[_0xcbcb[70]]+_0x1f59x27;var _0x1f59x2f=_0x1f59x2b[_0xcbcb[73]]+_0x1f59x28;if(this[_0xcbcb[202]]==null&&_0x1f59x2c>0){this[_0xcbcb[202]]=[]};if(this[_0xcbcb[202]]!=null){for(var _0x1f59x30=0;_0x1f59x30<=_0x1f59x2c;_0x1f59x30++){var _0x1f59x31=[ new mxPoint(_0x1f59x2b[_0xcbcb[70]]+(_0x1f59x30+1)*_0x1f59x15[_0xcbcb[95]],_0x1f59x2b[_0xcbcb[73]]), new mxPoint(_0x1f59x2b[_0xcbcb[70]]+(_0x1f59x30+1)*_0x1f59x15[_0xcbcb[95]],_0x1f59x2f)];if(this[_0xcbcb[202]][_0x1f59x30]!=null){this[_0xcbcb[202]][_0x1f59x30][_0xcbcb[52]]=1;this[_0xcbcb[202]][_0x1f59x30][_0xcbcb[203]]=_0x1f59x31;this[_0xcbcb[202]][_0x1f59x30][_0xcbcb[179]]()}else {var _0x1f59x32= new mxPolyline(_0x1f59x31,this[_0xcbcb[153]],this[_0xcbcb[52]]);_0x1f59x32[_0xcbcb[166]]=this[_0xcbcb[166]];_0x1f59x32[_0xcbcb[204]]=this[_0xcbcb[205]];_0x1f59x32[_0xcbcb[206]]=false;_0x1f59x32[_0xcbcb[52]]=_0x1f59x29;_0x1f59x32[_0xcbcb[12]](this[_0xcbcb[53]][_0xcbcb[178]]);_0x1f59x32[_0xcbcb[179]]();this[_0xcbcb[202]][_0x1f59x30]=_0x1f59x32}};for(var _0x1f59x30=_0x1f59x2c;_0x1f59x300){this[_0xcbcb[208]]=[]};if(this[_0xcbcb[208]]!=null){for(var _0x1f59x30=0;_0x1f59x30<=_0x1f59x2d;_0x1f59x30++){var _0x1f59x31=[ new mxPoint(_0x1f59x2b[_0xcbcb[70]],_0x1f59x2b[_0xcbcb[73]]+(_0x1f59x30+1)*_0x1f59x15[_0xcbcb[96]]), new mxPoint(_0x1f59x2e,_0x1f59x2b[_0xcbcb[73]]+(_0x1f59x30+1)*_0x1f59x15[_0xcbcb[96]])];if(this[_0xcbcb[208]][_0x1f59x30]!=null){this[_0xcbcb[208]][_0x1f59x30][_0xcbcb[52]]=1;this[_0xcbcb[208]][_0x1f59x30][_0xcbcb[203]]=_0x1f59x31;this[_0xcbcb[208]][_0x1f59x30][_0xcbcb[179]]()}else {var _0x1f59x32= new mxPolyline(_0x1f59x31,this[_0xcbcb[153]],_0x1f59x29);_0x1f59x32[_0xcbcb[166]]=this[_0xcbcb[166]];_0x1f59x32[_0xcbcb[204]]=this[_0xcbcb[205]];_0x1f59x32[_0xcbcb[206]]=false;_0x1f59x32[_0xcbcb[52]]=_0x1f59x29;_0x1f59x32[_0xcbcb[12]](this[_0xcbcb[53]][_0xcbcb[178]]);_0x1f59x32[_0xcbcb[179]]();this[_0xcbcb[208]][_0x1f59x30]=_0x1f59x32}};for(var _0x1f59x30=_0x1f59x2d;_0x1f59x300&&_0x1f59x4d[0]==_0xcbcb[255]){_0x1f59x4e=_0x1f59x4d[1];for(var _0x1f59x30=2;_0x1f59x30<_0x1f59x4d[_0xcbcb[83]]-1;_0x1f59x30++){_0x1f59x4e+=_0xcbcb[252]+_0x1f59x4d[_0x1f59x30]}};return _0x1f59x4e};mxStencilRegistry[_0xcbcb[241]]=function(_0x1f59x4f,_0x1f59x50,_0x1f59x51){_0x1f59x51=(_0x1f59x51!=null)?_0x1f59x51:false;var _0x1f59x52=mxStencilRegistry[_0xcbcb[243]][_0x1f59x4f];if(_0x1f59x51||_0x1f59x52==null){var _0x1f59x53=false;if(_0x1f59x52==null){var _0x1f59x4c=mxUtils[_0xcbcb[250]](_0x1f59x4f);_0x1f59x52=_0x1f59x4c[_0xcbcb[256]]();mxStencilRegistry[_0xcbcb[243]][_0x1f59x4f]=_0x1f59x52;_0x1f59x53=true};mxStencilRegistry[_0xcbcb[257]](_0x1f59x52,_0x1f59x50,_0x1f59x53)}};mxStencilRegistry[_0xcbcb[257]]=function(_0x1f59x54,_0x1f59x50,_0x1f59x53){_0x1f59x53=(_0x1f59x53!=null)?_0x1f59x53:true;var _0x1f59x55=_0x1f59x54[_0xcbcb[258]];var _0x1f59x56=_0x1f59x55[_0xcbcb[184]];var _0x1f59x57=_0xcbcb[20];var _0x1f59x48=_0x1f59x55[_0xcbcb[56]](_0xcbcb[259]);if(_0x1f59x48!=null){_0x1f59x57=_0x1f59x48+_0xcbcb[253]};while(_0x1f59x56!=null){if(_0x1f59x56[_0xcbcb[260]]==mxConstants[_0xcbcb[261]]){_0x1f59x48=_0x1f59x56[_0xcbcb[56]](_0xcbcb[259]);if(_0x1f59x48!=null){_0x1f59x57=_0x1f59x57[_0xcbcb[248]]();var _0x1f59x58=_0x1f59x48[_0xcbcb[263]](/ /g,_0xcbcb[262]);if(_0x1f59x53){mxStencilRegistry[_0xcbcb[264]](_0x1f59x57+_0x1f59x58[_0xcbcb[248]](), new mxStencil(_0x1f59x56))};if(_0x1f59x50!=null){var _0x1f59x19=_0x1f59x56[_0xcbcb[56]](_0xcbcb[265]);var _0x1f59x1a=_0x1f59x56[_0xcbcb[56]](_0xcbcb[266]);_0x1f59x19=(_0x1f59x19==null)?80:parseInt(_0x1f59x19,10);_0x1f59x1a=(_0x1f59x1a==null)?80:parseInt(_0x1f59x1a,10);_0x1f59x50(_0x1f59x57,_0x1f59x58,_0x1f59x48,_0x1f59x19,_0x1f59x1a)}}};_0x1f59x56=_0x1f59x56[_0xcbcb[267]]}}})();OpenFile=function(_0x1f59x59){this[_0xcbcb[268]]=null;this[_0xcbcb[269]]=null;this[_0xcbcb[270]]=_0x1f59x59};OpenFile[_0xcbcb[44]][_0xcbcb[271]]=function(_0x1f59x6){this[_0xcbcb[269]]=_0x1f59x6;this[_0xcbcb[272]]()};OpenFile[_0xcbcb[44]][_0xcbcb[273]]=function(_0x1f59x6,_0x1f59x5a){this[_0xcbcb[274]]=_0x1f59x6;this[_0xcbcb[21]]=_0x1f59x5a;this[_0xcbcb[272]]()};OpenFile[_0xcbcb[44]][_0xcbcb[275]]=function(_0x1f59x5b){this[_0xcbcb[276]]();mxUtils[_0xcbcb[277]](_0x1f59x5b)};OpenFile[_0xcbcb[44]][_0xcbcb[272]]=function(){if(this[_0xcbcb[269]]!=null&&this[_0xcbcb[274]]!=null){this[_0xcbcb[269]](this[_0xcbcb[274]],this[_0xcbcb[21]]);this[_0xcbcb[276]]()}};OpenFile[_0xcbcb[44]][_0xcbcb[276]]=function(){if(this[_0xcbcb[270]]!=null){this[_0xcbcb[270]]()}} \ No newline at end of file +var _0xcdcb=["\x49\x53\x5F\x54\x4F\x55\x43\x48","\x73\x74\x6F\x72\x61\x67\x65","\x6C\x6F\x63\x61\x6C","\x75\x6E\x64\x65\x66\x69\x6E\x65\x64","\x46\x69\x6C\x65","\x46\x69\x6C\x65\x52\x65\x61\x64\x65\x72","\x46\x69\x6C\x65\x4C\x69\x73\x74","\x74\x6F\x75\x63\x68","\x31","\x6F\x70\x65\x6E\x65\x72","\x63\x6F\x75\x6E\x74\x65\x72","\x63\x61\x6C\x6C","\x69\x6E\x69\x74","\x69\x6E\x69\x74\x53\x74\x65\x6E\x63\x69\x6C\x52\x65\x67\x69\x73\x74\x72\x79","\x67\x72\x61\x70\x68","\x6F\x75\x74\x6C\x69\x6E\x65","\x75\x70\x64\x61\x74\x65\x4F\x6E\x50\x61\x6E","\x75\x6E\x64\x6F\x4D\x61\x6E\x61\x67\x65\x72","\x63\x72\x65\x61\x74\x65\x55\x6E\x64\x6F\x4D\x61\x6E\x61\x67\x65\x72","\x73\x74\x61\x74\x75\x73","","\x66\x69\x6C\x65\x6E\x61\x6D\x65","\x67\x65\x74\x4F\x72\x43\x72\x65\x61\x74\x65\x46\x69\x6C\x65\x6E\x61\x6D\x65","\x64\x72\x61\x77\x69\x6E\x67","\x67\x65\x74","\x2E\x78\x6D\x6C","\x67\x65\x74\x46\x69\x6C\x65\x6E\x61\x6D\x65","\x73\x65\x74\x53\x74\x61\x74\x75\x73","\x73\x74\x61\x74\x75\x73\x43\x68\x61\x6E\x67\x65\x64","\x66\x69\x72\x65\x45\x76\x65\x6E\x74","\x67\x65\x74\x53\x74\x61\x74\x75\x73","\x6D\x6F\x64\x69\x66\x69\x65\x64","\x67\x72\x61\x70\x68\x43\x68\x61\x6E\x67\x65\x4C\x69\x73\x74\x65\x6E\x65\x72","\x61\x70\x70\x6C\x79","\x62\x69\x6E\x64","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x6F\x6E\x62\x65\x66\x6F\x72\x65\x75\x6E\x6C\x6F\x61\x64","\x61\x6C\x6C\x43\x68\x61\x6E\x67\x65\x73\x4C\x6F\x73\x74","\x72\x65\x73\x65\x74\x56\x69\x65\x77\x4F\x6E\x52\x6F\x6F\x74\x43\x68\x61\x6E\x67\x65","\x73\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x65\x78\x74\x65\x6E\x64","\x67\x72\x69\x64\x49\x6D\x61\x67\x65","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x2F\x67\x72\x69\x64\x2E\x67\x69\x66","\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x49\x6D\x61\x67\x65","\x2F\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x2E\x67\x69\x66","\x73\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x6F\x77\x6E\x65\x72\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x6E\x6F\x64\x65\x4E\x61\x6D\x65","\x6D\x78\x47\x72\x61\x70\x68\x4D\x6F\x64\x65\x6C","\x73\x63\x61\x6C\x65","\x76\x69\x65\x77","\x67\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x69\x64","\x67\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x30","\x67\x75\x69\x64\x65\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x61\x70\x68\x48\x61\x6E\x64\x6C\x65\x72","\x67\x75\x69\x64\x65\x73","\x74\x6F\x6F\x6C\x74\x69\x70\x73","\x73\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x63\x6F\x6E\x6E\x65\x63\x74","\x73\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x66\x6F\x6C\x64","\x73\x63\x72\x6F\x6C\x6C\x4C\x65\x66\x74","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x73\x63\x72\x6F\x6C\x6C\x54\x6F\x70","\x78","\x74\x72\x61\x6E\x73\x6C\x61\x74\x65","\x64\x78","\x79","\x64\x79","\x70\x61\x67\x65\x56\x69\x73\x69\x62\x6C\x65","\x70\x61\x67\x65","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x73\x56\x69\x73\x69\x62\x6C\x65","\x70\x72\x65\x66\x65\x72\x50\x61\x67\x65\x53\x69\x7A\x65","\x70\x61\x67\x65\x53\x63\x61\x6C\x65","\x70\x61\x67\x65\x57\x69\x64\x74\x68","\x70\x61\x67\x65\x48\x65\x69\x67\x68\x74","\x70\x61\x67\x65\x46\x6F\x72\x6D\x61\x74","\x6C\x65\x6E\x67\x74\x68","\x64\x65\x63\x6F\x64\x65","\x75\x70\x64\x61\x74\x65\x47\x72\x61\x70\x68\x43\x6F\x6D\x70\x6F\x6E\x65\x6E\x74\x73","\x67\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x63\x72\x65\x61\x74\x65\x58\x6D\x6C\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x65\x6E\x63\x6F\x64\x65","\x72\x6F\x75\x6E\x64","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x69\x73\x47\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x74\x6F\x6F\x6C\x74\x69\x70\x48\x61\x6E\x64\x6C\x65\x72","\x63\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x77\x69\x64\x74\x68","\x68\x65\x69\x67\x68\x74","\x6E\x6F\x6E\x65","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x43\x6F\x6C\x6F\x72","\x73\x74\x79\x6C\x65","\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65\x53\x68\x61\x70\x65","\x66\x69\x6C\x6C","\x72\x65\x63\x6F\x6E\x66\x69\x67\x75\x72\x65","\x23\x65\x62\x65\x62\x65\x62","\x62\x6F\x72\x64\x65\x72\x53\x74\x79\x6C\x65","\x73\x6F\x6C\x69\x64","\x62\x6F\x72\x64\x65\x72\x43\x6F\x6C\x6F\x72","\x23\x65\x35\x65\x35\x65\x35","\x62\x6F\x72\x64\x65\x72\x54\x6F\x70\x57\x69\x64\x74\x68","\x31\x70\x78","\x62\x6F\x72\x64\x65\x72\x4C\x65\x66\x74\x57\x69\x64\x74\x68","\x62\x6F\x72\x64\x65\x72\x52\x69\x67\x68\x74\x57\x69\x64\x74\x68","\x30\x70\x78","\x62\x6F\x72\x64\x65\x72\x42\x6F\x74\x74\x6F\x6D\x57\x69\x64\x74\x68","\x62\x6F\x72\x64\x65\x72","\x76\x61\x6C\x69\x64\x61\x74\x65","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x68\x69\x64\x64\x65\x6E","\x61\x75\x74\x6F","\x49\x53\x5F\x49\x45","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x4D\x6F\x64\x65","\x75\x72\x6C\x28","\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x6E\x6F\x64\x65","\x49\x53\x5F\x49\x45\x36","\x73\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x2F\x67\x72\x61\x70\x68\x65\x64\x69\x74\x6F\x72\x2D\x69\x65\x36\x2E\x63\x73\x73","\x6C\x69\x6E\x6B","\x6C\x6F\x61\x64\x44\x65\x66\x61\x75\x6C\x74\x42\x75\x6E\x64\x6C\x65","\x61\x64\x64","\x44\x45\x46\x41\x55\x4C\x54\x5F\x48\x4F\x54\x53\x50\x4F\x54","\x63\x72\x65\x61\x74\x65\x4D\x61\x72\x6B\x65\x72","\x69\x6E\x74\x65\x72\x73\x65\x63\x74\x73","\x69\x73\x43\x6F\x6E\x6E\x65\x63\x74\x69\x6E\x67","\x53\x48\x41\x44\x4F\x57\x43\x4F\x4C\x4F\x52","\x23\x64\x30\x64\x30\x64\x30","\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x23\x39\x39\x63\x63\x66\x66","\x48\x41\x4E\x44\x4C\x45\x5F\x53\x54\x52\x4F\x4B\x45\x43\x4F\x4C\x4F\x52","\x23\x30\x30\x38\x38\x63\x66","\x56\x45\x52\x54\x45\x58\x5F\x53\x45\x4C\x45\x43\x54\x49\x4F\x4E\x5F\x43\x4F\x4C\x4F\x52","\x23\x30\x30\x61\x38\x66\x66","\x4F\x55\x54\x4C\x49\x4E\x45\x5F\x43\x4F\x4C\x4F\x52","\x4F\x55\x54\x4C\x49\x4E\x45\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x4F\x55\x54\x4C\x49\x4E\x45\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x53\x54\x52\x4F\x4B\x45\x43\x4F\x4C\x4F\x52","\x43\x4F\x4E\x4E\x45\x43\x54\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x23\x63\x65\x65\x37\x66\x66","\x45\x44\x47\x45\x5F\x53\x45\x4C\x45\x43\x54\x49\x4F\x4E\x5F\x43\x4F\x4C\x4F\x52","\x44\x45\x46\x41\x55\x4C\x54\x5F\x56\x41\x4C\x49\x44\x5F\x43\x4F\x4C\x4F\x52","\x4C\x41\x42\x45\x4C\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x46\x49\x4C\x4C\x43\x4F\x4C\x4F\x52","\x47\x55\x49\x44\x45\x5F\x43\x4F\x4C\x4F\x52","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x43\x6F\x6C\x6F\x72","\x23\x63\x30\x63\x30\x63\x30","\x64\x65\x66\x61\x75\x6C\x74\x4F\x70\x61\x63\x69\x74\x79","\x63\x72\x65\x61\x74\x65\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65\x53\x68\x61\x70\x65","\x77\x68\x69\x74\x65","\x23\x63\x61\x63\x61\x63\x61","\x67\x65\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65\x42\x6F\x75\x6E\x64\x73","\x67\x65\x74\x47\x72\x61\x70\x68\x42\x6F\x75\x6E\x64\x73","\x6D\x69\x6E","\x66\x6C\x6F\x6F\x72","\x6D\x61\x78","\x63\x65\x69\x6C","\x70\x61\x6E\x47\x72\x61\x70\x68","\x64\x69\x61\x6C\x65\x63\x74","\x44\x49\x41\x4C\x45\x43\x54\x5F\x53\x56\x47","\x75\x73\x65\x53\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73\x46\x6F\x72\x50\x61\x6E\x6E\x69\x6E\x67","\x68\x61\x73\x53\x63\x72\x6F\x6C\x6C\x62\x61\x72\x73","\x6D\x61\x72\x67\x69\x6E\x4C\x65\x66\x74","\x70\x78","\x6D\x61\x72\x67\x69\x6E\x54\x6F\x70","\x76\x61\x6C\x69\x64\x61\x74\x65\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x67\x65\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x69\x6D\x61\x67\x65","\x73\x72\x63","\x64\x65\x73\x74\x72\x6F\x79","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x6E\x65","\x72\x65\x64\x72\x61\x77","\x72\x65\x64\x72\x61\x77\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x69\x73\x53\x68\x61\x64\x6F\x77","\x44\x49\x41\x4C\x45\x43\x54\x5F\x53\x54\x52\x49\x43\x54\x48\x54\x4D\x4C","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x66\x69\x72\x73\x74\x43\x68\x69\x6C\x64","\x61\x62\x73\x6F\x6C\x75\x74\x65","\x69\x6E\x73\x65\x72\x74\x42\x65\x66\x6F\x72\x65","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x61\x67\x65","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x2D\x31\x70\x78\x20\x2D\x31\x70\x78","\x64\x62\x6C\x63\x6C\x69\x63\x6B","\x64\x62\x6C\x43\x6C\x69\x63\x6B","\x66\x69\x72\x65\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74","\x69\x73\x48\x69\x64\x65\x4F\x6E\x48\x6F\x76\x65\x72","\x68\x69\x64\x65","\x69\x73\x4D\x6F\x75\x73\x65\x44\x6F\x77\x6E","\x69\x73\x43\x6F\x6E\x73\x75\x6D\x65\x64","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x62\x6F\x75\x6E\x64\x73","\x75\x70\x64\x61\x74\x65\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x73","\x6D\x69\x6E\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x44\x69\x73\x74","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x73","\x70\x6F\x69\x6E\x74\x73","\x69\x73\x44\x61\x73\x68\x65\x64","\x70\x61\x67\x65\x42\x72\x65\x61\x6B\x44\x61\x73\x68\x65\x64","\x61\x64\x64\x50\x69\x70\x65","\x73\x70\x6C\x69\x63\x65","\x76\x65\x72\x74\x69\x63\x61\x6C\x50\x61\x67\x65\x42\x72\x65\x61\x6B\x73","\x73\x6E\x61\x70\x54\x6F\x54\x65\x72\x6D\x69\x6E\x61\x6C\x73","\x73\x68\x6F\x75\x6C\x64\x52\x65\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73\x46\x72\x6F\x6D\x50\x61\x72\x65\x6E\x74","\x69\x73\x56\x65\x72\x74\x65\x78","\x67\x65\x74\x43\x65\x6C\x6C\x47\x65\x6F\x6D\x65\x74\x72\x79","\x72\x65\x6C\x61\x74\x69\x76\x65","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64\x46\x6F\x72\x45\x76\x65\x6E\x74","\x69\x73\x41\x6C\x74\x44\x6F\x77\x6E","\x61\x64\x64\x49\x74\x65\x6D","\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E","\x63\x6F\x6E\x73\x75\x6D\x65","\x67\x65\x74\x49\x6E\x69\x74\x69\x61\x6C\x43\x65\x6C\x6C\x46\x6F\x72\x45\x76\x65\x6E\x74","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x67\x65\x74\x50\x61\x72\x65\x6E\x74","\x69\x73\x43\x65\x6C\x6C\x53\x65\x6C\x65\x63\x74\x65\x64","\x69\x73\x56\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x69\x73\x44\x65\x6C\x61\x79\x65\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x73\x65\x6C\x65\x63\x74\x44\x65\x6C\x61\x79\x65\x64","\x67\x65\x74\x43\x65\x6C\x6C","\x63\x65\x6C\x6C","\x67\x65\x74\x45\x76\x65\x6E\x74","\x73\x65\x6C\x65\x63\x74\x43\x65\x6C\x6C\x46\x6F\x72\x45\x76\x65\x6E\x74","\x67\x65\x74\x43\x65\x6C\x6C\x46\x6F\x72\x50\x6F\x70\x75\x70\x45\x76\x65\x6E\x74","\x65\x64\x69\x74","\x67\x65\x74\x50\x72\x6F\x70\x65\x72\x74\x79","\x75\x6E\x64\x6F\x61\x62\x6C\x65\x45\x64\x69\x74\x48\x61\x70\x70\x65\x6E\x65\x64","\x67\x65\x74\x56\x69\x65\x77","\x63\x68\x61\x6E\x67\x65\x73","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73\x46\x6F\x72\x43\x68\x61\x6E\x67\x65\x73","\x67\x65\x74\x53\x74\x61\x74\x65","\x70\x75\x73\x68","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x2F\x67\x65\x6E\x65\x72\x61\x6C\x2E\x78\x6D\x6C","\x6C\x6F\x61\x64\x53\x74\x65\x6E\x63\x69\x6C\x53\x65\x74","\x6C\x69\x62\x72\x61\x72\x69\x65\x73","\x70\x61\x63\x6B\x61\x67\x65\x73","\x67\x65\x74\x53\x74\x65\x6E\x63\x69\x6C","\x73\x74\x65\x6E\x63\x69\x6C\x73","\x67\x65\x74\x42\x61\x73\x65\x6E\x61\x6D\x65\x46\x6F\x72\x53\x74\x65\x6E\x63\x69\x6C","\x73\x75\x62\x73\x74\x72\x69\x6E\x67","\x74\x6F\x4C\x6F\x77\x65\x72\x43\x61\x73\x65","\x2E\x6A\x73","\x6C\x6F\x61\x64","\x67\x65\x74\x54\x65\x78\x74","\x2F","\x2E","\x73\x70\x6C\x69\x74","\x6D\x78\x67\x72\x61\x70\x68","\x67\x65\x74\x58\x6D\x6C","\x70\x61\x72\x73\x65\x53\x74\x65\x6E\x63\x69\x6C\x53\x65\x74","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x6E\x61\x6D\x65","\x6E\x6F\x64\x65\x54\x79\x70\x65","\x4E\x4F\x44\x45\x54\x59\x50\x45\x5F\x45\x4C\x45\x4D\x45\x4E\x54","\x5F","\x72\x65\x70\x6C\x61\x63\x65","\x61\x64\x64\x53\x74\x65\x6E\x63\x69\x6C","\x77","\x68","\x6E\x65\x78\x74\x53\x69\x62\x6C\x69\x6E\x67","\x70\x72\x6F\x64\x75\x63\x65\x72","\x63\x6F\x6E\x73\x75\x6D\x65\x72","\x64\x6F\x6E\x65","\x73\x65\x74\x43\x6F\x6E\x73\x75\x6D\x65\x72","\x65\x78\x65\x63\x75\x74\x65","\x73\x65\x74\x44\x61\x74\x61","\x64\x61\x74\x61","\x65\x72\x72\x6F\x72","\x63\x61\x6E\x63\x65\x6C","\x61\x6C\x65\x72\x74"];var useLocalStorage=(mxClient[_0xcdcb[0]]||urlParams[_0xcdcb[1]]==_0xcdcb[2])&& typeof (localStorage)!=_0xcdcb[3];var fileSupport=window[_0xcdcb[4]]!=null&&window[_0xcdcb[5]]!=null&&window[_0xcdcb[6]]!=null;var touchStyle=mxClient[_0xcdcb[0]]||urlParams[_0xcdcb[7]]==_0xcdcb[8];var counter=0;try{var op=window;while(op[_0xcdcb[9]]!=null&&!isNaN(op[_0xcdcb[9]][_0xcdcb[10]])){op=op[_0xcdcb[9]]};if(op!=null){op[_0xcdcb[10]]++;counter=op[_0xcdcb[10]]}}catch(e){};Editor=function(){mxEventSource[_0xcdcb[11]](this);this[_0xcdcb[12]]();this[_0xcdcb[13]]();this[_0xcdcb[14]]= new Graph();this[_0xcdcb[15]]= new mxOutline(this[_0xcdcb[14]]);this[_0xcdcb[15]][_0xcdcb[16]]=true;this[_0xcdcb[17]]=this[_0xcdcb[18]]();this[_0xcdcb[19]]=_0xcdcb[20];this[_0xcdcb[21]]=null;this[_0xcdcb[22]]=function(){return this[_0xcdcb[21]]||mxResources[_0xcdcb[24]](_0xcdcb[23],[counter])+_0xcdcb[25]};this[_0xcdcb[26]]=function(){return this[_0xcdcb[21]]};this[_0xcdcb[27]]=function(_0x9818x6){this[_0xcdcb[19]]=_0x9818x6;this[_0xcdcb[29]]( new mxEventObject(_0xcdcb[28]))};this[_0xcdcb[30]]=function(){return this[_0xcdcb[19]]};this[_0xcdcb[31]]=false;this[_0xcdcb[32]]=function(){this[_0xcdcb[31]]=true};this[_0xcdcb[14]][_0xcdcb[36]]()[_0xcdcb[35]](mxEvent.CHANGE,mxUtils[_0xcdcb[34]](this,function(){this[_0xcdcb[32]][_0xcdcb[33]](this,arguments)}));window[_0xcdcb[37]]=mxUtils[_0xcdcb[34]](this,function(){if(this[_0xcdcb[31]]){return mxResources[_0xcdcb[24]](_0xcdcb[38])}});this[_0xcdcb[14]][_0xcdcb[39]]=false;this[_0xcdcb[14]][_0xcdcb[40]]=true;this[_0xcdcb[14]][_0xcdcb[41]]=null};mxUtils[_0xcdcb[42]](Editor,mxEventSource);Editor[_0xcdcb[44]][_0xcdcb[43]]=IMAGE_PATH+_0xcdcb[45];Editor[_0xcdcb[44]][_0xcdcb[46]]=IMAGE_PATH+_0xcdcb[47];Editor[_0xcdcb[44]][_0xcdcb[48]]=function(_0x9818x7){var _0x9818x8= new mxCodec(_0x9818x7[_0xcdcb[49]]);if(_0x9818x7[_0xcdcb[50]]==_0xcdcb[51]){this[_0xcdcb[14]][_0xcdcb[53]][_0xcdcb[52]]=1;this[_0xcdcb[14]][_0xcdcb[54]]=_0x9818x7[_0xcdcb[56]](_0xcdcb[55])!=_0xcdcb[57];this[_0xcdcb[14]][_0xcdcb[59]][_0xcdcb[58]]=_0x9818x7[_0xcdcb[56]](_0xcdcb[60])!=_0xcdcb[57];this[_0xcdcb[14]][_0xcdcb[62]](_0x9818x7[_0xcdcb[56]](_0xcdcb[61])!=_0xcdcb[57]);this[_0xcdcb[14]][_0xcdcb[64]](_0x9818x7[_0xcdcb[56]](_0xcdcb[63])!=_0xcdcb[57]);this[_0xcdcb[14]][_0xcdcb[65]]=_0x9818x7[_0xcdcb[56]](_0xcdcb[66])!=_0xcdcb[57];this[_0xcdcb[14]][_0xcdcb[40]]=_0x9818x7[_0xcdcb[56]](_0xcdcb[40])!=_0xcdcb[57];if(!this[_0xcdcb[14]][_0xcdcb[40]]){this[_0xcdcb[14]][_0xcdcb[68]][_0xcdcb[67]]=0;this[_0xcdcb[14]][_0xcdcb[68]][_0xcdcb[69]]=0;this[_0xcdcb[14]][_0xcdcb[53]][_0xcdcb[71]][_0xcdcb[70]]=Number(_0x9818x7[_0xcdcb[56]](_0xcdcb[72])||0);this[_0xcdcb[14]][_0xcdcb[53]][_0xcdcb[71]][_0xcdcb[73]]=Number(_0x9818x7[_0xcdcb[56]](_0xcdcb[74])||0)};this[_0xcdcb[14]][_0xcdcb[75]]=_0x9818x7[_0xcdcb[56]](_0xcdcb[76])==_0xcdcb[8];this[_0xcdcb[14]][_0xcdcb[77]]=this[_0xcdcb[14]][_0xcdcb[75]];this[_0xcdcb[14]][_0xcdcb[78]]=this[_0xcdcb[14]][_0xcdcb[77]];var _0x9818x9=_0x9818x7[_0xcdcb[56]](_0xcdcb[79]);if(_0x9818x9!=null){this[_0xcdcb[14]][_0xcdcb[79]]=_0x9818x9}else {this[_0xcdcb[14]][_0xcdcb[79]]=1.5};var _0x9818xa=_0x9818x7[_0xcdcb[56]](_0xcdcb[80]);var _0x9818xb=_0x9818x7[_0xcdcb[56]](_0xcdcb[81]);if(_0x9818xa!=null&&_0x9818xb!=null){this[_0xcdcb[14]][_0xcdcb[82]]= new mxRectangle(0,0,parseFloat(_0x9818xa),parseFloat(_0x9818xb));this[_0xcdcb[15]][_0xcdcb[15]][_0xcdcb[82]]=this[_0xcdcb[14]][_0xcdcb[82]]};var _0x9818xc=_0x9818x7[_0xcdcb[56]](_0xcdcb[41]);if(_0x9818xc!=null&&_0x9818xc[_0xcdcb[83]]>0){this[_0xcdcb[14]][_0xcdcb[41]]=_0x9818xc};_0x9818x8[_0xcdcb[84]](_0x9818x7,this[_0xcdcb[14]][_0xcdcb[36]]());this[_0xcdcb[85]]()}};Editor[_0xcdcb[44]][_0xcdcb[86]]=function(){var _0x9818xd= new mxCodec(mxUtils[_0xcdcb[87]]());var _0x9818x7=_0x9818xd[_0xcdcb[88]](this[_0xcdcb[14]][_0xcdcb[36]]());if(this[_0xcdcb[14]][_0xcdcb[53]][_0xcdcb[71]][_0xcdcb[70]]!=0||this[_0xcdcb[14]][_0xcdcb[53]][_0xcdcb[71]][_0xcdcb[73]]!=0){_0x9818x7[_0xcdcb[90]](_0xcdcb[72],Math[_0xcdcb[89]](this[_0xcdcb[14]][_0xcdcb[53]][_0xcdcb[71]][_0xcdcb[70]]*100)/100);_0x9818x7[_0xcdcb[90]](_0xcdcb[74],Math[_0xcdcb[89]](this[_0xcdcb[14]][_0xcdcb[53]][_0xcdcb[71]][_0xcdcb[73]]*100)/100)};_0x9818x7[_0xcdcb[90]](_0xcdcb[55],(this[_0xcdcb[14]][_0xcdcb[91]]())?_0xcdcb[8]:_0xcdcb[57]);_0x9818x7[_0xcdcb[90]](_0xcdcb[60],(this[_0xcdcb[14]][_0xcdcb[59]][_0xcdcb[58]])?_0xcdcb[8]:_0xcdcb[57]);_0x9818x7[_0xcdcb[90]](_0xcdcb[60],(this[_0xcdcb[14]][_0xcdcb[59]][_0xcdcb[58]])?_0xcdcb[8]:_0xcdcb[57]);_0x9818x7[_0xcdcb[90]](_0xcdcb[61],(this[_0xcdcb[14]][_0xcdcb[93]][_0xcdcb[92]]())?_0xcdcb[8]:_0xcdcb[57]);_0x9818x7[_0xcdcb[90]](_0xcdcb[63],(this[_0xcdcb[14]][_0xcdcb[94]][_0xcdcb[92]]())?_0xcdcb[8]:_0xcdcb[57]);_0x9818x7[_0xcdcb[90]](_0xcdcb[66],(this[_0xcdcb[14]][_0xcdcb[65]])?_0xcdcb[8]:_0xcdcb[57]);_0x9818x7[_0xcdcb[90]](_0xcdcb[76],(this[_0xcdcb[14]][_0xcdcb[75]])?_0xcdcb[8]:_0xcdcb[57]);_0x9818x7[_0xcdcb[90]](_0xcdcb[79],this[_0xcdcb[14]][_0xcdcb[79]]);_0x9818x7[_0xcdcb[90]](_0xcdcb[80],this[_0xcdcb[14]][_0xcdcb[82]][_0xcdcb[95]]);_0x9818x7[_0xcdcb[90]](_0xcdcb[81],this[_0xcdcb[14]][_0xcdcb[82]][_0xcdcb[96]]);if(!this[_0xcdcb[14]][_0xcdcb[40]]){_0x9818x7[_0xcdcb[90]](_0xcdcb[40],_0xcdcb[57])};if(this[_0xcdcb[14]][_0xcdcb[41]]!=null){_0x9818x7[_0xcdcb[90]](_0xcdcb[41],this[_0xcdcb[14]][_0xcdcb[41]])};return _0x9818x7};Editor[_0xcdcb[44]][_0xcdcb[85]]=function(){var _0x9818xe=this[_0xcdcb[14]];var _0x9818xf=this[_0xcdcb[15]];if(_0x9818xe[_0xcdcb[68]]!=null&&_0x9818xf[_0xcdcb[15]][_0xcdcb[68]]!=null){if(_0x9818xe[_0xcdcb[41]]!=null){if(_0x9818xe[_0xcdcb[41]]==_0xcdcb[97]){_0x9818xe[_0xcdcb[68]][_0xcdcb[99]][_0xcdcb[98]]=_0xcdcb[100]}else {if(_0x9818xe[_0xcdcb[53]][_0xcdcb[101]]!=null){_0x9818xe[_0xcdcb[53]][_0xcdcb[101]][_0xcdcb[102]]=_0x9818xe[_0xcdcb[41]];_0x9818xe[_0xcdcb[53]][_0xcdcb[101]][_0xcdcb[103]]()};_0x9818xe[_0xcdcb[68]][_0xcdcb[99]][_0xcdcb[98]]=_0x9818xe[_0xcdcb[41]]}}else {_0x9818xe[_0xcdcb[68]][_0xcdcb[99]][_0xcdcb[98]]=_0xcdcb[20]};if(_0x9818xe[_0xcdcb[75]]){_0x9818xe[_0xcdcb[68]][_0xcdcb[99]][_0xcdcb[98]]=_0xcdcb[104];_0x9818xe[_0xcdcb[68]][_0xcdcb[99]][_0xcdcb[105]]=_0xcdcb[106];_0x9818xe[_0xcdcb[68]][_0xcdcb[99]][_0xcdcb[107]]=_0xcdcb[108];_0x9818xe[_0xcdcb[68]][_0xcdcb[99]][_0xcdcb[109]]=_0xcdcb[110];_0x9818xe[_0xcdcb[68]][_0xcdcb[99]][_0xcdcb[111]]=_0xcdcb[110];_0x9818xe[_0xcdcb[68]][_0xcdcb[99]][_0xcdcb[112]]=_0xcdcb[113];_0x9818xe[_0xcdcb[68]][_0xcdcb[99]][_0xcdcb[114]]=_0xcdcb[113]}else {_0x9818xe[_0xcdcb[68]][_0xcdcb[99]][_0xcdcb[115]]=_0xcdcb[20]};_0x9818xf[_0xcdcb[15]][_0xcdcb[68]][_0xcdcb[99]][_0xcdcb[98]]=_0x9818xe[_0xcdcb[68]][_0xcdcb[99]][_0xcdcb[98]];if(_0x9818xf[_0xcdcb[15]][_0xcdcb[75]]!=_0x9818xe[_0xcdcb[75]]||_0x9818xf[_0xcdcb[15]][_0xcdcb[79]]!=_0x9818xe[_0xcdcb[79]]){_0x9818xf[_0xcdcb[15]][_0xcdcb[79]]=_0x9818xe[_0xcdcb[79]];_0x9818xf[_0xcdcb[15]][_0xcdcb[75]]=_0x9818xe[_0xcdcb[75]];_0x9818xf[_0xcdcb[15]][_0xcdcb[53]][_0xcdcb[116]]()};if(_0x9818xe[_0xcdcb[40]]&&_0x9818xe[_0xcdcb[68]][_0xcdcb[99]][_0xcdcb[117]]==_0xcdcb[118]&& !touchStyle){_0x9818xe[_0xcdcb[68]][_0xcdcb[99]][_0xcdcb[117]]=_0xcdcb[119]}else {if(!_0x9818xe[_0xcdcb[40]]||touchStyle){_0x9818xe[_0xcdcb[68]][_0xcdcb[99]][_0xcdcb[117]]=_0xcdcb[118]}};var _0x9818x10=(mxClient[_0xcdcb[120]]&&document[_0xcdcb[121]]>=9)?_0xcdcb[122]+this[_0xcdcb[46]]+_0xcdcb[123]:_0xcdcb[97];_0x9818xe[_0xcdcb[68]][_0xcdcb[99]][_0xcdcb[124]]=(!_0x9818xe[_0xcdcb[75]]&&_0x9818xe[_0xcdcb[91]]())?_0xcdcb[122]+this[_0xcdcb[43]]+_0xcdcb[123]:_0x9818x10;if(_0x9818xe[_0xcdcb[53]][_0xcdcb[101]]!=null){_0x9818xe[_0xcdcb[53]][_0xcdcb[101]][_0xcdcb[125]][_0xcdcb[99]][_0xcdcb[124]]=(this[_0xcdcb[14]][_0xcdcb[91]]())?_0xcdcb[122]+this[_0xcdcb[43]]+_0xcdcb[123]:_0xcdcb[97]}}};Editor[_0xcdcb[44]][_0xcdcb[12]]=function(){if(mxClient[_0xcdcb[126]]){mxClient[_0xcdcb[129]](_0xcdcb[127],CSS_PATH+_0xcdcb[128])};mxResources[_0xcdcb[130]]=false;mxResources[_0xcdcb[131]](RESOURCE_BASE);mxConstants[_0xcdcb[132]]=0.3;var _0x9818x11=mxConnectionHandler[_0xcdcb[44]][_0xcdcb[133]];mxConnectionHandler[_0xcdcb[44]][_0xcdcb[133]]=function(){var _0x9818x12=_0x9818x11[_0xcdcb[33]](this,arguments);_0x9818x12[_0xcdcb[134]]=mxUtils[_0xcdcb[34]](this,function(_0x9818x13,_0x9818x14){if(this[_0xcdcb[135]]()){return true};return mxCellMarker[_0xcdcb[44]][_0xcdcb[134]][_0xcdcb[33]](_0x9818x12,arguments)});return _0x9818x12};mxConstants[_0xcdcb[136]]=_0xcdcb[137];mxConstants[_0xcdcb[138]]=_0xcdcb[139];mxConstants[_0xcdcb[140]]=_0xcdcb[141];mxConstants[_0xcdcb[142]]=_0xcdcb[143];mxConstants[_0xcdcb[144]]=_0xcdcb[143];mxConstants[_0xcdcb[145]]=_0xcdcb[139];mxConstants[_0xcdcb[146]]=_0xcdcb[143];mxConstants[_0xcdcb[147]]=_0xcdcb[148];mxConstants[_0xcdcb[149]]=_0xcdcb[143];mxConstants[_0xcdcb[150]]=_0xcdcb[143];mxConstants[_0xcdcb[151]]=_0xcdcb[148];mxConstants[_0xcdcb[152]]=_0xcdcb[141];mxGraph[_0xcdcb[44]][_0xcdcb[153]]=_0xcdcb[154];mxGraph[_0xcdcb[44]][_0xcdcb[79]]=1;mxRubberband[_0xcdcb[44]][_0xcdcb[155]]=30;mxGraphView[_0xcdcb[44]][_0xcdcb[156]]=function(_0x9818x15){return new mxRectangleShape(_0x9818x15,this[_0xcdcb[14]][_0xcdcb[41]]||_0xcdcb[157],_0xcdcb[158])};mxGraphView[_0xcdcb[44]][_0xcdcb[159]]=function(){var _0x9818x16=this[_0xcdcb[160]]();var _0x9818x17=(_0x9818x16[_0xcdcb[95]]>0)?_0x9818x16[_0xcdcb[70]]/this[_0xcdcb[52]]-this[_0xcdcb[71]][_0xcdcb[70]]:0;var _0x9818x18=(_0x9818x16[_0xcdcb[96]]>0)?_0x9818x16[_0xcdcb[73]]/this[_0xcdcb[52]]-this[_0xcdcb[71]][_0xcdcb[73]]:0;var _0x9818x19=_0x9818x16[_0xcdcb[95]]/this[_0xcdcb[52]];var _0x9818x1a=_0x9818x16[_0xcdcb[96]]/this[_0xcdcb[52]];var _0x9818x1b=this[_0xcdcb[14]][_0xcdcb[82]];var _0x9818x9=this[_0xcdcb[14]][_0xcdcb[79]];var _0x9818xa=_0x9818x1b[_0xcdcb[95]]*_0x9818x9;var _0x9818xb=_0x9818x1b[_0xcdcb[96]]*_0x9818x9;var _0x9818x1c=Math[_0xcdcb[162]](Math[_0xcdcb[161]](0,_0x9818x17)/_0x9818xa);var _0x9818x1d=Math[_0xcdcb[162]](Math[_0xcdcb[161]](0,_0x9818x18)/_0x9818xb);var _0x9818x1e=Math[_0xcdcb[164]](Math[_0xcdcb[163]](1,_0x9818x17+_0x9818x19)/_0x9818xa);var _0x9818x1f=Math[_0xcdcb[164]](Math[_0xcdcb[163]](1,_0x9818x18+_0x9818x1a)/_0x9818xb);var _0x9818x20=_0x9818x1e-_0x9818x1c;var _0x9818x21=_0x9818x1f-_0x9818x1d;var _0x9818x15= new mxRectangle(this[_0xcdcb[52]]*(this[_0xcdcb[71]][_0xcdcb[70]]+_0x9818x1c*_0x9818xa),this[_0xcdcb[52]]*(this[_0xcdcb[71]][_0xcdcb[73]]+_0x9818x1d*_0x9818xb),this[_0xcdcb[52]]*_0x9818x20*_0x9818xa,this[_0xcdcb[52]]*_0x9818x21*_0x9818xb);return _0x9818x15};var _0x9818x22=mxGraph[_0xcdcb[44]][_0xcdcb[165]];mxGraph[_0xcdcb[44]][_0xcdcb[165]]=function(_0x9818x23,_0x9818x24){_0x9818x22[_0xcdcb[33]](this,arguments);if((this[_0xcdcb[166]]!=mxConstants[_0xcdcb[167]]&&this[_0xcdcb[53]][_0xcdcb[101]]!=null)&&(!this[_0xcdcb[168]]||!mxUtils[_0xcdcb[169]](this[_0xcdcb[68]]))){this[_0xcdcb[53]][_0xcdcb[101]][_0xcdcb[125]][_0xcdcb[99]][_0xcdcb[170]]=_0x9818x23+_0xcdcb[171];this[_0xcdcb[53]][_0xcdcb[101]][_0xcdcb[125]][_0xcdcb[99]][_0xcdcb[172]]=_0x9818x24+_0xcdcb[171]}};var _0x9818x25=this;mxGraphView[_0xcdcb[44]][_0xcdcb[173]]=function(){var _0x9818xc=this[_0xcdcb[14]][_0xcdcb[174]]();if(_0x9818xc!=null){if(this[_0xcdcb[124]]==null||this[_0xcdcb[124]][_0xcdcb[175]]!=_0x9818xc[_0xcdcb[176]]){if(this[_0xcdcb[124]]!=null){this[_0xcdcb[124]][_0xcdcb[177]]()};var _0x9818x15= new mxRectangle(0,0,1,1);this[_0xcdcb[124]]= new mxImageShape(_0x9818x15,_0x9818xc[_0xcdcb[176]]);this[_0xcdcb[124]][_0xcdcb[166]]=this[_0xcdcb[14]][_0xcdcb[166]];this[_0xcdcb[124]][_0xcdcb[12]](this[_0xcdcb[178]]);this[_0xcdcb[124]][_0xcdcb[179]]()};this[_0xcdcb[180]](this[_0xcdcb[124]],_0x9818xc)}else {if(this[_0xcdcb[124]]!=null){this[_0xcdcb[124]][_0xcdcb[177]]();this[_0xcdcb[124]]=null}};if(this[_0xcdcb[14]][_0xcdcb[75]]){var _0x9818x15=this[_0xcdcb[159]]();if(this[_0xcdcb[101]]==null){this[_0xcdcb[101]]=this[_0xcdcb[156]](_0x9818x15);this[_0xcdcb[101]][_0xcdcb[52]]=1;this[_0xcdcb[101]][_0xcdcb[181]]=true;this[_0xcdcb[101]][_0xcdcb[166]]=mxConstants[_0xcdcb[182]];this[_0xcdcb[101]][_0xcdcb[12]](this[_0xcdcb[14]][_0xcdcb[68]]);this[_0xcdcb[14]][_0xcdcb[68]][_0xcdcb[184]][_0xcdcb[99]][_0xcdcb[183]]=_0xcdcb[185];this[_0xcdcb[14]][_0xcdcb[68]][_0xcdcb[186]](this[_0xcdcb[101]][_0xcdcb[125]],this[_0xcdcb[14]][_0xcdcb[68]][_0xcdcb[184]]);this[_0xcdcb[101]][_0xcdcb[179]]();this[_0xcdcb[101]][_0xcdcb[125]][_0xcdcb[187]]=_0xcdcb[188];this[_0xcdcb[101]][_0xcdcb[125]][_0xcdcb[99]][_0xcdcb[189]]=_0xcdcb[190];mxEvent[_0xcdcb[35]](this[_0xcdcb[101]][_0xcdcb[125]],_0xcdcb[191],mxUtils[_0xcdcb[34]](this,function(_0x9818x14){this[_0xcdcb[14]][_0xcdcb[192]](_0x9818x14)}));mxEvent[_0xcdcb[198]](this[_0xcdcb[101]][_0xcdcb[125]],mxUtils[_0xcdcb[34]](this,function(_0x9818x14){this[_0xcdcb[14]][_0xcdcb[193]](mxEvent.MOUSE_DOWN, new mxMouseEvent(_0x9818x14))}),mxUtils[_0xcdcb[34]](this,function(_0x9818x14){if(this[_0xcdcb[14]][_0xcdcb[93]]!=null&&this[_0xcdcb[14]][_0xcdcb[93]][_0xcdcb[194]]()){this[_0xcdcb[14]][_0xcdcb[93]][_0xcdcb[195]]()};if(this[_0xcdcb[14]][_0xcdcb[196]]&&!mxEvent[_0xcdcb[197]](_0x9818x14)){this[_0xcdcb[14]][_0xcdcb[193]](mxEvent.MOUSE_MOVE, new mxMouseEvent(_0x9818x14))}}),mxUtils[_0xcdcb[34]](this,function(_0x9818x14){this[_0xcdcb[14]][_0xcdcb[193]](mxEvent.MOUSE_UP, new mxMouseEvent(_0x9818x14))}))}else {this[_0xcdcb[101]][_0xcdcb[52]]=1;this[_0xcdcb[101]][_0xcdcb[199]]=_0x9818x15;this[_0xcdcb[101]][_0xcdcb[179]]()};this[_0xcdcb[101]][_0xcdcb[125]][_0xcdcb[99]][_0xcdcb[124]]=(this[_0xcdcb[14]][_0xcdcb[91]]())?_0xcdcb[122]+_0x9818x25[_0xcdcb[43]]+_0xcdcb[123]:_0xcdcb[97]}else {if(this[_0xcdcb[101]]!=null){this[_0xcdcb[101]][_0xcdcb[177]]();this[_0xcdcb[101]]=null}}};mxGraph[_0xcdcb[44]][_0xcdcb[200]]=function(_0x9818x26,_0x9818x27,_0x9818x28){var _0x9818x29=this[_0xcdcb[53]][_0xcdcb[52]];var _0x9818x2a=this[_0xcdcb[53]][_0xcdcb[71]];var _0x9818x1b=this[_0xcdcb[82]];var _0x9818x9=_0x9818x29*this[_0xcdcb[79]];var _0x9818x2b=this[_0xcdcb[53]][_0xcdcb[159]]();_0x9818x27=_0x9818x2b[_0xcdcb[95]];_0x9818x28=_0x9818x2b[_0xcdcb[96]];var _0x9818x15= new mxRectangle(_0x9818x29*_0x9818x2a[_0xcdcb[70]],_0x9818x29*_0x9818x2a[_0xcdcb[73]],_0x9818x1b[_0xcdcb[95]]*_0x9818x9,_0x9818x1b[_0xcdcb[96]]*_0x9818x9);_0x9818x26=_0x9818x26&&Math[_0xcdcb[161]](_0x9818x15[_0xcdcb[95]],_0x9818x15[_0xcdcb[96]])>this[_0xcdcb[201]];var _0x9818x2c=(_0x9818x26)?Math[_0xcdcb[164]](_0x9818x27/_0x9818x15[_0xcdcb[95]])-1:0;var _0x9818x2d=(_0x9818x26)?Math[_0xcdcb[164]](_0x9818x28/_0x9818x15[_0xcdcb[96]])-1:0;var _0x9818x2e=_0x9818x2b[_0xcdcb[70]]+_0x9818x27;var _0x9818x2f=_0x9818x2b[_0xcdcb[73]]+_0x9818x28;if(this[_0xcdcb[202]]==null&&_0x9818x2c>0){this[_0xcdcb[202]]=[]};if(this[_0xcdcb[202]]!=null){for(var _0x9818x30=0;_0x9818x30<=_0x9818x2c;_0x9818x30++){var _0x9818x31=[ new mxPoint(_0x9818x2b[_0xcdcb[70]]+(_0x9818x30+1)*_0x9818x15[_0xcdcb[95]],_0x9818x2b[_0xcdcb[73]]), new mxPoint(_0x9818x2b[_0xcdcb[70]]+(_0x9818x30+1)*_0x9818x15[_0xcdcb[95]],_0x9818x2f)];if(this[_0xcdcb[202]][_0x9818x30]!=null){this[_0xcdcb[202]][_0x9818x30][_0xcdcb[52]]=1;this[_0xcdcb[202]][_0x9818x30][_0xcdcb[203]]=_0x9818x31;this[_0xcdcb[202]][_0x9818x30][_0xcdcb[179]]()}else {var _0x9818x32= new mxPolyline(_0x9818x31,this[_0xcdcb[153]],this[_0xcdcb[52]]);_0x9818x32[_0xcdcb[166]]=this[_0xcdcb[166]];_0x9818x32[_0xcdcb[204]]=this[_0xcdcb[205]];_0x9818x32[_0xcdcb[206]]=false;_0x9818x32[_0xcdcb[52]]=_0x9818x29;_0x9818x32[_0xcdcb[12]](this[_0xcdcb[53]][_0xcdcb[178]]);_0x9818x32[_0xcdcb[179]]();this[_0xcdcb[202]][_0x9818x30]=_0x9818x32}};for(var _0x9818x30=_0x9818x2c;_0x9818x300){this[_0xcdcb[208]]=[]};if(this[_0xcdcb[208]]!=null){for(var _0x9818x30=0;_0x9818x30<=_0x9818x2d;_0x9818x30++){var _0x9818x31=[ new mxPoint(_0x9818x2b[_0xcdcb[70]],_0x9818x2b[_0xcdcb[73]]+(_0x9818x30+1)*_0x9818x15[_0xcdcb[96]]), new mxPoint(_0x9818x2e,_0x9818x2b[_0xcdcb[73]]+(_0x9818x30+1)*_0x9818x15[_0xcdcb[96]])];if(this[_0xcdcb[208]][_0x9818x30]!=null){this[_0xcdcb[208]][_0x9818x30][_0xcdcb[52]]=1;this[_0xcdcb[208]][_0x9818x30][_0xcdcb[203]]=_0x9818x31;this[_0xcdcb[208]][_0x9818x30][_0xcdcb[179]]()}else {var _0x9818x32= new mxPolyline(_0x9818x31,this[_0xcdcb[153]],_0x9818x29);_0x9818x32[_0xcdcb[166]]=this[_0xcdcb[166]];_0x9818x32[_0xcdcb[204]]=this[_0xcdcb[205]];_0x9818x32[_0xcdcb[206]]=false;_0x9818x32[_0xcdcb[52]]=_0x9818x29;_0x9818x32[_0xcdcb[12]](this[_0xcdcb[53]][_0xcdcb[178]]);_0x9818x32[_0xcdcb[179]]();this[_0xcdcb[208]][_0x9818x30]=_0x9818x32}};for(var _0x9818x30=_0x9818x2d;_0x9818x300&&_0x9818x4d[0]==_0xcdcb[255]){_0x9818x4e=_0x9818x4d[1];for(var _0x9818x30=2;_0x9818x30<_0x9818x4d[_0xcdcb[83]]-1;_0x9818x30++){_0x9818x4e+=_0xcdcb[252]+_0x9818x4d[_0x9818x30]}};return _0x9818x4e};mxStencilRegistry[_0xcdcb[241]]=function(_0x9818x4f,_0x9818x50,_0x9818x51){_0x9818x51=(_0x9818x51!=null)?_0x9818x51:false;var _0x9818x52=mxStencilRegistry[_0xcdcb[243]][_0x9818x4f];if(_0x9818x51||_0x9818x52==null){var _0x9818x53=false;if(_0x9818x52==null){var _0x9818x4c=mxUtils[_0xcdcb[250]](_0x9818x4f);_0x9818x52=_0x9818x4c[_0xcdcb[256]]();mxStencilRegistry[_0xcdcb[243]][_0x9818x4f]=_0x9818x52;_0x9818x53=true};mxStencilRegistry[_0xcdcb[257]](_0x9818x52,_0x9818x50,_0x9818x53)}};mxStencilRegistry[_0xcdcb[257]]=function(_0x9818x54,_0x9818x50,_0x9818x53){_0x9818x53=(_0x9818x53!=null)?_0x9818x53:true;var _0x9818x55=_0x9818x54[_0xcdcb[258]];var _0x9818x56=_0x9818x55[_0xcdcb[184]];var _0x9818x57=_0xcdcb[20];var _0x9818x48=_0x9818x55[_0xcdcb[56]](_0xcdcb[259]);if(_0x9818x48!=null){_0x9818x57=_0x9818x48+_0xcdcb[253]};while(_0x9818x56!=null){if(_0x9818x56[_0xcdcb[260]]==mxConstants[_0xcdcb[261]]){_0x9818x48=_0x9818x56[_0xcdcb[56]](_0xcdcb[259]);if(_0x9818x48!=null){_0x9818x57=_0x9818x57[_0xcdcb[248]]();var _0x9818x58=_0x9818x48[_0xcdcb[263]](/ /g,_0xcdcb[262]);if(_0x9818x53){mxStencilRegistry[_0xcdcb[264]](_0x9818x57+_0x9818x58[_0xcdcb[248]](), new mxStencil(_0x9818x56))};if(_0x9818x50!=null){var _0x9818x19=_0x9818x56[_0xcdcb[56]](_0xcdcb[265]);var _0x9818x1a=_0x9818x56[_0xcdcb[56]](_0xcdcb[266]);_0x9818x19=(_0x9818x19==null)?80:parseInt(_0x9818x19,10);_0x9818x1a=(_0x9818x1a==null)?80:parseInt(_0x9818x1a,10);_0x9818x50(_0x9818x57,_0x9818x58,_0x9818x48,_0x9818x19,_0x9818x1a)}}};_0x9818x56=_0x9818x56[_0xcdcb[267]]}}})();OpenFile=function(_0x9818x59){this[_0xcdcb[268]]=null;this[_0xcdcb[269]]=null;this[_0xcdcb[270]]=_0x9818x59};OpenFile[_0xcdcb[44]][_0xcdcb[271]]=function(_0x9818x6){this[_0xcdcb[269]]=_0x9818x6;this[_0xcdcb[272]]()};OpenFile[_0xcdcb[44]][_0xcdcb[273]]=function(_0x9818x6,_0x9818x5a){this[_0xcdcb[274]]=_0x9818x6;this[_0xcdcb[21]]=_0x9818x5a;this[_0xcdcb[272]]()};OpenFile[_0xcdcb[44]][_0xcdcb[275]]=function(_0x9818x5b){this[_0xcdcb[276]]();mxUtils[_0xcdcb[277]](_0x9818x5b)};OpenFile[_0xcdcb[44]][_0xcdcb[272]]=function(){if(this[_0xcdcb[269]]!=null&&this[_0xcdcb[274]]!=null){this[_0xcdcb[269]](this[_0xcdcb[274]],this[_0xcdcb[21]]);this[_0xcdcb[276]]()}};OpenFile[_0xcdcb[44]][_0xcdcb[276]]=function(){if(this[_0xcdcb[270]]!=null){this[_0xcdcb[270]]()}} \ No newline at end of file diff --git a/public/assets/plugins/square/js/EditorUi.js b/public/assets/plugins/square/js/EditorUi.js index ce862c4..21fa0d7 100644 --- a/public/assets/plugins/square/js/EditorUi.js +++ b/public/assets/plugins/square/js/EditorUi.js @@ -1 +1 @@ -var _0xb4d7=["\x65\x64\x69\x74\x6F\x72","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x45\x64\x69\x74\x6F\x72","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74\x42\x79\x49\x64","\x67\x72\x61\x70\x68","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x73\x74\x79\x6C\x65","\x68\x69\x64\x64\x65\x6E","\x73\x72\x63","\x73\x75\x62\x6D\x65\x6E\x75\x49\x6D\x61\x67\x65","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x63\x6F\x6E\x6E\x65\x63\x74\x49\x6D\x61\x67\x65","\x61\x63\x74\x69\x6F\x6E\x73","\x6D\x65\x6E\x75\x73","\x63\x72\x65\x61\x74\x65\x44\x69\x76\x73","\x72\x65\x66\x72\x65\x73\x68","\x63\x72\x65\x61\x74\x65\x55\x69","\x65\x76\x65\x6E\x74","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x41\x6C\x6C\x6F\x77\x65\x64","\x69\x73\x45\x64\x69\x74\x69\x6E\x67","\x62\x69\x6E\x64","\x62\x6F\x64\x79","\x6F\x6E\x73\x65\x6C\x65\x63\x74\x73\x74\x61\x72\x74","\x6D\x65\x6E\x75\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x6F\x6E\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E","\x74\x6F\x6F\x6C\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x64\x69\x61\x67\x72\x61\x6D\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x73\x69\x64\x65\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x66\x6F\x6F\x74\x65\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x49\x53\x5F\x49\x45","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x4D\x6F\x64\x65","\x75\x6E\x64\x65\x66\x69\x6E\x65\x64","\x63\x6F\x6E\x74\x65\x78\x74\x6D\x65\x6E\x75","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x6F\x6E\x63\x6F\x6E\x74\x65\x78\x74\x6D\x65\x6E\x75","\x69\x6E\x69\x74","\x74\x61\x62\x69\x6E\x64\x65\x78","\x30","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x75\x72\x73\x6F\x72","\x64\x65\x66\x61\x75\x6C\x74","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x75\x72\x6C\x28","\x67\x72\x69\x64\x49\x6D\x61\x67\x65","\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x2D\x31\x70\x78\x20\x2D\x31\x70\x78","\x66\x6F\x63\x75\x73","\x66\x69\x72\x65\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74","\x4D\x4F\x55\x53\x45\x5F\x44\x4F\x57\x4E","\x61\x70\x70\x6C\x79","\x6D\x75\x6C\x74\x69\x70\x6C\x69\x63\x69\x74\x69\x65\x73","\x73\x74\x61\x72\x74","\x66\x69\x6E\x61\x6C\x6C\x79","\x65\x6E\x64","\x6E","\x63\x72\x65\x61\x74\x65\x4D\x75\x6C\x74\x69\x70\x6C\x69\x63\x69\x74\x69\x65\x73","\x61\x6E\x73\x77\x65\x72","\x68\x61\x6E\x67\x75\x70","\x64\x69\x61\x6C","\x65\x78\x74\x5F\x64\x69\x61\x6C","\x71\x75\x65\x75\x65","\x76\x6F\x69\x63\x65\x6D\x61\x69\x6C","\x63\x61\x6C\x6C\x62\x61\x63\x6B","\x73\x65\x74","\x6D\x61\x74\x68","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x70\x6C\x61\x79\x62\x61\x63\x6B","\x6D\x65\x6E\x75","\x73\x61\x79\x64\x69\x67\x69\x74\x73","\x73\x61\x79\x6E\x75\x6D\x62\x65\x72","\x73\x61\x79\x70\x68\x6F\x6E\x65\x74\x69\x63","\x74\x74\x73","\x69\x73\x70\x65\x65\x63\x68\x74\x74\x73","\x67\x65\x74\x64\x69\x67\x69\x74\x73","\x72\x65\x63\x6F\x72\x64","\x64\x61\x74\x61\x62\x61\x73\x65","\x69\x73\x70\x65\x65\x63\x68\x61\x73\x72","\x67\x6F\x74\x6F\x63","\x67\x6F\x74\x6F\x69\x66","\x67\x6F\x74\x6F\x69\x66\x74\x69\x6D\x65","\x67\x6F\x74\x6F\x69\x66\x6D\x75\x6C\x74\x69\x74\x69\x6D\x65","\x76\x73\x77\x69\x74\x63\x68","\x71\x75\x65\x75\x65\x6C\x6F\x67","\x67\x6F\x61\x6C","\x6E\x6F\x6F\x70","\x73\x79\x73\x74\x65\x6D","\x61\x67\x69","\x73\x75\x62\x70\x72\x6F\x6A\x65\x63\x74","\x63\x75\x73\x74\x6F\x6D\x5F\x61\x70\x70","\x64\x62\x6C\x43\x6C\x69\x63\x6B","\x63\x65\x6C\x6C","\x66\x69\x72\x65\x45\x76\x65\x6E\x74","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x43\x6F\x6E\x73\x75\x6D\x65\x64","\x69\x73\x45\x64\x67\x65","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x74\x61\x67\x4E\x61\x6D\x65","\x76\x61\x6C\x75\x65","\x73\x6F\x75\x72\x63\x65","\x69\x6E\x64\x65\x78\x4F\x66","\x73\x74\x61\x72\x74\x45\x64\x69\x74\x69\x6E\x67\x41\x74\x43\x65\x6C\x6C","\x69\x73\x56\x65\x72\x74\x65\x78","\x6C\x6F\x67","\x73\x68\x6F\x77\x44\x69\x61\x6C\x6F\x67","\x63\x6F\x6E\x73\x75\x6D\x65","\x61\x75\x74\x6F\x45\x78\x70\x61\x6E\x64","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x66\x61\x63\x74\x6F\x72\x79\x4D\x65\x74\x68\x6F\x64","\x63\x72\x65\x61\x74\x65\x50\x6F\x70\x75\x70\x4D\x65\x6E\x75","\x6F\x75\x74\x6C\x69\x6E\x65\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x6F\x75\x74\x6C\x69\x6E\x65","\x68\x69\x64\x65\x4D\x65\x6E\x75","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x49\x53\x5F\x54\x4F\x55\x43\x48","\x67\x65\x73\x74\x75\x72\x65\x63\x68\x61\x6E\x67\x65","\x74\x72\x61\x6E\x73\x66\x6F\x72\x6D","\x73\x63\x61\x6C\x65\x28","\x73\x63\x61\x6C\x65","\x67\x65\x74\x44\x72\x61\x77\x50\x61\x6E\x65","\x76\x69\x65\x77","\x76\x69\x73\x69\x62\x69\x6C\x69\x74\x79","\x67\x65\x74\x4F\x76\x65\x72\x6C\x61\x79\x50\x61\x6E\x65","\x67\x65\x73\x74\x75\x72\x65\x65\x6E\x64","\x72\x65\x6D\x6F\x76\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x7A\x6F\x6F\x6D\x54\x6F\x43\x65\x6E\x74\x65\x72","\x7A\x6F\x6F\x6D","\x76\x69\x73\x69\x62\x6C\x65","\x63\x72\x65\x61\x74\x65\x4B\x65\x79\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x4B\x65\x79\x48\x61\x6E\x64\x6C\x65\x72","\x72\x65\x73\x69\x7A\x65","\x73\x69\x7A\x65\x44\x69\x64\x43\x68\x61\x6E\x67\x65","\x75\x70\x64\x61\x74\x65","\x6F\x70\x65\x6E","\x73\x70\x6C\x69\x74\x53\x69\x7A\x65","\x6D\x65\x6E\x75\x62\x61\x72\x48\x65\x69\x67\x68\x74","\x74\x6F\x6F\x6C\x62\x61\x72\x48\x65\x69\x67\x68\x74","\x66\x6F\x6F\x74\x65\x72\x48\x65\x69\x67\x68\x74","\x68\x73\x70\x6C\x69\x74\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x76\x73\x70\x6C\x69\x74\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x61\x64\x64\x55\x6E\x64\x6F\x4C\x69\x73\x74\x65\x6E\x65\x72","\x61\x64\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x4C\x69\x73\x74\x65\x6E\x65\x72","\x70\x61\x73\x74\x65","\x67\x65\x74","\x69\x73\x45\x6D\x70\x74\x79","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x63\x75\x74","\x63\x6F\x70\x79","\x6F\x70\x65\x6E\x65\x72","\x6F\x70\x65\x6E\x46\x69\x6C\x65","\x70\x61\x72\x73\x65\x58\x6D\x6C","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x73\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x6D\x6F\x64\x69\x66\x69\x65\x64","\x63\x6C\x65\x61\x72","\x75\x6E\x64\x6F\x4D\x61\x6E\x61\x67\x65\x72","\x66\x69\x6C\x65\x6E\x61\x6D\x65","\x69\x6E\x76\x61\x6C\x69\x64\x4F\x72\x4D\x69\x73\x73\x69\x6E\x67\x46\x69\x6C\x65","\x3A\x20","\x6D\x65\x73\x73\x61\x67\x65","\x61\x6C\x65\x72\x74","\x73\x65\x74\x43\x6F\x6E\x73\x75\x6D\x65\x72","\x6F\x70\x65\x6E\x53\x74\x72\x69\x6E\x67","\x64\x61\x74\x61","\x6E\x65\x77","\x67\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x67\x65\x74\x50\x72\x65\x74\x74\x79\x58\x6D\x6C","\x67\x65\x74\x49\x74\x65\x6D","\x72\x65\x70\x6C\x61\x63\x65","\x63\x6F\x6E\x66\x69\x72\x6D","\x73\x65\x74\x49\x74\x65\x6D","\x73\x61\x76\x65\x64","\x20","\x73\x65\x74\x53\x74\x61\x74\x75\x73","\x6C\x65\x6E\x67\x74\x68","\x50\x4F\x53\x54","\x43\x6F\x6E\x74\x65\x6E\x74\x2D\x54\x79\x70\x65","\x61\x70\x70\x6C\x69\x63\x61\x74\x69\x6F\x6E\x2F\x78\x2D\x77\x77\x77\x2D\x66\x6F\x72\x6D\x2D\x75\x72\x6C\x65\x6E\x63\x6F\x64\x65\x64","\x73\x65\x74\x52\x65\x71\x75\x65\x73\x74\x48\x65\x61\x64\x65\x72","\x41\x75\x74\x68\x6F\x72\x69\x7A\x61\x74\x69\x6F\x6E","\x42\x65\x61\x72\x65\x72\x20","\x74\x6F\x6B\x65\x6E","\x6F\x6E\x6C\x6F\x61\x64","\x72\x65\x61\x64\x79\x53\x74\x61\x74\x65","\x73\x74\x61\x74\x75\x73","\x50\x72\x6F\x6A\x65\x63\x74\x20","\x6E\x61\x6D\x65","\x72\x65\x73\x70\x6F\x6E\x73\x65","\x70\x61\x72\x73\x65","\x20\x69\x73\x20\x6F\x70\x65\x6E\x69\x6E\x67\x20\x69\x6E\x20\x6E\x65\x77\x20\x77\x69\x6E\x64\x6F\x77\x21","\x73\x71\x75\x61\x72\x65\x2F\x70\x72\x6F\x6A\x65\x63\x74\x2F","\x69\x64","\x5F\x62\x6C\x61\x6E\x6B","\x45\x72\x72\x6F\x72\x20\x63\x72\x65\x61\x74\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74\x3A\x20","\x65\x72\x72\x6F\x72\x73","\x6F\x6E\x65\x72\x72\x6F\x72","\x73\x74\x61\x74\x75\x73\x54\x65\x78\x74","\x64\x65\x73\x63\x72\x69\x70\x74\x69\x6F\x6E\x3D\x70\x72\x6F\x6A\x65\x63\x74\x5F\x6E\x65\x77\x26\x6E\x61\x6D\x65\x3D","\x73\x65\x6E\x64","\x64\x72\x61\x77\x69\x6E\x67\x54\x6F\x6F\x4C\x61\x72\x67\x65","\x70\x6F\x70\x75\x70","\x45\x72\x72\x6F\x72\x20\x63\x72\x65\x61\x74\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74","\x73\x61\x76\x65","\x50\x55\x54","\x55\x70\x64\x61\x74\x65\x64\x20\x61\x74\x20","\x75\x70\x64\x61\x74\x65\x64\x41\x74","\x20\x28","\x45\x72\x72\x6F\x72\x20\x73\x61\x76\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74\x3A\x20","\x70\x72\x65\x70\x72\x6F\x64\x75\x63\x74\x69\x6F\x6E\x3D","\x45\x72\x72\x6F\x72\x20\x73\x61\x76\x69\x6E\x67\x20\x66\x69\x6C\x65","\x73\x61\x76\x65\x41\x73","\x73\x71\x75\x61\x72\x65\x2F\x70\x72\x6F\x6A\x65\x63\x74\x73\x2F\x76\x69\x65\x77\x2F","\x45\x72\x72\x6F\x72\x20\x63\x6C\x6F\x6E\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74\x3A\x20","\x64\x65\x73\x63\x72\x69\x70\x74\x69\x6F\x6E\x3D\x70\x72\x6F\x6A\x65\x63\x74\x5F\x63\x6C\x6F\x6E\x65\x64\x26\x6E\x61\x6D\x65\x3D","\x26\x70\x72\x65\x70\x72\x6F\x64\x75\x63\x74\x69\x6F\x6E\x3D","\x26\x70\x72\x6F\x64\x75\x63\x74\x69\x6F\x6E\x3D","\x76\x61\x6C\x69\x64\x61\x74\x65","\x67\x65\x74\x52\x6F\x6F\x74","\x6D\x6F\x64\x65\x6C","\x67\x65\x74\x43\x68\x69\x6C\x64\x43\x6F\x75\x6E\x74","\x67\x65\x74\x43\x68\x69\x6C\x64\x41\x74","\x69\x73\x56\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x3C\x62\x72\x3E","\x73\x65\x74\x43\x65\x6C\x6C\x57\x61\x72\x6E\x69\x6E\x67","","\x76\x61\x6C\x69\x64\x61\x74\x65\x42\x6C\x6F\x63\x6B","\x4F\x6E\x6C\x79\x20\x6F\x6E\x65\x20\x53\x74\x61\x72\x74\x20\x62\x6C\x6F\x63\x6B\x20\x69\x73\x20\x61\x6C\x6C\x6F\x77\x65\x64","\x0A","\x4F\x6E\x6C\x79\x20\x6F\x6E\x65\x20\x46\x69\x6E\x61\x6C\x6C\x79\x20\x62\x6C\x6F\x63\x6B\x20\x69\x73\x20\x61\x6C\x6C\x6F\x77\x65\x64","\x66\x69\x6C\x65\x5F\x69\x64","\x67\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x6E\x6F\x41\x75\x64\x69\x6F\x53\x65\x6C\x65\x63\x74\x65\x64","\x64\x69\x67\x69\x74","\x77\x72\x6F\x6E\x67\x4D\x61\x78\x44\x69\x67\x69\x74","\x76\x61\x72\x69\x61\x62\x6C\x65\x5F\x69\x64","\x6E\x6F\x56\x61\x72\x69\x61\x62\x6C\x65\x53\x65\x6C\x65\x63\x74\x65\x64","\x6D\x69\x6E\x64\x69\x67\x69\x74","\x77\x72\x6F\x6E\x67\x4D\x69\x6E\x44\x69\x67\x69\x74","\x6D\x61\x78\x64\x69\x67\x69\x74","\x76\x61\x72\x69\x61\x62\x6C\x65","\x6F\x64\x62\x63\x5F\x69\x64","\x6E\x6F\x44\x61\x74\x61\x62\x61\x73\x65\x53\x65\x6C\x65\x63\x74\x65\x64","\x74\x72\x75\x6E\x6B\x5F\x6E\x61\x6D\x65","\x2D\x31","\x6E\x6F\x54\x72\x75\x6E\x6B\x53\x65\x6C\x65\x63\x74\x65\x64","\x65\x78\x74\x65\x6E\x73\x69\x6F\x6E","\x6E\x6F\x45\x78\x74\x65\x6E\x73\x69\x6F\x6E\x53\x65\x6C\x65\x63\x74\x65\x64","\x63\x6F\x6D\x6D\x61\x6E\x64","\x6E\x6F\x43\x6F\x6D\x6D\x61\x6E\x64\x53\x65\x6C\x65\x63\x74\x65\x64","\x63\x6F\x6E\x64\x69\x74\x69\x6F\x6E","\x6E\x6F\x43\x6F\x6E\x64\x69\x74\x69\x6F\x6E\x53\x65\x6C\x65\x63\x74\x65\x64","\x64\x69\x67\x69\x74\x73","\x6E\x6F\x44\x69\x67\x69\x74\x73\x53\x65\x6C\x65\x63\x74\x65\x64","\x6E\x75\x6D\x62\x65\x72","\x6E\x6F\x4E\x75\x6D\x62\x65\x72\x53\x65\x6C\x65\x63\x74\x65\x64","\x74\x65\x78\x74","\x6E\x6F\x54\x65\x78\x74\x53\x65\x6C\x65\x63\x74\x65\x64","\x66\x69\x6C\x65","\x6E\x6F\x46\x69\x6C\x65\x53\x65\x6C\x65\x63\x74\x65\x64","\x67\x6F\x61\x6C\x6E\x61\x6D\x65","\x6E\x6F\x47\x6F\x61\x6C\x53\x65\x6C\x65\x63\x74\x65\x64","\x70\x68\x6F\x6E\x65","\x6E\x6F\x49\x64\x65\x6E\x74\x69\x66\x69\x65\x72\x53\x65\x6C\x65\x63\x74\x65\x64","\x73\x69\x70\x5F\x69\x64","\x71\x75\x65\x75\x65\x5F\x69\x64","\x6E\x6F\x51\x75\x65\x75\x65\x53\x65\x6C\x65\x63\x74\x65\x64","\x6C\x69\x73\x74\x5F\x69\x64","\x6E\x6F\x4C\x69\x73\x74\x53\x65\x6C\x65\x63\x74\x65\x64","\x69\x6E\x74\x65\x72\x76\x61\x6C\x5F\x69\x64","\x6E\x6F\x49\x6E\x74\x65\x72\x76\x61\x6C\x53\x65\x6C\x65\x63\x74\x65\x64","\x6D\x61\x69\x6C\x62\x6F\x78","\x6E\x6F\x42\x6F\x78\x4E\x75\x6D\x62\x65\x72\x53\x65\x6C\x65\x63\x74\x65\x64","\x70\x72\x6F\x6A\x65\x63\x74\x5F\x69\x64","\x6E\x6F\x50\x72\x6F\x6A\x65\x63\x74\x53\x65\x6C\x65\x63\x74\x65\x64","\x61\x63\x63\x6F\x75\x6E\x74\x5F\x69\x64","\x6E\x6F\x41\x63\x63\x6F\x75\x6E\x74\x53\x65\x6C\x65\x63\x74\x65\x64","\x74\x6F","\x73\x6D\x73","\x6B\x65\x79","\x6E\x6F\x4B\x65\x79\x53\x65\x6C\x65\x63\x74\x65\x64","\x6E\x6F\x64\x65\x4E\x61\x6D\x65","\x67\x65\x74\x49\x64","\x67\x65\x74\x43\x65\x6C\x6C","\x67\x65\x74\x56\x61\x6C\x75\x65","\x74\x65\x73\x74","\x6E\x75\x6D\x62\x65\x72\x4F\x72\x49\x6E\x76\x61\x6C\x69\x64\x4F\x72\x54\x69\x6D\x65\x6F\x75\x74","\x2C","\x73\x70\x6C\x69\x74","\x6E\x75\x6D\x62\x65\x72\x4F\x72\x41\x6C\x6C","\x66\x6F\x72\x45\x61\x63\x68","\x6E\x75\x6D\x62\x65\x72\x4F\x72\x49\x6E\x76\x61\x6C\x69\x64\x4F\x72\x44\x65\x66\x61\x75\x6C\x74","\x74\x72\x75\x65\x4F\x72\x46\x61\x6C\x73\x65","\x69\x73\x43\x65\x6C\x6C\x43\x6F\x6C\x6C\x61\x70\x73\x65\x64","\x63\x6F\x6E\x74\x61\x69\x6E\x73\x56\x61\x6C\x69\x64\x61\x74\x69\x6F\x6E\x45\x72\x72\x6F\x72\x73\x52\x65\x73\x6F\x75\x72\x63\x65","\x67\x65\x74\x54\x65\x72\x6D\x69\x6E\x61\x6C","\x67\x65\x74\x45\x64\x67\x65\x56\x61\x6C\x69\x64\x61\x74\x69\x6F\x6E\x45\x72\x72\x6F\x72","\x67\x65\x74\x43\x65\x6C\x6C\x56\x61\x6C\x69\x64\x61\x74\x69\x6F\x6E\x45\x72\x72\x6F\x72","\x76\x61\x6C\x69\x64\x61\x74\x65\x43\x65\x6C\x6C","\x67\x65\x74\x50\x61\x72\x65\x6E\x74","\x67\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x50\x61\x72\x65\x6E\x74","\x63\x68\x69\x6C\x64\x72\x65\x6E","\x70\x75\x62\x6C\x69\x73\x68","\x50\x75\x62\x6C\x69\x73\x68\x65\x64\x20\x61\x74\x20","\x45\x72\x72\x6F\x72\x20\x70\x75\x62\x6C\x69\x73\x68\x69\x6E\x67\x20\x66\x69\x6C\x65","\x45\x72\x72\x6F\x72\x20\x70\x75\x62\x6C\x69\x73\x68\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74\x3A\x20","\x56\x61\x72\x69\x61\x62\x6C\x65\x20\x63\x72\x65\x61\x74\x65\x64\x20\x61\x74\x20","\x63\x72\x65\x61\x74\x65\x64\x41\x74","\x45\x72\x72\x6F\x72\x20\x63\x72\x65\x61\x74\x69\x6E\x67\x20\x76\x61\x72\x69\x61\x62\x6C\x65\x3A\x20","\x6E\x61\x6D\x65\x3D","\x45\x72\x72\x6F\x72\x20\x63\x72\x65\x61\x74\x69\x6E\x67\x20\x76\x61\x72\x69\x61\x62\x6C\x65","\x67\x65\x74\x55\x72\x6C","\x70\x61\x74\x68\x6E\x61\x6D\x65","\x6C\x6F\x63\x61\x74\x69\x6F\x6E","\x3F","\x26","\x3D","\x75\x6E\x64\x6F","\x72\x65\x64\x6F","\x63\x61\x6E\x55\x6E\x64\x6F","\x63\x61\x6E\x52\x65\x64\x6F","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6D\x70\x74\x79","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x64\x65\x6C\x65\x74\x65","\x64\x75\x70\x6C\x69\x63\x61\x74\x65","\x62\x6F\x6C\x64","\x69\x74\x61\x6C\x69\x63","\x75\x6E\x64\x65\x72\x6C\x69\x6E\x65","\x74\x6F\x46\x72\x6F\x6E\x74","\x74\x6F\x42\x61\x63\x6B","\x64\x61\x73\x68\x65\x64","\x72\x6F\x75\x6E\x64\x65\x64","\x73\x68\x61\x64\x6F\x77","\x74\x69\x6C\x74","\x61\x75\x74\x6F\x73\x69\x7A\x65","\x63\x75\x72\x76\x65\x64","\x72\x6F\x74\x61\x74\x69\x6F\x6E","\x77\x6F\x72\x64\x57\x72\x61\x70","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x6F\x75\x6E\x74","\x67\x72\x6F\x75\x70","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x75\x6E\x67\x72\x6F\x75\x70","\x72\x65\x6D\x6F\x76\x65\x46\x72\x6F\x6D\x47\x72\x6F\x75\x70","\x66\x6F\x6E\x74\x46\x61\x6D\x69\x6C\x79","\x66\x6F\x6E\x74\x53\x69\x7A\x65","\x61\x6C\x69\x67\x6E\x6D\x65\x6E\x74","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x66\x6F\x72\x6D\x61\x74","\x61\x72\x72\x61\x6E\x67\x65","\x6C\x69\x6E\x65\x77\x69\x64\x74\x68","\x73\x70\x61\x63\x69\x6E\x67","\x6C\x69\x6E\x65","\x6C\x69\x6E\x65\x65\x6E\x64","\x6C\x69\x6E\x65\x73\x74\x61\x72\x74","\x73\x65\x74\x41\x73\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x61\x6C\x69\x67\x6E","\x67\x65\x74\x53\x74\x61\x74\x65","\x69\x73\x4C\x6F\x6F\x70","\x64\x69\x72\x65\x63\x74\x69\x6F\x6E","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x63\x75\x72\x72\x65\x6E\x74\x52\x6F\x6F\x74","\x6E\x61\x76\x69\x67\x61\x74\x69\x6F\x6E","\x68\x6F\x6D\x65","\x65\x78\x69\x74\x47\x72\x6F\x75\x70","\x65\x6E\x74\x65\x72\x47\x72\x6F\x75\x70","\x65\x78\x70\x61\x6E\x64","\x63\x6F\x6C\x6C\x61\x70\x73\x65","\x65\x64\x69\x74\x4C\x69\x6E\x6B","\x67\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x6F\x70\x65\x6E\x4C\x69\x6E\x6B","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x4D\x6F\x64\x65\x6C","\x63\x6C\x69\x65\x6E\x74\x57\x69\x64\x74\x68","\x63\x6C\x69\x65\x6E\x74\x48\x65\x69\x67\x68\x74","\x6D\x69\x6E","\x6D\x61\x78","\x68\x65\x69\x67\x68\x74","\x70\x78","\x74\x6F\x70","\x49\x53\x5F\x51\x55\x49\x52\x4B\x53","\x77\x69\x64\x74\x68","\x62\x6F\x74\x74\x6F\x6D","\x6C\x65\x66\x74","\x64\x69\x73\x70\x6C\x61\x79","\x6E\x6F\x6E\x65","\x68\x73\x70\x6C\x69\x74","\x76\x73\x70\x6C\x69\x74","\x67\x65\x4D\x65\x6E\x75\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x63\x72\x65\x61\x74\x65\x44\x69\x76","\x67\x65\x54\x6F\x6F\x6C\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x53\x69\x64\x65\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x4F\x75\x74\x6C\x69\x6E\x65\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x44\x69\x61\x67\x72\x61\x6D\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x46\x6F\x6F\x74\x65\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x48\x73\x70\x6C\x69\x74","\x67\x65\x56\x73\x70\x6C\x69\x74","\x30\x70\x78","\x72\x69\x67\x68\x74","\x6D\x65\x6E\x75\x62\x61\x72","\x67\x65\x4D\x65\x6E\x75\x62\x61\x72","\x63\x72\x65\x61\x74\x65\x4D\x65\x6E\x75\x62\x61\x72","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x74\x6F\x6F\x6C\x62\x61\x72","\x67\x65\x54\x6F\x6F\x6C\x62\x61\x72","\x63\x72\x65\x61\x74\x65\x54\x6F\x6F\x6C\x62\x61\x72","\x73\x69\x64\x65\x62\x61\x72","\x63\x72\x65\x61\x74\x65\x53\x69\x64\x65\x62\x61\x72","\x63\x72\x65\x61\x74\x65\x46\x6F\x6F\x74\x65\x72","\x73\x74\x61\x74\x75\x73\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x63\x72\x65\x61\x74\x65\x53\x74\x61\x74\x75\x73\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x73\x74\x61\x74\x75\x73\x43\x68\x61\x6E\x67\x65\x64","\x67\x65\x74\x53\x74\x61\x74\x75\x73","\x73\x65\x74\x53\x74\x61\x74\x75\x73\x54\x65\x78\x74","\x61\x64\x64\x53\x70\x6C\x69\x74\x48\x61\x6E\x64\x6C\x65\x72","\x61","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x49\x74\x65\x6D\x20\x67\x65\x53\x74\x61\x74\x75\x73","\x69\x6E\x6E\x65\x72\x48\x54\x4D\x4C","\x67\x65\x46\x6F\x6F\x74\x65\x72","\x64\x69\x76","\x63\x72\x65\x61\x74\x65\x48\x65\x61\x64\x65\x72","\x63\x72\x65\x61\x74\x65\x46\x6F\x72\x6D","\x66\x6F\x72\x6D","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x58","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x59","\x78","\x79","\x6D\x6F\x75\x73\x65\x6D\x6F\x76\x65","\x74\x6F\x75\x63\x68\x6D\x6F\x76\x65","\x6D\x6F\x75\x73\x65\x75\x70","\x74\x6F\x75\x63\x68\x65\x6E\x64","\x68\x69\x64\x65\x44\x69\x61\x6C\x6F\x67","\x64\x69\x61\x6C\x6F\x67","\x49\x53\x5F\x56\x4D\x4C","\x63\x6C\x6F\x73\x65","\x73\x61\x76\x65\x46\x69\x6C\x65","\x67\x65\x74\x4F\x72\x43\x72\x65\x61\x74\x65\x46\x69\x6C\x65\x6E\x61\x6D\x65","\x70\x75\x62\x6C\x69\x73\x68\x46\x69\x6C\x65","\x65\x78\x65\x63\x75\x74\x65\x4C\x61\x79\x6F\x75\x74","\x61\x6E\x69\x6D\x61\x74\x65","\x62\x65\x67\x69\x6E\x55\x70\x64\x61\x74\x65","\x65\x78\x65\x63\x75\x74\x65","\x43\x61\x6D\x69\x6E\x6F","\x75\x73\x65\x72\x41\x67\x65\x6E\x74","\x65\x6E\x64\x55\x70\x64\x61\x74\x65","\x73\x74\x61\x72\x74\x41\x6E\x69\x6D\x61\x74\x69\x6F\x6E","\x69\x73\x43\x6F\x6E\x74\x72\x6F\x6C\x44\x6F\x77\x6E","\x49\x53\x5F\x4D\x41\x43","\x6D\x65\x74\x61\x4B\x65\x79","\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73","\x73\x63\x72\x6F\x6C\x6C\x43\x65\x6C\x6C\x54\x6F\x56\x69\x73\x69\x62\x6C\x65","\x65\x6E\x61\x62\x6C\x65\x64","\x66\x75\x6E\x63\x74","\x62\x69\x6E\x64\x43\x6F\x6E\x74\x72\x6F\x6C\x53\x68\x69\x66\x74\x4B\x65\x79","\x62\x69\x6E\x64\x43\x6F\x6E\x74\x72\x6F\x6C\x4B\x65\x79","\x62\x69\x6E\x64\x53\x68\x69\x66\x74\x4B\x65\x79","\x62\x69\x6E\x64\x4B\x65\x79","\x65\x73\x63\x61\x70\x65","\x65\x6E\x74\x65\x72","\x66\x6F\x6C\x64\x43\x65\x6C\x6C\x73","\x7A\x6F\x6F\x6D\x49\x6E","\x7A\x6F\x6F\x6D\x4F\x75\x74","\x73\x65\x6C\x65\x63\x74\x41\x6C\x6C","\x73\x65\x6C\x65\x63\x74\x56\x65\x72\x74\x69\x63\x65\x73","\x73\x65\x6C\x65\x63\x74\x45\x64\x67\x65\x73","\x65\x78\x70\x6F\x72\x74","\x63\x6F\x6E\x6E\x65\x63\x74","\x67\x72\x69\x64","\x61\x62\x6F\x75\x74","\x6D\x75\x73\x74\x68\x61\x76\x65","\x6F\x75\x74\x67\x6F\x69\x6E\x67\x65\x64\x67\x65","\x70\x75\x73\x68","\x69\x6E\x63\x6F\x6D\x69\x6E\x67\x65\x64\x67\x65"];EditorUi=function(_0xbd5cx1,_0xbd5cx2){this[_0xb4d7[0]]=_0xbd5cx1|| new Editor();this[_0xb4d7[1]]=_0xbd5cx2||document[_0xb4d7[3]](_0xb4d7[2]);var _0xbd5cx3=_0xbd5cx1[_0xb4d7[4]];var _0xbd5cx4=this;this[_0xb4d7[1]][_0xb4d7[6]][_0xb4d7[5]]=_0xb4d7[7]; new Image()[_0xb4d7[8]]=mxPopupMenu[_0xb4d7[10]][_0xb4d7[9]];if(mxConnectionHandler[_0xb4d7[10]][_0xb4d7[11]]!=null){ new Image()[_0xb4d7[8]]=mxConnectionHandler[_0xb4d7[10]][_0xb4d7[11]][_0xb4d7[8]]};this[_0xb4d7[12]]= new Actions(this);this[_0xb4d7[13]]= new Menus(this);this[_0xb4d7[14]]();this[_0xb4d7[15]]();this[_0xb4d7[16]]();var _0xbd5cx5=mxUtils[_0xb4d7[20]](this,function(_0xbd5cx6){if(_0xbd5cx6==null){_0xbd5cx6=window[_0xb4d7[17]]};if(this[_0xb4d7[18]](_0xbd5cx6)){return true};return _0xbd5cx3[_0xb4d7[19]]()});if(this[_0xb4d7[1]]==document[_0xb4d7[21]]){this[_0xb4d7[23]][_0xb4d7[22]]=_0xbd5cx5;this[_0xb4d7[23]][_0xb4d7[24]]=_0xbd5cx5;this[_0xb4d7[25]][_0xb4d7[22]]=_0xbd5cx5;this[_0xb4d7[25]][_0xb4d7[24]]=_0xbd5cx5;this[_0xb4d7[26]][_0xb4d7[22]]=_0xbd5cx5;this[_0xb4d7[26]][_0xb4d7[24]]=_0xbd5cx5;this[_0xb4d7[27]][_0xb4d7[22]]=_0xbd5cx5;this[_0xb4d7[27]][_0xb4d7[24]]=_0xbd5cx5;this[_0xb4d7[28]][_0xb4d7[22]]=_0xbd5cx5;this[_0xb4d7[28]][_0xb4d7[24]]=_0xbd5cx5};if(mxClient[_0xb4d7[29]]&&( typeof (document[_0xb4d7[30]])===_0xb4d7[31]||document[_0xb4d7[30]]<9)){mxEvent[_0xb4d7[33]](this[_0xb4d7[26]],_0xb4d7[32],_0xbd5cx5);mxEvent[_0xb4d7[33]](this[_0xb4d7[27]],_0xb4d7[32],_0xbd5cx5)}else {this[_0xb4d7[26]][_0xb4d7[34]]=_0xbd5cx5;this[_0xb4d7[27]][_0xb4d7[34]]=_0xbd5cx5};_0xbd5cx3[_0xb4d7[35]](this[_0xb4d7[26]]);_0xbd5cx3[_0xb4d7[15]]();_0xbd5cx3[_0xb4d7[1]][_0xb4d7[38]](_0xb4d7[36],_0xb4d7[37]);_0xbd5cx3[_0xb4d7[1]][_0xb4d7[6]][_0xb4d7[39]]=_0xb4d7[40];_0xbd5cx3[_0xb4d7[1]][_0xb4d7[6]][_0xb4d7[41]]=_0xb4d7[42]+_0xbd5cx1[_0xb4d7[43]]+_0xb4d7[44];_0xbd5cx3[_0xb4d7[1]][_0xb4d7[6]][_0xb4d7[45]]=_0xb4d7[46];_0xbd5cx3[_0xb4d7[1]][_0xb4d7[47]]();var _0xbd5cx7=_0xbd5cx3[_0xb4d7[48]];_0xbd5cx3[_0xb4d7[48]]=function(_0xbd5cx8,_0xbd5cx9,_0xbd5cxa){if(_0xbd5cx8==mxEvent[_0xb4d7[49]]){this[_0xb4d7[1]][_0xb4d7[47]]()};_0xbd5cx7[_0xb4d7[50]](this,arguments)};this[_0xb4d7[56]](_0xbd5cx3[_0xb4d7[51]],[_0xb4d7[52],_0xb4d7[53],_0xb4d7[54]],[[1,1],[1,1],[0,0]],[[0,0],[0,0],[1,_0xb4d7[55]]]);this[_0xb4d7[56]](_0xbd5cx3[_0xb4d7[51]],[_0xb4d7[57],_0xb4d7[58],_0xb4d7[59],_0xb4d7[60],_0xb4d7[61],_0xb4d7[62],_0xb4d7[63]],[[1,1],[0,0],[0,1],[0,1],[0,1],[1,1],[0,1]],[[1,_0xb4d7[55]],[1,_0xb4d7[55]],[1,_0xb4d7[55]],[1,_0xb4d7[55]],[1,_0xb4d7[55]],[1,_0xb4d7[55]],[1,_0xb4d7[55]]]);this[_0xb4d7[56]](_0xbd5cx3[_0xb4d7[51]],[_0xb4d7[64],_0xb4d7[65]],[[1,1],[1,1]],[[1,_0xb4d7[55]],[1,_0xb4d7[55]]]);this[_0xb4d7[56]](_0xbd5cx3[_0xb4d7[51]],[_0xb4d7[66],_0xb4d7[67],_0xb4d7[68],_0xb4d7[69],_0xb4d7[70],_0xb4d7[71],_0xb4d7[72],_0xb4d7[73],_0xb4d7[74]],[[1,_0xb4d7[55]],[1,1],[1,_0xb4d7[55]],[1,1],[1,1],[1,1],[1,1],[1,1],[1,_0xb4d7[55]]],[[1,_0xb4d7[55]],[1,_0xb4d7[55]],[1,_0xb4d7[55]],[1,_0xb4d7[55]],[1,_0xb4d7[55]],[1,_0xb4d7[55]],[1,_0xb4d7[55]],[1,_0xb4d7[55]],[1,_0xb4d7[55]]]);this[_0xb4d7[56]](_0xbd5cx3[_0xb4d7[51]],[_0xb4d7[75]],[[1,1]],[[1,_0xb4d7[55]]]);this[_0xb4d7[56]](_0xbd5cx3[_0xb4d7[51]],[_0xb4d7[76],_0xb4d7[77]],[[1,1],[1,1]],[[1,_0xb4d7[55]],[1,_0xb4d7[55]]]);this[_0xb4d7[56]](_0xbd5cx3[_0xb4d7[51]],[_0xb4d7[78],_0xb4d7[79],_0xb4d7[80],_0xb4d7[81],_0xb4d7[82]],[[0,0],[1,2],[1,2],[1,2],[1,_0xb4d7[55]]],[[1,_0xb4d7[55]],[1,_0xb4d7[55]],[1,_0xb4d7[55]],[1,_0xb4d7[55]],[1,_0xb4d7[55]]]);this[_0xb4d7[56]](_0xbd5cx3[_0xb4d7[51]],[_0xb4d7[83],_0xb4d7[84]],[[1,1],[1,1]],[[1,_0xb4d7[55]],[1,_0xb4d7[55]]]);this[_0xb4d7[56]](_0xbd5cx3[_0xb4d7[51]],[_0xb4d7[85],_0xb4d7[86],_0xb4d7[87],_0xb4d7[88],_0xb4d7[89]],[[1,1],[1,1],[0,1],[0,1],[0,1]],[[1,_0xb4d7[55]],[1,_0xb4d7[55]],[1,_0xb4d7[55]],[1,_0xb4d7[55]],[1,_0xb4d7[55]]]);_0xbd5cx3[_0xb4d7[90]]=function(_0xbd5cx6,_0xbd5cxb){var _0xbd5cxc=[_0xb4d7[66],_0xb4d7[79],_0xb4d7[80],_0xb4d7[68],_0xb4d7[74],_0xb4d7[82]];var _0xbd5cxd= new mxEventObject(mxEvent.DOUBLE_CLICK,_0xb4d7[17],_0xbd5cx6,_0xb4d7[91],_0xbd5cxb);this[_0xb4d7[92]](_0xbd5cxd);if(this[_0xb4d7[93]]()&&!mxEvent[_0xb4d7[94]](_0xbd5cx6)&&!_0xbd5cxd[_0xb4d7[94]]()){if(this[_0xb4d7[96]]()[_0xb4d7[95]](_0xbd5cxb)){if(_0xbd5cxc[_0xb4d7[100]](_0xbd5cxb[_0xb4d7[99]][_0xb4d7[98]][_0xb4d7[97]])>=0){this[_0xb4d7[101]](_0xbd5cxb)}}else {if(this[_0xb4d7[96]]()[_0xb4d7[102]](_0xbd5cxb)){console[_0xb4d7[103]](_0xbd5cx4);console[_0xb4d7[103]](_0xbd5cxb);_0xbd5cx4[_0xb4d7[104]]( new GeneralDialog(_0xbd5cx4,_0xbd5cxb)[_0xb4d7[1]],320,280,true,true)}};_0xbd5cxd[_0xb4d7[105]]()}};_0xbd5cx3[_0xb4d7[107]][_0xb4d7[106]]=true;_0xbd5cx3[_0xb4d7[107]][_0xb4d7[108]]=mxUtils[_0xb4d7[20]](this,function(_0xbd5cxe,_0xbd5cxb,_0xbd5cx6){this[_0xb4d7[13]][_0xb4d7[109]](_0xbd5cxe,_0xbd5cxb,_0xbd5cx6)});_0xbd5cx1[_0xb4d7[111]][_0xb4d7[35]](this[_0xb4d7[110]]);mxEvent[_0xb4d7[113]](document,mxUtils[_0xb4d7[20]](this,function(_0xbd5cx6){_0xbd5cx3[_0xb4d7[107]][_0xb4d7[112]]()}));if(mxClient[_0xb4d7[114]]){mxEvent[_0xb4d7[33]](_0xbd5cx3[_0xb4d7[1]],_0xb4d7[115],mxUtils[_0xb4d7[20]](this,function(_0xbd5cx6){_0xbd5cx3[_0xb4d7[120]][_0xb4d7[119]]()[_0xb4d7[38]](_0xb4d7[116],_0xb4d7[117]+_0xbd5cx6[_0xb4d7[118]]+_0xb4d7[44]);_0xbd5cx3[_0xb4d7[120]][_0xb4d7[122]]()[_0xb4d7[6]][_0xb4d7[121]]=_0xb4d7[7]}));mxEvent[_0xb4d7[33]](_0xbd5cx3[_0xb4d7[1]],_0xb4d7[123],mxUtils[_0xb4d7[20]](this,function(_0xbd5cx6){_0xbd5cx3[_0xb4d7[120]][_0xb4d7[119]]()[_0xb4d7[124]](_0xb4d7[116]);_0xbd5cx3[_0xb4d7[125]]=true;_0xbd5cx3[_0xb4d7[126]](_0xbd5cx6[_0xb4d7[118]]);_0xbd5cx3[_0xb4d7[120]][_0xb4d7[122]]()[_0xb4d7[6]][_0xb4d7[121]]=_0xb4d7[127]}))};var _0xbd5cxf=this[_0xb4d7[128]](_0xbd5cx1);this[_0xb4d7[129]]=function(){return _0xbd5cxf};mxEvent[_0xb4d7[33]](window,_0xb4d7[130],mxUtils[_0xb4d7[20]](this,function(){this[_0xb4d7[15]]();_0xbd5cx3[_0xb4d7[131]]();this[_0xb4d7[0]][_0xb4d7[111]][_0xb4d7[132]](false);this[_0xb4d7[0]][_0xb4d7[111]][_0xb4d7[111]][_0xb4d7[131]]()}));this[_0xb4d7[35]]();this[_0xb4d7[133]]()};EditorUi[_0xb4d7[10]][_0xb4d7[134]]=(mxClient[_0xb4d7[114]])?16:8;EditorUi[_0xb4d7[10]][_0xb4d7[135]]=33;EditorUi[_0xb4d7[10]][_0xb4d7[136]]=36;EditorUi[_0xb4d7[10]][_0xb4d7[137]]=28;EditorUi[_0xb4d7[10]][_0xb4d7[138]]=204;EditorUi[_0xb4d7[10]][_0xb4d7[139]]=190;EditorUi[_0xb4d7[10]][_0xb4d7[35]]=function(){this[_0xb4d7[140]]();this[_0xb4d7[141]]();var _0xbd5cx10=this[_0xb4d7[12]][_0xb4d7[143]](_0xb4d7[142]);var _0xbd5cx11=function(){_0xbd5cx10[_0xb4d7[145]](!mxClipboard[_0xb4d7[144]]())};var _0xbd5cx12=mxClipboard[_0xb4d7[146]];mxClipboard[_0xb4d7[146]]=function(){_0xbd5cx12[_0xb4d7[50]](this,arguments);_0xbd5cx11()};var _0xbd5cx13=mxClipboard[_0xb4d7[147]];mxClipboard[_0xb4d7[147]]=function(){_0xbd5cx13[_0xb4d7[50]](this,arguments);_0xbd5cx11()}};EditorUi[_0xb4d7[10]][_0xb4d7[18]]=function(_0xbd5cx6){return false};EditorUi[_0xb4d7[10]][_0xb4d7[133]]=function(){try{if(window[_0xb4d7[148]]!=null&&window[_0xb4d7[148]][_0xb4d7[149]]!=null){window[_0xb4d7[148]][_0xb4d7[149]][_0xb4d7[161]](mxUtils[_0xb4d7[20]](this,function(_0xbd5cx14,_0xbd5cx15){try{var _0xbd5cx16=mxUtils[_0xb4d7[150]](_0xbd5cx14);this[_0xb4d7[0]][_0xb4d7[152]](_0xbd5cx16[_0xb4d7[151]]);this[_0xb4d7[0]][_0xb4d7[153]]=false;this[_0xb4d7[0]][_0xb4d7[155]][_0xb4d7[154]]();if(_0xbd5cx15!=null){this[_0xb4d7[0]][_0xb4d7[156]]=_0xbd5cx15}}catch(e){mxUtils[_0xb4d7[160]](mxResources[_0xb4d7[143]](_0xb4d7[157])+_0xb4d7[158]+e[_0xb4d7[159]])}}))}}catch(e){}};EditorUi[_0xb4d7[10]][_0xb4d7[162]]=function(_0xbd5cx14,_0xbd5cx15,_0xbd5cx17){try{var _0xbd5cx16=mxUtils[_0xb4d7[150]](_0xbd5cx14);this[_0xb4d7[0]][_0xb4d7[152]](_0xbd5cx16[_0xb4d7[151]]);this[_0xb4d7[0]][_0xb4d7[153]]=false;this[_0xb4d7[0]][_0xb4d7[155]][_0xb4d7[154]]();if(_0xbd5cx15!=null){this[_0xb4d7[0]][_0xb4d7[156]]=_0xbd5cx15};if(_0xbd5cx17!=null){this[_0xb4d7[0]][_0xb4d7[163]]=_0xbd5cx17}}catch(e){mxUtils[_0xb4d7[160]](mxResources[_0xb4d7[143]](_0xb4d7[157])+_0xb4d7[158]+e[_0xb4d7[159]])}};EditorUi[_0xb4d7[10]][_0xb4d7[164]]=function(_0xbd5cx18){var _0xbd5cx1=this[_0xb4d7[0]];if(_0xbd5cx18!=null){var _0xbd5cx14=mxUtils[_0xb4d7[166]](this[_0xb4d7[0]][_0xb4d7[165]]());try{if(useLocalStorage){if(localStorage[_0xb4d7[167]](_0xbd5cx18)!=null&&!mxUtils[_0xb4d7[169]](mxResources[_0xb4d7[143]](_0xb4d7[168],[_0xbd5cx18]))){return};localStorage[_0xb4d7[170]](_0xbd5cx18,_0xbd5cx14);this[_0xb4d7[0]][_0xb4d7[173]](mxResources[_0xb4d7[143]](_0xb4d7[171])+_0xb4d7[172]+ new Date())}else {console[_0xb4d7[103]](_0xbd5cx14[_0xb4d7[174]]);console[_0xb4d7[103]](MAX_REQUEST_SIZE);if(_0xbd5cx14[_0xb4d7[174]]0)?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[231])+_0xb4d7[227];break;case _0xb4d7[66]:;case _0xb4d7[68]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[229])>0)?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[231])+_0xb4d7[227];_0xbd5cx1e+=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[232])>0)?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[233])+_0xb4d7[227];break;case _0xb4d7[74]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[229])>0)?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[231])+_0xb4d7[227];_0xbd5cx1e+=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[234])>0)?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[235])+_0xb4d7[227];_0xbd5cx1e+=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[236])>0)?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[237])+_0xb4d7[227];_0xbd5cx1e+=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[238])>0)?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[233])+_0xb4d7[227];break;case _0xb4d7[82]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[239])!==_0xb4d7[224])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[235])+_0xb4d7[227];break;case _0xb4d7[76]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[240])>0)?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[241])+_0xb4d7[227];break;case _0xb4d7[60]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[242])!==_0xb4d7[243])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[244])+_0xb4d7[227];break;case _0xb4d7[64]:;case _0xb4d7[65]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[234])>0)?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[235])+_0xb4d7[227];break;case _0xb4d7[78]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[245])!==_0xb4d7[224])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[246])+_0xb4d7[227];break;case _0xb4d7[86]:;case _0xb4d7[87]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[247])!==_0xb4d7[224])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[248])+_0xb4d7[227];break;case _0xb4d7[79]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[249])!==_0xb4d7[224])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[250])+_0xb4d7[227];break;case _0xb4d7[69]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[251])!==_0xb4d7[224])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[252])+_0xb4d7[227];break;case _0xb4d7[70]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[253])!==_0xb4d7[224])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[254])+_0xb4d7[227];break;case _0xb4d7[71]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[255])!==_0xb4d7[224])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[256])+_0xb4d7[227];break;case _0xb4d7[75]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[257])!==_0xb4d7[224])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[258])+_0xb4d7[227];break;case _0xb4d7[84]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[259])!==_0xb4d7[224])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[260])+_0xb4d7[227];break;case _0xb4d7[60]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[261])!==_0xb4d7[224])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[262])+_0xb4d7[227];break;case _0xb4d7[59]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[263])>0)?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[262])+_0xb4d7[227];break;case _0xb4d7[61]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[264])!==_0xb4d7[243])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[265])+_0xb4d7[227];break;case _0xb4d7[63]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[266])>0)?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[267])+_0xb4d7[227];break;case _0xb4d7[80]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[268])>0)?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[269])+_0xb4d7[227];break;case _0xb4d7[81]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[268])!=_0xb4d7[224])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[269])+_0xb4d7[227];break;case _0xb4d7[62]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[270])!==_0xb4d7[224])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[271])+_0xb4d7[227];break;case _0xb4d7[88]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[272])>0)?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[273])+_0xb4d7[227];break;case _0xb4d7[277]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[274])>0)?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[275])+_0xb4d7[227];_0xbd5cx1e+=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[276])!==_0xb4d7[224])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[254])+_0xb4d7[227];_0xbd5cx1e+=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[255])!==_0xb4d7[224])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[256])+_0xb4d7[227];break;case _0xb4d7[72]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[255])!==_0xb4d7[224])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[256])+_0xb4d7[227];break;case _0xb4d7[73]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[255])!==_0xb4d7[224])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[256])+_0xb4d7[227];_0xbd5cx1e+=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[278])!==_0xb4d7[224])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[279])+_0xb4d7[227];break;case _0xb4d7[77]:_0xbd5cx1e=(_0xbd5cx1b[_0xb4d7[98]][_0xb4d7[230]](_0xb4d7[278])!==_0xb4d7[224])?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[279])+_0xb4d7[227];break}};if(_0xbd5cx3[_0xb4d7[218]][_0xb4d7[95]](_0xbd5cx1b)){var _0xbd5cx21=_0xbd5cx3[_0xb4d7[218]][_0xb4d7[282]](_0xbd5cx1b[_0xb4d7[99]][_0xb4d7[281]]());switch(_0xbd5cx21[_0xb4d7[98]][_0xb4d7[280]]){case _0xb4d7[66]:var _0xbd5cx22=/^[0-9]{1,45}$|^[i]{1}$|^[t]{1}|^[#]{1}|^[*]{1}$/;_0xbd5cx1e=_0xbd5cx1e+((_0xbd5cx22[_0xb4d7[284]](String(_0xbd5cx1b[_0xb4d7[283]]())))?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[285])+_0xb4d7[227]);break;case _0xb4d7[68]:var _0xbd5cx22=/^[0-9]{1,45}$|^[-]{1}$|^[i]{1}$|^[t]{1}|^[#]{1}|^[*]{1}$/;var _0xbd5cx23=String(_0xbd5cx1b[_0xb4d7[283]]());var _0xbd5cx24=_0xbd5cx23[_0xb4d7[287]](_0xb4d7[286]);_0xbd5cx24[_0xb4d7[289]](function(_0xbd5cx25){_0xbd5cx1e=_0xbd5cx1e+((_0xbd5cx22[_0xb4d7[284]](_0xbd5cx25))?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[288])+_0xb4d7[227])});break;case _0xb4d7[74]:var _0xbd5cx22=/^(x|i|-)$/;var _0xbd5cx23=String(_0xbd5cx1b[_0xb4d7[283]]());_0xbd5cx1e=_0xbd5cx1e+((_0xbd5cx22[_0xb4d7[284]](_0xbd5cx23))?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[290])+_0xb4d7[227]);break;case _0xb4d7[79]:;case _0xb4d7[80]:;case _0xb4d7[81]:var _0xbd5cx22=/^(true){1}$|^(false){1}$/;_0xbd5cx1e=_0xbd5cx1e+((_0xbd5cx22[_0xb4d7[284]](String(_0xbd5cx1b[_0xb4d7[283]]())))?_0xb4d7[224]:mxResources[_0xb4d7[143]](_0xb4d7[291])+_0xb4d7[227]);break}};_0xbd5cx3[_0xb4d7[292]](_0xbd5cx1b)&&!_0xbd5cx1d&&(_0xbd5cx1e=_0xbd5cx1e+((mxResources[_0xb4d7[143]](_0xbd5cx3[_0xb4d7[293]])||_0xbd5cx3[_0xb4d7[293]])+_0xb4d7[227]));_0xbd5cx1e=_0xbd5cx3[_0xb4d7[218]][_0xb4d7[95]](_0xbd5cx1b)?_0xbd5cx1e+(_0xbd5cx3[_0xb4d7[295]](_0xbd5cx1b,_0xbd5cx3[_0xb4d7[218]][_0xb4d7[294]](_0xbd5cx1b,true),_0xbd5cx3[_0xb4d7[218]][_0xb4d7[294]](_0xbd5cx1b,false))||_0xb4d7[224]):_0xbd5cx1e+(_0xbd5cx3[_0xb4d7[296]](_0xbd5cx1b)||_0xb4d7[224]);_0xbd5cx1a=_0xbd5cx3[_0xb4d7[297]](_0xbd5cx1b,_0xbd5cx1c);_0xbd5cx1a!=null&&(_0xbd5cx1e=_0xbd5cx1e+_0xbd5cx1a);_0xbd5cx3[_0xb4d7[218]][_0xb4d7[298]](_0xbd5cx1b)==null&&_0xbd5cx3[_0xb4d7[120]][_0xb4d7[216]]();return _0xbd5cx1e[_0xb4d7[174]]>0||!_0xbd5cx1d?_0xbd5cx1e:null};EditorUi[_0xb4d7[10]][_0xb4d7[225]]=function(_0xbd5cx26){var _0xbd5cx3=this[_0xb4d7[0]][_0xb4d7[4]];var _0xbd5cx27=_0xbd5cx3[_0xb4d7[299]]();var _0xbd5cx28=0;_0xbd5cx27[_0xb4d7[300]][_0xb4d7[289]](function(_0xbd5cx29){if(_0xbd5cx3[_0xb4d7[218]][_0xb4d7[102]](_0xbd5cx29)&&_0xbd5cx29[_0xb4d7[98]][_0xb4d7[280]]==_0xbd5cx26){_0xbd5cx28++}});return _0xbd5cx28>1?false:true};EditorUi[_0xb4d7[10]][_0xb4d7[301]]=function(_0xbd5cx18){var _0xbd5cx1=this[_0xb4d7[0]];if(_0xbd5cx18!=null){var _0xbd5cx2a=this[_0xb4d7[216]]();if(_0xbd5cx2a===null){var _0xbd5cx14=mxUtils[_0xb4d7[166]](this[_0xb4d7[0]][_0xb4d7[165]]());try{if(useLocalStorage){if(localStorage[_0xb4d7[167]](_0xbd5cx18)!=null&&!mxUtils[_0xb4d7[169]](mxResources[_0xb4d7[143]](_0xb4d7[168],[_0xbd5cx18]))){return};localStorage[_0xb4d7[170]](_0xbd5cx18,_0xbd5cx14);this[_0xb4d7[0]][_0xb4d7[173]](mxResources[_0xb4d7[143]](_0xb4d7[171])+_0xb4d7[172]+ new Date())}else {console[_0xb4d7[103]](_0xbd5cx14[_0xb4d7[174]]);console[_0xb4d7[103]](MAX_REQUEST_SIZE);if(_0xbd5cx14[_0xb4d7[174]]0)?1:0;for(var _0xbd5cx2e in urlParams){if(_0xbd5cx2d==0){_0xbd5cx2c+=_0xb4d7[313]}else {_0xbd5cx2c+=_0xb4d7[314]};_0xbd5cx2c+=_0xbd5cx2e+_0xb4d7[315]+urlParams[_0xbd5cx2e];_0xbd5cx2d++};return _0xbd5cx2c};EditorUi[_0xb4d7[10]][_0xb4d7[140]]=function(){var _0xbd5cx2f=this[_0xb4d7[12]][_0xb4d7[143]](_0xb4d7[316]);var _0xbd5cx30=this[_0xb4d7[12]][_0xb4d7[143]](_0xb4d7[317]);var _0xbd5cx31=this[_0xb4d7[0]][_0xb4d7[155]];var _0xbd5cx32=function(){_0xbd5cx2f[_0xb4d7[145]](_0xbd5cx31[_0xb4d7[318]]());_0xbd5cx30[_0xb4d7[145]](_0xbd5cx31[_0xb4d7[319]]())};_0xbd5cx31[_0xb4d7[33]](mxEvent.ADD,_0xbd5cx32);_0xbd5cx31[_0xb4d7[33]](mxEvent.UNDO,_0xbd5cx32);_0xbd5cx31[_0xb4d7[33]](mxEvent.REDO,_0xbd5cx32);_0xbd5cx31[_0xb4d7[33]](mxEvent.CLEAR,_0xbd5cx32);_0xbd5cx32()};EditorUi[_0xb4d7[10]][_0xb4d7[141]]=function(){var _0xbd5cx33=mxUtils[_0xb4d7[20]](this,function(){var _0xbd5cx3=this[_0xb4d7[0]][_0xb4d7[4]];var _0xbd5cx34=!_0xbd5cx3[_0xb4d7[320]]();var _0xbd5cx35=false;var _0xbd5cx36=false;var _0xbd5cx37=_0xbd5cx3[_0xb4d7[321]]();if(_0xbd5cx37!=null){for(var _0xbd5cx38=0;_0xbd5cx38<_0xbd5cx37[_0xb4d7[174]];_0xbd5cx38++){var _0xbd5cxb=_0xbd5cx37[_0xbd5cx38];if(_0xbd5cx3[_0xb4d7[96]]()[_0xb4d7[95]](_0xbd5cxb)){_0xbd5cx36=true};if(_0xbd5cx3[_0xb4d7[96]]()[_0xb4d7[102]](_0xbd5cxb)){_0xbd5cx35=true};if(_0xbd5cx36&&_0xbd5cx35){break}}};var _0xbd5cx39=[_0xb4d7[146],_0xb4d7[147],_0xb4d7[322],_0xb4d7[323],_0xb4d7[324],_0xb4d7[325],_0xb4d7[6],_0xb4d7[326],_0xb4d7[327],_0xb4d7[328],_0xb4d7[329],_0xb4d7[330],_0xb4d7[331],_0xb4d7[332],_0xb4d7[333]];for(var _0xbd5cx38=0;_0xbd5cx38<_0xbd5cx39[_0xb4d7[174]];_0xbd5cx38++){this[_0xb4d7[12]][_0xb4d7[143]](_0xbd5cx39[_0xbd5cx38])[_0xb4d7[145]](_0xbd5cx34)};this[_0xb4d7[12]][_0xb4d7[143]](_0xb4d7[334])[_0xb4d7[145]](_0xbd5cx36);this[_0xb4d7[12]][_0xb4d7[143]](_0xb4d7[335])[_0xb4d7[145]](_0xbd5cx35);this[_0xb4d7[12]][_0xb4d7[143]](_0xb4d7[336])[_0xb4d7[145]](_0xbd5cx35);this[_0xb4d7[12]][_0xb4d7[143]](_0xb4d7[338])[_0xb4d7[145]](_0xbd5cx3[_0xb4d7[337]]()>1);this[_0xb4d7[12]][_0xb4d7[143]](_0xb4d7[340])[_0xb4d7[145]](_0xbd5cx3[_0xb4d7[337]]()==1&&_0xbd5cx3[_0xb4d7[96]]()[_0xb4d7[219]](_0xbd5cx3[_0xb4d7[339]]())>0);var _0xbd5cx3a=_0xbd5cx35&&_0xbd5cx3[_0xb4d7[337]]()==1;this[_0xb4d7[12]][_0xb4d7[143]](_0xb4d7[341])[_0xb4d7[145]](_0xbd5cx3a&&_0xbd5cx3[_0xb4d7[96]]()[_0xb4d7[102]](_0xbd5cx3[_0xb4d7[96]]()[_0xb4d7[298]](_0xbd5cx3[_0xb4d7[339]]())));var _0xbd5cx3b=[_0xb4d7[342],_0xb4d7[343],_0xb4d7[344],_0xb4d7[345],_0xb4d7[255],_0xb4d7[346],_0xb4d7[347],_0xb4d7[348],_0xb4d7[349]];for(var _0xbd5cx38=0;_0xbd5cx38<_0xbd5cx3b[_0xb4d7[174]];_0xbd5cx38++){this[_0xb4d7[13]][_0xb4d7[143]](_0xbd5cx3b[_0xbd5cx38])[_0xb4d7[145]](_0xbd5cx34)};_0xbd5cx3b=[_0xb4d7[350],_0xb4d7[351],_0xb4d7[352]];for(var _0xbd5cx38=0;_0xbd5cx38<_0xbd5cx3b[_0xb4d7[174]];_0xbd5cx38++){this[_0xb4d7[13]][_0xb4d7[143]](_0xbd5cx3b[_0xbd5cx38])[_0xb4d7[145]](_0xbd5cx36)};this[_0xb4d7[12]][_0xb4d7[143]](_0xb4d7[353])[_0xb4d7[145]](_0xbd5cx36);this[_0xb4d7[13]][_0xb4d7[143]](_0xb4d7[354])[_0xb4d7[145]](_0xbd5cx3[_0xb4d7[337]]()>1);this[_0xb4d7[13]][_0xb4d7[143]](_0xb4d7[357])[_0xb4d7[145]](_0xbd5cx35||(_0xbd5cx36&&_0xbd5cx3[_0xb4d7[356]](_0xbd5cx3[_0xb4d7[120]][_0xb4d7[355]](_0xbd5cx3[_0xb4d7[339]]()))));this[_0xb4d7[13]][_0xb4d7[143]](_0xb4d7[360])[_0xb4d7[145]](_0xbd5cx3[_0xb4d7[358]]&&((_0xbd5cx3[_0xb4d7[120]][_0xb4d7[359]]!=null)||(_0xbd5cx3[_0xb4d7[337]]()==1&&_0xbd5cx3[_0xb4d7[221]](_0xbd5cx3[_0xb4d7[339]]()))));this[_0xb4d7[12]][_0xb4d7[143]](_0xb4d7[361])[_0xb4d7[145]](_0xbd5cx3[_0xb4d7[120]][_0xb4d7[359]]!=null);this[_0xb4d7[12]][_0xb4d7[143]](_0xb4d7[362])[_0xb4d7[145]](_0xbd5cx3[_0xb4d7[120]][_0xb4d7[359]]!=null);var _0xbd5cx3c=_0xbd5cx3[_0xb4d7[337]]()==1&&_0xbd5cx3[_0xb4d7[221]](_0xbd5cx3[_0xb4d7[339]]());this[_0xb4d7[12]][_0xb4d7[143]](_0xb4d7[363])[_0xb4d7[145]](_0xbd5cx3c);this[_0xb4d7[12]][_0xb4d7[143]](_0xb4d7[364])[_0xb4d7[145]](_0xbd5cx3c);this[_0xb4d7[12]][_0xb4d7[143]](_0xb4d7[365])[_0xb4d7[145]](_0xbd5cx3c);this[_0xb4d7[12]][_0xb4d7[143]](_0xb4d7[366])[_0xb4d7[145]](_0xbd5cx3[_0xb4d7[337]]()==1);this[_0xb4d7[12]][_0xb4d7[143]](_0xb4d7[368])[_0xb4d7[145]](_0xbd5cx3[_0xb4d7[337]]()==1&&_0xbd5cx3[_0xb4d7[367]](_0xbd5cx3[_0xb4d7[339]]())!=null)});this[_0xb4d7[0]][_0xb4d7[4]][_0xb4d7[369]]()[_0xb4d7[33]](mxEvent.CHANGE,_0xbd5cx33);_0xbd5cx33()};EditorUi[_0xb4d7[10]][_0xb4d7[15]]=function(){var _0xbd5cx3d=mxClient[_0xb4d7[29]]&&(document[_0xb4d7[30]]==null||document[_0xb4d7[30]]==5);var _0xbd5cx3e=this[_0xb4d7[1]][_0xb4d7[370]];var _0xbd5cx3f=this[_0xb4d7[1]][_0xb4d7[371]];if(this[_0xb4d7[1]]==document[_0xb4d7[21]]){_0xbd5cx3e=document[_0xb4d7[21]][_0xb4d7[370]]||document[_0xb4d7[151]][_0xb4d7[370]];_0xbd5cx3f=(_0xbd5cx3d)?document[_0xb4d7[21]][_0xb4d7[371]]||document[_0xb4d7[151]][_0xb4d7[371]]:document[_0xb4d7[151]][_0xb4d7[371]]};var _0xbd5cx40=Math[_0xb4d7[373]](0,Math[_0xb4d7[372]](this[_0xb4d7[138]],_0xbd5cx3e-this[_0xb4d7[134]]-20));var _0xbd5cx41=Math[_0xb4d7[373]](0,Math[_0xb4d7[372]](this[_0xb4d7[139]],_0xbd5cx3f-this[_0xb4d7[135]]-this[_0xb4d7[136]]-this[_0xb4d7[137]]-this[_0xb4d7[134]]-1));this[_0xb4d7[23]][_0xb4d7[6]][_0xb4d7[374]]=this[_0xb4d7[135]]+_0xb4d7[375];this[_0xb4d7[25]][_0xb4d7[6]][_0xb4d7[376]]=this[_0xb4d7[135]]+_0xb4d7[375];this[_0xb4d7[25]][_0xb4d7[6]][_0xb4d7[374]]=this[_0xb4d7[136]]+_0xb4d7[375];var _0xbd5cx42=this[_0xb4d7[135]]+this[_0xb4d7[136]];if(!mxClient[_0xb4d7[377]]){_0xbd5cx42+=1};this[_0xb4d7[27]][_0xb4d7[6]][_0xb4d7[376]]=_0xbd5cx42+_0xb4d7[375];this[_0xb4d7[27]][_0xb4d7[6]][_0xb4d7[378]]=_0xbd5cx40+_0xb4d7[375];this[_0xb4d7[110]][_0xb4d7[6]][_0xb4d7[378]]=_0xbd5cx40+_0xb4d7[375];this[_0xb4d7[110]][_0xb4d7[6]][_0xb4d7[374]]=_0xbd5cx41+_0xb4d7[375];this[_0xb4d7[110]][_0xb4d7[6]][_0xb4d7[379]]=this[_0xb4d7[137]]+_0xb4d7[375];this[_0xb4d7[26]][_0xb4d7[6]][_0xb4d7[380]]=(_0xbd5cx40+this[_0xb4d7[134]])+_0xb4d7[375];this[_0xb4d7[26]][_0xb4d7[6]][_0xb4d7[376]]=this[_0xb4d7[27]][_0xb4d7[6]][_0xb4d7[376]];this[_0xb4d7[28]][_0xb4d7[6]][_0xb4d7[374]]=this[_0xb4d7[137]]+_0xb4d7[375];this[_0xb4d7[28]][_0xb4d7[6]][_0xb4d7[381]]=_0xb4d7[382];this[_0xb4d7[383]][_0xb4d7[6]][_0xb4d7[376]]=this[_0xb4d7[27]][_0xb4d7[6]][_0xb4d7[376]];this[_0xb4d7[383]][_0xb4d7[6]][_0xb4d7[379]]=this[_0xb4d7[110]][_0xb4d7[6]][_0xb4d7[379]];this[_0xb4d7[383]][_0xb4d7[6]][_0xb4d7[380]]=_0xbd5cx40+_0xb4d7[375];this[_0xb4d7[384]][_0xb4d7[6]][_0xb4d7[378]]=this[_0xb4d7[27]][_0xb4d7[6]][_0xb4d7[378]];this[_0xb4d7[384]][_0xb4d7[6]][_0xb4d7[379]]=(_0xbd5cx41+this[_0xb4d7[137]])+_0xb4d7[375];if(_0xbd5cx3d){this[_0xb4d7[23]][_0xb4d7[6]][_0xb4d7[378]]=_0xbd5cx3e+_0xb4d7[375];this[_0xb4d7[25]][_0xb4d7[6]][_0xb4d7[378]]=this[_0xb4d7[23]][_0xb4d7[6]][_0xb4d7[378]];var _0xbd5cx43=(_0xbd5cx3f-_0xbd5cx41-this[_0xb4d7[134]]-this[_0xb4d7[137]]-this[_0xb4d7[135]]-this[_0xb4d7[136]]);this[_0xb4d7[27]][_0xb4d7[6]][_0xb4d7[374]]=_0xbd5cx43+_0xb4d7[375];this[_0xb4d7[26]][_0xb4d7[6]][_0xb4d7[378]]=(_0xbd5cx3e-_0xbd5cx40-this[_0xb4d7[134]])+_0xb4d7[375];var _0xbd5cx44=(_0xbd5cx3f-this[_0xb4d7[137]]-this[_0xb4d7[135]]-this[_0xb4d7[136]]);this[_0xb4d7[26]][_0xb4d7[6]][_0xb4d7[374]]=_0xbd5cx44+_0xb4d7[375];this[_0xb4d7[28]][_0xb4d7[6]][_0xb4d7[378]]=this[_0xb4d7[23]][_0xb4d7[6]][_0xb4d7[378]];this[_0xb4d7[383]][_0xb4d7[6]][_0xb4d7[374]]=_0xbd5cx44+_0xb4d7[375]}else {this[_0xb4d7[27]][_0xb4d7[6]][_0xb4d7[379]]=(_0xbd5cx41+this[_0xb4d7[134]]+this[_0xb4d7[137]])+_0xb4d7[375];this[_0xb4d7[26]][_0xb4d7[6]][_0xb4d7[379]]=this[_0xb4d7[110]][_0xb4d7[6]][_0xb4d7[379]]}};EditorUi[_0xb4d7[10]][_0xb4d7[14]]=function(){this[_0xb4d7[23]]=this[_0xb4d7[386]](_0xb4d7[385]);this[_0xb4d7[25]]=this[_0xb4d7[386]](_0xb4d7[387]);this[_0xb4d7[27]]=this[_0xb4d7[386]](_0xb4d7[388]);this[_0xb4d7[110]]=this[_0xb4d7[386]](_0xb4d7[389]);this[_0xb4d7[26]]=this[_0xb4d7[386]](_0xb4d7[390]);this[_0xb4d7[28]]=this[_0xb4d7[386]](_0xb4d7[391]);this[_0xb4d7[383]]=this[_0xb4d7[386]](_0xb4d7[392]);this[_0xb4d7[384]]=this[_0xb4d7[386]](_0xb4d7[393]);this[_0xb4d7[23]][_0xb4d7[6]][_0xb4d7[376]]=_0xb4d7[394];this[_0xb4d7[23]][_0xb4d7[6]][_0xb4d7[380]]=_0xb4d7[394];this[_0xb4d7[23]][_0xb4d7[6]][_0xb4d7[395]]=_0xb4d7[394];this[_0xb4d7[25]][_0xb4d7[6]][_0xb4d7[380]]=_0xb4d7[394];this[_0xb4d7[25]][_0xb4d7[6]][_0xb4d7[395]]=_0xb4d7[394];this[_0xb4d7[27]][_0xb4d7[6]][_0xb4d7[380]]=_0xb4d7[394];this[_0xb4d7[110]][_0xb4d7[6]][_0xb4d7[380]]=_0xb4d7[394];this[_0xb4d7[26]][_0xb4d7[6]][_0xb4d7[395]]=_0xb4d7[394];this[_0xb4d7[28]][_0xb4d7[6]][_0xb4d7[380]]=_0xb4d7[394];this[_0xb4d7[28]][_0xb4d7[6]][_0xb4d7[395]]=_0xb4d7[394];this[_0xb4d7[28]][_0xb4d7[6]][_0xb4d7[379]]=_0xb4d7[394];this[_0xb4d7[384]][_0xb4d7[6]][_0xb4d7[380]]=_0xb4d7[394];this[_0xb4d7[384]][_0xb4d7[6]][_0xb4d7[374]]=this[_0xb4d7[134]]+_0xb4d7[375];this[_0xb4d7[383]][_0xb4d7[6]][_0xb4d7[378]]=this[_0xb4d7[134]]+_0xb4d7[375]};EditorUi[_0xb4d7[10]][_0xb4d7[16]]=function(){this[_0xb4d7[396]]=this[_0xb4d7[13]][_0xb4d7[398]](this[_0xb4d7[386]](_0xb4d7[397]));this[_0xb4d7[23]][_0xb4d7[399]](this[_0xb4d7[396]][_0xb4d7[1]]);this[_0xb4d7[400]]=this[_0xb4d7[402]](this[_0xb4d7[386]](_0xb4d7[401]));this[_0xb4d7[25]][_0xb4d7[399]](this[_0xb4d7[400]][_0xb4d7[1]]);this[_0xb4d7[403]]=this[_0xb4d7[404]](this[_0xb4d7[27]]);this[_0xb4d7[28]][_0xb4d7[399]](this[_0xb4d7[405]]());this[_0xb4d7[406]]=this[_0xb4d7[407]]();this[_0xb4d7[0]][_0xb4d7[33]](_0xb4d7[408],mxUtils[_0xb4d7[20]](this,function(){this[_0xb4d7[410]](this[_0xb4d7[0]][_0xb4d7[409]]())}));this[_0xb4d7[410]](this[_0xb4d7[0]][_0xb4d7[409]]());this[_0xb4d7[396]][_0xb4d7[1]][_0xb4d7[399]](this[_0xb4d7[406]]);this[_0xb4d7[1]][_0xb4d7[399]](this[_0xb4d7[23]]);this[_0xb4d7[1]][_0xb4d7[399]](this[_0xb4d7[25]]);this[_0xb4d7[1]][_0xb4d7[399]](this[_0xb4d7[27]]);this[_0xb4d7[1]][_0xb4d7[399]](this[_0xb4d7[110]]);this[_0xb4d7[1]][_0xb4d7[399]](this[_0xb4d7[26]]);this[_0xb4d7[1]][_0xb4d7[399]](this[_0xb4d7[28]]);this[_0xb4d7[1]][_0xb4d7[399]](this[_0xb4d7[383]]);this[_0xb4d7[1]][_0xb4d7[399]](this[_0xb4d7[384]]);this[_0xb4d7[411]](this[_0xb4d7[383]],true,0,mxUtils[_0xb4d7[20]](this,function(_0xbd5cx45){this[_0xb4d7[138]]=_0xbd5cx45;this[_0xb4d7[15]]();this[_0xb4d7[0]][_0xb4d7[4]][_0xb4d7[131]]();this[_0xb4d7[0]][_0xb4d7[111]][_0xb4d7[132]](false);this[_0xb4d7[0]][_0xb4d7[111]][_0xb4d7[111]][_0xb4d7[131]]()}));this[_0xb4d7[411]](this[_0xb4d7[384]],false,this[_0xb4d7[137]],mxUtils[_0xb4d7[20]](this,function(_0xbd5cx45){this[_0xb4d7[139]]=_0xbd5cx45;this[_0xb4d7[15]]();this[_0xb4d7[0]][_0xb4d7[111]][_0xb4d7[132]](false);this[_0xb4d7[0]][_0xb4d7[111]][_0xb4d7[111]][_0xb4d7[131]]()}))};EditorUi[_0xb4d7[10]][_0xb4d7[407]]=function(){var _0xbd5cx2=document[_0xb4d7[413]](_0xb4d7[412]);_0xbd5cx2[_0xb4d7[414]]=_0xb4d7[415];return _0xbd5cx2};EditorUi[_0xb4d7[10]][_0xb4d7[407]]=function(){var _0xbd5cx2=document[_0xb4d7[413]](_0xb4d7[412]);_0xbd5cx2[_0xb4d7[414]]=_0xb4d7[415];return _0xbd5cx2};EditorUi[_0xb4d7[10]][_0xb4d7[410]]=function(_0xbd5cx45){this[_0xb4d7[406]][_0xb4d7[416]]=_0xbd5cx45};EditorUi[_0xb4d7[10]][_0xb4d7[402]]=function(_0xbd5cx2){return new Toolbar(this,_0xbd5cx2)};EditorUi[_0xb4d7[10]][_0xb4d7[404]]=function(_0xbd5cx2){return new Sidebar(this,_0xbd5cx2)};EditorUi[_0xb4d7[10]][_0xb4d7[405]]=function(){return this[_0xb4d7[386]](_0xb4d7[417])};EditorUi[_0xb4d7[10]][_0xb4d7[386]]=function(_0xbd5cx46){var _0xbd5cx47=document[_0xb4d7[413]](_0xb4d7[418]);_0xbd5cx47[_0xb4d7[414]]=_0xbd5cx46;return _0xbd5cx47};EditorUi[_0xb4d7[10]][_0xb4d7[419]]=function(_0xbd5cx46){var _0xbd5cx47=document[_0xb4d7[413]](_0xbd5cx46);return _0xbd5cx47};EditorUi[_0xb4d7[10]][_0xb4d7[420]]=function(_0xbd5cx46){var _0xbd5cx47=document[_0xb4d7[413]](_0xb4d7[421]);_0xbd5cx47[_0xb4d7[414]]=_0xbd5cx46;return _0xbd5cx47};EditorUi[_0xb4d7[10]][_0xb4d7[411]]=function(_0xbd5cx47,_0xbd5cx48,_0xbd5cx49,_0xbd5cx4a){var _0xbd5cx4b=null;var _0xbd5cx4c=null;function _0xbd5cx4d(){return parseInt(((_0xbd5cx48)?_0xbd5cx47[_0xb4d7[6]][_0xb4d7[380]]:_0xbd5cx47[_0xb4d7[6]][_0xb4d7[379]]))}function _0xbd5cx4e(_0xbd5cx6){if(_0xbd5cx4b!=null){var _0xbd5cx4f= new mxPoint(mxEvent[_0xb4d7[422]](_0xbd5cx6),mxEvent[_0xb4d7[423]](_0xbd5cx6));_0xbd5cx4a(Math[_0xb4d7[373]](0,_0xbd5cx4c+((_0xbd5cx48)?(_0xbd5cx4f[_0xb4d7[424]]-_0xbd5cx4b[_0xb4d7[424]]):(_0xbd5cx4b[_0xb4d7[425]]-_0xbd5cx4f[_0xb4d7[425]]))-_0xbd5cx49));mxEvent[_0xb4d7[105]](_0xbd5cx6)}}function _0xbd5cx50(_0xbd5cx6){_0xbd5cx4e(_0xbd5cx6);_0xbd5cx4b=null;_0xbd5cx4c=null}mxEvent[_0xb4d7[113]](_0xbd5cx47,function(_0xbd5cx6){_0xbd5cx4b= new mxPoint(mxEvent[_0xb4d7[422]](_0xbd5cx6),mxEvent[_0xb4d7[423]](_0xbd5cx6));_0xbd5cx4c=_0xbd5cx4d();mxEvent[_0xb4d7[105]](_0xbd5cx6)});mxEvent[_0xb4d7[33]](document,_0xb4d7[426],_0xbd5cx4e);mxEvent[_0xb4d7[33]](document,_0xb4d7[427],_0xbd5cx4e);mxEvent[_0xb4d7[33]](document,_0xb4d7[428],_0xbd5cx50);mxEvent[_0xb4d7[33]](document,_0xb4d7[429],_0xbd5cx50)};EditorUi[_0xb4d7[10]][_0xb4d7[104]]=function(_0xbd5cx47,_0xbd5cx3e,_0xbd5cx3f,_0xbd5cx51,_0xbd5cx52,_0xbd5cx53){this[_0xb4d7[430]]();this[_0xb4d7[431]]= new Dialog(this,_0xbd5cx47,_0xbd5cx3e,(mxClient[_0xb4d7[432]])?_0xbd5cx3f-12:_0xbd5cx3f,_0xbd5cx51,_0xbd5cx52,_0xbd5cx53)};EditorUi[_0xb4d7[10]][_0xb4d7[430]]=function(){if(this[_0xb4d7[431]]!=null){this[_0xb4d7[431]][_0xb4d7[433]]();this[_0xb4d7[431]]=null;this[_0xb4d7[0]][_0xb4d7[4]][_0xb4d7[1]][_0xb4d7[47]]()}};EditorUi[_0xb4d7[10]][_0xb4d7[434]]=function(_0xbd5cx54){if(!_0xbd5cx54&&this[_0xb4d7[0]][_0xb4d7[156]]!=null){this[_0xb4d7[202]](this[_0xb4d7[0]][_0xb4d7[435]]())}else {this[_0xb4d7[104]]( new SaveDialog(this)[_0xb4d7[1]],300,100,true,true)}};EditorUi[_0xb4d7[10]][_0xb4d7[436]]=function(_0xbd5cx54){if(!_0xbd5cx54&&this[_0xb4d7[0]][_0xb4d7[156]]!=null){this[_0xb4d7[301]](this[_0xb4d7[0]][_0xb4d7[435]]())}else {}};EditorUi[_0xb4d7[10]][_0xb4d7[437]]=function(_0xbd5cx55,_0xbd5cx56,_0xbd5cx57){var _0xbd5cx3=this[_0xb4d7[0]][_0xb4d7[4]];var _0xbd5cxb=_0xbd5cx3[_0xb4d7[339]]();_0xbd5cx56=this[_0xb4d7[438]]!=null?this[_0xb4d7[438]]:_0xbd5cx56;_0xbd5cx3[_0xb4d7[96]]()[_0xb4d7[439]]();try{_0xbd5cx55[_0xb4d7[440]](_0xbd5cx3[_0xb4d7[299]](),_0xbd5cxb)}catch(e){throw e}finally{if(_0xbd5cx56&&navigator[_0xb4d7[442]][_0xb4d7[100]](_0xb4d7[441])<0){var _0xbd5cx58= new mxMorphing(_0xbd5cx3);_0xbd5cx58[_0xb4d7[33]](mxEvent.DONE,mxUtils[_0xb4d7[20]](this,function(){_0xbd5cx3[_0xb4d7[96]]()[_0xb4d7[443]]()}));_0xbd5cx58[_0xb4d7[444]]()}else {_0xbd5cx3[_0xb4d7[96]]()[_0xb4d7[443]]()}}};EditorUi[_0xb4d7[10]][_0xb4d7[128]]=function(_0xbd5cx1){var _0xbd5cx3=this[_0xb4d7[0]][_0xb4d7[4]];var _0xbd5cxf= new mxKeyHandler(_0xbd5cx3);_0xbd5cxf[_0xb4d7[445]]=function(_0xbd5cx6){return mxEvent[_0xb4d7[445]](_0xbd5cx6)||(mxClient[_0xb4d7[446]]&&_0xbd5cx6[_0xb4d7[447]])};function _0xbd5cx59(_0xbd5cx5a){if(!_0xbd5cx3[_0xb4d7[320]]()){var _0xbd5cx49=0;var _0xbd5cx5b=0;if(_0xbd5cx5a==37){_0xbd5cx49= -1}else {if(_0xbd5cx5a==38){_0xbd5cx5b= -1}else {if(_0xbd5cx5a==39){_0xbd5cx49=1}else {if(_0xbd5cx5a==40){_0xbd5cx5b=1}}}};_0xbd5cx3[_0xb4d7[448]](_0xbd5cx3[_0xb4d7[321]](),_0xbd5cx49,_0xbd5cx5b);_0xbd5cx3[_0xb4d7[449]](_0xbd5cx3[_0xb4d7[339]]())}}var _0xbd5cx5c=mxUtils[_0xb4d7[20]](this,function(_0xbd5cx5d,_0xbd5cx5e,_0xbd5cx2e,_0xbd5cx5f){var _0xbd5cx60=this[_0xb4d7[12]][_0xb4d7[143]](_0xbd5cx2e);if(_0xbd5cx60!=null){var _0xbd5cx1f=function(){if(_0xbd5cx60[_0xb4d7[450]]){_0xbd5cx60[_0xb4d7[451]]()}};if(_0xbd5cx5e){if(_0xbd5cx5f){_0xbd5cxf[_0xb4d7[452]](_0xbd5cx5d,_0xbd5cx1f)}else {_0xbd5cxf[_0xb4d7[453]](_0xbd5cx5d,_0xbd5cx1f)}}else {if(_0xbd5cx5f){_0xbd5cxf[_0xb4d7[454]](_0xbd5cx5d,_0xbd5cx1f)}else {_0xbd5cxf[_0xb4d7[455]](_0xbd5cx5d,_0xbd5cx1f)}}}});var _0xbd5cx61=this;var _0xbd5cx62=_0xbd5cxf[_0xb4d7[456]];_0xbd5cxf[_0xb4d7[456]]=function(_0xbd5cx6){_0xbd5cx61[_0xb4d7[430]]();_0xbd5cx62[_0xb4d7[50]](this,arguments)};_0xbd5cxf[_0xb4d7[457]]=function(){};_0xbd5cxf[_0xb4d7[455]](8,function(){_0xbd5cx3[_0xb4d7[458]](true)});_0xbd5cxf[_0xb4d7[455]](13,function(){_0xbd5cx3[_0xb4d7[458]](false)});_0xbd5cxf[_0xb4d7[455]](33,function(){_0xbd5cx3[_0xb4d7[362]]()});_0xbd5cxf[_0xb4d7[455]](34,function(){_0xbd5cx3[_0xb4d7[363]]()});_0xbd5cxf[_0xb4d7[455]](36,function(){_0xbd5cx3[_0xb4d7[361]]()});_0xbd5cxf[_0xb4d7[455]](35,function(){_0xbd5cx3[_0xb4d7[15]]()});_0xbd5cxf[_0xb4d7[455]](37,function(){_0xbd5cx59(37)});_0xbd5cxf[_0xb4d7[455]](38,function(){_0xbd5cx59(38)});_0xbd5cxf[_0xb4d7[455]](39,function(){_0xbd5cx59(39)});_0xbd5cxf[_0xb4d7[455]](40,function(){_0xbd5cx59(40)});_0xbd5cxf[_0xb4d7[455]](113,function(){_0xbd5cx3[_0xb4d7[101]]()});_0xbd5cx5c(46,false,_0xb4d7[322]);_0xbd5cx5c(82,true,_0xb4d7[332]);_0xbd5cx5c(83,true,_0xb4d7[202]);_0xbd5cx5c(83,true,_0xb4d7[210],true);_0xbd5cx5c(107,false,_0xb4d7[459]);_0xbd5cx5c(109,false,_0xb4d7[460]);_0xbd5cx5c(65,true,_0xb4d7[461]);_0xbd5cx5c(86,true,_0xb4d7[462],true);_0xbd5cx5c(69,true,_0xb4d7[463],true);_0xbd5cx5c(69,true,_0xb4d7[464]);_0xbd5cx5c(66,true,_0xb4d7[328]);_0xbd5cx5c(70,true,_0xb4d7[327]);_0xbd5cx5c(68,true,_0xb4d7[323]);_0xbd5cx5c(90,true,_0xb4d7[316]);_0xbd5cx5c(89,true,_0xb4d7[317]);_0xbd5cx5c(88,true,_0xb4d7[146]);_0xbd5cx5c(67,true,_0xb4d7[147]);_0xbd5cx5c(81,true,_0xb4d7[465]);_0xbd5cx5c(86,true,_0xb4d7[142]);_0xbd5cx5c(71,true,_0xb4d7[338]);_0xbd5cx5c(71,true,_0xb4d7[466],true);_0xbd5cx5c(85,true,_0xb4d7[340]);_0xbd5cx5c(112,false,_0xb4d7[467]);_0xbd5cx5c(80,true,_0xb4d7[301],true);return _0xbd5cxf};EditorUi[_0xb4d7[10]][_0xb4d7[56]]=function(_0xbd5cx3,_0xbd5cx37,_0xbd5cx63,_0xbd5cx64){for(var _0xbd5cx38=0;_0xbd5cx38<_0xbd5cx37[_0xb4d7[174]];_0xbd5cx38++){_0xbd5cx3[_0xb4d7[470]]( new mxMultiplicity(true,_0xbd5cx37[_0xbd5cx38],null,null,_0xbd5cx63[_0xbd5cx38][0],_0xbd5cx63[_0xbd5cx38][1],null,mxResources[_0xb4d7[143]](_0xbd5cx37[_0xbd5cx38])+mxResources[_0xb4d7[143]](_0xb4d7[468])+_0xbd5cx63[_0xbd5cx38][0]+mxResources[_0xb4d7[143]](_0xb4d7[469]),null));_0xbd5cx3[_0xb4d7[470]]( new mxMultiplicity(false,_0xbd5cx37[_0xbd5cx38],null,null,_0xbd5cx64[_0xbd5cx38][0],_0xbd5cx64[_0xbd5cx38][1],null,mxResources[_0xb4d7[143]](_0xbd5cx37[_0xbd5cx38])+mxResources[_0xb4d7[143]](_0xb4d7[468])+_0xbd5cx64[_0xbd5cx38][0]+mxResources[_0xb4d7[143]](_0xb4d7[471]),null))}} \ No newline at end of file +var _0x458f=["\x65\x64\x69\x74\x6F\x72","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x45\x64\x69\x74\x6F\x72","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74\x42\x79\x49\x64","\x67\x72\x61\x70\x68","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x73\x74\x79\x6C\x65","\x68\x69\x64\x64\x65\x6E","\x73\x72\x63","\x73\x75\x62\x6D\x65\x6E\x75\x49\x6D\x61\x67\x65","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x63\x6F\x6E\x6E\x65\x63\x74\x49\x6D\x61\x67\x65","\x61\x63\x74\x69\x6F\x6E\x73","\x6D\x65\x6E\x75\x73","\x63\x72\x65\x61\x74\x65\x44\x69\x76\x73","\x72\x65\x66\x72\x65\x73\x68","\x63\x72\x65\x61\x74\x65\x55\x69","\x65\x76\x65\x6E\x74","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x41\x6C\x6C\x6F\x77\x65\x64","\x69\x73\x45\x64\x69\x74\x69\x6E\x67","\x62\x69\x6E\x64","\x62\x6F\x64\x79","\x6F\x6E\x73\x65\x6C\x65\x63\x74\x73\x74\x61\x72\x74","\x6D\x65\x6E\x75\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x6F\x6E\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E","\x74\x6F\x6F\x6C\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x64\x69\x61\x67\x72\x61\x6D\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x73\x69\x64\x65\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x66\x6F\x6F\x74\x65\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x49\x53\x5F\x49\x45","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x4D\x6F\x64\x65","\x75\x6E\x64\x65\x66\x69\x6E\x65\x64","\x63\x6F\x6E\x74\x65\x78\x74\x6D\x65\x6E\x75","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x6F\x6E\x63\x6F\x6E\x74\x65\x78\x74\x6D\x65\x6E\x75","\x69\x6E\x69\x74","\x74\x61\x62\x69\x6E\x64\x65\x78","\x30","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x75\x72\x73\x6F\x72","\x64\x65\x66\x61\x75\x6C\x74","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x75\x72\x6C\x28","\x67\x72\x69\x64\x49\x6D\x61\x67\x65","\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x2D\x31\x70\x78\x20\x2D\x31\x70\x78","\x66\x6F\x63\x75\x73","\x66\x69\x72\x65\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74","\x4D\x4F\x55\x53\x45\x5F\x44\x4F\x57\x4E","\x61\x70\x70\x6C\x79","\x6D\x75\x6C\x74\x69\x70\x6C\x69\x63\x69\x74\x69\x65\x73","\x73\x74\x61\x72\x74","\x66\x69\x6E\x61\x6C\x6C\x79","\x65\x6E\x64","\x6E","\x63\x72\x65\x61\x74\x65\x4D\x75\x6C\x74\x69\x70\x6C\x69\x63\x69\x74\x69\x65\x73","\x61\x6E\x73\x77\x65\x72","\x68\x61\x6E\x67\x75\x70","\x64\x69\x61\x6C","\x65\x78\x74\x5F\x64\x69\x61\x6C","\x71\x75\x65\x75\x65","\x76\x6F\x69\x63\x65\x6D\x61\x69\x6C","\x63\x61\x6C\x6C\x62\x61\x63\x6B","\x73\x65\x74","\x6D\x61\x74\x68","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x70\x6C\x61\x79\x62\x61\x63\x6B","\x6D\x65\x6E\x75","\x73\x61\x79\x64\x69\x67\x69\x74\x73","\x73\x61\x79\x6E\x75\x6D\x62\x65\x72","\x73\x61\x79\x70\x68\x6F\x6E\x65\x74\x69\x63","\x74\x74\x73","\x69\x73\x70\x65\x65\x63\x68\x74\x74\x73","\x67\x65\x74\x64\x69\x67\x69\x74\x73","\x72\x65\x63\x6F\x72\x64","\x64\x61\x74\x61\x62\x61\x73\x65","\x69\x73\x70\x65\x65\x63\x68\x61\x73\x72","\x67\x6F\x74\x6F\x63","\x67\x6F\x74\x6F\x69\x66","\x67\x6F\x74\x6F\x69\x66\x74\x69\x6D\x65","\x67\x6F\x74\x6F\x69\x66\x6D\x75\x6C\x74\x69\x74\x69\x6D\x65","\x76\x73\x77\x69\x74\x63\x68","\x71\x75\x65\x75\x65\x6C\x6F\x67","\x67\x6F\x61\x6C","\x6E\x6F\x6F\x70","\x73\x79\x73\x74\x65\x6D","\x61\x67\x69","\x73\x75\x62\x70\x72\x6F\x6A\x65\x63\x74","\x63\x75\x73\x74\x6F\x6D\x5F\x61\x70\x70","\x64\x62\x6C\x43\x6C\x69\x63\x6B","\x63\x65\x6C\x6C","\x66\x69\x72\x65\x45\x76\x65\x6E\x74","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x43\x6F\x6E\x73\x75\x6D\x65\x64","\x69\x73\x45\x64\x67\x65","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x74\x61\x67\x4E\x61\x6D\x65","\x76\x61\x6C\x75\x65","\x73\x6F\x75\x72\x63\x65","\x69\x6E\x64\x65\x78\x4F\x66","\x73\x74\x61\x72\x74\x45\x64\x69\x74\x69\x6E\x67\x41\x74\x43\x65\x6C\x6C","\x69\x73\x56\x65\x72\x74\x65\x78","\x6C\x6F\x67","\x73\x68\x6F\x77\x44\x69\x61\x6C\x6F\x67","\x63\x6F\x6E\x73\x75\x6D\x65","\x61\x75\x74\x6F\x45\x78\x70\x61\x6E\x64","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x66\x61\x63\x74\x6F\x72\x79\x4D\x65\x74\x68\x6F\x64","\x63\x72\x65\x61\x74\x65\x50\x6F\x70\x75\x70\x4D\x65\x6E\x75","\x6F\x75\x74\x6C\x69\x6E\x65\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x6F\x75\x74\x6C\x69\x6E\x65","\x68\x69\x64\x65\x4D\x65\x6E\x75","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x49\x53\x5F\x54\x4F\x55\x43\x48","\x67\x65\x73\x74\x75\x72\x65\x63\x68\x61\x6E\x67\x65","\x74\x72\x61\x6E\x73\x66\x6F\x72\x6D","\x73\x63\x61\x6C\x65\x28","\x73\x63\x61\x6C\x65","\x67\x65\x74\x44\x72\x61\x77\x50\x61\x6E\x65","\x76\x69\x65\x77","\x76\x69\x73\x69\x62\x69\x6C\x69\x74\x79","\x67\x65\x74\x4F\x76\x65\x72\x6C\x61\x79\x50\x61\x6E\x65","\x67\x65\x73\x74\x75\x72\x65\x65\x6E\x64","\x72\x65\x6D\x6F\x76\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x7A\x6F\x6F\x6D\x54\x6F\x43\x65\x6E\x74\x65\x72","\x7A\x6F\x6F\x6D","\x76\x69\x73\x69\x62\x6C\x65","\x63\x72\x65\x61\x74\x65\x4B\x65\x79\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x4B\x65\x79\x48\x61\x6E\x64\x6C\x65\x72","\x72\x65\x73\x69\x7A\x65","\x73\x69\x7A\x65\x44\x69\x64\x43\x68\x61\x6E\x67\x65","\x75\x70\x64\x61\x74\x65","\x6F\x70\x65\x6E","\x73\x70\x6C\x69\x74\x53\x69\x7A\x65","\x6D\x65\x6E\x75\x62\x61\x72\x48\x65\x69\x67\x68\x74","\x74\x6F\x6F\x6C\x62\x61\x72\x48\x65\x69\x67\x68\x74","\x66\x6F\x6F\x74\x65\x72\x48\x65\x69\x67\x68\x74","\x68\x73\x70\x6C\x69\x74\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x76\x73\x70\x6C\x69\x74\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x61\x64\x64\x55\x6E\x64\x6F\x4C\x69\x73\x74\x65\x6E\x65\x72","\x61\x64\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x4C\x69\x73\x74\x65\x6E\x65\x72","\x70\x61\x73\x74\x65","\x67\x65\x74","\x69\x73\x45\x6D\x70\x74\x79","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x63\x75\x74","\x63\x6F\x70\x79","\x6F\x70\x65\x6E\x65\x72","\x6F\x70\x65\x6E\x46\x69\x6C\x65","\x70\x61\x72\x73\x65\x58\x6D\x6C","\x64\x6F\x63\x75\x6D\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x73\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x6D\x6F\x64\x69\x66\x69\x65\x64","\x63\x6C\x65\x61\x72","\x75\x6E\x64\x6F\x4D\x61\x6E\x61\x67\x65\x72","\x66\x69\x6C\x65\x6E\x61\x6D\x65","\x69\x6E\x76\x61\x6C\x69\x64\x4F\x72\x4D\x69\x73\x73\x69\x6E\x67\x46\x69\x6C\x65","\x3A\x20","\x6D\x65\x73\x73\x61\x67\x65","\x61\x6C\x65\x72\x74","\x73\x65\x74\x43\x6F\x6E\x73\x75\x6D\x65\x72","\x6F\x70\x65\x6E\x53\x74\x72\x69\x6E\x67","\x64\x61\x74\x61","\x6E\x65\x77","\x67\x65\x74\x47\x72\x61\x70\x68\x58\x6D\x6C","\x67\x65\x74\x50\x72\x65\x74\x74\x79\x58\x6D\x6C","\x67\x65\x74\x49\x74\x65\x6D","\x72\x65\x70\x6C\x61\x63\x65","\x63\x6F\x6E\x66\x69\x72\x6D","\x73\x65\x74\x49\x74\x65\x6D","\x73\x61\x76\x65\x64","\x20","\x73\x65\x74\x53\x74\x61\x74\x75\x73","\x6C\x65\x6E\x67\x74\x68","\x50\x4F\x53\x54","\x43\x6F\x6E\x74\x65\x6E\x74\x2D\x54\x79\x70\x65","\x61\x70\x70\x6C\x69\x63\x61\x74\x69\x6F\x6E\x2F\x78\x2D\x77\x77\x77\x2D\x66\x6F\x72\x6D\x2D\x75\x72\x6C\x65\x6E\x63\x6F\x64\x65\x64","\x73\x65\x74\x52\x65\x71\x75\x65\x73\x74\x48\x65\x61\x64\x65\x72","\x41\x75\x74\x68\x6F\x72\x69\x7A\x61\x74\x69\x6F\x6E","\x42\x65\x61\x72\x65\x72\x20","\x74\x6F\x6B\x65\x6E","\x6F\x6E\x6C\x6F\x61\x64","\x72\x65\x61\x64\x79\x53\x74\x61\x74\x65","\x73\x74\x61\x74\x75\x73","\x50\x72\x6F\x6A\x65\x63\x74\x20","\x6E\x61\x6D\x65","\x72\x65\x73\x70\x6F\x6E\x73\x65","\x70\x61\x72\x73\x65","\x20\x69\x73\x20\x6F\x70\x65\x6E\x69\x6E\x67\x20\x69\x6E\x20\x6E\x65\x77\x20\x77\x69\x6E\x64\x6F\x77\x21","\x73\x71\x75\x61\x72\x65\x2F\x70\x72\x6F\x6A\x65\x63\x74\x2F","\x69\x64","\x5F\x62\x6C\x61\x6E\x6B","\x45\x72\x72\x6F\x72\x20\x63\x72\x65\x61\x74\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74\x3A\x20","\x65\x72\x72\x6F\x72\x73","\x6F\x6E\x65\x72\x72\x6F\x72","\x73\x74\x61\x74\x75\x73\x54\x65\x78\x74","\x64\x65\x73\x63\x72\x69\x70\x74\x69\x6F\x6E\x3D\x70\x72\x6F\x6A\x65\x63\x74\x5F\x6E\x65\x77\x26\x6E\x61\x6D\x65\x3D","\x73\x65\x6E\x64","\x64\x72\x61\x77\x69\x6E\x67\x54\x6F\x6F\x4C\x61\x72\x67\x65","\x70\x6F\x70\x75\x70","\x45\x72\x72\x6F\x72\x20\x63\x72\x65\x61\x74\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74","\x73\x61\x76\x65","\x50\x55\x54","\x55\x70\x64\x61\x74\x65\x64\x20\x61\x74\x20","\x75\x70\x64\x61\x74\x65\x64\x41\x74","\x20\x28","\x45\x72\x72\x6F\x72\x20\x73\x61\x76\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74\x3A\x20","\x70\x72\x65\x70\x72\x6F\x64\x75\x63\x74\x69\x6F\x6E\x3D","\x45\x72\x72\x6F\x72\x20\x73\x61\x76\x69\x6E\x67\x20\x66\x69\x6C\x65","\x73\x61\x76\x65\x41\x73","\x73\x71\x75\x61\x72\x65\x2F\x70\x72\x6F\x6A\x65\x63\x74\x73\x2F\x76\x69\x65\x77\x2F","\x45\x72\x72\x6F\x72\x20\x63\x6C\x6F\x6E\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74\x3A\x20","\x64\x65\x73\x63\x72\x69\x70\x74\x69\x6F\x6E\x3D\x70\x72\x6F\x6A\x65\x63\x74\x5F\x63\x6C\x6F\x6E\x65\x64\x26\x6E\x61\x6D\x65\x3D","\x26\x70\x72\x65\x70\x72\x6F\x64\x75\x63\x74\x69\x6F\x6E\x3D","\x26\x70\x72\x6F\x64\x75\x63\x74\x69\x6F\x6E\x3D","\x76\x61\x6C\x69\x64\x61\x74\x65","\x67\x65\x74\x52\x6F\x6F\x74","\x6D\x6F\x64\x65\x6C","\x67\x65\x74\x43\x68\x69\x6C\x64\x43\x6F\x75\x6E\x74","\x67\x65\x74\x43\x68\x69\x6C\x64\x41\x74","\x69\x73\x56\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x3C\x62\x72\x3E","\x73\x65\x74\x43\x65\x6C\x6C\x57\x61\x72\x6E\x69\x6E\x67","","\x76\x61\x6C\x69\x64\x61\x74\x65\x42\x6C\x6F\x63\x6B","\x4F\x6E\x6C\x79\x20\x6F\x6E\x65\x20\x53\x74\x61\x72\x74\x20\x62\x6C\x6F\x63\x6B\x20\x69\x73\x20\x61\x6C\x6C\x6F\x77\x65\x64","\x0A","\x4F\x6E\x6C\x79\x20\x6F\x6E\x65\x20\x46\x69\x6E\x61\x6C\x6C\x79\x20\x62\x6C\x6F\x63\x6B\x20\x69\x73\x20\x61\x6C\x6C\x6F\x77\x65\x64","\x66\x69\x6C\x65\x5F\x69\x64","\x67\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x6E\x6F\x41\x75\x64\x69\x6F\x53\x65\x6C\x65\x63\x74\x65\x64","\x64\x69\x67\x69\x74","\x77\x72\x6F\x6E\x67\x4D\x61\x78\x44\x69\x67\x69\x74","\x76\x61\x72\x69\x61\x62\x6C\x65\x5F\x69\x64","\x6E\x6F\x56\x61\x72\x69\x61\x62\x6C\x65\x53\x65\x6C\x65\x63\x74\x65\x64","\x6D\x69\x6E\x64\x69\x67\x69\x74","\x77\x72\x6F\x6E\x67\x4D\x69\x6E\x44\x69\x67\x69\x74","\x6D\x61\x78\x64\x69\x67\x69\x74","\x76\x61\x72\x69\x61\x62\x6C\x65","\x6F\x64\x62\x63\x5F\x69\x64","\x6E\x6F\x44\x61\x74\x61\x62\x61\x73\x65\x53\x65\x6C\x65\x63\x74\x65\x64","\x74\x72\x75\x6E\x6B\x5F\x6E\x61\x6D\x65","\x2D\x31","\x6E\x6F\x54\x72\x75\x6E\x6B\x53\x65\x6C\x65\x63\x74\x65\x64","\x65\x78\x74\x65\x6E\x73\x69\x6F\x6E","\x6E\x6F\x45\x78\x74\x65\x6E\x73\x69\x6F\x6E\x53\x65\x6C\x65\x63\x74\x65\x64","\x63\x6F\x6D\x6D\x61\x6E\x64","\x6E\x6F\x43\x6F\x6D\x6D\x61\x6E\x64\x53\x65\x6C\x65\x63\x74\x65\x64","\x63\x6F\x6E\x64\x69\x74\x69\x6F\x6E","\x6E\x6F\x43\x6F\x6E\x64\x69\x74\x69\x6F\x6E\x53\x65\x6C\x65\x63\x74\x65\x64","\x64\x69\x67\x69\x74\x73","\x6E\x6F\x44\x69\x67\x69\x74\x73\x53\x65\x6C\x65\x63\x74\x65\x64","\x6E\x75\x6D\x62\x65\x72","\x6E\x6F\x4E\x75\x6D\x62\x65\x72\x53\x65\x6C\x65\x63\x74\x65\x64","\x74\x65\x78\x74","\x6E\x6F\x54\x65\x78\x74\x53\x65\x6C\x65\x63\x74\x65\x64","\x66\x69\x6C\x65","\x6E\x6F\x46\x69\x6C\x65\x53\x65\x6C\x65\x63\x74\x65\x64","\x67\x6F\x61\x6C\x6E\x61\x6D\x65","\x6E\x6F\x47\x6F\x61\x6C\x53\x65\x6C\x65\x63\x74\x65\x64","\x70\x68\x6F\x6E\x65","\x6E\x6F\x49\x64\x65\x6E\x74\x69\x66\x69\x65\x72\x53\x65\x6C\x65\x63\x74\x65\x64","\x73\x69\x70\x5F\x69\x64","\x71\x75\x65\x75\x65\x5F\x69\x64","\x6E\x6F\x51\x75\x65\x75\x65\x53\x65\x6C\x65\x63\x74\x65\x64","\x6C\x69\x73\x74\x5F\x69\x64","\x6E\x6F\x4C\x69\x73\x74\x53\x65\x6C\x65\x63\x74\x65\x64","\x69\x6E\x74\x65\x72\x76\x61\x6C\x5F\x69\x64","\x6E\x6F\x49\x6E\x74\x65\x72\x76\x61\x6C\x53\x65\x6C\x65\x63\x74\x65\x64","\x6D\x61\x69\x6C\x62\x6F\x78","\x6E\x6F\x42\x6F\x78\x4E\x75\x6D\x62\x65\x72\x53\x65\x6C\x65\x63\x74\x65\x64","\x70\x72\x6F\x6A\x65\x63\x74\x5F\x69\x64","\x6E\x6F\x50\x72\x6F\x6A\x65\x63\x74\x53\x65\x6C\x65\x63\x74\x65\x64","\x61\x63\x63\x6F\x75\x6E\x74\x5F\x69\x64","\x6E\x6F\x41\x63\x63\x6F\x75\x6E\x74\x53\x65\x6C\x65\x63\x74\x65\x64","\x74\x6F","\x73\x6D\x73\x5F\x74\x65\x78\x74","\x73\x6D\x73","\x6B\x65\x79","\x6E\x6F\x4B\x65\x79\x53\x65\x6C\x65\x63\x74\x65\x64","\x6E\x6F\x64\x65\x4E\x61\x6D\x65","\x67\x65\x74\x49\x64","\x67\x65\x74\x43\x65\x6C\x6C","\x67\x65\x74\x56\x61\x6C\x75\x65","\x74\x65\x73\x74","\x6E\x75\x6D\x62\x65\x72\x4F\x72\x49\x6E\x76\x61\x6C\x69\x64\x4F\x72\x54\x69\x6D\x65\x6F\x75\x74","\x2C","\x73\x70\x6C\x69\x74","\x6E\x75\x6D\x62\x65\x72\x4F\x72\x41\x6C\x6C","\x66\x6F\x72\x45\x61\x63\x68","\x6E\x75\x6D\x62\x65\x72\x4F\x72\x49\x6E\x76\x61\x6C\x69\x64\x4F\x72\x44\x65\x66\x61\x75\x6C\x74","\x74\x72\x75\x65\x4F\x72\x46\x61\x6C\x73\x65","\x69\x73\x43\x65\x6C\x6C\x43\x6F\x6C\x6C\x61\x70\x73\x65\x64","\x63\x6F\x6E\x74\x61\x69\x6E\x73\x56\x61\x6C\x69\x64\x61\x74\x69\x6F\x6E\x45\x72\x72\x6F\x72\x73\x52\x65\x73\x6F\x75\x72\x63\x65","\x67\x65\x74\x54\x65\x72\x6D\x69\x6E\x61\x6C","\x67\x65\x74\x45\x64\x67\x65\x56\x61\x6C\x69\x64\x61\x74\x69\x6F\x6E\x45\x72\x72\x6F\x72","\x67\x65\x74\x43\x65\x6C\x6C\x56\x61\x6C\x69\x64\x61\x74\x69\x6F\x6E\x45\x72\x72\x6F\x72","\x76\x61\x6C\x69\x64\x61\x74\x65\x43\x65\x6C\x6C","\x67\x65\x74\x50\x61\x72\x65\x6E\x74","\x67\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x50\x61\x72\x65\x6E\x74","\x63\x68\x69\x6C\x64\x72\x65\x6E","\x70\x75\x62\x6C\x69\x73\x68","\x50\x75\x62\x6C\x69\x73\x68\x65\x64\x20\x61\x74\x20","\x45\x72\x72\x6F\x72\x20\x70\x75\x62\x6C\x69\x73\x68\x69\x6E\x67\x20\x66\x69\x6C\x65","\x45\x72\x72\x6F\x72\x20\x70\x75\x62\x6C\x69\x73\x68\x69\x6E\x67\x20\x70\x72\x6F\x6A\x65\x63\x74\x3A\x20","\x56\x61\x72\x69\x61\x62\x6C\x65\x20\x63\x72\x65\x61\x74\x65\x64\x20\x61\x74\x20","\x63\x72\x65\x61\x74\x65\x64\x41\x74","\x45\x72\x72\x6F\x72\x20\x63\x72\x65\x61\x74\x69\x6E\x67\x20\x76\x61\x72\x69\x61\x62\x6C\x65\x3A\x20","\x6E\x61\x6D\x65\x3D","\x45\x72\x72\x6F\x72\x20\x63\x72\x65\x61\x74\x69\x6E\x67\x20\x76\x61\x72\x69\x61\x62\x6C\x65","\x67\x65\x74\x55\x72\x6C","\x70\x61\x74\x68\x6E\x61\x6D\x65","\x6C\x6F\x63\x61\x74\x69\x6F\x6E","\x3F","\x26","\x3D","\x75\x6E\x64\x6F","\x72\x65\x64\x6F","\x63\x61\x6E\x55\x6E\x64\x6F","\x63\x61\x6E\x52\x65\x64\x6F","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6D\x70\x74\x79","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x64\x65\x6C\x65\x74\x65","\x64\x75\x70\x6C\x69\x63\x61\x74\x65","\x62\x6F\x6C\x64","\x69\x74\x61\x6C\x69\x63","\x75\x6E\x64\x65\x72\x6C\x69\x6E\x65","\x74\x6F\x46\x72\x6F\x6E\x74","\x74\x6F\x42\x61\x63\x6B","\x64\x61\x73\x68\x65\x64","\x72\x6F\x75\x6E\x64\x65\x64","\x73\x68\x61\x64\x6F\x77","\x74\x69\x6C\x74","\x61\x75\x74\x6F\x73\x69\x7A\x65","\x63\x75\x72\x76\x65\x64","\x72\x6F\x74\x61\x74\x69\x6F\x6E","\x77\x6F\x72\x64\x57\x72\x61\x70","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x6F\x75\x6E\x74","\x67\x72\x6F\x75\x70","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x75\x6E\x67\x72\x6F\x75\x70","\x72\x65\x6D\x6F\x76\x65\x46\x72\x6F\x6D\x47\x72\x6F\x75\x70","\x66\x6F\x6E\x74\x46\x61\x6D\x69\x6C\x79","\x66\x6F\x6E\x74\x53\x69\x7A\x65","\x61\x6C\x69\x67\x6E\x6D\x65\x6E\x74","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x66\x6F\x72\x6D\x61\x74","\x61\x72\x72\x61\x6E\x67\x65","\x6C\x69\x6E\x65\x77\x69\x64\x74\x68","\x73\x70\x61\x63\x69\x6E\x67","\x6C\x69\x6E\x65","\x6C\x69\x6E\x65\x65\x6E\x64","\x6C\x69\x6E\x65\x73\x74\x61\x72\x74","\x73\x65\x74\x41\x73\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x61\x6C\x69\x67\x6E","\x67\x65\x74\x53\x74\x61\x74\x65","\x69\x73\x4C\x6F\x6F\x70","\x64\x69\x72\x65\x63\x74\x69\x6F\x6E","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x63\x75\x72\x72\x65\x6E\x74\x52\x6F\x6F\x74","\x6E\x61\x76\x69\x67\x61\x74\x69\x6F\x6E","\x68\x6F\x6D\x65","\x65\x78\x69\x74\x47\x72\x6F\x75\x70","\x65\x6E\x74\x65\x72\x47\x72\x6F\x75\x70","\x65\x78\x70\x61\x6E\x64","\x63\x6F\x6C\x6C\x61\x70\x73\x65","\x65\x64\x69\x74\x4C\x69\x6E\x6B","\x67\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x6F\x70\x65\x6E\x4C\x69\x6E\x6B","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x4D\x6F\x64\x65\x6C","\x63\x6C\x69\x65\x6E\x74\x57\x69\x64\x74\x68","\x63\x6C\x69\x65\x6E\x74\x48\x65\x69\x67\x68\x74","\x6D\x69\x6E","\x6D\x61\x78","\x68\x65\x69\x67\x68\x74","\x70\x78","\x74\x6F\x70","\x49\x53\x5F\x51\x55\x49\x52\x4B\x53","\x77\x69\x64\x74\x68","\x62\x6F\x74\x74\x6F\x6D","\x6C\x65\x66\x74","\x64\x69\x73\x70\x6C\x61\x79","\x6E\x6F\x6E\x65","\x68\x73\x70\x6C\x69\x74","\x76\x73\x70\x6C\x69\x74","\x67\x65\x4D\x65\x6E\x75\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x63\x72\x65\x61\x74\x65\x44\x69\x76","\x67\x65\x54\x6F\x6F\x6C\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x53\x69\x64\x65\x62\x61\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x4F\x75\x74\x6C\x69\x6E\x65\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x44\x69\x61\x67\x72\x61\x6D\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x46\x6F\x6F\x74\x65\x72\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x67\x65\x48\x73\x70\x6C\x69\x74","\x67\x65\x56\x73\x70\x6C\x69\x74","\x30\x70\x78","\x72\x69\x67\x68\x74","\x6D\x65\x6E\x75\x62\x61\x72","\x67\x65\x4D\x65\x6E\x75\x62\x61\x72","\x63\x72\x65\x61\x74\x65\x4D\x65\x6E\x75\x62\x61\x72","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x74\x6F\x6F\x6C\x62\x61\x72","\x67\x65\x54\x6F\x6F\x6C\x62\x61\x72","\x63\x72\x65\x61\x74\x65\x54\x6F\x6F\x6C\x62\x61\x72","\x73\x69\x64\x65\x62\x61\x72","\x63\x72\x65\x61\x74\x65\x53\x69\x64\x65\x62\x61\x72","\x63\x72\x65\x61\x74\x65\x46\x6F\x6F\x74\x65\x72","\x73\x74\x61\x74\x75\x73\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x63\x72\x65\x61\x74\x65\x53\x74\x61\x74\x75\x73\x43\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x73\x74\x61\x74\x75\x73\x43\x68\x61\x6E\x67\x65\x64","\x67\x65\x74\x53\x74\x61\x74\x75\x73","\x73\x65\x74\x53\x74\x61\x74\x75\x73\x54\x65\x78\x74","\x61\x64\x64\x53\x70\x6C\x69\x74\x48\x61\x6E\x64\x6C\x65\x72","\x61","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x49\x74\x65\x6D\x20\x67\x65\x53\x74\x61\x74\x75\x73","\x69\x6E\x6E\x65\x72\x48\x54\x4D\x4C","\x67\x65\x46\x6F\x6F\x74\x65\x72","\x64\x69\x76","\x63\x72\x65\x61\x74\x65\x48\x65\x61\x64\x65\x72","\x63\x72\x65\x61\x74\x65\x46\x6F\x72\x6D","\x66\x6F\x72\x6D","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x58","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x59","\x78","\x79","\x6D\x6F\x75\x73\x65\x6D\x6F\x76\x65","\x74\x6F\x75\x63\x68\x6D\x6F\x76\x65","\x6D\x6F\x75\x73\x65\x75\x70","\x74\x6F\x75\x63\x68\x65\x6E\x64","\x68\x69\x64\x65\x44\x69\x61\x6C\x6F\x67","\x64\x69\x61\x6C\x6F\x67","\x49\x53\x5F\x56\x4D\x4C","\x63\x6C\x6F\x73\x65","\x73\x61\x76\x65\x46\x69\x6C\x65","\x67\x65\x74\x4F\x72\x43\x72\x65\x61\x74\x65\x46\x69\x6C\x65\x6E\x61\x6D\x65","\x70\x75\x62\x6C\x69\x73\x68\x46\x69\x6C\x65","\x65\x78\x65\x63\x75\x74\x65\x4C\x61\x79\x6F\x75\x74","\x61\x6E\x69\x6D\x61\x74\x65","\x62\x65\x67\x69\x6E\x55\x70\x64\x61\x74\x65","\x65\x78\x65\x63\x75\x74\x65","\x43\x61\x6D\x69\x6E\x6F","\x75\x73\x65\x72\x41\x67\x65\x6E\x74","\x65\x6E\x64\x55\x70\x64\x61\x74\x65","\x73\x74\x61\x72\x74\x41\x6E\x69\x6D\x61\x74\x69\x6F\x6E","\x69\x73\x43\x6F\x6E\x74\x72\x6F\x6C\x44\x6F\x77\x6E","\x49\x53\x5F\x4D\x41\x43","\x6D\x65\x74\x61\x4B\x65\x79","\x6D\x6F\x76\x65\x43\x65\x6C\x6C\x73","\x73\x63\x72\x6F\x6C\x6C\x43\x65\x6C\x6C\x54\x6F\x56\x69\x73\x69\x62\x6C\x65","\x65\x6E\x61\x62\x6C\x65\x64","\x66\x75\x6E\x63\x74","\x62\x69\x6E\x64\x43\x6F\x6E\x74\x72\x6F\x6C\x53\x68\x69\x66\x74\x4B\x65\x79","\x62\x69\x6E\x64\x43\x6F\x6E\x74\x72\x6F\x6C\x4B\x65\x79","\x62\x69\x6E\x64\x53\x68\x69\x66\x74\x4B\x65\x79","\x62\x69\x6E\x64\x4B\x65\x79","\x65\x73\x63\x61\x70\x65","\x65\x6E\x74\x65\x72","\x66\x6F\x6C\x64\x43\x65\x6C\x6C\x73","\x7A\x6F\x6F\x6D\x49\x6E","\x7A\x6F\x6F\x6D\x4F\x75\x74","\x73\x65\x6C\x65\x63\x74\x41\x6C\x6C","\x73\x65\x6C\x65\x63\x74\x56\x65\x72\x74\x69\x63\x65\x73","\x73\x65\x6C\x65\x63\x74\x45\x64\x67\x65\x73","\x65\x78\x70\x6F\x72\x74","\x63\x6F\x6E\x6E\x65\x63\x74","\x67\x72\x69\x64","\x61\x62\x6F\x75\x74","\x6D\x75\x73\x74\x68\x61\x76\x65","\x6F\x75\x74\x67\x6F\x69\x6E\x67\x65\x64\x67\x65","\x70\x75\x73\x68","\x69\x6E\x63\x6F\x6D\x69\x6E\x67\x65\x64\x67\x65"];EditorUi=function(_0x75e9x1,_0x75e9x2){this[_0x458f[0]]=_0x75e9x1|| new Editor();this[_0x458f[1]]=_0x75e9x2||document[_0x458f[3]](_0x458f[2]);var _0x75e9x3=_0x75e9x1[_0x458f[4]];var _0x75e9x4=this;this[_0x458f[1]][_0x458f[6]][_0x458f[5]]=_0x458f[7]; new Image()[_0x458f[8]]=mxPopupMenu[_0x458f[10]][_0x458f[9]];if(mxConnectionHandler[_0x458f[10]][_0x458f[11]]!=null){ new Image()[_0x458f[8]]=mxConnectionHandler[_0x458f[10]][_0x458f[11]][_0x458f[8]]};this[_0x458f[12]]= new Actions(this);this[_0x458f[13]]= new Menus(this);this[_0x458f[14]]();this[_0x458f[15]]();this[_0x458f[16]]();var _0x75e9x5=mxUtils[_0x458f[20]](this,function(_0x75e9x6){if(_0x75e9x6==null){_0x75e9x6=window[_0x458f[17]]};if(this[_0x458f[18]](_0x75e9x6)){return true};return _0x75e9x3[_0x458f[19]]()});if(this[_0x458f[1]]==document[_0x458f[21]]){this[_0x458f[23]][_0x458f[22]]=_0x75e9x5;this[_0x458f[23]][_0x458f[24]]=_0x75e9x5;this[_0x458f[25]][_0x458f[22]]=_0x75e9x5;this[_0x458f[25]][_0x458f[24]]=_0x75e9x5;this[_0x458f[26]][_0x458f[22]]=_0x75e9x5;this[_0x458f[26]][_0x458f[24]]=_0x75e9x5;this[_0x458f[27]][_0x458f[22]]=_0x75e9x5;this[_0x458f[27]][_0x458f[24]]=_0x75e9x5;this[_0x458f[28]][_0x458f[22]]=_0x75e9x5;this[_0x458f[28]][_0x458f[24]]=_0x75e9x5};if(mxClient[_0x458f[29]]&&( typeof (document[_0x458f[30]])===_0x458f[31]||document[_0x458f[30]]<9)){mxEvent[_0x458f[33]](this[_0x458f[26]],_0x458f[32],_0x75e9x5);mxEvent[_0x458f[33]](this[_0x458f[27]],_0x458f[32],_0x75e9x5)}else {this[_0x458f[26]][_0x458f[34]]=_0x75e9x5;this[_0x458f[27]][_0x458f[34]]=_0x75e9x5};_0x75e9x3[_0x458f[35]](this[_0x458f[26]]);_0x75e9x3[_0x458f[15]]();_0x75e9x3[_0x458f[1]][_0x458f[38]](_0x458f[36],_0x458f[37]);_0x75e9x3[_0x458f[1]][_0x458f[6]][_0x458f[39]]=_0x458f[40];_0x75e9x3[_0x458f[1]][_0x458f[6]][_0x458f[41]]=_0x458f[42]+_0x75e9x1[_0x458f[43]]+_0x458f[44];_0x75e9x3[_0x458f[1]][_0x458f[6]][_0x458f[45]]=_0x458f[46];_0x75e9x3[_0x458f[1]][_0x458f[47]]();var _0x75e9x7=_0x75e9x3[_0x458f[48]];_0x75e9x3[_0x458f[48]]=function(_0x75e9x8,_0x75e9x9,_0x75e9xa){if(_0x75e9x8==mxEvent[_0x458f[49]]){this[_0x458f[1]][_0x458f[47]]()};_0x75e9x7[_0x458f[50]](this,arguments)};this[_0x458f[56]](_0x75e9x3[_0x458f[51]],[_0x458f[52],_0x458f[53],_0x458f[54]],[[1,1],[1,1],[0,0]],[[0,0],[0,0],[1,_0x458f[55]]]);this[_0x458f[56]](_0x75e9x3[_0x458f[51]],[_0x458f[57],_0x458f[58],_0x458f[59],_0x458f[60],_0x458f[61],_0x458f[62],_0x458f[63]],[[1,1],[0,0],[0,1],[0,1],[0,1],[1,1],[0,1]],[[1,_0x458f[55]],[1,_0x458f[55]],[1,_0x458f[55]],[1,_0x458f[55]],[1,_0x458f[55]],[1,_0x458f[55]],[1,_0x458f[55]]]);this[_0x458f[56]](_0x75e9x3[_0x458f[51]],[_0x458f[64],_0x458f[65]],[[1,1],[1,1]],[[1,_0x458f[55]],[1,_0x458f[55]]]);this[_0x458f[56]](_0x75e9x3[_0x458f[51]],[_0x458f[66],_0x458f[67],_0x458f[68],_0x458f[69],_0x458f[70],_0x458f[71],_0x458f[72],_0x458f[73],_0x458f[74]],[[1,_0x458f[55]],[1,1],[1,_0x458f[55]],[1,1],[1,1],[1,1],[1,1],[1,1],[1,_0x458f[55]]],[[1,_0x458f[55]],[1,_0x458f[55]],[1,_0x458f[55]],[1,_0x458f[55]],[1,_0x458f[55]],[1,_0x458f[55]],[1,_0x458f[55]],[1,_0x458f[55]],[1,_0x458f[55]]]);this[_0x458f[56]](_0x75e9x3[_0x458f[51]],[_0x458f[75]],[[1,1]],[[1,_0x458f[55]]]);this[_0x458f[56]](_0x75e9x3[_0x458f[51]],[_0x458f[76],_0x458f[77]],[[1,1],[1,1]],[[1,_0x458f[55]],[1,_0x458f[55]]]);this[_0x458f[56]](_0x75e9x3[_0x458f[51]],[_0x458f[78],_0x458f[79],_0x458f[80],_0x458f[81],_0x458f[82]],[[0,0],[1,2],[1,2],[1,2],[1,_0x458f[55]]],[[1,_0x458f[55]],[1,_0x458f[55]],[1,_0x458f[55]],[1,_0x458f[55]],[1,_0x458f[55]]]);this[_0x458f[56]](_0x75e9x3[_0x458f[51]],[_0x458f[83],_0x458f[84]],[[1,1],[1,1]],[[1,_0x458f[55]],[1,_0x458f[55]]]);this[_0x458f[56]](_0x75e9x3[_0x458f[51]],[_0x458f[85],_0x458f[86],_0x458f[87],_0x458f[88],_0x458f[89]],[[1,1],[1,1],[0,1],[0,1],[0,1]],[[1,_0x458f[55]],[1,_0x458f[55]],[1,_0x458f[55]],[1,_0x458f[55]],[1,_0x458f[55]]]);_0x75e9x3[_0x458f[90]]=function(_0x75e9x6,_0x75e9xb){var _0x75e9xc=[_0x458f[66],_0x458f[79],_0x458f[80],_0x458f[68],_0x458f[74],_0x458f[82]];var _0x75e9xd= new mxEventObject(mxEvent.DOUBLE_CLICK,_0x458f[17],_0x75e9x6,_0x458f[91],_0x75e9xb);this[_0x458f[92]](_0x75e9xd);if(this[_0x458f[93]]()&&!mxEvent[_0x458f[94]](_0x75e9x6)&&!_0x75e9xd[_0x458f[94]]()){if(this[_0x458f[96]]()[_0x458f[95]](_0x75e9xb)){if(_0x75e9xc[_0x458f[100]](_0x75e9xb[_0x458f[99]][_0x458f[98]][_0x458f[97]])>=0){this[_0x458f[101]](_0x75e9xb)}}else {if(this[_0x458f[96]]()[_0x458f[102]](_0x75e9xb)){console[_0x458f[103]](_0x75e9x4);console[_0x458f[103]](_0x75e9xb);_0x75e9x4[_0x458f[104]]( new GeneralDialog(_0x75e9x4,_0x75e9xb)[_0x458f[1]],320,280,true,true)}};_0x75e9xd[_0x458f[105]]()}};_0x75e9x3[_0x458f[107]][_0x458f[106]]=true;_0x75e9x3[_0x458f[107]][_0x458f[108]]=mxUtils[_0x458f[20]](this,function(_0x75e9xe,_0x75e9xb,_0x75e9x6){this[_0x458f[13]][_0x458f[109]](_0x75e9xe,_0x75e9xb,_0x75e9x6)});_0x75e9x1[_0x458f[111]][_0x458f[35]](this[_0x458f[110]]);mxEvent[_0x458f[113]](document,mxUtils[_0x458f[20]](this,function(_0x75e9x6){_0x75e9x3[_0x458f[107]][_0x458f[112]]()}));if(mxClient[_0x458f[114]]){mxEvent[_0x458f[33]](_0x75e9x3[_0x458f[1]],_0x458f[115],mxUtils[_0x458f[20]](this,function(_0x75e9x6){_0x75e9x3[_0x458f[120]][_0x458f[119]]()[_0x458f[38]](_0x458f[116],_0x458f[117]+_0x75e9x6[_0x458f[118]]+_0x458f[44]);_0x75e9x3[_0x458f[120]][_0x458f[122]]()[_0x458f[6]][_0x458f[121]]=_0x458f[7]}));mxEvent[_0x458f[33]](_0x75e9x3[_0x458f[1]],_0x458f[123],mxUtils[_0x458f[20]](this,function(_0x75e9x6){_0x75e9x3[_0x458f[120]][_0x458f[119]]()[_0x458f[124]](_0x458f[116]);_0x75e9x3[_0x458f[125]]=true;_0x75e9x3[_0x458f[126]](_0x75e9x6[_0x458f[118]]);_0x75e9x3[_0x458f[120]][_0x458f[122]]()[_0x458f[6]][_0x458f[121]]=_0x458f[127]}))};var _0x75e9xf=this[_0x458f[128]](_0x75e9x1);this[_0x458f[129]]=function(){return _0x75e9xf};mxEvent[_0x458f[33]](window,_0x458f[130],mxUtils[_0x458f[20]](this,function(){this[_0x458f[15]]();_0x75e9x3[_0x458f[131]]();this[_0x458f[0]][_0x458f[111]][_0x458f[132]](false);this[_0x458f[0]][_0x458f[111]][_0x458f[111]][_0x458f[131]]()}));this[_0x458f[35]]();this[_0x458f[133]]()};EditorUi[_0x458f[10]][_0x458f[134]]=(mxClient[_0x458f[114]])?16:8;EditorUi[_0x458f[10]][_0x458f[135]]=33;EditorUi[_0x458f[10]][_0x458f[136]]=36;EditorUi[_0x458f[10]][_0x458f[137]]=28;EditorUi[_0x458f[10]][_0x458f[138]]=204;EditorUi[_0x458f[10]][_0x458f[139]]=190;EditorUi[_0x458f[10]][_0x458f[35]]=function(){this[_0x458f[140]]();this[_0x458f[141]]();var _0x75e9x10=this[_0x458f[12]][_0x458f[143]](_0x458f[142]);var _0x75e9x11=function(){_0x75e9x10[_0x458f[145]](!mxClipboard[_0x458f[144]]())};var _0x75e9x12=mxClipboard[_0x458f[146]];mxClipboard[_0x458f[146]]=function(){_0x75e9x12[_0x458f[50]](this,arguments);_0x75e9x11()};var _0x75e9x13=mxClipboard[_0x458f[147]];mxClipboard[_0x458f[147]]=function(){_0x75e9x13[_0x458f[50]](this,arguments);_0x75e9x11()}};EditorUi[_0x458f[10]][_0x458f[18]]=function(_0x75e9x6){return false};EditorUi[_0x458f[10]][_0x458f[133]]=function(){try{if(window[_0x458f[148]]!=null&&window[_0x458f[148]][_0x458f[149]]!=null){window[_0x458f[148]][_0x458f[149]][_0x458f[161]](mxUtils[_0x458f[20]](this,function(_0x75e9x14,_0x75e9x15){try{var _0x75e9x16=mxUtils[_0x458f[150]](_0x75e9x14);this[_0x458f[0]][_0x458f[152]](_0x75e9x16[_0x458f[151]]);this[_0x458f[0]][_0x458f[153]]=false;this[_0x458f[0]][_0x458f[155]][_0x458f[154]]();if(_0x75e9x15!=null){this[_0x458f[0]][_0x458f[156]]=_0x75e9x15}}catch(e){mxUtils[_0x458f[160]](mxResources[_0x458f[143]](_0x458f[157])+_0x458f[158]+e[_0x458f[159]])}}))}}catch(e){}};EditorUi[_0x458f[10]][_0x458f[162]]=function(_0x75e9x14,_0x75e9x15,_0x75e9x17){try{var _0x75e9x16=mxUtils[_0x458f[150]](_0x75e9x14);this[_0x458f[0]][_0x458f[152]](_0x75e9x16[_0x458f[151]]);this[_0x458f[0]][_0x458f[153]]=false;this[_0x458f[0]][_0x458f[155]][_0x458f[154]]();if(_0x75e9x15!=null){this[_0x458f[0]][_0x458f[156]]=_0x75e9x15};if(_0x75e9x17!=null){this[_0x458f[0]][_0x458f[163]]=_0x75e9x17}}catch(e){mxUtils[_0x458f[160]](mxResources[_0x458f[143]](_0x458f[157])+_0x458f[158]+e[_0x458f[159]])}};EditorUi[_0x458f[10]][_0x458f[164]]=function(_0x75e9x18){var _0x75e9x1=this[_0x458f[0]];if(_0x75e9x18!=null){var _0x75e9x14=mxUtils[_0x458f[166]](this[_0x458f[0]][_0x458f[165]]());try{if(useLocalStorage){if(localStorage[_0x458f[167]](_0x75e9x18)!=null&&!mxUtils[_0x458f[169]](mxResources[_0x458f[143]](_0x458f[168],[_0x75e9x18]))){return};localStorage[_0x458f[170]](_0x75e9x18,_0x75e9x14);this[_0x458f[0]][_0x458f[173]](mxResources[_0x458f[143]](_0x458f[171])+_0x458f[172]+ new Date())}else {console[_0x458f[103]](_0x75e9x14[_0x458f[174]]);console[_0x458f[103]](MAX_REQUEST_SIZE);if(_0x75e9x14[_0x458f[174]]0)?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[231])+_0x458f[227];break;case _0x458f[66]:;case _0x458f[68]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[229])>0)?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[231])+_0x458f[227];_0x75e9x1e+=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[232])>0)?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[233])+_0x458f[227];break;case _0x458f[74]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[229])>0)?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[231])+_0x458f[227];_0x75e9x1e+=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[234])>0)?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[235])+_0x458f[227];_0x75e9x1e+=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[236])>0)?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[237])+_0x458f[227];_0x75e9x1e+=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[238])>0)?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[233])+_0x458f[227];break;case _0x458f[82]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[239])!==_0x458f[224])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[235])+_0x458f[227];break;case _0x458f[76]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[240])>0)?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[241])+_0x458f[227];break;case _0x458f[60]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[242])!==_0x458f[243])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[244])+_0x458f[227];break;case _0x458f[64]:;case _0x458f[65]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[234])>0)?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[235])+_0x458f[227];break;case _0x458f[78]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[245])!==_0x458f[224])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[246])+_0x458f[227];break;case _0x458f[86]:;case _0x458f[87]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[247])!==_0x458f[224])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[248])+_0x458f[227];break;case _0x458f[79]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[249])!==_0x458f[224])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[250])+_0x458f[227];break;case _0x458f[69]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[251])!==_0x458f[224])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[252])+_0x458f[227];break;case _0x458f[70]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[253])!==_0x458f[224])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[254])+_0x458f[227];break;case _0x458f[71]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[255])!==_0x458f[224])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[256])+_0x458f[227];break;case _0x458f[75]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[257])!==_0x458f[224])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[258])+_0x458f[227];break;case _0x458f[84]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[259])!==_0x458f[224])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[260])+_0x458f[227];break;case _0x458f[60]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[261])!==_0x458f[224])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[262])+_0x458f[227];break;case _0x458f[59]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[263])>0)?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[262])+_0x458f[227];break;case _0x458f[61]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[264])!==_0x458f[243])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[265])+_0x458f[227];break;case _0x458f[63]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[266])>0)?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[267])+_0x458f[227];break;case _0x458f[80]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[268])>0)?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[269])+_0x458f[227];break;case _0x458f[81]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[268])!=_0x458f[224])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[269])+_0x458f[227];break;case _0x458f[62]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[270])!==_0x458f[224])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[271])+_0x458f[227];break;case _0x458f[88]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[272])>0)?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[273])+_0x458f[227];break;case _0x458f[278]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[274])>0)?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[275])+_0x458f[227];_0x75e9x1e+=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[276])!==_0x458f[224])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[254])+_0x458f[227];_0x75e9x1e+=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[277])!==_0x458f[224])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[256])+_0x458f[227];break;case _0x458f[72]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[255])!==_0x458f[224])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[256])+_0x458f[227];break;case _0x458f[73]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[255])!==_0x458f[224])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[256])+_0x458f[227];_0x75e9x1e+=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[279])!==_0x458f[224])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[280])+_0x458f[227];break;case _0x458f[77]:_0x75e9x1e=(_0x75e9x1b[_0x458f[98]][_0x458f[230]](_0x458f[279])!==_0x458f[224])?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[280])+_0x458f[227];break}};if(_0x75e9x3[_0x458f[218]][_0x458f[95]](_0x75e9x1b)){var _0x75e9x21=_0x75e9x3[_0x458f[218]][_0x458f[283]](_0x75e9x1b[_0x458f[99]][_0x458f[282]]());switch(_0x75e9x21[_0x458f[98]][_0x458f[281]]){case _0x458f[66]:var _0x75e9x22=/^[0-9]{1,45}$|^[i]{1}$|^[t]{1}|^[#]{1}|^[*]{1}$/;_0x75e9x1e=_0x75e9x1e+((_0x75e9x22[_0x458f[285]](String(_0x75e9x1b[_0x458f[284]]())))?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[286])+_0x458f[227]);break;case _0x458f[68]:var _0x75e9x22=/^[0-9]{1,45}$|^[-]{1}$|^[i]{1}$|^[t]{1}|^[#]{1}|^[*]{1}$/;var _0x75e9x23=String(_0x75e9x1b[_0x458f[284]]());var _0x75e9x24=_0x75e9x23[_0x458f[288]](_0x458f[287]);_0x75e9x24[_0x458f[290]](function(_0x75e9x25){_0x75e9x1e=_0x75e9x1e+((_0x75e9x22[_0x458f[285]](_0x75e9x25))?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[289])+_0x458f[227])});break;case _0x458f[74]:var _0x75e9x22=/^(x|i|-)$/;var _0x75e9x23=String(_0x75e9x1b[_0x458f[284]]());_0x75e9x1e=_0x75e9x1e+((_0x75e9x22[_0x458f[285]](_0x75e9x23))?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[291])+_0x458f[227]);break;case _0x458f[79]:;case _0x458f[80]:;case _0x458f[81]:var _0x75e9x22=/^(true){1}$|^(false){1}$/;_0x75e9x1e=_0x75e9x1e+((_0x75e9x22[_0x458f[285]](String(_0x75e9x1b[_0x458f[284]]())))?_0x458f[224]:mxResources[_0x458f[143]](_0x458f[292])+_0x458f[227]);break}};_0x75e9x3[_0x458f[293]](_0x75e9x1b)&&!_0x75e9x1d&&(_0x75e9x1e=_0x75e9x1e+((mxResources[_0x458f[143]](_0x75e9x3[_0x458f[294]])||_0x75e9x3[_0x458f[294]])+_0x458f[227]));_0x75e9x1e=_0x75e9x3[_0x458f[218]][_0x458f[95]](_0x75e9x1b)?_0x75e9x1e+(_0x75e9x3[_0x458f[296]](_0x75e9x1b,_0x75e9x3[_0x458f[218]][_0x458f[295]](_0x75e9x1b,true),_0x75e9x3[_0x458f[218]][_0x458f[295]](_0x75e9x1b,false))||_0x458f[224]):_0x75e9x1e+(_0x75e9x3[_0x458f[297]](_0x75e9x1b)||_0x458f[224]);_0x75e9x1a=_0x75e9x3[_0x458f[298]](_0x75e9x1b,_0x75e9x1c);_0x75e9x1a!=null&&(_0x75e9x1e=_0x75e9x1e+_0x75e9x1a);_0x75e9x3[_0x458f[218]][_0x458f[299]](_0x75e9x1b)==null&&_0x75e9x3[_0x458f[120]][_0x458f[216]]();return _0x75e9x1e[_0x458f[174]]>0||!_0x75e9x1d?_0x75e9x1e:null};EditorUi[_0x458f[10]][_0x458f[225]]=function(_0x75e9x26){var _0x75e9x3=this[_0x458f[0]][_0x458f[4]];var _0x75e9x27=_0x75e9x3[_0x458f[300]]();var _0x75e9x28=0;_0x75e9x27[_0x458f[301]][_0x458f[290]](function(_0x75e9x29){if(_0x75e9x3[_0x458f[218]][_0x458f[102]](_0x75e9x29)&&_0x75e9x29[_0x458f[98]][_0x458f[281]]==_0x75e9x26){_0x75e9x28++}});return _0x75e9x28>1?false:true};EditorUi[_0x458f[10]][_0x458f[302]]=function(_0x75e9x18){var _0x75e9x1=this[_0x458f[0]];if(_0x75e9x18!=null){var _0x75e9x2a=this[_0x458f[216]]();if(_0x75e9x2a===null){var _0x75e9x14=mxUtils[_0x458f[166]](this[_0x458f[0]][_0x458f[165]]());try{if(useLocalStorage){if(localStorage[_0x458f[167]](_0x75e9x18)!=null&&!mxUtils[_0x458f[169]](mxResources[_0x458f[143]](_0x458f[168],[_0x75e9x18]))){return};localStorage[_0x458f[170]](_0x75e9x18,_0x75e9x14);this[_0x458f[0]][_0x458f[173]](mxResources[_0x458f[143]](_0x458f[171])+_0x458f[172]+ new Date())}else {console[_0x458f[103]](_0x75e9x14[_0x458f[174]]);console[_0x458f[103]](MAX_REQUEST_SIZE);if(_0x75e9x14[_0x458f[174]]0)?1:0;for(var _0x75e9x2e in urlParams){if(_0x75e9x2d==0){_0x75e9x2c+=_0x458f[314]}else {_0x75e9x2c+=_0x458f[315]};_0x75e9x2c+=_0x75e9x2e+_0x458f[316]+urlParams[_0x75e9x2e];_0x75e9x2d++};return _0x75e9x2c};EditorUi[_0x458f[10]][_0x458f[140]]=function(){var _0x75e9x2f=this[_0x458f[12]][_0x458f[143]](_0x458f[317]);var _0x75e9x30=this[_0x458f[12]][_0x458f[143]](_0x458f[318]);var _0x75e9x31=this[_0x458f[0]][_0x458f[155]];var _0x75e9x32=function(){_0x75e9x2f[_0x458f[145]](_0x75e9x31[_0x458f[319]]());_0x75e9x30[_0x458f[145]](_0x75e9x31[_0x458f[320]]())};_0x75e9x31[_0x458f[33]](mxEvent.ADD,_0x75e9x32);_0x75e9x31[_0x458f[33]](mxEvent.UNDO,_0x75e9x32);_0x75e9x31[_0x458f[33]](mxEvent.REDO,_0x75e9x32);_0x75e9x31[_0x458f[33]](mxEvent.CLEAR,_0x75e9x32);_0x75e9x32()};EditorUi[_0x458f[10]][_0x458f[141]]=function(){var _0x75e9x33=mxUtils[_0x458f[20]](this,function(){var _0x75e9x3=this[_0x458f[0]][_0x458f[4]];var _0x75e9x34=!_0x75e9x3[_0x458f[321]]();var _0x75e9x35=false;var _0x75e9x36=false;var _0x75e9x37=_0x75e9x3[_0x458f[322]]();if(_0x75e9x37!=null){for(var _0x75e9x38=0;_0x75e9x38<_0x75e9x37[_0x458f[174]];_0x75e9x38++){var _0x75e9xb=_0x75e9x37[_0x75e9x38];if(_0x75e9x3[_0x458f[96]]()[_0x458f[95]](_0x75e9xb)){_0x75e9x36=true};if(_0x75e9x3[_0x458f[96]]()[_0x458f[102]](_0x75e9xb)){_0x75e9x35=true};if(_0x75e9x36&&_0x75e9x35){break}}};var _0x75e9x39=[_0x458f[146],_0x458f[147],_0x458f[323],_0x458f[324],_0x458f[325],_0x458f[326],_0x458f[6],_0x458f[327],_0x458f[328],_0x458f[329],_0x458f[330],_0x458f[331],_0x458f[332],_0x458f[333],_0x458f[334]];for(var _0x75e9x38=0;_0x75e9x38<_0x75e9x39[_0x458f[174]];_0x75e9x38++){this[_0x458f[12]][_0x458f[143]](_0x75e9x39[_0x75e9x38])[_0x458f[145]](_0x75e9x34)};this[_0x458f[12]][_0x458f[143]](_0x458f[335])[_0x458f[145]](_0x75e9x36);this[_0x458f[12]][_0x458f[143]](_0x458f[336])[_0x458f[145]](_0x75e9x35);this[_0x458f[12]][_0x458f[143]](_0x458f[337])[_0x458f[145]](_0x75e9x35);this[_0x458f[12]][_0x458f[143]](_0x458f[339])[_0x458f[145]](_0x75e9x3[_0x458f[338]]()>1);this[_0x458f[12]][_0x458f[143]](_0x458f[341])[_0x458f[145]](_0x75e9x3[_0x458f[338]]()==1&&_0x75e9x3[_0x458f[96]]()[_0x458f[219]](_0x75e9x3[_0x458f[340]]())>0);var _0x75e9x3a=_0x75e9x35&&_0x75e9x3[_0x458f[338]]()==1;this[_0x458f[12]][_0x458f[143]](_0x458f[342])[_0x458f[145]](_0x75e9x3a&&_0x75e9x3[_0x458f[96]]()[_0x458f[102]](_0x75e9x3[_0x458f[96]]()[_0x458f[299]](_0x75e9x3[_0x458f[340]]())));var _0x75e9x3b=[_0x458f[343],_0x458f[344],_0x458f[345],_0x458f[346],_0x458f[255],_0x458f[347],_0x458f[348],_0x458f[349],_0x458f[350]];for(var _0x75e9x38=0;_0x75e9x38<_0x75e9x3b[_0x458f[174]];_0x75e9x38++){this[_0x458f[13]][_0x458f[143]](_0x75e9x3b[_0x75e9x38])[_0x458f[145]](_0x75e9x34)};_0x75e9x3b=[_0x458f[351],_0x458f[352],_0x458f[353]];for(var _0x75e9x38=0;_0x75e9x38<_0x75e9x3b[_0x458f[174]];_0x75e9x38++){this[_0x458f[13]][_0x458f[143]](_0x75e9x3b[_0x75e9x38])[_0x458f[145]](_0x75e9x36)};this[_0x458f[12]][_0x458f[143]](_0x458f[354])[_0x458f[145]](_0x75e9x36);this[_0x458f[13]][_0x458f[143]](_0x458f[355])[_0x458f[145]](_0x75e9x3[_0x458f[338]]()>1);this[_0x458f[13]][_0x458f[143]](_0x458f[358])[_0x458f[145]](_0x75e9x35||(_0x75e9x36&&_0x75e9x3[_0x458f[357]](_0x75e9x3[_0x458f[120]][_0x458f[356]](_0x75e9x3[_0x458f[340]]()))));this[_0x458f[13]][_0x458f[143]](_0x458f[361])[_0x458f[145]](_0x75e9x3[_0x458f[359]]&&((_0x75e9x3[_0x458f[120]][_0x458f[360]]!=null)||(_0x75e9x3[_0x458f[338]]()==1&&_0x75e9x3[_0x458f[221]](_0x75e9x3[_0x458f[340]]()))));this[_0x458f[12]][_0x458f[143]](_0x458f[362])[_0x458f[145]](_0x75e9x3[_0x458f[120]][_0x458f[360]]!=null);this[_0x458f[12]][_0x458f[143]](_0x458f[363])[_0x458f[145]](_0x75e9x3[_0x458f[120]][_0x458f[360]]!=null);var _0x75e9x3c=_0x75e9x3[_0x458f[338]]()==1&&_0x75e9x3[_0x458f[221]](_0x75e9x3[_0x458f[340]]());this[_0x458f[12]][_0x458f[143]](_0x458f[364])[_0x458f[145]](_0x75e9x3c);this[_0x458f[12]][_0x458f[143]](_0x458f[365])[_0x458f[145]](_0x75e9x3c);this[_0x458f[12]][_0x458f[143]](_0x458f[366])[_0x458f[145]](_0x75e9x3c);this[_0x458f[12]][_0x458f[143]](_0x458f[367])[_0x458f[145]](_0x75e9x3[_0x458f[338]]()==1);this[_0x458f[12]][_0x458f[143]](_0x458f[369])[_0x458f[145]](_0x75e9x3[_0x458f[338]]()==1&&_0x75e9x3[_0x458f[368]](_0x75e9x3[_0x458f[340]]())!=null)});this[_0x458f[0]][_0x458f[4]][_0x458f[370]]()[_0x458f[33]](mxEvent.CHANGE,_0x75e9x33);_0x75e9x33()};EditorUi[_0x458f[10]][_0x458f[15]]=function(){var _0x75e9x3d=mxClient[_0x458f[29]]&&(document[_0x458f[30]]==null||document[_0x458f[30]]==5);var _0x75e9x3e=this[_0x458f[1]][_0x458f[371]];var _0x75e9x3f=this[_0x458f[1]][_0x458f[372]];if(this[_0x458f[1]]==document[_0x458f[21]]){_0x75e9x3e=document[_0x458f[21]][_0x458f[371]]||document[_0x458f[151]][_0x458f[371]];_0x75e9x3f=(_0x75e9x3d)?document[_0x458f[21]][_0x458f[372]]||document[_0x458f[151]][_0x458f[372]]:document[_0x458f[151]][_0x458f[372]]};var _0x75e9x40=Math[_0x458f[374]](0,Math[_0x458f[373]](this[_0x458f[138]],_0x75e9x3e-this[_0x458f[134]]-20));var _0x75e9x41=Math[_0x458f[374]](0,Math[_0x458f[373]](this[_0x458f[139]],_0x75e9x3f-this[_0x458f[135]]-this[_0x458f[136]]-this[_0x458f[137]]-this[_0x458f[134]]-1));this[_0x458f[23]][_0x458f[6]][_0x458f[375]]=this[_0x458f[135]]+_0x458f[376];this[_0x458f[25]][_0x458f[6]][_0x458f[377]]=this[_0x458f[135]]+_0x458f[376];this[_0x458f[25]][_0x458f[6]][_0x458f[375]]=this[_0x458f[136]]+_0x458f[376];var _0x75e9x42=this[_0x458f[135]]+this[_0x458f[136]];if(!mxClient[_0x458f[378]]){_0x75e9x42+=1};this[_0x458f[27]][_0x458f[6]][_0x458f[377]]=_0x75e9x42+_0x458f[376];this[_0x458f[27]][_0x458f[6]][_0x458f[379]]=_0x75e9x40+_0x458f[376];this[_0x458f[110]][_0x458f[6]][_0x458f[379]]=_0x75e9x40+_0x458f[376];this[_0x458f[110]][_0x458f[6]][_0x458f[375]]=_0x75e9x41+_0x458f[376];this[_0x458f[110]][_0x458f[6]][_0x458f[380]]=this[_0x458f[137]]+_0x458f[376];this[_0x458f[26]][_0x458f[6]][_0x458f[381]]=(_0x75e9x40+this[_0x458f[134]])+_0x458f[376];this[_0x458f[26]][_0x458f[6]][_0x458f[377]]=this[_0x458f[27]][_0x458f[6]][_0x458f[377]];this[_0x458f[28]][_0x458f[6]][_0x458f[375]]=this[_0x458f[137]]+_0x458f[376];this[_0x458f[28]][_0x458f[6]][_0x458f[382]]=_0x458f[383];this[_0x458f[384]][_0x458f[6]][_0x458f[377]]=this[_0x458f[27]][_0x458f[6]][_0x458f[377]];this[_0x458f[384]][_0x458f[6]][_0x458f[380]]=this[_0x458f[110]][_0x458f[6]][_0x458f[380]];this[_0x458f[384]][_0x458f[6]][_0x458f[381]]=_0x75e9x40+_0x458f[376];this[_0x458f[385]][_0x458f[6]][_0x458f[379]]=this[_0x458f[27]][_0x458f[6]][_0x458f[379]];this[_0x458f[385]][_0x458f[6]][_0x458f[380]]=(_0x75e9x41+this[_0x458f[137]])+_0x458f[376];if(_0x75e9x3d){this[_0x458f[23]][_0x458f[6]][_0x458f[379]]=_0x75e9x3e+_0x458f[376];this[_0x458f[25]][_0x458f[6]][_0x458f[379]]=this[_0x458f[23]][_0x458f[6]][_0x458f[379]];var _0x75e9x43=(_0x75e9x3f-_0x75e9x41-this[_0x458f[134]]-this[_0x458f[137]]-this[_0x458f[135]]-this[_0x458f[136]]);this[_0x458f[27]][_0x458f[6]][_0x458f[375]]=_0x75e9x43+_0x458f[376];this[_0x458f[26]][_0x458f[6]][_0x458f[379]]=(_0x75e9x3e-_0x75e9x40-this[_0x458f[134]])+_0x458f[376];var _0x75e9x44=(_0x75e9x3f-this[_0x458f[137]]-this[_0x458f[135]]-this[_0x458f[136]]);this[_0x458f[26]][_0x458f[6]][_0x458f[375]]=_0x75e9x44+_0x458f[376];this[_0x458f[28]][_0x458f[6]][_0x458f[379]]=this[_0x458f[23]][_0x458f[6]][_0x458f[379]];this[_0x458f[384]][_0x458f[6]][_0x458f[375]]=_0x75e9x44+_0x458f[376]}else {this[_0x458f[27]][_0x458f[6]][_0x458f[380]]=(_0x75e9x41+this[_0x458f[134]]+this[_0x458f[137]])+_0x458f[376];this[_0x458f[26]][_0x458f[6]][_0x458f[380]]=this[_0x458f[110]][_0x458f[6]][_0x458f[380]]}};EditorUi[_0x458f[10]][_0x458f[14]]=function(){this[_0x458f[23]]=this[_0x458f[387]](_0x458f[386]);this[_0x458f[25]]=this[_0x458f[387]](_0x458f[388]);this[_0x458f[27]]=this[_0x458f[387]](_0x458f[389]);this[_0x458f[110]]=this[_0x458f[387]](_0x458f[390]);this[_0x458f[26]]=this[_0x458f[387]](_0x458f[391]);this[_0x458f[28]]=this[_0x458f[387]](_0x458f[392]);this[_0x458f[384]]=this[_0x458f[387]](_0x458f[393]);this[_0x458f[385]]=this[_0x458f[387]](_0x458f[394]);this[_0x458f[23]][_0x458f[6]][_0x458f[377]]=_0x458f[395];this[_0x458f[23]][_0x458f[6]][_0x458f[381]]=_0x458f[395];this[_0x458f[23]][_0x458f[6]][_0x458f[396]]=_0x458f[395];this[_0x458f[25]][_0x458f[6]][_0x458f[381]]=_0x458f[395];this[_0x458f[25]][_0x458f[6]][_0x458f[396]]=_0x458f[395];this[_0x458f[27]][_0x458f[6]][_0x458f[381]]=_0x458f[395];this[_0x458f[110]][_0x458f[6]][_0x458f[381]]=_0x458f[395];this[_0x458f[26]][_0x458f[6]][_0x458f[396]]=_0x458f[395];this[_0x458f[28]][_0x458f[6]][_0x458f[381]]=_0x458f[395];this[_0x458f[28]][_0x458f[6]][_0x458f[396]]=_0x458f[395];this[_0x458f[28]][_0x458f[6]][_0x458f[380]]=_0x458f[395];this[_0x458f[385]][_0x458f[6]][_0x458f[381]]=_0x458f[395];this[_0x458f[385]][_0x458f[6]][_0x458f[375]]=this[_0x458f[134]]+_0x458f[376];this[_0x458f[384]][_0x458f[6]][_0x458f[379]]=this[_0x458f[134]]+_0x458f[376]};EditorUi[_0x458f[10]][_0x458f[16]]=function(){this[_0x458f[397]]=this[_0x458f[13]][_0x458f[399]](this[_0x458f[387]](_0x458f[398]));this[_0x458f[23]][_0x458f[400]](this[_0x458f[397]][_0x458f[1]]);this[_0x458f[401]]=this[_0x458f[403]](this[_0x458f[387]](_0x458f[402]));this[_0x458f[25]][_0x458f[400]](this[_0x458f[401]][_0x458f[1]]);this[_0x458f[404]]=this[_0x458f[405]](this[_0x458f[27]]);this[_0x458f[28]][_0x458f[400]](this[_0x458f[406]]());this[_0x458f[407]]=this[_0x458f[408]]();this[_0x458f[0]][_0x458f[33]](_0x458f[409],mxUtils[_0x458f[20]](this,function(){this[_0x458f[411]](this[_0x458f[0]][_0x458f[410]]())}));this[_0x458f[411]](this[_0x458f[0]][_0x458f[410]]());this[_0x458f[397]][_0x458f[1]][_0x458f[400]](this[_0x458f[407]]);this[_0x458f[1]][_0x458f[400]](this[_0x458f[23]]);this[_0x458f[1]][_0x458f[400]](this[_0x458f[25]]);this[_0x458f[1]][_0x458f[400]](this[_0x458f[27]]);this[_0x458f[1]][_0x458f[400]](this[_0x458f[110]]);this[_0x458f[1]][_0x458f[400]](this[_0x458f[26]]);this[_0x458f[1]][_0x458f[400]](this[_0x458f[28]]);this[_0x458f[1]][_0x458f[400]](this[_0x458f[384]]);this[_0x458f[1]][_0x458f[400]](this[_0x458f[385]]);this[_0x458f[412]](this[_0x458f[384]],true,0,mxUtils[_0x458f[20]](this,function(_0x75e9x45){this[_0x458f[138]]=_0x75e9x45;this[_0x458f[15]]();this[_0x458f[0]][_0x458f[4]][_0x458f[131]]();this[_0x458f[0]][_0x458f[111]][_0x458f[132]](false);this[_0x458f[0]][_0x458f[111]][_0x458f[111]][_0x458f[131]]()}));this[_0x458f[412]](this[_0x458f[385]],false,this[_0x458f[137]],mxUtils[_0x458f[20]](this,function(_0x75e9x45){this[_0x458f[139]]=_0x75e9x45;this[_0x458f[15]]();this[_0x458f[0]][_0x458f[111]][_0x458f[132]](false);this[_0x458f[0]][_0x458f[111]][_0x458f[111]][_0x458f[131]]()}))};EditorUi[_0x458f[10]][_0x458f[408]]=function(){var _0x75e9x2=document[_0x458f[414]](_0x458f[413]);_0x75e9x2[_0x458f[415]]=_0x458f[416];return _0x75e9x2};EditorUi[_0x458f[10]][_0x458f[408]]=function(){var _0x75e9x2=document[_0x458f[414]](_0x458f[413]);_0x75e9x2[_0x458f[415]]=_0x458f[416];return _0x75e9x2};EditorUi[_0x458f[10]][_0x458f[411]]=function(_0x75e9x45){this[_0x458f[407]][_0x458f[417]]=_0x75e9x45};EditorUi[_0x458f[10]][_0x458f[403]]=function(_0x75e9x2){return new Toolbar(this,_0x75e9x2)};EditorUi[_0x458f[10]][_0x458f[405]]=function(_0x75e9x2){return new Sidebar(this,_0x75e9x2)};EditorUi[_0x458f[10]][_0x458f[406]]=function(){return this[_0x458f[387]](_0x458f[418])};EditorUi[_0x458f[10]][_0x458f[387]]=function(_0x75e9x46){var _0x75e9x47=document[_0x458f[414]](_0x458f[419]);_0x75e9x47[_0x458f[415]]=_0x75e9x46;return _0x75e9x47};EditorUi[_0x458f[10]][_0x458f[420]]=function(_0x75e9x46){var _0x75e9x47=document[_0x458f[414]](_0x75e9x46);return _0x75e9x47};EditorUi[_0x458f[10]][_0x458f[421]]=function(_0x75e9x46){var _0x75e9x47=document[_0x458f[414]](_0x458f[422]);_0x75e9x47[_0x458f[415]]=_0x75e9x46;return _0x75e9x47};EditorUi[_0x458f[10]][_0x458f[412]]=function(_0x75e9x47,_0x75e9x48,_0x75e9x49,_0x75e9x4a){var _0x75e9x4b=null;var _0x75e9x4c=null;function _0x75e9x4d(){return parseInt(((_0x75e9x48)?_0x75e9x47[_0x458f[6]][_0x458f[381]]:_0x75e9x47[_0x458f[6]][_0x458f[380]]))}function _0x75e9x4e(_0x75e9x6){if(_0x75e9x4b!=null){var _0x75e9x4f= new mxPoint(mxEvent[_0x458f[423]](_0x75e9x6),mxEvent[_0x458f[424]](_0x75e9x6));_0x75e9x4a(Math[_0x458f[374]](0,_0x75e9x4c+((_0x75e9x48)?(_0x75e9x4f[_0x458f[425]]-_0x75e9x4b[_0x458f[425]]):(_0x75e9x4b[_0x458f[426]]-_0x75e9x4f[_0x458f[426]]))-_0x75e9x49));mxEvent[_0x458f[105]](_0x75e9x6)}}function _0x75e9x50(_0x75e9x6){_0x75e9x4e(_0x75e9x6);_0x75e9x4b=null;_0x75e9x4c=null}mxEvent[_0x458f[113]](_0x75e9x47,function(_0x75e9x6){_0x75e9x4b= new mxPoint(mxEvent[_0x458f[423]](_0x75e9x6),mxEvent[_0x458f[424]](_0x75e9x6));_0x75e9x4c=_0x75e9x4d();mxEvent[_0x458f[105]](_0x75e9x6)});mxEvent[_0x458f[33]](document,_0x458f[427],_0x75e9x4e);mxEvent[_0x458f[33]](document,_0x458f[428],_0x75e9x4e);mxEvent[_0x458f[33]](document,_0x458f[429],_0x75e9x50);mxEvent[_0x458f[33]](document,_0x458f[430],_0x75e9x50)};EditorUi[_0x458f[10]][_0x458f[104]]=function(_0x75e9x47,_0x75e9x3e,_0x75e9x3f,_0x75e9x51,_0x75e9x52,_0x75e9x53){this[_0x458f[431]]();this[_0x458f[432]]= new Dialog(this,_0x75e9x47,_0x75e9x3e,(mxClient[_0x458f[433]])?_0x75e9x3f-12:_0x75e9x3f,_0x75e9x51,_0x75e9x52,_0x75e9x53)};EditorUi[_0x458f[10]][_0x458f[431]]=function(){if(this[_0x458f[432]]!=null){this[_0x458f[432]][_0x458f[434]]();this[_0x458f[432]]=null;this[_0x458f[0]][_0x458f[4]][_0x458f[1]][_0x458f[47]]()}};EditorUi[_0x458f[10]][_0x458f[435]]=function(_0x75e9x54){if(!_0x75e9x54&&this[_0x458f[0]][_0x458f[156]]!=null){this[_0x458f[202]](this[_0x458f[0]][_0x458f[436]]())}else {this[_0x458f[104]]( new SaveDialog(this)[_0x458f[1]],300,100,true,true)}};EditorUi[_0x458f[10]][_0x458f[437]]=function(_0x75e9x54){if(!_0x75e9x54&&this[_0x458f[0]][_0x458f[156]]!=null){this[_0x458f[302]](this[_0x458f[0]][_0x458f[436]]())}else {}};EditorUi[_0x458f[10]][_0x458f[438]]=function(_0x75e9x55,_0x75e9x56,_0x75e9x57){var _0x75e9x3=this[_0x458f[0]][_0x458f[4]];var _0x75e9xb=_0x75e9x3[_0x458f[340]]();_0x75e9x56=this[_0x458f[439]]!=null?this[_0x458f[439]]:_0x75e9x56;_0x75e9x3[_0x458f[96]]()[_0x458f[440]]();try{_0x75e9x55[_0x458f[441]](_0x75e9x3[_0x458f[300]](),_0x75e9xb)}catch(e){throw e}finally{if(_0x75e9x56&&navigator[_0x458f[443]][_0x458f[100]](_0x458f[442])<0){var _0x75e9x58= new mxMorphing(_0x75e9x3);_0x75e9x58[_0x458f[33]](mxEvent.DONE,mxUtils[_0x458f[20]](this,function(){_0x75e9x3[_0x458f[96]]()[_0x458f[444]]()}));_0x75e9x58[_0x458f[445]]()}else {_0x75e9x3[_0x458f[96]]()[_0x458f[444]]()}}};EditorUi[_0x458f[10]][_0x458f[128]]=function(_0x75e9x1){var _0x75e9x3=this[_0x458f[0]][_0x458f[4]];var _0x75e9xf= new mxKeyHandler(_0x75e9x3);_0x75e9xf[_0x458f[446]]=function(_0x75e9x6){return mxEvent[_0x458f[446]](_0x75e9x6)||(mxClient[_0x458f[447]]&&_0x75e9x6[_0x458f[448]])};function _0x75e9x59(_0x75e9x5a){if(!_0x75e9x3[_0x458f[321]]()){var _0x75e9x49=0;var _0x75e9x5b=0;if(_0x75e9x5a==37){_0x75e9x49= -1}else {if(_0x75e9x5a==38){_0x75e9x5b= -1}else {if(_0x75e9x5a==39){_0x75e9x49=1}else {if(_0x75e9x5a==40){_0x75e9x5b=1}}}};_0x75e9x3[_0x458f[449]](_0x75e9x3[_0x458f[322]](),_0x75e9x49,_0x75e9x5b);_0x75e9x3[_0x458f[450]](_0x75e9x3[_0x458f[340]]())}}var _0x75e9x5c=mxUtils[_0x458f[20]](this,function(_0x75e9x5d,_0x75e9x5e,_0x75e9x2e,_0x75e9x5f){var _0x75e9x60=this[_0x458f[12]][_0x458f[143]](_0x75e9x2e);if(_0x75e9x60!=null){var _0x75e9x1f=function(){if(_0x75e9x60[_0x458f[451]]){_0x75e9x60[_0x458f[452]]()}};if(_0x75e9x5e){if(_0x75e9x5f){_0x75e9xf[_0x458f[453]](_0x75e9x5d,_0x75e9x1f)}else {_0x75e9xf[_0x458f[454]](_0x75e9x5d,_0x75e9x1f)}}else {if(_0x75e9x5f){_0x75e9xf[_0x458f[455]](_0x75e9x5d,_0x75e9x1f)}else {_0x75e9xf[_0x458f[456]](_0x75e9x5d,_0x75e9x1f)}}}});var _0x75e9x61=this;var _0x75e9x62=_0x75e9xf[_0x458f[457]];_0x75e9xf[_0x458f[457]]=function(_0x75e9x6){_0x75e9x61[_0x458f[431]]();_0x75e9x62[_0x458f[50]](this,arguments)};_0x75e9xf[_0x458f[458]]=function(){};_0x75e9xf[_0x458f[456]](8,function(){_0x75e9x3[_0x458f[459]](true)});_0x75e9xf[_0x458f[456]](13,function(){_0x75e9x3[_0x458f[459]](false)});_0x75e9xf[_0x458f[456]](33,function(){_0x75e9x3[_0x458f[363]]()});_0x75e9xf[_0x458f[456]](34,function(){_0x75e9x3[_0x458f[364]]()});_0x75e9xf[_0x458f[456]](36,function(){_0x75e9x3[_0x458f[362]]()});_0x75e9xf[_0x458f[456]](35,function(){_0x75e9x3[_0x458f[15]]()});_0x75e9xf[_0x458f[456]](37,function(){_0x75e9x59(37)});_0x75e9xf[_0x458f[456]](38,function(){_0x75e9x59(38)});_0x75e9xf[_0x458f[456]](39,function(){_0x75e9x59(39)});_0x75e9xf[_0x458f[456]](40,function(){_0x75e9x59(40)});_0x75e9xf[_0x458f[456]](113,function(){_0x75e9x3[_0x458f[101]]()});_0x75e9x5c(46,false,_0x458f[323]);_0x75e9x5c(82,true,_0x458f[333]);_0x75e9x5c(83,true,_0x458f[202]);_0x75e9x5c(83,true,_0x458f[210],true);_0x75e9x5c(107,false,_0x458f[460]);_0x75e9x5c(109,false,_0x458f[461]);_0x75e9x5c(65,true,_0x458f[462]);_0x75e9x5c(86,true,_0x458f[463],true);_0x75e9x5c(69,true,_0x458f[464],true);_0x75e9x5c(69,true,_0x458f[465]);_0x75e9x5c(66,true,_0x458f[329]);_0x75e9x5c(70,true,_0x458f[328]);_0x75e9x5c(68,true,_0x458f[324]);_0x75e9x5c(90,true,_0x458f[317]);_0x75e9x5c(89,true,_0x458f[318]);_0x75e9x5c(88,true,_0x458f[146]);_0x75e9x5c(67,true,_0x458f[147]);_0x75e9x5c(81,true,_0x458f[466]);_0x75e9x5c(86,true,_0x458f[142]);_0x75e9x5c(71,true,_0x458f[339]);_0x75e9x5c(71,true,_0x458f[467],true);_0x75e9x5c(85,true,_0x458f[341]);_0x75e9x5c(112,false,_0x458f[468]);_0x75e9x5c(80,true,_0x458f[302],true);return _0x75e9xf};EditorUi[_0x458f[10]][_0x458f[56]]=function(_0x75e9x3,_0x75e9x37,_0x75e9x63,_0x75e9x64){for(var _0x75e9x38=0;_0x75e9x38<_0x75e9x37[_0x458f[174]];_0x75e9x38++){_0x75e9x3[_0x458f[471]]( new mxMultiplicity(true,_0x75e9x37[_0x75e9x38],null,null,_0x75e9x63[_0x75e9x38][0],_0x75e9x63[_0x75e9x38][1],null,mxResources[_0x458f[143]](_0x75e9x37[_0x75e9x38])+mxResources[_0x458f[143]](_0x458f[469])+_0x75e9x63[_0x75e9x38][0]+mxResources[_0x458f[143]](_0x458f[470]),null));_0x75e9x3[_0x458f[471]]( new mxMultiplicity(false,_0x75e9x37[_0x75e9x38],null,null,_0x75e9x64[_0x75e9x38][0],_0x75e9x64[_0x75e9x38][1],null,mxResources[_0x458f[143]](_0x75e9x37[_0x75e9x38])+mxResources[_0x458f[143]](_0x458f[469])+_0x75e9x64[_0x75e9x38][0]+mxResources[_0x458f[143]](_0x458f[472]),null))}} \ No newline at end of file diff --git a/public/assets/plugins/square/js/Graph.js b/public/assets/plugins/square/js/Graph.js index 4de3792..a099704 100644 --- a/public/assets/plugins/square/js/Graph.js +++ b/public/assets/plugins/square/js/Graph.js @@ -1 +1 @@ -var _0xf27e=["\x63\x61\x6C\x6C","\x73\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x73\x65\x74\x44\x72\x6F\x70\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x50\x61\x6E\x6E\x69\x6E\x67","\x73\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x73\x65\x74\x41\x6C\x6C\x6F\x77\x4C\x6F\x6F\x70\x73","\x73\x65\x74\x4D\x75\x6C\x74\x69\x67\x72\x61\x70\x68","\x61\x6C\x6C\x6F\x77\x41\x75\x74\x6F\x50\x61\x6E\x6E\x69\x6E\x67","\x72\x65\x73\x65\x74\x45\x64\x67\x65\x73\x4F\x6E\x43\x6F\x6E\x6E\x65\x63\x74","\x63\x6F\x6E\x73\x74\x72\x61\x69\x6E\x43\x68\x69\x6C\x64\x72\x65\x6E","\x74\x61\x72\x67\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x49\x6D\x61\x67\x65","\x63\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x74\x41\x6C\x6C\x6F\x77\x44\x61\x6E\x67\x6C\x69\x6E\x67\x45\x64\x67\x65\x73","\x73\x65\x74\x43\x72\x65\x61\x74\x65\x54\x61\x72\x67\x65\x74","\x69\x73\x56\x61\x6C\x69\x64\x53\x6F\x75\x72\x63\x65","\x61\x70\x70\x6C\x79","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x63\x6F\x6E\x6E\x65\x63\x74","\x32","\x61\x6C\x74\x65\x72\x6E\x61\x74\x65\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x76\x65\x72\x74\x69\x63\x61\x6C","\x6C\x6F\x61\x64\x53\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x67\x65\x74\x52\x75\x62\x62\x65\x72\x62\x61\x6E\x64","\x63\x75\x72\x73\x6F\x72","\x73\x74\x79\x6C\x65","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x70\x6F\x69\x6E\x74\x65\x72","\x62\x69\x6E\x64","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x64\x65\x66\x61\x75\x6C\x74","\x69\x73\x48\x74\x6D\x6C\x4C\x61\x62\x65\x6C","\x67\x65\x74\x53\x74\x61\x74\x65","\x76\x69\x65\x77","\x67\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65","\x68\x74\x6D\x6C","\x31","\x77\x68\x69\x74\x65\x53\x70\x61\x63\x65","\x77\x72\x61\x70","\x67\x65\x74\x4C\x61\x62\x65\x6C\x56\x61\x6C\x75\x65","\x63\x65\x6C\x6C\x52\x65\x6E\x64\x65\x72\x65\x72","\x68\x74\x6D\x6C\x45\x6E\x74\x69\x74\x69\x65\x73","\x69\x73\x43\x65\x6C\x6C\x4C\x6F\x63\x6B\x65\x64","\x74\x61\x70\x41\x6E\x64\x48\x6F\x6C\x64\x54\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x74\x61\x70\x41\x6E\x64\x48\x6F\x6C\x64","\x61\x63\x74\x69\x76\x65","\x67\x72\x61\x70\x68","\x67\x65\x74\x47\x72\x61\x70\x68\x58","\x67\x65\x74\x47\x72\x61\x70\x68\x59","\x73\x74\x61\x72\x74","\x70\x61\x6E\x6E\x69\x6E\x67\x54\x72\x69\x67\x67\x65\x72","\x63\x65\x6C\x6C","\x69\x73\x43\x65\x6C\x6C\x53\x65\x6C\x65\x63\x74\x65\x64","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x6F\x75\x6E\x74","\x72\x65\x6D\x6F\x76\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x69\x6E\x69\x74\x54\x6F\x75\x63\x68","\x65\x78\x74\x65\x6E\x64","\x6D\x69\x6E\x46\x69\x74\x53\x63\x61\x6C\x65","\x6D\x61\x78\x46\x69\x74\x53\x63\x61\x6C\x65","\x67\x65\x74\x44\x6F\x63\x75\x6D\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x2F\x64\x65\x66\x61\x75\x6C\x74\x2E\x78\x6D\x6C","\x6C\x6F\x61\x64","\x6F\x77\x6E\x65\x72\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x67\x65\x74\x53\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x64\x65\x63\x6F\x64\x65","\x66\x6C\x69\x70\x45\x64\x67\x65","\x67\x65\x74\x56\x61\x6C\x75\x65","\x45\x4C\x42\x4F\x57\x5F\x48\x4F\x52\x49\x5A\x4F\x4E\x54\x41\x4C","\x45\x4C\x42\x4F\x57\x5F\x56\x45\x52\x54\x49\x43\x41\x4C","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x73\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x69\x73\x45\x64\x67\x65","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x63\x6C\x6F\x6E\x65\x43\x65\x6C\x6C\x73","\x67\x65\x6F\x6D\x65\x74\x72\x79","\x70\x6F\x69\x6E\x74\x73","\x67\x65\x74\x53\x74\x79\x6C\x65","\x73\x65\x74\x53\x74\x79\x6C\x65","\x63\x72\x65\x61\x74\x65\x45\x64\x67\x65\x53\x74\x61\x74\x65","\x63\x72\x65\x61\x74\x65\x53\x74\x61\x74\x65","\x66\x61\x63\x74\x6F\x72\x79\x4D\x65\x74\x68\x6F\x64","\x69\x73\x43\x65\x6C\x6C\x46\x6F\x6C\x64\x61\x62\x6C\x65","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x53\x77\x69\x6D\x6C\x61\x6E\x65","\x69\x73\x56\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x63\x72\x65\x61\x74\x65\x47\x72\x6F\x75\x70\x43\x65\x6C\x6C","\x67\x72\x6F\x75\x70","\x67\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x46\x6F\x72\x43\x65\x6C\x6C","","\x69\x73\x56\x65\x72\x74\x65\x78","\x64\x65\x73\x63\x72\x69\x70\x74\x69\x6F\x6E\x5F","\x6E\x6F\x64\x65\x4E\x61\x6D\x65","\x76\x61\x6C\x75\x65","\x67\x65\x74","\x63\x6F\x6E\x76\x65\x72\x74\x56\x61\x6C\x75\x65\x54\x6F\x53\x74\x72\x69\x6E\x67","\x6F\x62\x6A\x65\x63\x74","\x6C\x61\x62\x65\x6C","\x67\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x65\x6C\x6C\x4C\x61\x62\x65\x6C\x43\x68\x61\x6E\x67\x65\x64","\x63\x6C\x6F\x6E\x65\x4E\x6F\x64\x65","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x73\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x63\x72\x65\x61\x74\x65\x58\x6D\x6C\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x55\x73\x65\x72\x4F\x62\x6A\x65\x63\x74","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x6C\x65\x6E\x67\x74\x68","\x6C\x69\x6E\x6B","\x72\x65\x6D\x6F\x76\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x73\x65\x74\x56\x61\x6C\x75\x65","\x6D\x6F\x64\x65\x6C","\x67\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x6D\x61\x72\x6B\x65\x72","\x66\x69\x72\x73\x74","\x68\x69\x64\x65\x4D\x65\x6E\x75","\x75\x70\x64\x61\x74\x65\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74","\x67\x72\x61\x70\x68\x58","\x67\x72\x61\x70\x68\x59","\x67\x65\x74\x43\x65\x6C\x6C\x41\x74","\x73\x74\x61\x74\x65","\x73\x68\x61\x70\x65","\x6E\x6F\x64\x65","\x66\x69\x72\x65\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74","\x4D\x4F\x55\x53\x45\x5F\x44\x4F\x57\x4E","\x69\x73\x4D\x65\x6E\x75\x53\x68\x6F\x77\x69\x6E\x67","\x67\x65\x74\x43\x65\x6C\x6C","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6D\x70\x74\x79","\x4D\x4F\x55\x53\x45\x5F\x55\x50","\x69\x73\x45\x64\x69\x74\x69\x6E\x67","\x67\x65\x74\x45\x76\x65\x6E\x74","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x58","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x59","\x70\x6F\x70\x75\x70","\x63\x6F\x6E\x73\x75\x6D\x65","\x4D\x4F\x55\x53\x45\x5F\x4D\x4F\x56\x45","\x69\x73\x43\x6F\x6E\x73\x75\x6D\x65\x64","\x72\x6F\x74\x61\x74\x69\x6F\x6E\x45\x6E\x61\x62\x6C\x65\x64","\x62\x61\x73\x65\x53\x70\x61\x63\x69\x6E\x67\x54\x6F\x70","\x62\x61\x73\x65\x53\x70\x61\x63\x69\x6E\x67\x42\x6F\x74\x74\x6F\x6D","\x48\x41\x4E\x44\x4C\x45\x5F\x53\x49\x5A\x45","\x4C\x41\x42\x45\x4C\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x53\x49\x5A\x45","\x49\x53\x5F\x54\x4F\x55\x43\x48","\x74\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x67\x72\x69\x64\x53\x69\x7A\x65","\x73\x65\x6C\x65\x63\x74\x4F\x6E\x50\x6F\x70\x75\x70","\x75\x73\x65\x4C\x65\x66\x74\x42\x75\x74\x74\x6F\x6E\x46\x6F\x72\x50\x61\x6E\x6E\x69\x6E\x67","\x69\x73\x50\x61\x6E\x6E\x69\x6E\x67\x54\x72\x69\x67\x67\x65\x72","\x69\x67\x6E\x6F\x72\x65\x43\x65\x6C\x6C","\x69\x73\x43\x6F\x6E\x74\x72\x6F\x6C\x44\x6F\x77\x6E","\x69\x73\x53\x68\x69\x66\x74\x44\x6F\x77\x6E","\x75\x73\x65\x50\x6F\x70\x75\x70\x54\x72\x69\x67\x67\x65\x72","\x69\x73\x50\x6F\x70\x75\x70\x54\x72\x69\x67\x67\x65\x72","\x6D\x6F\x75\x73\x65\x44\x6F\x77\x6E","\x64\x65\x6C\x61\x79\x65\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x63\x72\x65\x61\x74\x65\x48\x61\x6E\x64\x6C\x65\x72\x73","\x74\x6F\x6F\x6C\x74\x69\x70\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73\x48\x61\x6E\x64\x6C\x65\x72","\x70\x61\x6E\x6E\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x61\x70\x68\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x6C\x65\x63\x74\x43\x65\x6C\x6C\x73","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x64\x62\x6C\x43\x6C\x69\x63\x6B","\x63\x6F\x6E\x76\x65\x72\x74\x50\x6F\x69\x6E\x74","\x78","\x79","\x2F\x74\x6F\x75\x63\x68\x2D\x68\x61\x6E\x64\x6C\x65\x2E\x70\x6E\x67","\x68\x61\x6E\x64\x6C\x65\x49\x6D\x61\x67\x65","\x73\x69\x7A\x65\x72\x49\x6D\x61\x67\x65","\x73\x72\x63","\x2F\x74\x6F\x75\x63\x68\x2D\x63\x6F\x6E\x6E\x65\x63\x74\x6F\x72\x2E\x70\x6E\x67","\x69\x6E\x69\x74","\x69\x73\x43\x65\x6C\x6C\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x63\x6F\x6E\x6E\x65\x63\x74\x6F\x72\x49\x6D\x67","\x63\x72\x65\x61\x74\x65\x49\x6D\x61\x67\x65","\x77\x69\x64\x74\x68","\x32\x39\x70\x78","\x68\x65\x69\x67\x68\x74","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x61\x62\x73\x6F\x6C\x75\x74\x65","\x74\x69\x74\x6C\x65","\x72\x65\x64\x69\x72\x65\x63\x74\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74\x73","\x70\x61\x64\x64\x69\x6E\x67","\x32\x70\x78","\x69\x73\x4D\x6F\x75\x73\x65\x44\x6F\x77\x6E","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x72\x65\x64\x72\x61\x77\x54\x6F\x6F\x6C\x73","\x72\x65\x64\x72\x61\x77","\x73\x69\x6E\x67\x6C\x65\x53\x69\x7A\x65\x72","\x6C\x65\x66\x74","\x6F\x66\x66\x73\x65\x74\x57\x69\x64\x74\x68","\x70\x78","\x74\x6F\x70","\x6F\x66\x66\x73\x65\x74\x48\x65\x69\x67\x68\x74","\x64\x65\x73\x74\x72\x6F\x79","\x72\x65\x6D\x6F\x76\x65\x43\x68\x69\x6C\x64","\x70\x61\x72\x65\x6E\x74\x4E\x6F\x64\x65","\x2F\x63\x6F\x6E\x6E\x65\x63\x74\x6F\x72\x2E\x70\x6E\x67","\x63\x6F\x6E\x6E\x65\x63\x74\x49\x6D\x61\x67\x65","\x49\x53\x5F\x49\x45","\x49\x53\x5F\x53\x56\x47","\x64\x69\x76","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x75\x72\x6C\x28","\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x63\x65\x6E\x74\x65\x72","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x52\x65\x70\x65\x61\x74","\x6E\x6F\x2D\x72\x65\x70\x65\x61\x74","\x64\x69\x73\x70\x6C\x61\x79","\x49\x53\x5F\x51\x55\x49\x52\x4B\x53","\x69\x6E\x6C\x69\x6E\x65","\x69\x6E\x6C\x69\x6E\x65\x2D\x62\x6C\x6F\x63\x6B","\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E"];Graph=function(_0xdc10x1,_0xdc10x2,_0xdc10x3,_0xdc10x4){mxGraph[_0xf27e[0]](this,_0xdc10x1,_0xdc10x2,_0xdc10x3,_0xdc10x4);this[_0xf27e[1]](true);this[_0xf27e[2]](false);this[_0xf27e[3]](true);this[_0xf27e[4]](!mxClient.IS_TOUCH);this[_0xf27e[5]](false);this[_0xf27e[6]](false);this[_0xf27e[7]]=true;this[_0xf27e[8]]=false;this[_0xf27e[9]]=false;this[_0xf27e[11]][_0xf27e[10]]=true;this[_0xf27e[12]](false);this[_0xf27e[11]][_0xf27e[13]](false);this[_0xf27e[11]][_0xf27e[14]]=function(){return mxConnectionHandler[_0xf27e[16]][_0xf27e[14]][_0xf27e[15]](this,arguments)&&urlParams[_0xf27e[17]]!=_0xf27e[18]};this[_0xf27e[19]]=_0xf27e[20];if(_0xdc10x4==null){this[_0xf27e[21]]()};var _0xdc10x5= new mxRubberband(this);this[_0xf27e[22]]=function(){return _0xdc10x5};this[_0xf27e[29]][_0xf27e[28]](mxEvent.PAN_START,mxUtils[_0xf27e[27]](this,function(){this[_0xf27e[25]][_0xf27e[24]][_0xf27e[23]]=_0xf27e[26]}));this[_0xf27e[29]][_0xf27e[28]](mxEvent.PAN_END,mxUtils[_0xf27e[27]](this,function(){this[_0xf27e[25]][_0xf27e[24]][_0xf27e[23]]=_0xf27e[30]}));this[_0xf27e[31]]=function(_0xdc10x6){var _0xdc10x7=this[_0xf27e[33]][_0xf27e[32]](_0xdc10x6);var _0xdc10x8=(_0xdc10x7!=null)?_0xdc10x7[_0xf27e[24]]:this[_0xf27e[34]](_0xdc10x6);return _0xdc10x8[_0xf27e[35]]==_0xf27e[36]||_0xdc10x8[_0xf27e[37]]==_0xf27e[38]};this[_0xf27e[40]][_0xf27e[39]]=function(_0xdc10x7){var _0xdc10x9=mxCellRenderer[_0xf27e[16]][_0xf27e[39]][_0xf27e[15]](this,arguments);if(_0xdc10x7[_0xf27e[24]][_0xf27e[37]]==_0xf27e[38]&&_0xdc10x7[_0xf27e[24]][_0xf27e[35]]!=1){_0xdc10x9=mxUtils[_0xf27e[41]](_0xdc10x9,false)};return _0xdc10x9};this[_0xf27e[42]]=function(_0xdc10x6){return false};this[_0xf27e[11]][_0xf27e[43]]=16;var _0xdc10xa=this[_0xf27e[11]][_0xf27e[44]];this[_0xf27e[11]][_0xf27e[44]]=function(_0xdc10xb,_0xdc10x7){if(_0xdc10x7==null){if(!this[_0xf27e[46]][_0xf27e[29]][_0xf27e[45]]){_0xdc10x5[_0xf27e[49]](_0xdc10xb[_0xf27e[47]](),_0xdc10xb[_0xf27e[48]]());this[_0xf27e[46]][_0xf27e[29]][_0xf27e[50]]=false}}else {if(tapAndHoldStartsConnection){_0xdc10xa[_0xf27e[15]](this,arguments)}else {if(this[_0xf27e[46]][_0xf27e[52]](_0xdc10x7[_0xf27e[51]])&&this[_0xf27e[46]][_0xf27e[53]]()>1){this[_0xf27e[46]][_0xf27e[54]](_0xdc10x7[_0xf27e[51]])}}}};if(touchStyle){this[_0xf27e[55]]()}};mxUtils[_0xf27e[56]](Graph,mxGraph);Graph[_0xf27e[16]][_0xf27e[57]]=null;Graph[_0xf27e[16]][_0xf27e[58]]=null;Graph[_0xf27e[16]][_0xf27e[21]]=function(){var _0xdc10xc=mxUtils[_0xf27e[61]](STYLE_PATH+_0xf27e[60])[_0xf27e[59]]();var _0xdc10xd= new mxCodec(_0xdc10xc[_0xf27e[62]]);_0xdc10xd[_0xf27e[64]](_0xdc10xc,this[_0xf27e[63]]())};Graph[_0xf27e[16]][_0xf27e[65]]=function(_0xdc10xe){if(_0xdc10xe!=null){var _0xdc10x7=this[_0xf27e[33]][_0xf27e[32]](_0xdc10xe);var _0xdc10x8=(_0xdc10x7!=null)?_0xdc10x7[_0xf27e[24]]:this[_0xf27e[34]](_0xdc10xe);if(_0xdc10x8!=null){var _0xdc10xf=mxUtils[_0xf27e[66]](_0xdc10x8,mxConstants.STYLE_ELBOW,mxConstants.ELBOW_HORIZONTAL);var _0xdc10x10=(_0xdc10xf==mxConstants[_0xf27e[67]])?mxConstants[_0xf27e[68]]:mxConstants[_0xf27e[67]];this[_0xf27e[69]](mxConstants.STYLE_ELBOW,_0xdc10x10,[_0xdc10xe])}}};Graph[_0xf27e[16]][_0xf27e[70]]=function(_0xdc10x6){if(_0xdc10x6!=null&&this[_0xf27e[72]]()[_0xf27e[71]](_0xdc10x6)){var _0xdc10x11=this[_0xf27e[72]]()[_0xf27e[73]]([_0xdc10x6])[0];if(_0xdc10x11[_0xf27e[74]]!=null){_0xdc10x11[_0xf27e[74]][_0xf27e[75]]=null};var _0xdc10x8=_0xdc10x11[_0xf27e[76]]();_0xdc10x8=mxUtils[_0xf27e[77]](_0xdc10x8,mxConstants.STYLE_ENTRY_X,null);_0xdc10x8=mxUtils[_0xf27e[77]](_0xdc10x8,mxConstants.STYLE_ENTRY_Y,null);_0xdc10x8=mxUtils[_0xf27e[77]](_0xdc10x8,mxConstants.STYLE_EXIT_X,null);_0xdc10x8=mxUtils[_0xf27e[77]](_0xdc10x8,mxConstants.STYLE_EXIT_Y,null);_0xdc10x11[_0xf27e[77]](_0xdc10x8);this[_0xf27e[11]][_0xf27e[78]]=function(_0xdc10xb){return this[_0xf27e[46]][_0xf27e[33]][_0xf27e[79]](_0xdc10x11)};this[_0xf27e[11]][_0xf27e[80]]=function(){return this[_0xf27e[46]][_0xf27e[73]]([_0xdc10x11])[0]}}};Graph[_0xf27e[16]][_0xf27e[81]]=function(_0xdc10x6){return this[_0xf27e[82]]&&this[_0xf27e[83]](_0xdc10x6)};Graph[_0xf27e[16]][_0xf27e[84]]=function(_0xdc10x6){return this[_0xf27e[83]](_0xdc10x6)};Graph[_0xf27e[16]][_0xf27e[85]]=function(){var _0xdc10x12=mxGraph[_0xf27e[16]][_0xf27e[85]][_0xf27e[15]](this,arguments);_0xdc10x12[_0xf27e[77]](_0xf27e[86]);return _0xdc10x12};Graph[_0xf27e[16]][_0xf27e[87]]=function(_0xdc10x6){var _0xdc10x13=_0xf27e[88];if(this[_0xf27e[72]]()[_0xf27e[89]](_0xdc10x6)){_0xdc10x13+=mxResources[_0xf27e[93]](_0xf27e[90]+_0xdc10x6[_0xf27e[92]][_0xf27e[91]])||_0xf27e[88]}else {if(this[_0xf27e[72]]()[_0xf27e[71]](_0xdc10x6)){_0xdc10x13=mxGraph[_0xf27e[16]][_0xf27e[87]][_0xf27e[15]](this,arguments)}};return _0xdc10x13};Graph[_0xf27e[16]][_0xf27e[94]]=function(_0xdc10x6){if(_0xdc10x6[_0xf27e[92]]!=null&& typeof (_0xdc10x6[_0xf27e[92]])==_0xf27e[95]){return _0xdc10x6[_0xf27e[92]][_0xf27e[97]](_0xf27e[96])};return mxGraph[_0xf27e[16]][_0xf27e[94]][_0xf27e[15]](this,arguments)};Graph[_0xf27e[16]][_0xf27e[98]]=function(_0xdc10x6,_0xdc10x10,_0xdc10x14){if(_0xdc10x6[_0xf27e[92]]!=null&& typeof (_0xdc10x6[_0xf27e[92]])==_0xf27e[95]){var _0xdc10x15=_0xdc10x6[_0xf27e[92]][_0xf27e[99]](true);_0xdc10x15[_0xf27e[100]](_0xf27e[96],_0xdc10x10);_0xdc10x10=_0xdc10x15};mxGraph[_0xf27e[16]][_0xf27e[98]][_0xf27e[15]](this,arguments)};Graph[_0xf27e[16]][_0xf27e[101]]=function(_0xdc10x6,_0xdc10x16){var _0xdc10x10=null;if(_0xdc10x6[_0xf27e[92]]!=null&& typeof (_0xdc10x6[_0xf27e[92]])==_0xf27e[95]){_0xdc10x10=_0xdc10x6[_0xf27e[92]][_0xf27e[99]](true)}else {var _0xdc10x17=mxUtils[_0xf27e[102]]();_0xdc10x10=_0xdc10x17[_0xf27e[104]](_0xf27e[103]);_0xdc10x10[_0xf27e[100]](_0xf27e[96],_0xdc10x6[_0xf27e[92]])};if(_0xdc10x16!=null&&_0xdc10x16[_0xf27e[105]]>0){_0xdc10x10[_0xf27e[100]](_0xf27e[106],_0xdc10x16)}else {_0xdc10x10[_0xf27e[107]](_0xf27e[106])};this[_0xf27e[109]][_0xf27e[108]](_0xdc10x6,_0xdc10x10)};Graph[_0xf27e[16]][_0xf27e[110]]=function(_0xdc10x6){if(_0xdc10x6[_0xf27e[92]]!=null&& typeof (_0xdc10x6[_0xf27e[92]])==_0xf27e[95]){return _0xdc10x6[_0xf27e[92]][_0xf27e[97]](_0xf27e[106])};return null};Graph[_0xf27e[16]][_0xf27e[55]]=function(){this[_0xf27e[11]][_0xf27e[112]][_0xf27e[111]]=function(){return this[_0xf27e[46]][_0xf27e[11]][_0xf27e[113]]!=null};this[_0xf27e[28]](mxEvent.START_EDITING,function(_0xdc10x18,_0xdc10x19){this[_0xf27e[29]][_0xf27e[114]]()});var _0xdc10x1a=false;var _0xdc10x1b=null;this[_0xf27e[115]]=function(_0xdc10xb){mxGraph[_0xf27e[16]][_0xf27e[115]][_0xf27e[15]](this,arguments);if(_0xdc10xb[_0xf27e[32]]()==null){var _0xdc10x6=this[_0xf27e[118]](_0xdc10xb[_0xf27e[116]],_0xdc10xb[_0xf27e[117]]);if(this[_0xf27e[72]]()[_0xf27e[71]](_0xdc10x6)){_0xdc10xb[_0xf27e[119]]=this[_0xf27e[33]][_0xf27e[32]](_0xdc10x6);if(_0xdc10xb[_0xf27e[119]]!=null&&_0xdc10xb[_0xf27e[119]][_0xf27e[120]]!=null){this[_0xf27e[25]][_0xf27e[24]][_0xf27e[23]]=_0xdc10xb[_0xf27e[119]][_0xf27e[120]][_0xf27e[121]][_0xf27e[24]][_0xf27e[23]]}}};if(_0xdc10xb[_0xf27e[32]]()==null){this[_0xf27e[25]][_0xf27e[24]][_0xf27e[23]]=_0xf27e[30]}};this[_0xf27e[122]]=function(_0xdc10x1c,_0xdc10xb,_0xdc10x18){if(_0xdc10x1c==mxEvent[_0xf27e[123]]){if(!this[_0xf27e[29]][_0xf27e[124]]()){_0xdc10x1b=_0xdc10xb[_0xf27e[125]]();_0xdc10x1a=(_0xdc10x1b!=null)?this[_0xf27e[52]](_0xdc10x1b):this[_0xf27e[126]]()}else {_0xdc10x1a=false;_0xdc10x1b=null}}else {if(_0xdc10x1c==mxEvent[_0xf27e[127]]){if(_0xdc10x1a&&!this[_0xf27e[128]]()){if(!this[_0xf27e[29]][_0xf27e[124]]()){var _0xdc10x1d=mxEvent[_0xf27e[130]](_0xdc10xb[_0xf27e[129]]());var _0xdc10x1e=mxEvent[_0xf27e[131]](_0xdc10xb[_0xf27e[129]]());this[_0xf27e[29]][_0xf27e[132]](_0xdc10x1d+16,_0xdc10x1e,_0xdc10x1b,_0xdc10xb[_0xf27e[129]]())};_0xdc10x1a=false;_0xdc10x1b=null;_0xdc10xb[_0xf27e[133]]();return};_0xdc10x1a=false;_0xdc10x1b=null}};mxGraph[_0xf27e[16]][_0xf27e[122]][_0xf27e[15]](this,arguments);if(_0xdc10x1c==mxEvent[_0xf27e[134]]&&_0xdc10xb[_0xf27e[135]]()){_0xdc10x1a=false;_0xdc10x1b=null}}};(function(){mxVertexHandler[_0xf27e[16]][_0xf27e[136]]=true;mxText[_0xf27e[16]][_0xf27e[137]]=5;mxText[_0xf27e[16]][_0xf27e[138]]=1;if(touchStyle){mxConstants[_0xf27e[139]]=16;mxConstants[_0xf27e[140]]=7;if(mxClient[_0xf27e[141]]){mxVertexHandler[_0xf27e[16]][_0xf27e[142]]=4;mxEdgeHandler[_0xf27e[16]][_0xf27e[142]]=6;Graph[_0xf27e[16]][_0xf27e[142]]=14;Graph[_0xf27e[16]][_0xf27e[143]]=20;mxPanningHandler[_0xf27e[16]][_0xf27e[144]]=false;mxPanningHandler[_0xf27e[16]][_0xf27e[145]]=true;mxPanningHandler[_0xf27e[16]][_0xf27e[146]]=function(_0xdc10xb){var _0xdc10x19=_0xdc10xb[_0xf27e[129]]();return (this[_0xf27e[145]]&&(this[_0xf27e[147]]||_0xdc10xb[_0xf27e[32]]()==null))||(mxEvent[_0xf27e[148]](_0xdc10x19)&&mxEvent[_0xf27e[149]](_0xdc10x19))||(this[_0xf27e[150]]&&mxEvent[_0xf27e[151]](_0xdc10x19))}};var _0xdc10x1f=mxGraphHandler[_0xf27e[16]][_0xf27e[152]];mxGraphHandler[_0xf27e[16]][_0xf27e[152]]=function(_0xdc10x18,_0xdc10xb){_0xdc10x1f[_0xf27e[15]](this,arguments);if(this[_0xf27e[46]][_0xf27e[52]](_0xdc10xb[_0xf27e[125]]())&&this[_0xf27e[46]][_0xf27e[53]]()>1){this[_0xf27e[153]]=false}};Graph[_0xf27e[16]][_0xf27e[154]]=function(_0xdc10x1){this[_0xf27e[155]]= new mxTooltipHandler(this);this[_0xf27e[155]][_0xf27e[156]](false);this[_0xf27e[157]]= new mxSelectionCellsHandler(this);this[_0xf27e[29]]= new mxPanningHandler(this);this[_0xf27e[29]][_0xf27e[158]]=false;this[_0xf27e[11]]= new mxConnectionHandler(this);this[_0xf27e[11]][_0xf27e[156]](false);this[_0xf27e[159]]= new mxGraphHandler(this)};mxConnectionHandler[_0xf27e[16]][_0xf27e[160]]=function(_0xdc10xe,_0xdc10x20){if(touchStyle&&_0xdc10x20!=null){this[_0xf27e[46]][_0xf27e[161]](_0xdc10x20)}else {this[_0xf27e[46]][_0xf27e[161]](_0xdc10xe)}};var _0xdc10x21=mxGraph[_0xf27e[16]][_0xf27e[162]];Graph[_0xf27e[16]][_0xf27e[162]]=function(_0xdc10x19,_0xdc10x6){if(_0xdc10x6==null){var _0xdc10x22=mxUtils[_0xf27e[163]](this[_0xf27e[25]],mxEvent[_0xf27e[130]](_0xdc10x19),mxEvent[_0xf27e[131]](_0xdc10x19));_0xdc10x6=this[_0xf27e[118]](_0xdc10x22[_0xf27e[164]],_0xdc10x22[_0xf27e[165]])};_0xdc10x21[_0xf27e[0]](this,_0xdc10x19,_0xdc10x6)};var _0xdc10x23= new mxImage(IMAGE_PATH+_0xf27e[166],16,16);mxVertexHandler[_0xf27e[16]][_0xf27e[167]]=_0xdc10x23;mxEdgeHandler[_0xf27e[16]][_0xf27e[167]]=_0xdc10x23;mxOutline[_0xf27e[16]][_0xf27e[168]]=_0xdc10x23; new Image()[_0xf27e[169]]=_0xdc10x23[_0xf27e[169]];var _0xdc10x24=IMAGE_PATH+_0xf27e[170];var _0xdc10x25=mxVertexHandler[_0xf27e[16]][_0xf27e[171]];mxVertexHandler[_0xf27e[16]][_0xf27e[171]]=function(){_0xdc10x25[_0xf27e[15]](this,arguments);if(showConnectorImg&&this[_0xf27e[46]][_0xf27e[11]][_0xf27e[111]]()&&this[_0xf27e[46]][_0xf27e[172]](this[_0xf27e[119]][_0xf27e[51]])&&!this[_0xf27e[46]][_0xf27e[84]](this[_0xf27e[119]][_0xf27e[51]])&&this[_0xf27e[46]][_0xf27e[53]]()==1){this[_0xf27e[173]]=mxUtils[_0xf27e[174]](_0xdc10x24);this[_0xf27e[173]][_0xf27e[24]][_0xf27e[23]]=_0xf27e[26];this[_0xf27e[173]][_0xf27e[24]][_0xf27e[175]]=_0xf27e[176];this[_0xf27e[173]][_0xf27e[24]][_0xf27e[177]]=_0xf27e[176];this[_0xf27e[173]][_0xf27e[24]][_0xf27e[178]]=_0xf27e[179];if(!mxClient[_0xf27e[141]]){this[_0xf27e[173]][_0xf27e[100]](_0xf27e[180],mxResources[_0xf27e[93]](_0xf27e[17]));mxEvent[_0xf27e[181]](this[_0xf27e[173]],this[_0xf27e[46]],this[_0xf27e[119]])};this[_0xf27e[173]][_0xf27e[24]][_0xf27e[182]]=_0xf27e[183];mxEvent[_0xf27e[185]](this[_0xf27e[173]],mxUtils[_0xf27e[27]](this,function(_0xdc10x19){this[_0xf27e[46]][_0xf27e[29]][_0xf27e[114]]();var _0xdc10x22=mxUtils[_0xf27e[163]](this[_0xf27e[46]][_0xf27e[25]],mxEvent[_0xf27e[130]](_0xdc10x19),mxEvent[_0xf27e[131]](_0xdc10x19));this[_0xf27e[46]][_0xf27e[11]][_0xf27e[49]](this[_0xf27e[119]],_0xdc10x22[_0xf27e[164]],_0xdc10x22[_0xf27e[165]]);this[_0xf27e[46]][_0xf27e[184]]=true;mxEvent[_0xf27e[133]](_0xdc10x19)}));this[_0xf27e[46]][_0xf27e[25]][_0xf27e[186]](this[_0xf27e[173]])};this[_0xf27e[187]]()};var _0xdc10x26=mxVertexHandler[_0xf27e[16]][_0xf27e[188]];mxVertexHandler[_0xf27e[16]][_0xf27e[188]]=function(){_0xdc10x26[_0xf27e[15]](this);this[_0xf27e[187]]()};mxVertexHandler[_0xf27e[16]][_0xf27e[187]]=function(){if(this[_0xf27e[119]]!=null&&this[_0xf27e[173]]!=null){if(mxVertexHandler[_0xf27e[16]][_0xf27e[189]]){this[_0xf27e[173]][_0xf27e[24]][_0xf27e[190]]=(this[_0xf27e[119]][_0xf27e[164]]+this[_0xf27e[119]][_0xf27e[175]]-this[_0xf27e[173]][_0xf27e[191]]/2)+_0xf27e[192];this[_0xf27e[173]][_0xf27e[24]][_0xf27e[193]]=(this[_0xf27e[119]][_0xf27e[165]]-this[_0xf27e[173]][_0xf27e[194]]/2)+_0xf27e[192]}else {this[_0xf27e[173]][_0xf27e[24]][_0xf27e[190]]=(this[_0xf27e[119]][_0xf27e[164]]+this[_0xf27e[119]][_0xf27e[175]]+mxConstants[_0xf27e[139]]/2+4)+_0xf27e[192];this[_0xf27e[173]][_0xf27e[24]][_0xf27e[193]]=(this[_0xf27e[119]][_0xf27e[165]]+(this[_0xf27e[119]][_0xf27e[177]]-this[_0xf27e[173]][_0xf27e[194]])/2)+_0xf27e[192]}}};var _0xdc10x27=mxVertexHandler[_0xf27e[16]][_0xf27e[195]];mxVertexHandler[_0xf27e[16]][_0xf27e[195]]=function(_0xdc10x18,_0xdc10xb){_0xdc10x27[_0xf27e[15]](this,arguments);if(this[_0xf27e[173]]!=null){this[_0xf27e[173]][_0xf27e[197]][_0xf27e[196]](this[_0xf27e[173]]);this[_0xf27e[173]]=null}}; new Image()[_0xf27e[169]]=_0xdc10x24}else {var _0xdc10x28= new mxImage(IMAGE_PATH+_0xf27e[198],15,15);mxConnectionHandler[_0xf27e[16]][_0xf27e[199]]=_0xdc10x28; new Image()[_0xf27e[169]]=_0xdc10x28[_0xf27e[169]];if(urlParams[_0xf27e[17]]==_0xf27e[18]){var _0xdc10x28= new mxImage(IMAGE_PATH+_0xf27e[198],15,15);var _0xdc10x25=mxVertexHandler[_0xf27e[16]][_0xf27e[171]];mxVertexHandler[_0xf27e[16]][_0xf27e[171]]=function(){_0xdc10x25[_0xf27e[15]](this,arguments);if(showConnectorImg&&this[_0xf27e[46]][_0xf27e[11]][_0xf27e[111]]()&&this[_0xf27e[46]][_0xf27e[172]](this[_0xf27e[119]][_0xf27e[51]])&&!this[_0xf27e[46]][_0xf27e[84]](this[_0xf27e[119]][_0xf27e[51]])&&this[_0xf27e[46]][_0xf27e[53]]()==1){if(mxClient[_0xf27e[200]]&&!mxClient[_0xf27e[201]]){this[_0xf27e[173]]=document[_0xf27e[104]](_0xf27e[202]);this[_0xf27e[173]][_0xf27e[24]][_0xf27e[203]]=_0xf27e[204]+_0xdc10x28[_0xf27e[169]]+_0xf27e[205];this[_0xf27e[173]][_0xf27e[24]][_0xf27e[206]]=_0xf27e[207];this[_0xf27e[173]][_0xf27e[24]][_0xf27e[208]]=_0xf27e[209];this[_0xf27e[173]][_0xf27e[24]][_0xf27e[175]]=(_0xdc10x28[_0xf27e[175]]+4)+_0xf27e[192];this[_0xf27e[173]][_0xf27e[24]][_0xf27e[177]]=(_0xdc10x28[_0xf27e[177]]+4)+_0xf27e[192];this[_0xf27e[173]][_0xf27e[24]][_0xf27e[210]]=(mxClient[_0xf27e[211]])?_0xf27e[212]:_0xf27e[213]}else {this[_0xf27e[173]]=mxUtils[_0xf27e[174]](_0xdc10x28[_0xf27e[169]]);this[_0xf27e[173]][_0xf27e[24]][_0xf27e[175]]=_0xdc10x28[_0xf27e[175]]+_0xf27e[192];this[_0xf27e[173]][_0xf27e[24]][_0xf27e[177]]=_0xdc10x28[_0xf27e[177]]+_0xf27e[192]};this[_0xf27e[173]][_0xf27e[24]][_0xf27e[23]]=_0xf27e[26];this[_0xf27e[173]][_0xf27e[24]][_0xf27e[178]]=_0xf27e[179];this[_0xf27e[173]][_0xf27e[100]](_0xf27e[180],mxResources[_0xf27e[93]](_0xf27e[17]));mxEvent[_0xf27e[181]](this[_0xf27e[173]],this[_0xf27e[46]],this[_0xf27e[119]]);this[_0xf27e[173]][_0xf27e[24]][_0xf27e[182]]=_0xf27e[183];mxEvent[_0xf27e[28]](this[_0xf27e[173]],_0xf27e[214],mxUtils[_0xf27e[27]](this,function(_0xdc10x19){this[_0xf27e[46]][_0xf27e[29]][_0xf27e[114]]();var _0xdc10x22=mxUtils[_0xf27e[163]](this[_0xf27e[46]][_0xf27e[25]],mxEvent[_0xf27e[130]](_0xdc10x19),mxEvent[_0xf27e[131]](_0xdc10x19));this[_0xf27e[46]][_0xf27e[11]][_0xf27e[49]](this[_0xf27e[119]],_0xdc10x22[_0xf27e[164]],_0xdc10x22[_0xf27e[165]]);this[_0xf27e[46]][_0xf27e[184]]=true;mxEvent[_0xf27e[133]](_0xdc10x19)}));this[_0xf27e[46]][_0xf27e[25]][_0xf27e[186]](this[_0xf27e[173]])};this[_0xf27e[187]]()};var _0xdc10x26=mxVertexHandler[_0xf27e[16]][_0xf27e[188]];mxVertexHandler[_0xf27e[16]][_0xf27e[188]]=function(){_0xdc10x26[_0xf27e[15]](this);this[_0xf27e[187]]()};mxVertexHandler[_0xf27e[16]][_0xf27e[187]]=function(){if(this[_0xf27e[119]]!=null&&this[_0xf27e[173]]!=null){if(mxVertexHandler[_0xf27e[16]][_0xf27e[189]]){this[_0xf27e[173]][_0xf27e[24]][_0xf27e[190]]=(this[_0xf27e[119]][_0xf27e[164]]+this[_0xf27e[119]][_0xf27e[175]]-this[_0xf27e[173]][_0xf27e[191]]/2)+_0xf27e[192];this[_0xf27e[173]][_0xf27e[24]][_0xf27e[193]]=(this[_0xf27e[119]][_0xf27e[165]]-this[_0xf27e[173]][_0xf27e[194]]/2)+_0xf27e[192]}else {this[_0xf27e[173]][_0xf27e[24]][_0xf27e[190]]=(this[_0xf27e[119]][_0xf27e[164]]+this[_0xf27e[119]][_0xf27e[175]]+mxConstants[_0xf27e[139]]/2+2)+_0xf27e[192];this[_0xf27e[173]][_0xf27e[24]][_0xf27e[193]]=(this[_0xf27e[119]][_0xf27e[165]]+(this[_0xf27e[119]][_0xf27e[177]]-this[_0xf27e[173]][_0xf27e[194]])/2)+_0xf27e[192]}}};var _0xdc10x27=mxVertexHandler[_0xf27e[16]][_0xf27e[195]];mxVertexHandler[_0xf27e[16]][_0xf27e[195]]=function(_0xdc10x18,_0xdc10xb){_0xdc10x27[_0xf27e[15]](this,arguments);if(this[_0xf27e[173]]!=null){this[_0xf27e[173]][_0xf27e[197]][_0xf27e[196]](this[_0xf27e[173]]);this[_0xf27e[173]]=null}}}}})() \ No newline at end of file +var _0x2d2d=["\x63\x61\x6C\x6C","\x73\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x73\x65\x74\x44\x72\x6F\x70\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x50\x61\x6E\x6E\x69\x6E\x67","\x73\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x73\x65\x74\x41\x6C\x6C\x6F\x77\x4C\x6F\x6F\x70\x73","\x73\x65\x74\x4D\x75\x6C\x74\x69\x67\x72\x61\x70\x68","\x61\x6C\x6C\x6F\x77\x41\x75\x74\x6F\x50\x61\x6E\x6E\x69\x6E\x67","\x72\x65\x73\x65\x74\x45\x64\x67\x65\x73\x4F\x6E\x43\x6F\x6E\x6E\x65\x63\x74","\x63\x6F\x6E\x73\x74\x72\x61\x69\x6E\x43\x68\x69\x6C\x64\x72\x65\x6E","\x74\x61\x72\x67\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x49\x6D\x61\x67\x65","\x63\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x74\x41\x6C\x6C\x6F\x77\x44\x61\x6E\x67\x6C\x69\x6E\x67\x45\x64\x67\x65\x73","\x73\x65\x74\x43\x72\x65\x61\x74\x65\x54\x61\x72\x67\x65\x74","\x69\x73\x56\x61\x6C\x69\x64\x53\x6F\x75\x72\x63\x65","\x61\x70\x70\x6C\x79","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x63\x6F\x6E\x6E\x65\x63\x74","\x32","\x61\x6C\x74\x65\x72\x6E\x61\x74\x65\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x76\x65\x72\x74\x69\x63\x61\x6C","\x6C\x6F\x61\x64\x53\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x67\x65\x74\x52\x75\x62\x62\x65\x72\x62\x61\x6E\x64","\x63\x75\x72\x73\x6F\x72","\x73\x74\x79\x6C\x65","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x70\x6F\x69\x6E\x74\x65\x72","\x62\x69\x6E\x64","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x64\x65\x66\x61\x75\x6C\x74","\x69\x73\x48\x74\x6D\x6C\x4C\x61\x62\x65\x6C","\x67\x65\x74\x53\x74\x61\x74\x65","\x76\x69\x65\x77","\x67\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65","\x68\x74\x6D\x6C","\x31","\x77\x68\x69\x74\x65\x53\x70\x61\x63\x65","\x77\x72\x61\x70","\x67\x65\x74\x4C\x61\x62\x65\x6C\x56\x61\x6C\x75\x65","\x63\x65\x6C\x6C\x52\x65\x6E\x64\x65\x72\x65\x72","\x68\x74\x6D\x6C\x45\x6E\x74\x69\x74\x69\x65\x73","\x69\x73\x43\x65\x6C\x6C\x4C\x6F\x63\x6B\x65\x64","\x74\x61\x70\x41\x6E\x64\x48\x6F\x6C\x64\x54\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x74\x61\x70\x41\x6E\x64\x48\x6F\x6C\x64","\x61\x63\x74\x69\x76\x65","\x67\x72\x61\x70\x68","\x67\x65\x74\x47\x72\x61\x70\x68\x58","\x67\x65\x74\x47\x72\x61\x70\x68\x59","\x73\x74\x61\x72\x74","\x70\x61\x6E\x6E\x69\x6E\x67\x54\x72\x69\x67\x67\x65\x72","\x63\x65\x6C\x6C","\x69\x73\x43\x65\x6C\x6C\x53\x65\x6C\x65\x63\x74\x65\x64","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x6F\x75\x6E\x74","\x72\x65\x6D\x6F\x76\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x69\x6E\x69\x74\x54\x6F\x75\x63\x68","\x65\x78\x74\x65\x6E\x64","\x6D\x69\x6E\x46\x69\x74\x53\x63\x61\x6C\x65","\x6D\x61\x78\x46\x69\x74\x53\x63\x61\x6C\x65","\x67\x65\x74\x44\x6F\x63\x75\x6D\x65\x6E\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x2F\x64\x65\x66\x61\x75\x6C\x74\x2E\x78\x6D\x6C","\x6C\x6F\x61\x64","\x6F\x77\x6E\x65\x72\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x67\x65\x74\x53\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x64\x65\x63\x6F\x64\x65","\x66\x6C\x69\x70\x45\x64\x67\x65","\x67\x65\x74\x56\x61\x6C\x75\x65","\x45\x4C\x42\x4F\x57\x5F\x48\x4F\x52\x49\x5A\x4F\x4E\x54\x41\x4C","\x45\x4C\x42\x4F\x57\x5F\x56\x45\x52\x54\x49\x43\x41\x4C","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x73\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x69\x73\x45\x64\x67\x65","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x63\x6C\x6F\x6E\x65\x43\x65\x6C\x6C\x73","\x67\x65\x6F\x6D\x65\x74\x72\x79","\x70\x6F\x69\x6E\x74\x73","\x67\x65\x74\x53\x74\x79\x6C\x65","\x73\x65\x74\x53\x74\x79\x6C\x65","\x63\x72\x65\x61\x74\x65\x45\x64\x67\x65\x53\x74\x61\x74\x65","\x63\x72\x65\x61\x74\x65\x53\x74\x61\x74\x65","\x66\x61\x63\x74\x6F\x72\x79\x4D\x65\x74\x68\x6F\x64","\x69\x73\x43\x65\x6C\x6C\x46\x6F\x6C\x64\x61\x62\x6C\x65","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x53\x77\x69\x6D\x6C\x61\x6E\x65","\x69\x73\x56\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x63\x72\x65\x61\x74\x65\x47\x72\x6F\x75\x70\x43\x65\x6C\x6C","\x67\x72\x6F\x75\x70","\x67\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x46\x6F\x72\x43\x65\x6C\x6C","","\x69\x73\x56\x65\x72\x74\x65\x78","\x64\x65\x73\x63\x72\x69\x70\x74\x69\x6F\x6E\x5F","\x6E\x6F\x64\x65\x4E\x61\x6D\x65","\x76\x61\x6C\x75\x65","\x67\x65\x74","\x63\x6F\x6E\x76\x65\x72\x74\x56\x61\x6C\x75\x65\x54\x6F\x53\x74\x72\x69\x6E\x67","\x6F\x62\x6A\x65\x63\x74","\x6C\x61\x62\x65\x6C","\x67\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x65\x6C\x6C\x4C\x61\x62\x65\x6C\x43\x68\x61\x6E\x67\x65\x64","\x63\x6C\x6F\x6E\x65\x4E\x6F\x64\x65","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x73\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x63\x72\x65\x61\x74\x65\x58\x6D\x6C\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x55\x73\x65\x72\x4F\x62\x6A\x65\x63\x74","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x6C\x65\x6E\x67\x74\x68","\x6C\x69\x6E\x6B","\x72\x65\x6D\x6F\x76\x65\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x73\x65\x74\x56\x61\x6C\x75\x65","\x6D\x6F\x64\x65\x6C","\x67\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x6D\x61\x72\x6B\x65\x72","\x66\x69\x72\x73\x74","\x68\x69\x64\x65\x4D\x65\x6E\x75","\x75\x70\x64\x61\x74\x65\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74","\x67\x72\x61\x70\x68\x58","\x67\x72\x61\x70\x68\x59","\x67\x65\x74\x43\x65\x6C\x6C\x41\x74","\x73\x74\x61\x74\x65","\x73\x68\x61\x70\x65","\x6E\x6F\x64\x65","\x66\x69\x72\x65\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74","\x4D\x4F\x55\x53\x45\x5F\x44\x4F\x57\x4E","\x69\x73\x4D\x65\x6E\x75\x53\x68\x6F\x77\x69\x6E\x67","\x67\x65\x74\x43\x65\x6C\x6C","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6D\x70\x74\x79","\x4D\x4F\x55\x53\x45\x5F\x55\x50","\x69\x73\x45\x64\x69\x74\x69\x6E\x67","\x67\x65\x74\x45\x76\x65\x6E\x74","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x58","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x59","\x70\x6F\x70\x75\x70","\x63\x6F\x6E\x73\x75\x6D\x65","\x4D\x4F\x55\x53\x45\x5F\x4D\x4F\x56\x45","\x69\x73\x43\x6F\x6E\x73\x75\x6D\x65\x64","\x72\x6F\x74\x61\x74\x69\x6F\x6E\x45\x6E\x61\x62\x6C\x65\x64","\x62\x61\x73\x65\x53\x70\x61\x63\x69\x6E\x67\x54\x6F\x70","\x62\x61\x73\x65\x53\x70\x61\x63\x69\x6E\x67\x42\x6F\x74\x74\x6F\x6D","\x48\x41\x4E\x44\x4C\x45\x5F\x53\x49\x5A\x45","\x4C\x41\x42\x45\x4C\x5F\x48\x41\x4E\x44\x4C\x45\x5F\x53\x49\x5A\x45","\x49\x53\x5F\x54\x4F\x55\x43\x48","\x74\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x67\x72\x69\x64\x53\x69\x7A\x65","\x73\x65\x6C\x65\x63\x74\x4F\x6E\x50\x6F\x70\x75\x70","\x75\x73\x65\x4C\x65\x66\x74\x42\x75\x74\x74\x6F\x6E\x46\x6F\x72\x50\x61\x6E\x6E\x69\x6E\x67","\x69\x73\x50\x61\x6E\x6E\x69\x6E\x67\x54\x72\x69\x67\x67\x65\x72","\x69\x67\x6E\x6F\x72\x65\x43\x65\x6C\x6C","\x69\x73\x43\x6F\x6E\x74\x72\x6F\x6C\x44\x6F\x77\x6E","\x69\x73\x53\x68\x69\x66\x74\x44\x6F\x77\x6E","\x75\x73\x65\x50\x6F\x70\x75\x70\x54\x72\x69\x67\x67\x65\x72","\x69\x73\x50\x6F\x70\x75\x70\x54\x72\x69\x67\x67\x65\x72","\x6D\x6F\x75\x73\x65\x44\x6F\x77\x6E","\x64\x65\x6C\x61\x79\x65\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E","\x63\x72\x65\x61\x74\x65\x48\x61\x6E\x64\x6C\x65\x72\x73","\x74\x6F\x6F\x6C\x74\x69\x70\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73\x48\x61\x6E\x64\x6C\x65\x72","\x70\x61\x6E\x6E\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x61\x70\x68\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x6C\x65\x63\x74\x43\x65\x6C\x6C\x73","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x64\x62\x6C\x43\x6C\x69\x63\x6B","\x63\x6F\x6E\x76\x65\x72\x74\x50\x6F\x69\x6E\x74","\x78","\x79","\x2F\x74\x6F\x75\x63\x68\x2D\x68\x61\x6E\x64\x6C\x65\x2E\x70\x6E\x67","\x68\x61\x6E\x64\x6C\x65\x49\x6D\x61\x67\x65","\x73\x69\x7A\x65\x72\x49\x6D\x61\x67\x65","\x73\x72\x63","\x2F\x74\x6F\x75\x63\x68\x2D\x63\x6F\x6E\x6E\x65\x63\x74\x6F\x72\x2E\x70\x6E\x67","\x69\x6E\x69\x74","\x69\x73\x43\x65\x6C\x6C\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x63\x6F\x6E\x6E\x65\x63\x74\x6F\x72\x49\x6D\x67","\x63\x72\x65\x61\x74\x65\x49\x6D\x61\x67\x65","\x77\x69\x64\x74\x68","\x32\x39\x70\x78","\x68\x65\x69\x67\x68\x74","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x61\x62\x73\x6F\x6C\x75\x74\x65","\x74\x69\x74\x6C\x65","\x72\x65\x64\x69\x72\x65\x63\x74\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74\x73","\x70\x61\x64\x64\x69\x6E\x67","\x32\x70\x78","\x69\x73\x4D\x6F\x75\x73\x65\x44\x6F\x77\x6E","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x72\x65\x64\x72\x61\x77\x54\x6F\x6F\x6C\x73","\x72\x65\x64\x72\x61\x77","\x73\x69\x6E\x67\x6C\x65\x53\x69\x7A\x65\x72","\x6C\x65\x66\x74","\x6F\x66\x66\x73\x65\x74\x57\x69\x64\x74\x68","\x70\x78","\x74\x6F\x70","\x6F\x66\x66\x73\x65\x74\x48\x65\x69\x67\x68\x74","\x64\x65\x73\x74\x72\x6F\x79","\x72\x65\x6D\x6F\x76\x65\x43\x68\x69\x6C\x64","\x70\x61\x72\x65\x6E\x74\x4E\x6F\x64\x65","\x2F\x63\x6F\x6E\x6E\x65\x63\x74\x6F\x72\x2E\x70\x6E\x67","\x63\x6F\x6E\x6E\x65\x63\x74\x49\x6D\x61\x67\x65","\x49\x53\x5F\x49\x45","\x49\x53\x5F\x53\x56\x47","\x64\x69\x76","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x75\x72\x6C\x28","\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x63\x65\x6E\x74\x65\x72","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x52\x65\x70\x65\x61\x74","\x6E\x6F\x2D\x72\x65\x70\x65\x61\x74","\x64\x69\x73\x70\x6C\x61\x79","\x49\x53\x5F\x51\x55\x49\x52\x4B\x53","\x69\x6E\x6C\x69\x6E\x65","\x69\x6E\x6C\x69\x6E\x65\x2D\x62\x6C\x6F\x63\x6B","\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E"];Graph=function(_0x6d5ax1,_0x6d5ax2,_0x6d5ax3,_0x6d5ax4){mxGraph[_0x2d2d[0]](this,_0x6d5ax1,_0x6d5ax2,_0x6d5ax3,_0x6d5ax4);this[_0x2d2d[1]](true);this[_0x2d2d[2]](false);this[_0x2d2d[3]](true);this[_0x2d2d[4]](!mxClient.IS_TOUCH);this[_0x2d2d[5]](false);this[_0x2d2d[6]](false);this[_0x2d2d[7]]=true;this[_0x2d2d[8]]=false;this[_0x2d2d[9]]=false;this[_0x2d2d[11]][_0x2d2d[10]]=true;this[_0x2d2d[12]](false);this[_0x2d2d[11]][_0x2d2d[13]](false);this[_0x2d2d[11]][_0x2d2d[14]]=function(){return mxConnectionHandler[_0x2d2d[16]][_0x2d2d[14]][_0x2d2d[15]](this,arguments)&&urlParams[_0x2d2d[17]]!=_0x2d2d[18]};this[_0x2d2d[19]]=_0x2d2d[20];if(_0x6d5ax4==null){this[_0x2d2d[21]]()};var _0x6d5ax5= new mxRubberband(this);this[_0x2d2d[22]]=function(){return _0x6d5ax5};this[_0x2d2d[29]][_0x2d2d[28]](mxEvent.PAN_START,mxUtils[_0x2d2d[27]](this,function(){this[_0x2d2d[25]][_0x2d2d[24]][_0x2d2d[23]]=_0x2d2d[26]}));this[_0x2d2d[29]][_0x2d2d[28]](mxEvent.PAN_END,mxUtils[_0x2d2d[27]](this,function(){this[_0x2d2d[25]][_0x2d2d[24]][_0x2d2d[23]]=_0x2d2d[30]}));this[_0x2d2d[31]]=function(_0x6d5ax6){var _0x6d5ax7=this[_0x2d2d[33]][_0x2d2d[32]](_0x6d5ax6);var _0x6d5ax8=(_0x6d5ax7!=null)?_0x6d5ax7[_0x2d2d[24]]:this[_0x2d2d[34]](_0x6d5ax6);return _0x6d5ax8[_0x2d2d[35]]==_0x2d2d[36]||_0x6d5ax8[_0x2d2d[37]]==_0x2d2d[38]};this[_0x2d2d[40]][_0x2d2d[39]]=function(_0x6d5ax7){var _0x6d5ax9=mxCellRenderer[_0x2d2d[16]][_0x2d2d[39]][_0x2d2d[15]](this,arguments);if(_0x6d5ax7[_0x2d2d[24]][_0x2d2d[37]]==_0x2d2d[38]&&_0x6d5ax7[_0x2d2d[24]][_0x2d2d[35]]!=1){_0x6d5ax9=mxUtils[_0x2d2d[41]](_0x6d5ax9,false)};return _0x6d5ax9};this[_0x2d2d[42]]=function(_0x6d5ax6){return false};this[_0x2d2d[11]][_0x2d2d[43]]=16;var _0x6d5axa=this[_0x2d2d[11]][_0x2d2d[44]];this[_0x2d2d[11]][_0x2d2d[44]]=function(_0x6d5axb,_0x6d5ax7){if(_0x6d5ax7==null){if(!this[_0x2d2d[46]][_0x2d2d[29]][_0x2d2d[45]]){_0x6d5ax5[_0x2d2d[49]](_0x6d5axb[_0x2d2d[47]](),_0x6d5axb[_0x2d2d[48]]());this[_0x2d2d[46]][_0x2d2d[29]][_0x2d2d[50]]=false}}else {if(tapAndHoldStartsConnection){_0x6d5axa[_0x2d2d[15]](this,arguments)}else {if(this[_0x2d2d[46]][_0x2d2d[52]](_0x6d5ax7[_0x2d2d[51]])&&this[_0x2d2d[46]][_0x2d2d[53]]()>1){this[_0x2d2d[46]][_0x2d2d[54]](_0x6d5ax7[_0x2d2d[51]])}}}};if(touchStyle){this[_0x2d2d[55]]()}};mxUtils[_0x2d2d[56]](Graph,mxGraph);Graph[_0x2d2d[16]][_0x2d2d[57]]=null;Graph[_0x2d2d[16]][_0x2d2d[58]]=null;Graph[_0x2d2d[16]][_0x2d2d[21]]=function(){var _0x6d5axc=mxUtils[_0x2d2d[61]](STYLE_PATH+_0x2d2d[60])[_0x2d2d[59]]();var _0x6d5axd= new mxCodec(_0x6d5axc[_0x2d2d[62]]);_0x6d5axd[_0x2d2d[64]](_0x6d5axc,this[_0x2d2d[63]]())};Graph[_0x2d2d[16]][_0x2d2d[65]]=function(_0x6d5axe){if(_0x6d5axe!=null){var _0x6d5ax7=this[_0x2d2d[33]][_0x2d2d[32]](_0x6d5axe);var _0x6d5ax8=(_0x6d5ax7!=null)?_0x6d5ax7[_0x2d2d[24]]:this[_0x2d2d[34]](_0x6d5axe);if(_0x6d5ax8!=null){var _0x6d5axf=mxUtils[_0x2d2d[66]](_0x6d5ax8,mxConstants.STYLE_ELBOW,mxConstants.ELBOW_HORIZONTAL);var _0x6d5ax10=(_0x6d5axf==mxConstants[_0x2d2d[67]])?mxConstants[_0x2d2d[68]]:mxConstants[_0x2d2d[67]];this[_0x2d2d[69]](mxConstants.STYLE_ELBOW,_0x6d5ax10,[_0x6d5axe])}}};Graph[_0x2d2d[16]][_0x2d2d[70]]=function(_0x6d5ax6){if(_0x6d5ax6!=null&&this[_0x2d2d[72]]()[_0x2d2d[71]](_0x6d5ax6)){var _0x6d5ax11=this[_0x2d2d[72]]()[_0x2d2d[73]]([_0x6d5ax6])[0];if(_0x6d5ax11[_0x2d2d[74]]!=null){_0x6d5ax11[_0x2d2d[74]][_0x2d2d[75]]=null};var _0x6d5ax8=_0x6d5ax11[_0x2d2d[76]]();_0x6d5ax8=mxUtils[_0x2d2d[77]](_0x6d5ax8,mxConstants.STYLE_ENTRY_X,null);_0x6d5ax8=mxUtils[_0x2d2d[77]](_0x6d5ax8,mxConstants.STYLE_ENTRY_Y,null);_0x6d5ax8=mxUtils[_0x2d2d[77]](_0x6d5ax8,mxConstants.STYLE_EXIT_X,null);_0x6d5ax8=mxUtils[_0x2d2d[77]](_0x6d5ax8,mxConstants.STYLE_EXIT_Y,null);_0x6d5ax11[_0x2d2d[77]](_0x6d5ax8);this[_0x2d2d[11]][_0x2d2d[78]]=function(_0x6d5axb){return this[_0x2d2d[46]][_0x2d2d[33]][_0x2d2d[79]](_0x6d5ax11)};this[_0x2d2d[11]][_0x2d2d[80]]=function(){return this[_0x2d2d[46]][_0x2d2d[73]]([_0x6d5ax11])[0]}}};Graph[_0x2d2d[16]][_0x2d2d[81]]=function(_0x6d5ax6){return this[_0x2d2d[82]]&&this[_0x2d2d[83]](_0x6d5ax6)};Graph[_0x2d2d[16]][_0x2d2d[84]]=function(_0x6d5ax6){return this[_0x2d2d[83]](_0x6d5ax6)};Graph[_0x2d2d[16]][_0x2d2d[85]]=function(){var _0x6d5ax12=mxGraph[_0x2d2d[16]][_0x2d2d[85]][_0x2d2d[15]](this,arguments);_0x6d5ax12[_0x2d2d[77]](_0x2d2d[86]);return _0x6d5ax12};Graph[_0x2d2d[16]][_0x2d2d[87]]=function(_0x6d5ax6){var _0x6d5ax13=_0x2d2d[88];if(this[_0x2d2d[72]]()[_0x2d2d[89]](_0x6d5ax6)){_0x6d5ax13+=mxResources[_0x2d2d[93]](_0x2d2d[90]+_0x6d5ax6[_0x2d2d[92]][_0x2d2d[91]])||_0x2d2d[88]}else {if(this[_0x2d2d[72]]()[_0x2d2d[71]](_0x6d5ax6)){_0x6d5ax13=mxGraph[_0x2d2d[16]][_0x2d2d[87]][_0x2d2d[15]](this,arguments)}};return _0x6d5ax13};Graph[_0x2d2d[16]][_0x2d2d[94]]=function(_0x6d5ax6){if(_0x6d5ax6[_0x2d2d[92]]!=null&& typeof (_0x6d5ax6[_0x2d2d[92]])==_0x2d2d[95]){return _0x6d5ax6[_0x2d2d[92]][_0x2d2d[97]](_0x2d2d[96])};return mxGraph[_0x2d2d[16]][_0x2d2d[94]][_0x2d2d[15]](this,arguments)};Graph[_0x2d2d[16]][_0x2d2d[98]]=function(_0x6d5ax6,_0x6d5ax10,_0x6d5ax14){if(_0x6d5ax6[_0x2d2d[92]]!=null&& typeof (_0x6d5ax6[_0x2d2d[92]])==_0x2d2d[95]){var _0x6d5ax15=_0x6d5ax6[_0x2d2d[92]][_0x2d2d[99]](true);_0x6d5ax15[_0x2d2d[100]](_0x2d2d[96],_0x6d5ax10);_0x6d5ax10=_0x6d5ax15};mxGraph[_0x2d2d[16]][_0x2d2d[98]][_0x2d2d[15]](this,arguments)};Graph[_0x2d2d[16]][_0x2d2d[101]]=function(_0x6d5ax6,_0x6d5ax16){var _0x6d5ax10=null;if(_0x6d5ax6[_0x2d2d[92]]!=null&& typeof (_0x6d5ax6[_0x2d2d[92]])==_0x2d2d[95]){_0x6d5ax10=_0x6d5ax6[_0x2d2d[92]][_0x2d2d[99]](true)}else {var _0x6d5ax17=mxUtils[_0x2d2d[102]]();_0x6d5ax10=_0x6d5ax17[_0x2d2d[104]](_0x2d2d[103]);_0x6d5ax10[_0x2d2d[100]](_0x2d2d[96],_0x6d5ax6[_0x2d2d[92]])};if(_0x6d5ax16!=null&&_0x6d5ax16[_0x2d2d[105]]>0){_0x6d5ax10[_0x2d2d[100]](_0x2d2d[106],_0x6d5ax16)}else {_0x6d5ax10[_0x2d2d[107]](_0x2d2d[106])};this[_0x2d2d[109]][_0x2d2d[108]](_0x6d5ax6,_0x6d5ax10)};Graph[_0x2d2d[16]][_0x2d2d[110]]=function(_0x6d5ax6){if(_0x6d5ax6[_0x2d2d[92]]!=null&& typeof (_0x6d5ax6[_0x2d2d[92]])==_0x2d2d[95]){return _0x6d5ax6[_0x2d2d[92]][_0x2d2d[97]](_0x2d2d[106])};return null};Graph[_0x2d2d[16]][_0x2d2d[55]]=function(){this[_0x2d2d[11]][_0x2d2d[112]][_0x2d2d[111]]=function(){return this[_0x2d2d[46]][_0x2d2d[11]][_0x2d2d[113]]!=null};this[_0x2d2d[28]](mxEvent.START_EDITING,function(_0x6d5ax18,_0x6d5ax19){this[_0x2d2d[29]][_0x2d2d[114]]()});var _0x6d5ax1a=false;var _0x6d5ax1b=null;this[_0x2d2d[115]]=function(_0x6d5axb){mxGraph[_0x2d2d[16]][_0x2d2d[115]][_0x2d2d[15]](this,arguments);if(_0x6d5axb[_0x2d2d[32]]()==null){var _0x6d5ax6=this[_0x2d2d[118]](_0x6d5axb[_0x2d2d[116]],_0x6d5axb[_0x2d2d[117]]);if(this[_0x2d2d[72]]()[_0x2d2d[71]](_0x6d5ax6)){_0x6d5axb[_0x2d2d[119]]=this[_0x2d2d[33]][_0x2d2d[32]](_0x6d5ax6);if(_0x6d5axb[_0x2d2d[119]]!=null&&_0x6d5axb[_0x2d2d[119]][_0x2d2d[120]]!=null){this[_0x2d2d[25]][_0x2d2d[24]][_0x2d2d[23]]=_0x6d5axb[_0x2d2d[119]][_0x2d2d[120]][_0x2d2d[121]][_0x2d2d[24]][_0x2d2d[23]]}}};if(_0x6d5axb[_0x2d2d[32]]()==null){this[_0x2d2d[25]][_0x2d2d[24]][_0x2d2d[23]]=_0x2d2d[30]}};this[_0x2d2d[122]]=function(_0x6d5ax1c,_0x6d5axb,_0x6d5ax18){if(_0x6d5ax1c==mxEvent[_0x2d2d[123]]){if(!this[_0x2d2d[29]][_0x2d2d[124]]()){_0x6d5ax1b=_0x6d5axb[_0x2d2d[125]]();_0x6d5ax1a=(_0x6d5ax1b!=null)?this[_0x2d2d[52]](_0x6d5ax1b):this[_0x2d2d[126]]()}else {_0x6d5ax1a=false;_0x6d5ax1b=null}}else {if(_0x6d5ax1c==mxEvent[_0x2d2d[127]]){if(_0x6d5ax1a&&!this[_0x2d2d[128]]()){if(!this[_0x2d2d[29]][_0x2d2d[124]]()){var _0x6d5ax1d=mxEvent[_0x2d2d[130]](_0x6d5axb[_0x2d2d[129]]());var _0x6d5ax1e=mxEvent[_0x2d2d[131]](_0x6d5axb[_0x2d2d[129]]());this[_0x2d2d[29]][_0x2d2d[132]](_0x6d5ax1d+16,_0x6d5ax1e,_0x6d5ax1b,_0x6d5axb[_0x2d2d[129]]())};_0x6d5ax1a=false;_0x6d5ax1b=null;_0x6d5axb[_0x2d2d[133]]();return};_0x6d5ax1a=false;_0x6d5ax1b=null}};mxGraph[_0x2d2d[16]][_0x2d2d[122]][_0x2d2d[15]](this,arguments);if(_0x6d5ax1c==mxEvent[_0x2d2d[134]]&&_0x6d5axb[_0x2d2d[135]]()){_0x6d5ax1a=false;_0x6d5ax1b=null}}};(function(){mxVertexHandler[_0x2d2d[16]][_0x2d2d[136]]=true;mxText[_0x2d2d[16]][_0x2d2d[137]]=5;mxText[_0x2d2d[16]][_0x2d2d[138]]=1;if(touchStyle){mxConstants[_0x2d2d[139]]=16;mxConstants[_0x2d2d[140]]=7;if(mxClient[_0x2d2d[141]]){mxVertexHandler[_0x2d2d[16]][_0x2d2d[142]]=4;mxEdgeHandler[_0x2d2d[16]][_0x2d2d[142]]=6;Graph[_0x2d2d[16]][_0x2d2d[142]]=14;Graph[_0x2d2d[16]][_0x2d2d[143]]=20;mxPanningHandler[_0x2d2d[16]][_0x2d2d[144]]=false;mxPanningHandler[_0x2d2d[16]][_0x2d2d[145]]=true;mxPanningHandler[_0x2d2d[16]][_0x2d2d[146]]=function(_0x6d5axb){var _0x6d5ax19=_0x6d5axb[_0x2d2d[129]]();return (this[_0x2d2d[145]]&&(this[_0x2d2d[147]]||_0x6d5axb[_0x2d2d[32]]()==null))||(mxEvent[_0x2d2d[148]](_0x6d5ax19)&&mxEvent[_0x2d2d[149]](_0x6d5ax19))||(this[_0x2d2d[150]]&&mxEvent[_0x2d2d[151]](_0x6d5ax19))}};var _0x6d5ax1f=mxGraphHandler[_0x2d2d[16]][_0x2d2d[152]];mxGraphHandler[_0x2d2d[16]][_0x2d2d[152]]=function(_0x6d5ax18,_0x6d5axb){_0x6d5ax1f[_0x2d2d[15]](this,arguments);if(this[_0x2d2d[46]][_0x2d2d[52]](_0x6d5axb[_0x2d2d[125]]())&&this[_0x2d2d[46]][_0x2d2d[53]]()>1){this[_0x2d2d[153]]=false}};Graph[_0x2d2d[16]][_0x2d2d[154]]=function(_0x6d5ax1){this[_0x2d2d[155]]= new mxTooltipHandler(this);this[_0x2d2d[155]][_0x2d2d[156]](false);this[_0x2d2d[157]]= new mxSelectionCellsHandler(this);this[_0x2d2d[29]]= new mxPanningHandler(this);this[_0x2d2d[29]][_0x2d2d[158]]=false;this[_0x2d2d[11]]= new mxConnectionHandler(this);this[_0x2d2d[11]][_0x2d2d[156]](false);this[_0x2d2d[159]]= new mxGraphHandler(this)};mxConnectionHandler[_0x2d2d[16]][_0x2d2d[160]]=function(_0x6d5axe,_0x6d5ax20){if(touchStyle&&_0x6d5ax20!=null){this[_0x2d2d[46]][_0x2d2d[161]](_0x6d5ax20)}else {this[_0x2d2d[46]][_0x2d2d[161]](_0x6d5axe)}};var _0x6d5ax21=mxGraph[_0x2d2d[16]][_0x2d2d[162]];Graph[_0x2d2d[16]][_0x2d2d[162]]=function(_0x6d5ax19,_0x6d5ax6){if(_0x6d5ax6==null){var _0x6d5ax22=mxUtils[_0x2d2d[163]](this[_0x2d2d[25]],mxEvent[_0x2d2d[130]](_0x6d5ax19),mxEvent[_0x2d2d[131]](_0x6d5ax19));_0x6d5ax6=this[_0x2d2d[118]](_0x6d5ax22[_0x2d2d[164]],_0x6d5ax22[_0x2d2d[165]])};_0x6d5ax21[_0x2d2d[0]](this,_0x6d5ax19,_0x6d5ax6)};var _0x6d5ax23= new mxImage(IMAGE_PATH+_0x2d2d[166],16,16);mxVertexHandler[_0x2d2d[16]][_0x2d2d[167]]=_0x6d5ax23;mxEdgeHandler[_0x2d2d[16]][_0x2d2d[167]]=_0x6d5ax23;mxOutline[_0x2d2d[16]][_0x2d2d[168]]=_0x6d5ax23; new Image()[_0x2d2d[169]]=_0x6d5ax23[_0x2d2d[169]];var _0x6d5ax24=IMAGE_PATH+_0x2d2d[170];var _0x6d5ax25=mxVertexHandler[_0x2d2d[16]][_0x2d2d[171]];mxVertexHandler[_0x2d2d[16]][_0x2d2d[171]]=function(){_0x6d5ax25[_0x2d2d[15]](this,arguments);if(showConnectorImg&&this[_0x2d2d[46]][_0x2d2d[11]][_0x2d2d[111]]()&&this[_0x2d2d[46]][_0x2d2d[172]](this[_0x2d2d[119]][_0x2d2d[51]])&&!this[_0x2d2d[46]][_0x2d2d[84]](this[_0x2d2d[119]][_0x2d2d[51]])&&this[_0x2d2d[46]][_0x2d2d[53]]()==1){this[_0x2d2d[173]]=mxUtils[_0x2d2d[174]](_0x6d5ax24);this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[23]]=_0x2d2d[26];this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[175]]=_0x2d2d[176];this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[177]]=_0x2d2d[176];this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[178]]=_0x2d2d[179];if(!mxClient[_0x2d2d[141]]){this[_0x2d2d[173]][_0x2d2d[100]](_0x2d2d[180],mxResources[_0x2d2d[93]](_0x2d2d[17]));mxEvent[_0x2d2d[181]](this[_0x2d2d[173]],this[_0x2d2d[46]],this[_0x2d2d[119]])};this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[182]]=_0x2d2d[183];mxEvent[_0x2d2d[185]](this[_0x2d2d[173]],mxUtils[_0x2d2d[27]](this,function(_0x6d5ax19){this[_0x2d2d[46]][_0x2d2d[29]][_0x2d2d[114]]();var _0x6d5ax22=mxUtils[_0x2d2d[163]](this[_0x2d2d[46]][_0x2d2d[25]],mxEvent[_0x2d2d[130]](_0x6d5ax19),mxEvent[_0x2d2d[131]](_0x6d5ax19));this[_0x2d2d[46]][_0x2d2d[11]][_0x2d2d[49]](this[_0x2d2d[119]],_0x6d5ax22[_0x2d2d[164]],_0x6d5ax22[_0x2d2d[165]]);this[_0x2d2d[46]][_0x2d2d[184]]=true;mxEvent[_0x2d2d[133]](_0x6d5ax19)}));this[_0x2d2d[46]][_0x2d2d[25]][_0x2d2d[186]](this[_0x2d2d[173]])};this[_0x2d2d[187]]()};var _0x6d5ax26=mxVertexHandler[_0x2d2d[16]][_0x2d2d[188]];mxVertexHandler[_0x2d2d[16]][_0x2d2d[188]]=function(){_0x6d5ax26[_0x2d2d[15]](this);this[_0x2d2d[187]]()};mxVertexHandler[_0x2d2d[16]][_0x2d2d[187]]=function(){if(this[_0x2d2d[119]]!=null&&this[_0x2d2d[173]]!=null){if(mxVertexHandler[_0x2d2d[16]][_0x2d2d[189]]){this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[190]]=(this[_0x2d2d[119]][_0x2d2d[164]]+this[_0x2d2d[119]][_0x2d2d[175]]-this[_0x2d2d[173]][_0x2d2d[191]]/2)+_0x2d2d[192];this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[193]]=(this[_0x2d2d[119]][_0x2d2d[165]]-this[_0x2d2d[173]][_0x2d2d[194]]/2)+_0x2d2d[192]}else {this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[190]]=(this[_0x2d2d[119]][_0x2d2d[164]]+this[_0x2d2d[119]][_0x2d2d[175]]+mxConstants[_0x2d2d[139]]/2+4)+_0x2d2d[192];this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[193]]=(this[_0x2d2d[119]][_0x2d2d[165]]+(this[_0x2d2d[119]][_0x2d2d[177]]-this[_0x2d2d[173]][_0x2d2d[194]])/2)+_0x2d2d[192]}}};var _0x6d5ax27=mxVertexHandler[_0x2d2d[16]][_0x2d2d[195]];mxVertexHandler[_0x2d2d[16]][_0x2d2d[195]]=function(_0x6d5ax18,_0x6d5axb){_0x6d5ax27[_0x2d2d[15]](this,arguments);if(this[_0x2d2d[173]]!=null){this[_0x2d2d[173]][_0x2d2d[197]][_0x2d2d[196]](this[_0x2d2d[173]]);this[_0x2d2d[173]]=null}}; new Image()[_0x2d2d[169]]=_0x6d5ax24}else {var _0x6d5ax28= new mxImage(IMAGE_PATH+_0x2d2d[198],15,15);mxConnectionHandler[_0x2d2d[16]][_0x2d2d[199]]=_0x6d5ax28; new Image()[_0x2d2d[169]]=_0x6d5ax28[_0x2d2d[169]];if(urlParams[_0x2d2d[17]]==_0x2d2d[18]){var _0x6d5ax28= new mxImage(IMAGE_PATH+_0x2d2d[198],15,15);var _0x6d5ax25=mxVertexHandler[_0x2d2d[16]][_0x2d2d[171]];mxVertexHandler[_0x2d2d[16]][_0x2d2d[171]]=function(){_0x6d5ax25[_0x2d2d[15]](this,arguments);if(showConnectorImg&&this[_0x2d2d[46]][_0x2d2d[11]][_0x2d2d[111]]()&&this[_0x2d2d[46]][_0x2d2d[172]](this[_0x2d2d[119]][_0x2d2d[51]])&&!this[_0x2d2d[46]][_0x2d2d[84]](this[_0x2d2d[119]][_0x2d2d[51]])&&this[_0x2d2d[46]][_0x2d2d[53]]()==1){if(mxClient[_0x2d2d[200]]&&!mxClient[_0x2d2d[201]]){this[_0x2d2d[173]]=document[_0x2d2d[104]](_0x2d2d[202]);this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[203]]=_0x2d2d[204]+_0x6d5ax28[_0x2d2d[169]]+_0x2d2d[205];this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[206]]=_0x2d2d[207];this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[208]]=_0x2d2d[209];this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[175]]=(_0x6d5ax28[_0x2d2d[175]]+4)+_0x2d2d[192];this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[177]]=(_0x6d5ax28[_0x2d2d[177]]+4)+_0x2d2d[192];this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[210]]=(mxClient[_0x2d2d[211]])?_0x2d2d[212]:_0x2d2d[213]}else {this[_0x2d2d[173]]=mxUtils[_0x2d2d[174]](_0x6d5ax28[_0x2d2d[169]]);this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[175]]=_0x6d5ax28[_0x2d2d[175]]+_0x2d2d[192];this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[177]]=_0x6d5ax28[_0x2d2d[177]]+_0x2d2d[192]};this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[23]]=_0x2d2d[26];this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[178]]=_0x2d2d[179];this[_0x2d2d[173]][_0x2d2d[100]](_0x2d2d[180],mxResources[_0x2d2d[93]](_0x2d2d[17]));mxEvent[_0x2d2d[181]](this[_0x2d2d[173]],this[_0x2d2d[46]],this[_0x2d2d[119]]);this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[182]]=_0x2d2d[183];mxEvent[_0x2d2d[28]](this[_0x2d2d[173]],_0x2d2d[214],mxUtils[_0x2d2d[27]](this,function(_0x6d5ax19){this[_0x2d2d[46]][_0x2d2d[29]][_0x2d2d[114]]();var _0x6d5ax22=mxUtils[_0x2d2d[163]](this[_0x2d2d[46]][_0x2d2d[25]],mxEvent[_0x2d2d[130]](_0x6d5ax19),mxEvent[_0x2d2d[131]](_0x6d5ax19));this[_0x2d2d[46]][_0x2d2d[11]][_0x2d2d[49]](this[_0x2d2d[119]],_0x6d5ax22[_0x2d2d[164]],_0x6d5ax22[_0x2d2d[165]]);this[_0x2d2d[46]][_0x2d2d[184]]=true;mxEvent[_0x2d2d[133]](_0x6d5ax19)}));this[_0x2d2d[46]][_0x2d2d[25]][_0x2d2d[186]](this[_0x2d2d[173]])};this[_0x2d2d[187]]()};var _0x6d5ax26=mxVertexHandler[_0x2d2d[16]][_0x2d2d[188]];mxVertexHandler[_0x2d2d[16]][_0x2d2d[188]]=function(){_0x6d5ax26[_0x2d2d[15]](this);this[_0x2d2d[187]]()};mxVertexHandler[_0x2d2d[16]][_0x2d2d[187]]=function(){if(this[_0x2d2d[119]]!=null&&this[_0x2d2d[173]]!=null){if(mxVertexHandler[_0x2d2d[16]][_0x2d2d[189]]){this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[190]]=(this[_0x2d2d[119]][_0x2d2d[164]]+this[_0x2d2d[119]][_0x2d2d[175]]-this[_0x2d2d[173]][_0x2d2d[191]]/2)+_0x2d2d[192];this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[193]]=(this[_0x2d2d[119]][_0x2d2d[165]]-this[_0x2d2d[173]][_0x2d2d[194]]/2)+_0x2d2d[192]}else {this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[190]]=(this[_0x2d2d[119]][_0x2d2d[164]]+this[_0x2d2d[119]][_0x2d2d[175]]+mxConstants[_0x2d2d[139]]/2+2)+_0x2d2d[192];this[_0x2d2d[173]][_0x2d2d[24]][_0x2d2d[193]]=(this[_0x2d2d[119]][_0x2d2d[165]]+(this[_0x2d2d[119]][_0x2d2d[177]]-this[_0x2d2d[173]][_0x2d2d[194]])/2)+_0x2d2d[192]}}};var _0x6d5ax27=mxVertexHandler[_0x2d2d[16]][_0x2d2d[195]];mxVertexHandler[_0x2d2d[16]][_0x2d2d[195]]=function(_0x6d5ax18,_0x6d5axb){_0x6d5ax27[_0x2d2d[15]](this,arguments);if(this[_0x2d2d[173]]!=null){this[_0x2d2d[173]][_0x2d2d[197]][_0x2d2d[196]](this[_0x2d2d[173]]);this[_0x2d2d[173]]=null}}}}})() \ No newline at end of file diff --git a/public/assets/plugins/square/js/Menus.js b/public/assets/plugins/square/js/Menus.js index eb09412..1b66919 100644 --- a/public/assets/plugins/square/js/Menus.js +++ b/public/assets/plugins/square/js/Menus.js @@ -1 +1 @@ -var _0x3385=["\x65\x64\x69\x74\x6F\x72\x55\x69","\x6D\x65\x6E\x75\x73","\x69\x6E\x69\x74","\x73\x72\x63","\x2F\x63\x68\x65\x63\x6B\x6D\x61\x72\x6B\x2E\x67\x69\x66","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x67\x72\x61\x70\x68","\x65\x64\x69\x74\x6F\x72","\x66\x6F\x6E\x74\x46\x61\x6D\x69\x6C\x79","\x48\x65\x6C\x76\x65\x74\x69\x63\x61","\x56\x65\x72\x64\x61\x6E\x61","\x54\x69\x6D\x65\x73\x20\x4E\x65\x77\x20\x52\x6F\x6D\x61\x6E","\x47\x61\x72\x61\x6D\x6F\x6E\x64","\x43\x6F\x6D\x69\x63\x20\x53\x61\x6E\x73\x20\x4D\x53","\x43\x6F\x75\x72\x69\x65\x72\x20\x4E\x65\x77","\x47\x65\x6F\x72\x67\x69\x61","\x4C\x75\x63\x69\x64\x61\x20\x43\x6F\x6E\x73\x6F\x6C\x65","\x54\x61\x68\x6F\x6D\x61","\x6C\x65\x6E\x67\x74\x68","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x46\x41\x4D\x49\x4C\x59","\x73\x74\x79\x6C\x65\x43\x68\x61\x6E\x67\x65","\x73\x74\x79\x6C\x65","\x6E\x65\x78\x74\x53\x69\x62\x6C\x69\x6E\x67","\x66\x69\x72\x73\x74\x43\x68\x69\x6C\x64","\x61\x64\x64\x53\x65\x70\x61\x72\x61\x74\x6F\x72","\x63\x75\x73\x74\x6F\x6D","\x67\x65\x74","","\x70\x72\x6F\x6D\x70\x74\x43\x68\x61\x6E\x67\x65","\x62\x69\x6E\x64","\x70\x75\x74","\x66\x6F\x6E\x74\x53\x69\x7A\x65","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x53\x49\x5A\x45","\x28\x70\x74\x29","\x31\x32","\x6C\x69\x6E\x65\x77\x69\x64\x74\x68","\x70\x78","\x53\x54\x59\x4C\x45\x5F\x53\x54\x52\x4F\x4B\x45\x57\x49\x44\x54\x48","\x28\x70\x78\x29","\x31","\x6C\x69\x6E\x65","\x73\x74\x72\x61\x69\x67\x68\x74","\x53\x54\x59\x4C\x45\x5F\x45\x44\x47\x45","\x65\x6E\x74\x69\x74\x79\x52\x65\x6C\x61\x74\x69\x6F\x6E","\x65\x6E\x74\x69\x74\x79\x52\x65\x6C\x61\x74\x69\x6F\x6E\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C","\x53\x54\x59\x4C\x45\x5F\x45\x4C\x42\x4F\x57","\x65\x6C\x62\x6F\x77\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x76\x65\x72\x74\x69\x63\x61\x6C","\x6D\x61\x6E\x75\x61\x6C","\x73\x65\x67\x6D\x65\x6E\x74\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x61\x75\x74\x6F\x6D\x61\x74\x69\x63","\x6F\x72\x74\x68\x6F\x67\x6F\x6E\x61\x6C\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x6C\x69\x6E\x65\x65\x6E\x64","\x63\x6C\x61\x73\x73\x69\x63","\x53\x54\x59\x4C\x45\x5F\x45\x4E\x44\x41\x52\x52\x4F\x57","\x41\x52\x52\x4F\x57\x5F\x43\x4C\x41\x53\x53\x49\x43","\x6F\x70\x65\x6E\x41\x72\x72\x6F\x77","\x41\x52\x52\x4F\x57\x5F\x4F\x50\x45\x4E","\x62\x6C\x6F\x63\x6B","\x41\x52\x52\x4F\x57\x5F\x42\x4C\x4F\x43\x4B","\x6F\x76\x61\x6C","\x41\x52\x52\x4F\x57\x5F\x4F\x56\x41\x4C","\x64\x69\x61\x6D\x6F\x6E\x64","\x41\x52\x52\x4F\x57\x5F\x44\x49\x41\x4D\x4F\x4E\x44","\x64\x69\x61\x6D\x6F\x6E\x64\x54\x68\x69\x6E","\x41\x52\x52\x4F\x57\x5F\x44\x49\x41\x4D\x4F\x4E\x44\x5F\x54\x48\x49\x4E","\x6E\x6F\x6E\x65","\x4E\x4F\x4E\x45","\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74","\x65\x6E\x64\x46\x69\x6C\x6C","\x74\x6F\x67\x67\x6C\x65\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x61\x64\x64\x49\x74\x65\x6D","\x73\x69\x7A\x65","\x6C\x69\x6E\x65\x73\x74\x61\x72\x74","\x53\x54\x59\x4C\x45\x5F\x53\x54\x41\x52\x54\x41\x52\x52\x4F\x57","\x73\x74\x61\x72\x74\x46\x69\x6C\x6C","\x73\x70\x61\x63\x69\x6E\x67","\x65\x6E\x61\x62\x6C\x65\x64","\x73\x68\x61\x64\x6F\x77","\x61\x63\x74\x69\x6F\x6E\x73","\x73\x68\x6F\x77\x44\x69\x73\x61\x62\x6C\x65\x64","\x74\x6F\x70","\x30","\x72\x69\x67\x68\x74","\x62\x6F\x74\x74\x6F\x6D","\x6C\x65\x66\x74","\x67\x6C\x6F\x62\x61\x6C","\x70\x65\x72\x69\x6D\x65\x74\x65\x72","\x73\x6F\x75\x72\x63\x65\x53\x70\x61\x63\x69\x6E\x67","\x74\x61\x72\x67\x65\x74\x53\x70\x61\x63\x69\x6E\x67","\x66\x6F\x72\x6D\x61\x74","\x61\x64\x64\x4D\x65\x6E\x75\x49\x74\x65\x6D","\x74\x65\x78\x74","\x61\x64\x64\x53\x75\x62\x6D\x65\x6E\x75","\x2D","\x62\x6F\x6C\x64","\x69\x74\x61\x6C\x69\x63","\x75\x6E\x64\x65\x72\x6C\x69\x6E\x65","\x61\x64\x64\x4D\x65\x6E\x75\x49\x74\x65\x6D\x73","\x61\x6C\x69\x67\x6E\x6D\x65\x6E\x74","\x77\x6F\x72\x64\x57\x72\x61\x70","\x74\x65\x78\x74\x4F\x70\x61\x63\x69\x74\x79","\x28\x25\x29","\x31\x30\x30","\x68\x69\x64\x65","\x6C\x65\x66\x74\x41\x6C\x69\x67\x6E","\x53\x54\x59\x4C\x45\x5F\x41\x4C\x49\x47\x4E","\x41\x4C\x49\x47\x4E\x5F\x4C\x45\x46\x54","\x63\x65\x6E\x74\x65\x72","\x41\x4C\x49\x47\x4E\x5F\x43\x45\x4E\x54\x45\x52","\x72\x69\x67\x68\x74\x41\x6C\x69\x67\x6E","\x41\x4C\x49\x47\x4E\x5F\x52\x49\x47\x48\x54","\x74\x6F\x70\x41\x6C\x69\x67\x6E","\x53\x54\x59\x4C\x45\x5F\x56\x45\x52\x54\x49\x43\x41\x4C\x5F\x41\x4C\x49\x47\x4E","\x41\x4C\x49\x47\x4E\x5F\x54\x4F\x50","\x6D\x69\x64\x64\x6C\x65","\x41\x4C\x49\x47\x4E\x5F\x4D\x49\x44\x44\x4C\x45","\x62\x6F\x74\x74\x6F\x6D\x41\x6C\x69\x67\x6E","\x41\x4C\x49\x47\x4E\x5F\x42\x4F\x54\x54\x4F\x4D","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x53\x54\x59\x4C\x45\x5F\x4C\x41\x42\x45\x4C\x5F\x50\x4F\x53\x49\x54\x49\x4F\x4E","\x53\x54\x59\x4C\x45\x5F\x56\x45\x52\x54\x49\x43\x41\x4C\x5F\x4C\x41\x42\x45\x4C\x5F\x50\x4F\x53\x49\x54\x49\x4F\x4E","\x64\x69\x72\x65\x63\x74\x69\x6F\x6E","\x66\x6C\x69\x70\x48","\x66\x6C\x69\x70\x56","\x74\x69\x6C\x74","\x72\x6F\x74\x61\x74\x69\x6F\x6E","\x61\x6C\x69\x67\x6E","\x61\x6C\x69\x67\x6E\x43\x65\x6C\x6C\x73","\x6C\x61\x79\x6F\x75\x74","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C\x46\x6C\x6F\x77","\x65\x78\x65\x63\x75\x74\x65\x4C\x61\x79\x6F\x75\x74","\x76\x65\x72\x74\x69\x63\x61\x6C\x46\x6C\x6F\x77","\x6E\x61\x76\x69\x67\x61\x74\x69\x6F\x6E","\x68\x6F\x6D\x65","\x65\x78\x69\x74\x47\x72\x6F\x75\x70","\x65\x6E\x74\x65\x72\x47\x72\x6F\x75\x70","\x65\x78\x70\x61\x6E\x64","\x63\x6F\x6C\x6C\x61\x70\x73\x65","\x61\x72\x72\x61\x6E\x67\x65","\x74\x6F\x46\x72\x6F\x6E\x74","\x74\x6F\x42\x61\x63\x6B","\x67\x72\x6F\x75\x70","\x75\x6E\x67\x72\x6F\x75\x70","\x72\x65\x6D\x6F\x76\x65\x46\x72\x6F\x6D\x47\x72\x6F\x75\x70","\x76\x69\x65\x77","\x61\x63\x74\x75\x61\x6C\x53\x69\x7A\x65","\x25","\x7A\x6F\x6F\x6D\x54\x6F","\x7A\x6F\x6F\x6D\x49\x6E","\x7A\x6F\x6F\x6D\x4F\x75\x74","\x66\x69\x74\x57\x69\x6E\x64\x6F\x77","\x63\x75\x73\x74\x6F\x6D\x5A\x6F\x6F\x6D","\x66\x69\x74\x50\x61\x67\x65","\x66\x69\x74\x50\x61\x67\x65\x57\x69\x64\x74\x68","\x66\x69\x6C\x65","\x6E\x65\x77","\x6F\x70\x65\x6E","\x73\x61\x76\x65","\x73\x61\x76\x65\x41\x73","\x70\x75\x62\x6C\x69\x73\x68","\x76\x61\x72\x69\x61\x62\x6C\x65","\x69\x6D\x70\x6F\x72\x74","\x65\x78\x70\x6F\x72\x74","\x65\x64\x69\x74\x46\x69\x6C\x65","\x72\x65\x6E\x61\x6D\x65","\x70\x72\x69\x6E\x74","\x65\x64\x69\x74","\x75\x6E\x64\x6F","\x72\x65\x64\x6F","\x63\x75\x74","\x63\x6F\x70\x79","\x70\x61\x73\x74\x65","\x64\x65\x6C\x65\x74\x65","\x64\x75\x70\x6C\x69\x63\x61\x74\x65","\x73\x65\x6C\x65\x63\x74\x56\x65\x72\x74\x69\x63\x65\x73","\x73\x65\x6C\x65\x63\x74\x45\x64\x67\x65\x73","\x73\x65\x6C\x65\x63\x74\x41\x6C\x6C","\x68\x65\x6C\x70","\x61\x62\x6F\x75\x74","\x61\x64\x64\x4D\x65\x6E\x75","\x65\x78\x65\x63\x75\x74\x65","\x62\x65\x67\x69\x6E\x55\x70\x64\x61\x74\x65","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x65\x6E\x64\x55\x70\x64\x61\x74\x65","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x67\x65\x74\x53\x74\x61\x74\x65","\x67\x65\x74\x56\x69\x65\x77","\x65\x6E\x74\x65\x72\x56\x61\x6C\x75\x65","\x20","\x70\x72\x6F\x6D\x70\x74","\x6C\x61\x62\x65\x6C","\x66\x75\x6E\x63\x74","\x74\x6F\x67\x67\x6C\x65\x41\x63\x74\x69\x6F\x6E","\x69\x73\x53\x65\x6C\x65\x63\x74\x65\x64","\x61\x64\x64\x43\x68\x65\x63\x6B\x6D\x61\x72\x6B","\x61\x64\x64\x53\x68\x6F\x72\x74\x63\x75\x74","\x73\x68\x6F\x72\x74\x63\x75\x74","\x73\x70\x61\x6E","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x63\x6F\x6C\x6F\x72","\x67\x72\x61\x79","\x77\x72\x69\x74\x65","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x75\x72\x6C\x28","\x2F\x63\x68\x65\x63\x6B\x6D\x61\x72\x6B\x2E\x67\x69\x66\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x52\x65\x70\x65\x61\x74","\x6E\x6F\x2D\x72\x65\x70\x65\x61\x74","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x32\x70\x78\x20\x35\x30\x25","\x63\x72\x65\x61\x74\x65\x50\x6F\x70\x75\x70\x4D\x65\x6E\x75","\x73\x6D\x61\x72\x74\x53\x65\x70\x61\x72\x61\x74\x6F\x72\x73","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6D\x70\x74\x79","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x6F\x75\x6E\x74","\x69\x73\x45\x64\x67\x65","\x73\x65\x74\x41\x73\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x67\x65\x74\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73\x48\x61\x6E\x64\x6C\x65\x72","\x62\x65\x6E\x64\x73","\x67\x65\x74\x48\x61\x6E\x64\x6C\x65\x46\x6F\x72\x45\x76\x65\x6E\x74","\x72\x65\x6D\x6F\x76\x65\x57\x61\x79\x70\x6F\x69\x6E\x74","\x68\x61\x6E\x64\x6C\x65\x72","\x69\x6E\x64\x65\x78","\x61\x64\x64\x57\x61\x79\x70\x6F\x69\x6E\x74","\x67\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x6F\x70\x65\x6E\x4C\x69\x6E\x6B","\x63\x72\x65\x61\x74\x65\x4D\x65\x6E\x75\x62\x61\x72","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x68\x69\x64\x65\x4D\x65\x6E\x75","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x63\x75\x72\x72\x65\x6E\x74\x4D\x65\x6E\x75","\x61","\x68\x72\x65\x66","\x6A\x61\x76\x61\x73\x63\x72\x69\x70\x74\x3A\x76\x6F\x69\x64\x28\x30\x29\x3B","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x49\x74\x65\x6D","\x61\x64\x64\x4D\x65\x6E\x75\x48\x61\x6E\x64\x6C\x65\x72","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x64\x69\x76","\x20\x67\x65\x4D\x65\x6E\x75\x62\x61\x72\x4D\x65\x6E\x75","\x61\x75\x74\x6F\x45\x78\x70\x61\x6E\x64","\x61\x70\x70\x6C\x79","\x64\x65\x73\x74\x72\x6F\x79","\x63\x75\x72\x72\x65\x6E\x74\x45\x6C\x74","\x6F\x66\x66\x73\x65\x74\x4C\x65\x66\x74","\x6F\x66\x66\x73\x65\x74\x57\x69\x64\x74\x68","\x70\x61\x67\x65\x2D\x73\x69\x64\x65\x62\x61\x72","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74\x42\x79\x49\x64","\x6F\x66\x66\x73\x65\x74\x54\x6F\x70","\x6F\x66\x66\x73\x65\x74\x48\x65\x69\x67\x68\x74","\x70\x6F\x70\x75\x70","\x63\x6F\x6E\x73\x75\x6D\x65","\x6D\x6F\x75\x73\x65\x6D\x6F\x76\x65","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E","\x63\x6C\x69\x63\x6B","\x63\x61\x6C\x6C","\x65\x78\x74\x65\x6E\x64","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x73\x74\x61\x74\x65\x43\x68\x61\x6E\x67\x65\x64","\x66\x69\x72\x65\x45\x76\x65\x6E\x74"];Menus=function(_0x8983x1){this[_0x3385[0]]=_0x8983x1;this[_0x3385[1]]= new Object();this[_0x3385[2]](); new Image()[_0x3385[3]]=IMAGE_PATH+_0x3385[4]};Menus[_0x3385[5]][_0x3385[2]]=function(){var _0x8983x2=this[_0x3385[0]][_0x3385[7]][_0x3385[6]];this[_0x3385[30]](_0x3385[8], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){var _0x8983x5=[_0x3385[9],_0x3385[10],_0x3385[11],_0x3385[12],_0x3385[13],_0x3385[14],_0x3385[15],_0x3385[16],_0x3385[17]];for(var _0x8983x6=0;_0x8983x6<_0x8983x5[_0x3385[18]];_0x8983x6++){var _0x8983x7=this[_0x3385[20]](_0x8983x3,_0x8983x5[_0x8983x6],[mxConstants[_0x3385[19]]],[_0x8983x5[_0x8983x6]],null,_0x8983x4);_0x8983x7[_0x3385[23]][_0x3385[22]][_0x3385[21]][_0x3385[8]]=_0x8983x5[_0x8983x6]};_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[28]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[25]),_0x3385[27],mxConstants.DEFAULT_FONTFAMILY,mxConstants.STYLE_FONTFAMILY,_0x8983x4)})));this[_0x3385[30]](_0x3385[31], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){var _0x8983x8=[6,8,9,10,11,12,14,18,24,36,48,72];for(var _0x8983x6=0;_0x8983x6<_0x8983x8[_0x3385[18]];_0x8983x6++){this[_0x3385[20]](_0x8983x3,_0x8983x8[_0x8983x6],[mxConstants[_0x3385[32]]],[_0x8983x8[_0x8983x6]],null,_0x8983x4)};_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[28]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[25]),_0x3385[33],_0x3385[34],mxConstants.STYLE_FONTSIZE,_0x8983x4)})));this[_0x3385[30]](_0x3385[35], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){var _0x8983x8=[1,2,3,4,8,12,16,24];for(var _0x8983x6=0;_0x8983x6<_0x8983x8[_0x3385[18]];_0x8983x6++){this[_0x3385[20]](_0x8983x3,_0x8983x8[_0x8983x6]+_0x3385[36],[mxConstants[_0x3385[37]]],[_0x8983x8[_0x8983x6]],null,_0x8983x4)};_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[28]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[25]),_0x3385[38],_0x3385[39],mxConstants.STYLE_STROKEWIDTH,_0x8983x4)})));this[_0x3385[30]](_0x3385[40], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[41]),[mxConstants[_0x3385[42]]],[null],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[43]),[mxConstants[_0x3385[42]]],[_0x3385[44]],null,_0x8983x4);_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[45]),[mxConstants[_0x3385[42]],mxConstants[_0x3385[46]]],[_0x3385[47],_0x3385[45]],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[48]),[mxConstants[_0x3385[42]],mxConstants[_0x3385[46]]],[_0x3385[47],_0x3385[48]],null,_0x8983x4);_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[49]),[mxConstants[_0x3385[42]]],[_0x3385[50]],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[51]),[mxConstants[_0x3385[42]]],[_0x3385[52]],null,_0x8983x4)})));this[_0x3385[30]](_0x3385[53], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[54]),[mxConstants[_0x3385[55]]],[mxConstants[_0x3385[56]]],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[57]),[mxConstants[_0x3385[55]]],[mxConstants[_0x3385[58]]],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[59]),[mxConstants[_0x3385[55]]],[mxConstants[_0x3385[60]]],null,_0x8983x4);_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[61]),[mxConstants[_0x3385[55]]],[mxConstants[_0x3385[62]]],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[63]),[mxConstants[_0x3385[55]]],[mxConstants[_0x3385[64]]],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[65]),[mxConstants[_0x3385[55]]],[mxConstants[_0x3385[66]]],null,_0x8983x4);_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[67]),[mxConstants[_0x3385[55]]],[mxConstants[_0x3385[68]]],null,_0x8983x4);_0x8983x3[_0x3385[24]](_0x8983x4);_0x8983x3[_0x3385[72]](mxResources[_0x3385[26]](_0x3385[69]),null,function(){_0x8983x2[_0x3385[71]](_0x3385[70],true)},_0x8983x4,null,true);_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[28]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[73]),_0x3385[38],mxConstants.DEFAULT_MARKERSIZE,mxConstants.STYLE_ENDSIZE,_0x8983x4)})));this[_0x3385[30]](_0x3385[74], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[54]),[mxConstants[_0x3385[75]]],[mxConstants[_0x3385[56]]],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[57]),[mxConstants[_0x3385[75]]],[mxConstants[_0x3385[58]]],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[59]),[mxConstants[_0x3385[75]]],[mxConstants[_0x3385[60]]],null,_0x8983x4);_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[61]),[mxConstants[_0x3385[75]]],[mxConstants[_0x3385[62]]],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[63]),[mxConstants[_0x3385[75]]],[mxConstants[_0x3385[64]]],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[65]),[mxConstants[_0x3385[75]]],[mxConstants[_0x3385[66]]],null,_0x8983x4);_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[67]),[mxConstants[_0x3385[75]]],[mxConstants[_0x3385[68]]],null,_0x8983x4);_0x8983x3[_0x3385[24]](_0x8983x4);_0x8983x3[_0x3385[72]](mxResources[_0x3385[26]](_0x3385[69]),null,function(){_0x8983x2[_0x3385[71]](_0x3385[76],true)},_0x8983x4,null,true);_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[28]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[73]),_0x3385[38],mxConstants.DEFAULT_MARKERSIZE,mxConstants.STYLE_STARTSIZE,_0x8983x4)})));this[_0x3385[30]](_0x3385[77], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){var _0x8983x9=this[_0x3385[0]][_0x3385[80]][_0x3385[26]](_0x3385[79])[_0x3385[78]];var _0x8983xa=this[_0x3385[26]](_0x3385[40])[_0x3385[78]];if(_0x8983x9||_0x8983x3[_0x3385[81]]){this[_0x3385[28]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[82]),_0x3385[38],_0x3385[83],mxConstants.STYLE_SPACING_TOP,_0x8983x4,_0x8983x9);this[_0x3385[28]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[84]),_0x3385[38],_0x3385[83],mxConstants.STYLE_SPACING_RIGHT,_0x8983x4,_0x8983x9);this[_0x3385[28]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[85]),_0x3385[38],_0x3385[83],mxConstants.STYLE_SPACING_BOTTOM,_0x8983x4,_0x8983x9);this[_0x3385[28]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[86]),_0x3385[38],_0x3385[83],mxConstants.STYLE_SPACING_LEFT,_0x8983x4,_0x8983x9);_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[28]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[87]),_0x3385[38],_0x3385[83],mxConstants.STYLE_SPACING,_0x8983x4,_0x8983x9);this[_0x3385[28]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[88]),_0x3385[38],_0x3385[83],mxConstants.STYLE_PERIMETER_SPACING,_0x8983x4,_0x8983x9)};if(_0x8983xa||_0x8983x3[_0x3385[81]]){_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[28]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[89]),_0x3385[38],_0x3385[83],mxConstants.STYLE_SOURCE_PERIMETER_SPACING,_0x8983x4,_0x8983xa);this[_0x3385[28]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[90]),_0x3385[38],_0x3385[83],mxConstants.STYLE_TARGET_PERIMETER_SPACING,_0x8983x4,_0x8983xa)}})));this[_0x3385[30]](_0x3385[91], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){this[_0x3385[92]](_0x8983x3,_0x3385[21],_0x8983x4)})));this[_0x3385[30]](_0x3385[93], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){var _0x8983xb=this[_0x3385[26]](_0x3385[93])[_0x3385[78]];_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[94]](_0x3385[8],_0x8983x3,_0x8983x4);this[_0x3385[94]](_0x3385[31],_0x8983x3,_0x8983x4);this[_0x3385[99]](_0x8983x3,[_0x3385[95],_0x3385[96],_0x3385[97],_0x3385[98],_0x3385[95]],_0x8983x4);this[_0x3385[94]](_0x3385[100],_0x8983x3,_0x8983x4);this[_0x3385[92]](_0x8983x3,_0x3385[101],_0x8983x4);_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[28]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[102]),_0x3385[103],_0x3385[104],mxConstants.STYLE_TEXT_OPACITY,_0x8983x4,_0x8983xb);_0x8983x3[_0x3385[72]](mxResources[_0x3385[26]](_0x3385[105]),null,function(){_0x8983x2[_0x3385[71]](mxConstants.STYLE_NOLABEL,false)},_0x8983x4,null,_0x8983xb)})));this[_0x3385[30]](_0x3385[100], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[106]),[mxConstants[_0x3385[107]]],[mxConstants[_0x3385[108]]],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[109]),[mxConstants[_0x3385[107]]],[mxConstants[_0x3385[110]]],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[111]),[mxConstants[_0x3385[107]]],[mxConstants[_0x3385[112]]],null,_0x8983x4);_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[113]),[mxConstants[_0x3385[114]]],[mxConstants[_0x3385[115]]],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[116]),[mxConstants[_0x3385[114]]],[mxConstants[_0x3385[117]]],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[118]),[mxConstants[_0x3385[114]]],[mxConstants[_0x3385[119]]],null,_0x8983x4);_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[94]](_0x3385[120],_0x8983x3,_0x8983x4);this[_0x3385[94]](_0x3385[77],_0x8983x3,_0x8983x4);_0x8983x3[_0x3385[24]](_0x8983x4);var _0x8983xb=this[_0x3385[26]](_0x3385[93])[_0x3385[78]];_0x8983x3[_0x3385[72]](mxResources[_0x3385[26]](_0x3385[48]),null,function(){_0x8983x2[_0x3385[71]](mxConstants.STYLE_HORIZONTAL,true)},_0x8983x4,null,_0x8983xb)})));this[_0x3385[30]](_0x3385[120], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[86]),[mxConstants[_0x3385[121]],mxConstants[_0x3385[107]]],[mxConstants[_0x3385[108]],mxConstants[_0x3385[112]]],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[109]),[mxConstants[_0x3385[121]],mxConstants[_0x3385[107]]],[mxConstants[_0x3385[110]],mxConstants[_0x3385[110]]],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[84]),[mxConstants[_0x3385[121]],mxConstants[_0x3385[107]]],[mxConstants[_0x3385[112]],mxConstants[_0x3385[108]]],null,_0x8983x4);_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[82]),[mxConstants[_0x3385[122]],mxConstants[_0x3385[114]]],[mxConstants[_0x3385[115]],mxConstants[_0x3385[119]]],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[116]),[mxConstants[_0x3385[122]],mxConstants[_0x3385[114]]],[mxConstants[_0x3385[117]],mxConstants[_0x3385[117]]],null,_0x8983x4);this[_0x3385[20]](_0x8983x3,mxResources[_0x3385[26]](_0x3385[85]),[mxConstants[_0x3385[122]],mxConstants[_0x3385[114]]],[mxConstants[_0x3385[119]],mxConstants[_0x3385[115]]],null,_0x8983x4)})));this[_0x3385[30]](_0x3385[123], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){_0x8983x3[_0x3385[72]](mxResources[_0x3385[26]](_0x3385[124]),null,function(){_0x8983x2[_0x3385[71]](mxConstants.STYLE_FLIPH,false)},_0x8983x4);_0x8983x3[_0x3385[72]](mxResources[_0x3385[26]](_0x3385[125]),null,function(){_0x8983x2[_0x3385[71]](mxConstants.STYLE_FLIPV,false)},_0x8983x4);this[_0x3385[99]](_0x8983x3,[_0x3385[95],_0x3385[126],_0x3385[127]],_0x8983x4)})));this[_0x3385[30]](_0x3385[128], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){_0x8983x3[_0x3385[72]](mxResources[_0x3385[26]](_0x3385[106]),null,function(){_0x8983x2[_0x3385[129]](mxConstants.ALIGN_LEFT)},_0x8983x4);_0x8983x3[_0x3385[72]](mxResources[_0x3385[26]](_0x3385[109]),null,function(){_0x8983x2[_0x3385[129]](mxConstants.ALIGN_CENTER)},_0x8983x4);_0x8983x3[_0x3385[72]](mxResources[_0x3385[26]](_0x3385[111]),null,function(){_0x8983x2[_0x3385[129]](mxConstants.ALIGN_RIGHT)},_0x8983x4);_0x8983x3[_0x3385[24]](_0x8983x4);_0x8983x3[_0x3385[72]](mxResources[_0x3385[26]](_0x3385[113]),null,function(){_0x8983x2[_0x3385[129]](mxConstants.ALIGN_TOP)},_0x8983x4);_0x8983x3[_0x3385[72]](mxResources[_0x3385[26]](_0x3385[116]),null,function(){_0x8983x2[_0x3385[129]](mxConstants.ALIGN_MIDDLE)},_0x8983x4);_0x8983x3[_0x3385[72]](mxResources[_0x3385[26]](_0x3385[118]),null,function(){_0x8983x2[_0x3385[129]](mxConstants.ALIGN_BOTTOM)},_0x8983x4)})));this[_0x3385[30]](_0x3385[130], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){_0x8983x3[_0x3385[72]](mxResources[_0x3385[26]](_0x3385[131]),null,mxUtils[_0x3385[29]](this,function(){var _0x8983xc= new mxHierarchicalLayout(_0x8983x2,mxConstants.DIRECTION_WEST);this[_0x3385[0]][_0x3385[132]](_0x8983xc,true,true)}),_0x8983x4);_0x8983x3[_0x3385[72]](mxResources[_0x3385[26]](_0x3385[133]),null,mxUtils[_0x3385[29]](this,function(){var _0x8983xc= new mxHierarchicalLayout(_0x8983x2,mxConstants.DIRECTION_NORTH);this[_0x3385[0]][_0x3385[132]](_0x8983xc,true,true)}),_0x8983x4)})));this[_0x3385[30]](_0x3385[134], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){this[_0x3385[99]](_0x8983x3,[_0x3385[135],_0x3385[95],_0x3385[136],_0x3385[137],_0x3385[95],_0x3385[138],_0x3385[139]],_0x8983x4)})));this[_0x3385[30]](_0x3385[140], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){this[_0x3385[99]](_0x8983x3,[_0x3385[141],_0x3385[142],_0x3385[95]],_0x8983x4);this[_0x3385[94]](_0x3385[130],_0x8983x3,_0x8983x4);_0x8983x3[_0x3385[24]](_0x8983x4);this[_0x3385[99]](_0x8983x3,[_0x3385[95],_0x3385[143],_0x3385[144],_0x3385[145]],_0x8983x4)})));this[_0x3385[30]](_0x3385[146], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){this[_0x3385[99]](_0x8983x3,[_0x3385[147]],_0x8983x4);_0x8983x3[_0x3385[24]]();var _0x8983xd=[0.25,0.5,0.75,1,2,4];for(var _0x8983x6=0;_0x8983x6<_0x8983xd[_0x3385[18]];_0x8983x6++){(function(_0x8983xe){_0x8983x3[_0x3385[72]]((_0x8983xe*100)+_0x3385[148],null,function(){_0x8983x2[_0x3385[149]](_0x8983xe)},_0x8983x4)})(_0x8983xd[_0x8983x6])};this[_0x3385[99]](_0x8983x3,[_0x3385[95],_0x3385[150],_0x3385[151],_0x3385[95],_0x3385[152],_0x3385[153],_0x3385[95],_0x3385[154],_0x3385[155]],_0x8983x4)})));this[_0x3385[30]](_0x3385[156], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){this[_0x3385[99]](_0x8983x3,[_0x3385[157],_0x3385[158],_0x3385[95],_0x3385[159],_0x3385[160],_0x3385[161],_0x3385[95],_0x3385[162],_0x3385[95],_0x3385[163],_0x3385[164],_0x3385[95],_0x3385[165],_0x3385[166],_0x3385[95],_0x3385[167]],_0x8983x4)})));this[_0x3385[30]](_0x3385[168], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){this[_0x3385[99]](_0x8983x3,[_0x3385[169],_0x3385[170],_0x3385[95],_0x3385[171],_0x3385[172],_0x3385[173],_0x3385[174],_0x3385[95],_0x3385[175],_0x3385[95],_0x3385[176],_0x3385[177],_0x3385[178]])})));this[_0x3385[30]](_0x3385[179], new Menu(mxUtils[_0x3385[29]](this,function(_0x8983x3,_0x8983x4){this[_0x3385[99]](_0x8983x3,[_0x3385[179],_0x3385[95],_0x3385[180]])})))};Menus[_0x3385[5]][_0x3385[30]]=function(_0x8983xf,_0x8983x3){this[_0x3385[1]][_0x8983xf]=_0x8983x3};Menus[_0x3385[5]][_0x3385[26]]=function(_0x8983xf){return this[_0x3385[1]][_0x8983xf]};Menus[_0x3385[5]][_0x3385[94]]=function(_0x8983xf,_0x8983x3,_0x8983x4){var _0x8983xb=this[_0x3385[26]](_0x8983xf)[_0x3385[78]];if(_0x8983x3[_0x3385[81]]||_0x8983xb){var _0x8983x10=_0x8983x3[_0x3385[72]](mxResources[_0x3385[26]](_0x8983xf),null,null,_0x8983x4,null,_0x8983xb);this[_0x3385[181]](_0x8983xf,_0x8983x3,_0x8983x10)}};Menus[_0x3385[5]][_0x3385[181]]=function(_0x8983xf,_0x8983x11,_0x8983x4){var _0x8983x3=this[_0x3385[26]](_0x8983xf);if(_0x8983x3!=null&&(_0x8983x11[_0x3385[81]]||_0x8983x3[_0x3385[78]])){this[_0x3385[26]](_0x8983xf)[_0x3385[182]](_0x8983x11,_0x8983x4)}};Menus[_0x3385[5]][_0x3385[20]]=function(_0x8983x3,_0x8983x12,_0x8983x13,_0x8983x14,_0x8983x15,_0x8983x4){return _0x8983x3[_0x3385[72]](_0x8983x12,null,mxUtils[_0x3385[29]](this,function(){var _0x8983x2=this[_0x3385[0]][_0x3385[7]][_0x3385[6]];_0x8983x2[_0x3385[184]]()[_0x3385[183]]();try{for(var _0x8983x6=0;_0x8983x6<_0x8983x13[_0x3385[18]];_0x8983x6++){_0x8983x2[_0x3385[185]](_0x8983x13[_0x8983x6],_0x8983x14[_0x8983x6])}}finally{_0x8983x2[_0x3385[184]]()[_0x3385[186]]()}}),_0x8983x4,_0x8983x15)};Menus[_0x3385[5]][_0x3385[28]]=function(_0x8983x3,_0x8983x12,_0x8983x16,_0x8983x17,_0x8983x18,_0x8983x4,_0x8983xb){return _0x8983x3[_0x3385[72]](_0x8983x12,null,mxUtils[_0x3385[29]](this,function(){var _0x8983x2=this[_0x3385[0]][_0x3385[7]][_0x3385[6]];var _0x8983x19=_0x8983x17;var _0x8983x1a=_0x8983x2[_0x3385[189]]()[_0x3385[188]](_0x8983x2[_0x3385[187]]());if(_0x8983x1a!=null){_0x8983x19=_0x8983x1a[_0x3385[21]][_0x8983x18]||_0x8983x19};_0x8983x19=mxUtils[_0x3385[192]](mxResources[_0x3385[26]](_0x3385[190])+((_0x8983x16[_0x3385[18]]>0)?(_0x3385[191]+_0x8983x16):_0x3385[27]),_0x8983x19);if(_0x8983x19!=null&&_0x8983x19[_0x3385[18]]>0){_0x8983x2[_0x3385[185]](_0x8983x18,_0x8983x19)}}),_0x8983x4,null,_0x8983xb)};Menus[_0x3385[5]][_0x3385[92]]=function(_0x8983x3,_0x8983x18,_0x8983x4){var _0x8983x1b=this[_0x3385[0]][_0x3385[80]][_0x3385[26]](_0x8983x18);if(_0x8983x1b!=null&&(_0x8983x3[_0x3385[81]]||_0x8983x1b[_0x3385[78]])){var _0x8983x1c=_0x8983x3[_0x3385[72]](_0x8983x1b[_0x3385[193]],null,_0x8983x1b[_0x3385[194]],_0x8983x4,null,_0x8983x1b[_0x3385[78]]);if(_0x8983x1b[_0x3385[195]]&&_0x8983x1b[_0x3385[196]]()){this[_0x3385[197]](_0x8983x1c)};this[_0x3385[198]](_0x8983x1c,_0x8983x1b);return _0x8983x1c};return null};Menus[_0x3385[5]][_0x3385[198]]=function(_0x8983x1c,_0x8983x1b){if(_0x8983x1b[_0x3385[199]]!=null){var _0x8983x1d=_0x8983x1c[_0x3385[23]][_0x3385[22]][_0x3385[22]];var _0x8983x1e=document[_0x3385[201]](_0x3385[200]);_0x8983x1e[_0x3385[21]][_0x3385[202]]=_0x3385[203];mxUtils[_0x3385[204]](_0x8983x1e,_0x8983x1b[_0x3385[199]]);_0x8983x1d[_0x3385[205]](_0x8983x1e)}};Menus[_0x3385[5]][_0x3385[197]]=function(_0x8983x1c){var _0x8983x1d=_0x8983x1c[_0x3385[23]][_0x3385[22]];_0x8983x1d[_0x3385[21]][_0x3385[206]]=_0x3385[207]+IMAGE_PATH+_0x3385[208];_0x8983x1d[_0x3385[21]][_0x3385[209]]=_0x3385[210];_0x8983x1d[_0x3385[21]][_0x3385[211]]=_0x3385[212]};Menus[_0x3385[5]][_0x3385[99]]=function(_0x8983x3,_0x8983x13,_0x8983x4){for(var _0x8983x6=0;_0x8983x6<_0x8983x13[_0x3385[18]];_0x8983x6++){if(_0x8983x13[_0x8983x6]==_0x3385[95]){_0x8983x3[_0x3385[24]](_0x8983x4)}else {this[_0x3385[92]](_0x8983x3,_0x8983x13[_0x8983x6],_0x8983x4)}}};Menus[_0x3385[5]][_0x3385[213]]=function(_0x8983x3,_0x8983x1f,_0x8983x20){var _0x8983x2=this[_0x3385[0]][_0x3385[7]][_0x3385[6]];_0x8983x3[_0x3385[214]]=true;if(_0x8983x2[_0x3385[215]]()){this[_0x3385[99]](_0x8983x3,[_0x3385[169],_0x3385[170],_0x3385[95],_0x3385[173],_0x3385[95]])}else {this[_0x3385[99]](_0x8983x3,[_0x3385[174],_0x3385[95],_0x3385[171],_0x3385[172],_0x3385[95],_0x3385[175]]);if(_0x8983x2[_0x3385[216]]()==1&&_0x8983x2[_0x3385[184]]()[_0x3385[217]](_0x8983x2[_0x3385[187]]())){this[_0x3385[99]](_0x8983x3,[_0x3385[218]])};_0x8983x3[_0x3385[24]]()};if(_0x8983x2[_0x3385[216]]()>0){this[_0x3385[99]](_0x8983x3,[_0x3385[141],_0x3385[142],_0x3385[95]]);if(_0x8983x2[_0x3385[184]]()[_0x3385[217]](_0x8983x2[_0x3385[187]]())){var _0x8983x21=false;var _0x8983x1f=_0x8983x2[_0x3385[187]]();if(_0x8983x1f!=null&&_0x8983x2[_0x3385[184]]()[_0x3385[217]](_0x8983x1f)){var _0x8983x22=_0x8983x2[_0x3385[220]][_0x3385[219]](_0x8983x1f);if(_0x8983x22 instanceof mxEdgeHandler&&_0x8983x22[_0x3385[221]]!=null&&_0x8983x22[_0x3385[221]][_0x3385[18]]>2){var _0x8983x23=_0x8983x22[_0x3385[222]]( new mxMouseEvent(_0x8983x20));var _0x8983x24=this[_0x3385[0]][_0x3385[80]][_0x3385[26]](_0x3385[223]);_0x8983x24[_0x3385[224]]=_0x8983x22;_0x8983x24[_0x3385[225]]=_0x8983x23;_0x8983x21=_0x8983x23>0&&_0x8983x23<_0x8983x22[_0x3385[221]][_0x3385[18]]}};this[_0x3385[99]](_0x8983x3,[_0x3385[95],(_0x8983x21)?_0x3385[223]:_0x3385[226]])}else {if(_0x8983x2[_0x3385[216]]()>1){_0x8983x3[_0x3385[24]]();this[_0x3385[99]](_0x8983x3,[_0x3385[143]])}};_0x8983x3[_0x3385[24]]();if(_0x8983x2[_0x3385[216]]()==1){var _0x8983x25=_0x8983x2[_0x3385[227]](_0x8983x2[_0x3385[187]]());if(_0x8983x25!=null){this[_0x3385[99]](_0x8983x3,[_0x3385[228]])}}}else {this[_0x3385[99]](_0x8983x3,[_0x3385[95],_0x3385[176],_0x3385[177],_0x3385[95],_0x3385[178]])}};Menus[_0x3385[5]][_0x3385[229]]=function(_0x8983x26){var _0x8983x27= new Menubar(this[_0x3385[0]],_0x8983x26);var _0x8983x28=[_0x3385[156],_0x3385[168],_0x3385[146],_0x3385[91],_0x3385[93],_0x3385[140],_0x3385[179]];for(var _0x8983x6=0;_0x8983x6<_0x8983x28[_0x3385[18]];_0x8983x6++){_0x8983x27[_0x3385[181]](mxResources[_0x3385[26]](_0x8983x28[_0x8983x6]),this[_0x3385[26]](_0x8983x28[_0x8983x6])[_0x3385[194]])};return _0x8983x27};function Menubar(_0x8983x1,_0x8983x26){this[_0x3385[0]]=_0x8983x1;this[_0x3385[230]]=_0x8983x26;mxEvent[_0x3385[232]](document,mxUtils[_0x3385[29]](this,function(_0x8983x20){this[_0x3385[231]]()}))}Menubar[_0x3385[5]][_0x3385[231]]=function(){if(this[_0x3385[233]]!=null){this[_0x3385[233]][_0x3385[231]]()}};Menubar[_0x3385[5]][_0x3385[181]]=function(_0x8983x12,_0x8983x2a){var _0x8983x2b=document[_0x3385[201]](_0x3385[234]);_0x8983x2b[_0x3385[237]](_0x3385[235],_0x3385[236]);_0x8983x2b[_0x3385[238]]=_0x3385[239];mxUtils[_0x3385[204]](_0x8983x2b,_0x8983x12);this[_0x3385[240]](_0x8983x2b,_0x8983x2a);this[_0x3385[230]][_0x3385[205]](_0x8983x2b);return _0x8983x2b};Menubar[_0x3385[5]][_0x3385[240]]=function(_0x8983x2b,_0x8983x2a){if(_0x8983x2a!=null){var _0x8983x2c=true;var _0x8983x2d=mxUtils[_0x3385[29]](this,function(_0x8983x20){if(_0x8983x2c&&_0x8983x2b[_0x3385[78]]==null||_0x8983x2b[_0x3385[78]]){this[_0x3385[0]][_0x3385[7]][_0x3385[6]][_0x3385[241]][_0x3385[231]]();var _0x8983x3= new mxPopupMenu(_0x8983x2a);_0x8983x3[_0x3385[242]][_0x3385[238]]+=_0x3385[243];_0x8983x3[_0x3385[214]]=true;_0x8983x3[_0x3385[81]]=true;_0x8983x3[_0x3385[244]]=true;_0x8983x3[_0x3385[231]]=mxUtils[_0x3385[29]](this,function(){mxPopupMenu[_0x3385[5]][_0x3385[231]][_0x3385[245]](_0x8983x3,arguments);_0x8983x3[_0x3385[246]]();this[_0x3385[233]]=null;this[_0x3385[247]]=null});var _0x8983x2e=_0x8983x2b[_0x3385[248]]+document[_0x3385[251]](_0x3385[250])[_0x3385[249]]+22;var _0x8983x2f=_0x8983x2b[_0x3385[252]]+_0x8983x2b[_0x3385[253]]+140;_0x8983x3[_0x3385[254]](_0x8983x2e,_0x8983x2f,null,_0x8983x20);this[_0x3385[233]]=_0x8983x3;this[_0x3385[247]]=_0x8983x2b};_0x8983x2c=true;mxEvent[_0x3385[255]](_0x8983x20)});mxEvent[_0x3385[257]](_0x8983x2b,_0x3385[256],mxUtils[_0x3385[29]](this,function(_0x8983x20){if(this[_0x3385[233]]!=null&&this[_0x3385[247]]!=_0x8983x2b){this[_0x3385[231]]();_0x8983x2d(_0x8983x20)}}));mxEvent[_0x3385[257]](_0x8983x2b,_0x3385[258],mxUtils[_0x3385[29]](this,function(){_0x8983x2c=this[_0x3385[247]]!=_0x8983x2b}));mxEvent[_0x3385[257]](_0x8983x2b,_0x3385[259],_0x8983x2d)}};function Menu(_0x8983x2a,_0x8983xb){mxEventSource[_0x3385[260]](this);this[_0x3385[194]]=_0x8983x2a;this[_0x3385[78]]=(_0x8983xb!=null)?_0x8983xb:true}mxUtils[_0x3385[261]](Menu,mxEventSource);Menu[_0x3385[5]][_0x3385[262]]=function(_0x8983x19){if(this[_0x3385[78]]!=_0x8983x19){this[_0x3385[78]]=_0x8983x19;this[_0x3385[264]]( new mxEventObject(_0x3385[263]))}};Menu[_0x3385[5]][_0x3385[182]]=function(_0x8983x3,_0x8983x4){this[_0x3385[194]](_0x8983x3,_0x8983x4)} \ No newline at end of file +var _0x5e47=["\x65\x64\x69\x74\x6F\x72\x55\x69","\x6D\x65\x6E\x75\x73","\x69\x6E\x69\x74","\x73\x72\x63","\x2F\x63\x68\x65\x63\x6B\x6D\x61\x72\x6B\x2E\x67\x69\x66","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x67\x72\x61\x70\x68","\x65\x64\x69\x74\x6F\x72","\x66\x6F\x6E\x74\x46\x61\x6D\x69\x6C\x79","\x48\x65\x6C\x76\x65\x74\x69\x63\x61","\x56\x65\x72\x64\x61\x6E\x61","\x54\x69\x6D\x65\x73\x20\x4E\x65\x77\x20\x52\x6F\x6D\x61\x6E","\x47\x61\x72\x61\x6D\x6F\x6E\x64","\x43\x6F\x6D\x69\x63\x20\x53\x61\x6E\x73\x20\x4D\x53","\x43\x6F\x75\x72\x69\x65\x72\x20\x4E\x65\x77","\x47\x65\x6F\x72\x67\x69\x61","\x4C\x75\x63\x69\x64\x61\x20\x43\x6F\x6E\x73\x6F\x6C\x65","\x54\x61\x68\x6F\x6D\x61","\x6C\x65\x6E\x67\x74\x68","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x46\x41\x4D\x49\x4C\x59","\x73\x74\x79\x6C\x65\x43\x68\x61\x6E\x67\x65","\x73\x74\x79\x6C\x65","\x6E\x65\x78\x74\x53\x69\x62\x6C\x69\x6E\x67","\x66\x69\x72\x73\x74\x43\x68\x69\x6C\x64","\x61\x64\x64\x53\x65\x70\x61\x72\x61\x74\x6F\x72","\x63\x75\x73\x74\x6F\x6D","\x67\x65\x74","","\x70\x72\x6F\x6D\x70\x74\x43\x68\x61\x6E\x67\x65","\x62\x69\x6E\x64","\x70\x75\x74","\x66\x6F\x6E\x74\x53\x69\x7A\x65","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x53\x49\x5A\x45","\x28\x70\x74\x29","\x31\x32","\x6C\x69\x6E\x65\x77\x69\x64\x74\x68","\x70\x78","\x53\x54\x59\x4C\x45\x5F\x53\x54\x52\x4F\x4B\x45\x57\x49\x44\x54\x48","\x28\x70\x78\x29","\x31","\x6C\x69\x6E\x65","\x73\x74\x72\x61\x69\x67\x68\x74","\x53\x54\x59\x4C\x45\x5F\x45\x44\x47\x45","\x65\x6E\x74\x69\x74\x79\x52\x65\x6C\x61\x74\x69\x6F\x6E","\x65\x6E\x74\x69\x74\x79\x52\x65\x6C\x61\x74\x69\x6F\x6E\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C","\x53\x54\x59\x4C\x45\x5F\x45\x4C\x42\x4F\x57","\x65\x6C\x62\x6F\x77\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x76\x65\x72\x74\x69\x63\x61\x6C","\x6D\x61\x6E\x75\x61\x6C","\x73\x65\x67\x6D\x65\x6E\x74\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x61\x75\x74\x6F\x6D\x61\x74\x69\x63","\x6F\x72\x74\x68\x6F\x67\x6F\x6E\x61\x6C\x45\x64\x67\x65\x53\x74\x79\x6C\x65","\x6C\x69\x6E\x65\x65\x6E\x64","\x63\x6C\x61\x73\x73\x69\x63","\x53\x54\x59\x4C\x45\x5F\x45\x4E\x44\x41\x52\x52\x4F\x57","\x41\x52\x52\x4F\x57\x5F\x43\x4C\x41\x53\x53\x49\x43","\x6F\x70\x65\x6E\x41\x72\x72\x6F\x77","\x41\x52\x52\x4F\x57\x5F\x4F\x50\x45\x4E","\x62\x6C\x6F\x63\x6B","\x41\x52\x52\x4F\x57\x5F\x42\x4C\x4F\x43\x4B","\x6F\x76\x61\x6C","\x41\x52\x52\x4F\x57\x5F\x4F\x56\x41\x4C","\x64\x69\x61\x6D\x6F\x6E\x64","\x41\x52\x52\x4F\x57\x5F\x44\x49\x41\x4D\x4F\x4E\x44","\x64\x69\x61\x6D\x6F\x6E\x64\x54\x68\x69\x6E","\x41\x52\x52\x4F\x57\x5F\x44\x49\x41\x4D\x4F\x4E\x44\x5F\x54\x48\x49\x4E","\x6E\x6F\x6E\x65","\x4E\x4F\x4E\x45","\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74","\x65\x6E\x64\x46\x69\x6C\x6C","\x74\x6F\x67\x67\x6C\x65\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x61\x64\x64\x49\x74\x65\x6D","\x73\x69\x7A\x65","\x6C\x69\x6E\x65\x73\x74\x61\x72\x74","\x53\x54\x59\x4C\x45\x5F\x53\x54\x41\x52\x54\x41\x52\x52\x4F\x57","\x73\x74\x61\x72\x74\x46\x69\x6C\x6C","\x73\x70\x61\x63\x69\x6E\x67","\x65\x6E\x61\x62\x6C\x65\x64","\x73\x68\x61\x64\x6F\x77","\x61\x63\x74\x69\x6F\x6E\x73","\x73\x68\x6F\x77\x44\x69\x73\x61\x62\x6C\x65\x64","\x74\x6F\x70","\x30","\x72\x69\x67\x68\x74","\x62\x6F\x74\x74\x6F\x6D","\x6C\x65\x66\x74","\x67\x6C\x6F\x62\x61\x6C","\x70\x65\x72\x69\x6D\x65\x74\x65\x72","\x73\x6F\x75\x72\x63\x65\x53\x70\x61\x63\x69\x6E\x67","\x74\x61\x72\x67\x65\x74\x53\x70\x61\x63\x69\x6E\x67","\x66\x6F\x72\x6D\x61\x74","\x61\x64\x64\x4D\x65\x6E\x75\x49\x74\x65\x6D","\x74\x65\x78\x74","\x61\x64\x64\x53\x75\x62\x6D\x65\x6E\x75","\x2D","\x62\x6F\x6C\x64","\x69\x74\x61\x6C\x69\x63","\x75\x6E\x64\x65\x72\x6C\x69\x6E\x65","\x61\x64\x64\x4D\x65\x6E\x75\x49\x74\x65\x6D\x73","\x61\x6C\x69\x67\x6E\x6D\x65\x6E\x74","\x77\x6F\x72\x64\x57\x72\x61\x70","\x74\x65\x78\x74\x4F\x70\x61\x63\x69\x74\x79","\x28\x25\x29","\x31\x30\x30","\x68\x69\x64\x65","\x6C\x65\x66\x74\x41\x6C\x69\x67\x6E","\x53\x54\x59\x4C\x45\x5F\x41\x4C\x49\x47\x4E","\x41\x4C\x49\x47\x4E\x5F\x4C\x45\x46\x54","\x63\x65\x6E\x74\x65\x72","\x41\x4C\x49\x47\x4E\x5F\x43\x45\x4E\x54\x45\x52","\x72\x69\x67\x68\x74\x41\x6C\x69\x67\x6E","\x41\x4C\x49\x47\x4E\x5F\x52\x49\x47\x48\x54","\x74\x6F\x70\x41\x6C\x69\x67\x6E","\x53\x54\x59\x4C\x45\x5F\x56\x45\x52\x54\x49\x43\x41\x4C\x5F\x41\x4C\x49\x47\x4E","\x41\x4C\x49\x47\x4E\x5F\x54\x4F\x50","\x6D\x69\x64\x64\x6C\x65","\x41\x4C\x49\x47\x4E\x5F\x4D\x49\x44\x44\x4C\x45","\x62\x6F\x74\x74\x6F\x6D\x41\x6C\x69\x67\x6E","\x41\x4C\x49\x47\x4E\x5F\x42\x4F\x54\x54\x4F\x4D","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x53\x54\x59\x4C\x45\x5F\x4C\x41\x42\x45\x4C\x5F\x50\x4F\x53\x49\x54\x49\x4F\x4E","\x53\x54\x59\x4C\x45\x5F\x56\x45\x52\x54\x49\x43\x41\x4C\x5F\x4C\x41\x42\x45\x4C\x5F\x50\x4F\x53\x49\x54\x49\x4F\x4E","\x64\x69\x72\x65\x63\x74\x69\x6F\x6E","\x66\x6C\x69\x70\x48","\x66\x6C\x69\x70\x56","\x74\x69\x6C\x74","\x72\x6F\x74\x61\x74\x69\x6F\x6E","\x61\x6C\x69\x67\x6E","\x61\x6C\x69\x67\x6E\x43\x65\x6C\x6C\x73","\x6C\x61\x79\x6F\x75\x74","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C\x46\x6C\x6F\x77","\x65\x78\x65\x63\x75\x74\x65\x4C\x61\x79\x6F\x75\x74","\x76\x65\x72\x74\x69\x63\x61\x6C\x46\x6C\x6F\x77","\x6E\x61\x76\x69\x67\x61\x74\x69\x6F\x6E","\x68\x6F\x6D\x65","\x65\x78\x69\x74\x47\x72\x6F\x75\x70","\x65\x6E\x74\x65\x72\x47\x72\x6F\x75\x70","\x65\x78\x70\x61\x6E\x64","\x63\x6F\x6C\x6C\x61\x70\x73\x65","\x61\x72\x72\x61\x6E\x67\x65","\x74\x6F\x46\x72\x6F\x6E\x74","\x74\x6F\x42\x61\x63\x6B","\x67\x72\x6F\x75\x70","\x75\x6E\x67\x72\x6F\x75\x70","\x72\x65\x6D\x6F\x76\x65\x46\x72\x6F\x6D\x47\x72\x6F\x75\x70","\x76\x69\x65\x77","\x61\x63\x74\x75\x61\x6C\x53\x69\x7A\x65","\x25","\x7A\x6F\x6F\x6D\x54\x6F","\x7A\x6F\x6F\x6D\x49\x6E","\x7A\x6F\x6F\x6D\x4F\x75\x74","\x66\x69\x74\x57\x69\x6E\x64\x6F\x77","\x63\x75\x73\x74\x6F\x6D\x5A\x6F\x6F\x6D","\x66\x69\x74\x50\x61\x67\x65","\x66\x69\x74\x50\x61\x67\x65\x57\x69\x64\x74\x68","\x66\x69\x6C\x65","\x6E\x65\x77","\x6F\x70\x65\x6E","\x73\x61\x76\x65","\x73\x61\x76\x65\x41\x73","\x70\x75\x62\x6C\x69\x73\x68","\x76\x61\x72\x69\x61\x62\x6C\x65","\x69\x6D\x70\x6F\x72\x74","\x65\x78\x70\x6F\x72\x74","\x65\x64\x69\x74\x46\x69\x6C\x65","\x72\x65\x6E\x61\x6D\x65","\x70\x72\x69\x6E\x74","\x65\x64\x69\x74","\x75\x6E\x64\x6F","\x72\x65\x64\x6F","\x63\x75\x74","\x63\x6F\x70\x79","\x70\x61\x73\x74\x65","\x64\x65\x6C\x65\x74\x65","\x64\x75\x70\x6C\x69\x63\x61\x74\x65","\x73\x65\x6C\x65\x63\x74\x56\x65\x72\x74\x69\x63\x65\x73","\x73\x65\x6C\x65\x63\x74\x45\x64\x67\x65\x73","\x73\x65\x6C\x65\x63\x74\x41\x6C\x6C","\x68\x65\x6C\x70","\x61\x62\x6F\x75\x74","\x61\x64\x64\x4D\x65\x6E\x75","\x65\x78\x65\x63\x75\x74\x65","\x62\x65\x67\x69\x6E\x55\x70\x64\x61\x74\x65","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x65\x6E\x64\x55\x70\x64\x61\x74\x65","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x67\x65\x74\x53\x74\x61\x74\x65","\x67\x65\x74\x56\x69\x65\x77","\x65\x6E\x74\x65\x72\x56\x61\x6C\x75\x65","\x20","\x70\x72\x6F\x6D\x70\x74","\x6C\x61\x62\x65\x6C","\x66\x75\x6E\x63\x74","\x74\x6F\x67\x67\x6C\x65\x41\x63\x74\x69\x6F\x6E","\x69\x73\x53\x65\x6C\x65\x63\x74\x65\x64","\x61\x64\x64\x43\x68\x65\x63\x6B\x6D\x61\x72\x6B","\x61\x64\x64\x53\x68\x6F\x72\x74\x63\x75\x74","\x73\x68\x6F\x72\x74\x63\x75\x74","\x73\x70\x61\x6E","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x63\x6F\x6C\x6F\x72","\x67\x72\x61\x79","\x77\x72\x69\x74\x65","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x75\x72\x6C\x28","\x2F\x63\x68\x65\x63\x6B\x6D\x61\x72\x6B\x2E\x67\x69\x66\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x52\x65\x70\x65\x61\x74","\x6E\x6F\x2D\x72\x65\x70\x65\x61\x74","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x32\x70\x78\x20\x35\x30\x25","\x63\x72\x65\x61\x74\x65\x50\x6F\x70\x75\x70\x4D\x65\x6E\x75","\x73\x6D\x61\x72\x74\x53\x65\x70\x61\x72\x61\x74\x6F\x72\x73","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6D\x70\x74\x79","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x6F\x75\x6E\x74","\x69\x73\x45\x64\x67\x65","\x73\x65\x74\x41\x73\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x67\x65\x74\x48\x61\x6E\x64\x6C\x65\x72","\x73\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73\x48\x61\x6E\x64\x6C\x65\x72","\x62\x65\x6E\x64\x73","\x67\x65\x74\x48\x61\x6E\x64\x6C\x65\x46\x6F\x72\x45\x76\x65\x6E\x74","\x72\x65\x6D\x6F\x76\x65\x57\x61\x79\x70\x6F\x69\x6E\x74","\x68\x61\x6E\x64\x6C\x65\x72","\x69\x6E\x64\x65\x78","\x61\x64\x64\x57\x61\x79\x70\x6F\x69\x6E\x74","\x67\x65\x74\x4C\x69\x6E\x6B\x46\x6F\x72\x43\x65\x6C\x6C","\x6F\x70\x65\x6E\x4C\x69\x6E\x6B","\x63\x72\x65\x61\x74\x65\x4D\x65\x6E\x75\x62\x61\x72","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x68\x69\x64\x65\x4D\x65\x6E\x75","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x63\x75\x72\x72\x65\x6E\x74\x4D\x65\x6E\x75","\x61","\x68\x72\x65\x66","\x6A\x61\x76\x61\x73\x63\x72\x69\x70\x74\x3A\x76\x6F\x69\x64\x28\x30\x29\x3B","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x49\x74\x65\x6D","\x61\x64\x64\x4D\x65\x6E\x75\x48\x61\x6E\x64\x6C\x65\x72","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x64\x69\x76","\x20\x67\x65\x4D\x65\x6E\x75\x62\x61\x72\x4D\x65\x6E\x75","\x61\x75\x74\x6F\x45\x78\x70\x61\x6E\x64","\x61\x70\x70\x6C\x79","\x64\x65\x73\x74\x72\x6F\x79","\x63\x75\x72\x72\x65\x6E\x74\x45\x6C\x74","\x6F\x66\x66\x73\x65\x74\x4C\x65\x66\x74","\x6F\x66\x66\x73\x65\x74\x57\x69\x64\x74\x68","\x70\x61\x67\x65\x2D\x73\x69\x64\x65\x62\x61\x72","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74\x42\x79\x49\x64","\x6F\x66\x66\x73\x65\x74\x54\x6F\x70","\x6F\x66\x66\x73\x65\x74\x48\x65\x69\x67\x68\x74","\x70\x6F\x70\x75\x70","\x63\x6F\x6E\x73\x75\x6D\x65","\x6D\x6F\x75\x73\x65\x6D\x6F\x76\x65","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E","\x63\x6C\x69\x63\x6B","\x63\x61\x6C\x6C","\x65\x78\x74\x65\x6E\x64","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x73\x74\x61\x74\x65\x43\x68\x61\x6E\x67\x65\x64","\x66\x69\x72\x65\x45\x76\x65\x6E\x74"];Menus=function(_0xffbdx1){this[_0x5e47[0]]=_0xffbdx1;this[_0x5e47[1]]= new Object();this[_0x5e47[2]](); new Image()[_0x5e47[3]]=IMAGE_PATH+_0x5e47[4]};Menus[_0x5e47[5]][_0x5e47[2]]=function(){var _0xffbdx2=this[_0x5e47[0]][_0x5e47[7]][_0x5e47[6]];this[_0x5e47[30]](_0x5e47[8], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){var _0xffbdx5=[_0x5e47[9],_0x5e47[10],_0x5e47[11],_0x5e47[12],_0x5e47[13],_0x5e47[14],_0x5e47[15],_0x5e47[16],_0x5e47[17]];for(var _0xffbdx6=0;_0xffbdx6<_0xffbdx5[_0x5e47[18]];_0xffbdx6++){var _0xffbdx7=this[_0x5e47[20]](_0xffbdx3,_0xffbdx5[_0xffbdx6],[mxConstants[_0x5e47[19]]],[_0xffbdx5[_0xffbdx6]],null,_0xffbdx4);_0xffbdx7[_0x5e47[23]][_0x5e47[22]][_0x5e47[21]][_0x5e47[8]]=_0xffbdx5[_0xffbdx6]};_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[28]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[25]),_0x5e47[27],mxConstants.DEFAULT_FONTFAMILY,mxConstants.STYLE_FONTFAMILY,_0xffbdx4)})));this[_0x5e47[30]](_0x5e47[31], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){var _0xffbdx8=[6,8,9,10,11,12,14,18,24,36,48,72];for(var _0xffbdx6=0;_0xffbdx6<_0xffbdx8[_0x5e47[18]];_0xffbdx6++){this[_0x5e47[20]](_0xffbdx3,_0xffbdx8[_0xffbdx6],[mxConstants[_0x5e47[32]]],[_0xffbdx8[_0xffbdx6]],null,_0xffbdx4)};_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[28]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[25]),_0x5e47[33],_0x5e47[34],mxConstants.STYLE_FONTSIZE,_0xffbdx4)})));this[_0x5e47[30]](_0x5e47[35], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){var _0xffbdx8=[1,2,3,4,8,12,16,24];for(var _0xffbdx6=0;_0xffbdx6<_0xffbdx8[_0x5e47[18]];_0xffbdx6++){this[_0x5e47[20]](_0xffbdx3,_0xffbdx8[_0xffbdx6]+_0x5e47[36],[mxConstants[_0x5e47[37]]],[_0xffbdx8[_0xffbdx6]],null,_0xffbdx4)};_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[28]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[25]),_0x5e47[38],_0x5e47[39],mxConstants.STYLE_STROKEWIDTH,_0xffbdx4)})));this[_0x5e47[30]](_0x5e47[40], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[41]),[mxConstants[_0x5e47[42]]],[null],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[43]),[mxConstants[_0x5e47[42]]],[_0x5e47[44]],null,_0xffbdx4);_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[45]),[mxConstants[_0x5e47[42]],mxConstants[_0x5e47[46]]],[_0x5e47[47],_0x5e47[45]],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[48]),[mxConstants[_0x5e47[42]],mxConstants[_0x5e47[46]]],[_0x5e47[47],_0x5e47[48]],null,_0xffbdx4);_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[49]),[mxConstants[_0x5e47[42]]],[_0x5e47[50]],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[51]),[mxConstants[_0x5e47[42]]],[_0x5e47[52]],null,_0xffbdx4)})));this[_0x5e47[30]](_0x5e47[53], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[54]),[mxConstants[_0x5e47[55]]],[mxConstants[_0x5e47[56]]],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[57]),[mxConstants[_0x5e47[55]]],[mxConstants[_0x5e47[58]]],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[59]),[mxConstants[_0x5e47[55]]],[mxConstants[_0x5e47[60]]],null,_0xffbdx4);_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[61]),[mxConstants[_0x5e47[55]]],[mxConstants[_0x5e47[62]]],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[63]),[mxConstants[_0x5e47[55]]],[mxConstants[_0x5e47[64]]],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[65]),[mxConstants[_0x5e47[55]]],[mxConstants[_0x5e47[66]]],null,_0xffbdx4);_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[67]),[mxConstants[_0x5e47[55]]],[mxConstants[_0x5e47[68]]],null,_0xffbdx4);_0xffbdx3[_0x5e47[24]](_0xffbdx4);_0xffbdx3[_0x5e47[72]](mxResources[_0x5e47[26]](_0x5e47[69]),null,function(){_0xffbdx2[_0x5e47[71]](_0x5e47[70],true)},_0xffbdx4,null,true);_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[28]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[73]),_0x5e47[38],mxConstants.DEFAULT_MARKERSIZE,mxConstants.STYLE_ENDSIZE,_0xffbdx4)})));this[_0x5e47[30]](_0x5e47[74], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[54]),[mxConstants[_0x5e47[75]]],[mxConstants[_0x5e47[56]]],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[57]),[mxConstants[_0x5e47[75]]],[mxConstants[_0x5e47[58]]],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[59]),[mxConstants[_0x5e47[75]]],[mxConstants[_0x5e47[60]]],null,_0xffbdx4);_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[61]),[mxConstants[_0x5e47[75]]],[mxConstants[_0x5e47[62]]],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[63]),[mxConstants[_0x5e47[75]]],[mxConstants[_0x5e47[64]]],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[65]),[mxConstants[_0x5e47[75]]],[mxConstants[_0x5e47[66]]],null,_0xffbdx4);_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[67]),[mxConstants[_0x5e47[75]]],[mxConstants[_0x5e47[68]]],null,_0xffbdx4);_0xffbdx3[_0x5e47[24]](_0xffbdx4);_0xffbdx3[_0x5e47[72]](mxResources[_0x5e47[26]](_0x5e47[69]),null,function(){_0xffbdx2[_0x5e47[71]](_0x5e47[76],true)},_0xffbdx4,null,true);_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[28]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[73]),_0x5e47[38],mxConstants.DEFAULT_MARKERSIZE,mxConstants.STYLE_STARTSIZE,_0xffbdx4)})));this[_0x5e47[30]](_0x5e47[77], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){var _0xffbdx9=this[_0x5e47[0]][_0x5e47[80]][_0x5e47[26]](_0x5e47[79])[_0x5e47[78]];var _0xffbdxa=this[_0x5e47[26]](_0x5e47[40])[_0x5e47[78]];if(_0xffbdx9||_0xffbdx3[_0x5e47[81]]){this[_0x5e47[28]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[82]),_0x5e47[38],_0x5e47[83],mxConstants.STYLE_SPACING_TOP,_0xffbdx4,_0xffbdx9);this[_0x5e47[28]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[84]),_0x5e47[38],_0x5e47[83],mxConstants.STYLE_SPACING_RIGHT,_0xffbdx4,_0xffbdx9);this[_0x5e47[28]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[85]),_0x5e47[38],_0x5e47[83],mxConstants.STYLE_SPACING_BOTTOM,_0xffbdx4,_0xffbdx9);this[_0x5e47[28]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[86]),_0x5e47[38],_0x5e47[83],mxConstants.STYLE_SPACING_LEFT,_0xffbdx4,_0xffbdx9);_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[28]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[87]),_0x5e47[38],_0x5e47[83],mxConstants.STYLE_SPACING,_0xffbdx4,_0xffbdx9);this[_0x5e47[28]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[88]),_0x5e47[38],_0x5e47[83],mxConstants.STYLE_PERIMETER_SPACING,_0xffbdx4,_0xffbdx9)};if(_0xffbdxa||_0xffbdx3[_0x5e47[81]]){_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[28]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[89]),_0x5e47[38],_0x5e47[83],mxConstants.STYLE_SOURCE_PERIMETER_SPACING,_0xffbdx4,_0xffbdxa);this[_0x5e47[28]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[90]),_0x5e47[38],_0x5e47[83],mxConstants.STYLE_TARGET_PERIMETER_SPACING,_0xffbdx4,_0xffbdxa)}})));this[_0x5e47[30]](_0x5e47[91], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){this[_0x5e47[92]](_0xffbdx3,_0x5e47[21],_0xffbdx4)})));this[_0x5e47[30]](_0x5e47[93], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){var _0xffbdxb=this[_0x5e47[26]](_0x5e47[93])[_0x5e47[78]];_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[94]](_0x5e47[8],_0xffbdx3,_0xffbdx4);this[_0x5e47[94]](_0x5e47[31],_0xffbdx3,_0xffbdx4);this[_0x5e47[99]](_0xffbdx3,[_0x5e47[95],_0x5e47[96],_0x5e47[97],_0x5e47[98],_0x5e47[95]],_0xffbdx4);this[_0x5e47[94]](_0x5e47[100],_0xffbdx3,_0xffbdx4);this[_0x5e47[92]](_0xffbdx3,_0x5e47[101],_0xffbdx4);_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[28]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[102]),_0x5e47[103],_0x5e47[104],mxConstants.STYLE_TEXT_OPACITY,_0xffbdx4,_0xffbdxb);_0xffbdx3[_0x5e47[72]](mxResources[_0x5e47[26]](_0x5e47[105]),null,function(){_0xffbdx2[_0x5e47[71]](mxConstants.STYLE_NOLABEL,false)},_0xffbdx4,null,_0xffbdxb)})));this[_0x5e47[30]](_0x5e47[100], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[106]),[mxConstants[_0x5e47[107]]],[mxConstants[_0x5e47[108]]],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[109]),[mxConstants[_0x5e47[107]]],[mxConstants[_0x5e47[110]]],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[111]),[mxConstants[_0x5e47[107]]],[mxConstants[_0x5e47[112]]],null,_0xffbdx4);_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[113]),[mxConstants[_0x5e47[114]]],[mxConstants[_0x5e47[115]]],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[116]),[mxConstants[_0x5e47[114]]],[mxConstants[_0x5e47[117]]],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[118]),[mxConstants[_0x5e47[114]]],[mxConstants[_0x5e47[119]]],null,_0xffbdx4);_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[94]](_0x5e47[120],_0xffbdx3,_0xffbdx4);this[_0x5e47[94]](_0x5e47[77],_0xffbdx3,_0xffbdx4);_0xffbdx3[_0x5e47[24]](_0xffbdx4);var _0xffbdxb=this[_0x5e47[26]](_0x5e47[93])[_0x5e47[78]];_0xffbdx3[_0x5e47[72]](mxResources[_0x5e47[26]](_0x5e47[48]),null,function(){_0xffbdx2[_0x5e47[71]](mxConstants.STYLE_HORIZONTAL,true)},_0xffbdx4,null,_0xffbdxb)})));this[_0x5e47[30]](_0x5e47[120], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[86]),[mxConstants[_0x5e47[121]],mxConstants[_0x5e47[107]]],[mxConstants[_0x5e47[108]],mxConstants[_0x5e47[112]]],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[109]),[mxConstants[_0x5e47[121]],mxConstants[_0x5e47[107]]],[mxConstants[_0x5e47[110]],mxConstants[_0x5e47[110]]],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[84]),[mxConstants[_0x5e47[121]],mxConstants[_0x5e47[107]]],[mxConstants[_0x5e47[112]],mxConstants[_0x5e47[108]]],null,_0xffbdx4);_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[82]),[mxConstants[_0x5e47[122]],mxConstants[_0x5e47[114]]],[mxConstants[_0x5e47[115]],mxConstants[_0x5e47[119]]],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[116]),[mxConstants[_0x5e47[122]],mxConstants[_0x5e47[114]]],[mxConstants[_0x5e47[117]],mxConstants[_0x5e47[117]]],null,_0xffbdx4);this[_0x5e47[20]](_0xffbdx3,mxResources[_0x5e47[26]](_0x5e47[85]),[mxConstants[_0x5e47[122]],mxConstants[_0x5e47[114]]],[mxConstants[_0x5e47[119]],mxConstants[_0x5e47[115]]],null,_0xffbdx4)})));this[_0x5e47[30]](_0x5e47[123], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){_0xffbdx3[_0x5e47[72]](mxResources[_0x5e47[26]](_0x5e47[124]),null,function(){_0xffbdx2[_0x5e47[71]](mxConstants.STYLE_FLIPH,false)},_0xffbdx4);_0xffbdx3[_0x5e47[72]](mxResources[_0x5e47[26]](_0x5e47[125]),null,function(){_0xffbdx2[_0x5e47[71]](mxConstants.STYLE_FLIPV,false)},_0xffbdx4);this[_0x5e47[99]](_0xffbdx3,[_0x5e47[95],_0x5e47[126],_0x5e47[127]],_0xffbdx4)})));this[_0x5e47[30]](_0x5e47[128], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){_0xffbdx3[_0x5e47[72]](mxResources[_0x5e47[26]](_0x5e47[106]),null,function(){_0xffbdx2[_0x5e47[129]](mxConstants.ALIGN_LEFT)},_0xffbdx4);_0xffbdx3[_0x5e47[72]](mxResources[_0x5e47[26]](_0x5e47[109]),null,function(){_0xffbdx2[_0x5e47[129]](mxConstants.ALIGN_CENTER)},_0xffbdx4);_0xffbdx3[_0x5e47[72]](mxResources[_0x5e47[26]](_0x5e47[111]),null,function(){_0xffbdx2[_0x5e47[129]](mxConstants.ALIGN_RIGHT)},_0xffbdx4);_0xffbdx3[_0x5e47[24]](_0xffbdx4);_0xffbdx3[_0x5e47[72]](mxResources[_0x5e47[26]](_0x5e47[113]),null,function(){_0xffbdx2[_0x5e47[129]](mxConstants.ALIGN_TOP)},_0xffbdx4);_0xffbdx3[_0x5e47[72]](mxResources[_0x5e47[26]](_0x5e47[116]),null,function(){_0xffbdx2[_0x5e47[129]](mxConstants.ALIGN_MIDDLE)},_0xffbdx4);_0xffbdx3[_0x5e47[72]](mxResources[_0x5e47[26]](_0x5e47[118]),null,function(){_0xffbdx2[_0x5e47[129]](mxConstants.ALIGN_BOTTOM)},_0xffbdx4)})));this[_0x5e47[30]](_0x5e47[130], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){_0xffbdx3[_0x5e47[72]](mxResources[_0x5e47[26]](_0x5e47[131]),null,mxUtils[_0x5e47[29]](this,function(){var _0xffbdxc= new mxHierarchicalLayout(_0xffbdx2,mxConstants.DIRECTION_WEST);this[_0x5e47[0]][_0x5e47[132]](_0xffbdxc,true,true)}),_0xffbdx4);_0xffbdx3[_0x5e47[72]](mxResources[_0x5e47[26]](_0x5e47[133]),null,mxUtils[_0x5e47[29]](this,function(){var _0xffbdxc= new mxHierarchicalLayout(_0xffbdx2,mxConstants.DIRECTION_NORTH);this[_0x5e47[0]][_0x5e47[132]](_0xffbdxc,true,true)}),_0xffbdx4)})));this[_0x5e47[30]](_0x5e47[134], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){this[_0x5e47[99]](_0xffbdx3,[_0x5e47[135],_0x5e47[95],_0x5e47[136],_0x5e47[137],_0x5e47[95],_0x5e47[138],_0x5e47[139]],_0xffbdx4)})));this[_0x5e47[30]](_0x5e47[140], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){this[_0x5e47[99]](_0xffbdx3,[_0x5e47[141],_0x5e47[142],_0x5e47[95]],_0xffbdx4);this[_0x5e47[94]](_0x5e47[130],_0xffbdx3,_0xffbdx4);_0xffbdx3[_0x5e47[24]](_0xffbdx4);this[_0x5e47[99]](_0xffbdx3,[_0x5e47[95],_0x5e47[143],_0x5e47[144],_0x5e47[145]],_0xffbdx4)})));this[_0x5e47[30]](_0x5e47[146], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){this[_0x5e47[99]](_0xffbdx3,[_0x5e47[147]],_0xffbdx4);_0xffbdx3[_0x5e47[24]]();var _0xffbdxd=[0.25,0.5,0.75,1,2,4];for(var _0xffbdx6=0;_0xffbdx6<_0xffbdxd[_0x5e47[18]];_0xffbdx6++){(function(_0xffbdxe){_0xffbdx3[_0x5e47[72]]((_0xffbdxe*100)+_0x5e47[148],null,function(){_0xffbdx2[_0x5e47[149]](_0xffbdxe)},_0xffbdx4)})(_0xffbdxd[_0xffbdx6])};this[_0x5e47[99]](_0xffbdx3,[_0x5e47[95],_0x5e47[150],_0x5e47[151],_0x5e47[95],_0x5e47[152],_0x5e47[153],_0x5e47[95],_0x5e47[154],_0x5e47[155]],_0xffbdx4)})));this[_0x5e47[30]](_0x5e47[156], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){this[_0x5e47[99]](_0xffbdx3,[_0x5e47[157],_0x5e47[158],_0x5e47[95],_0x5e47[159],_0x5e47[160],_0x5e47[161],_0x5e47[95],_0x5e47[162],_0x5e47[95],_0x5e47[163],_0x5e47[164],_0x5e47[95],_0x5e47[165],_0x5e47[166],_0x5e47[95],_0x5e47[167]],_0xffbdx4)})));this[_0x5e47[30]](_0x5e47[168], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){this[_0x5e47[99]](_0xffbdx3,[_0x5e47[169],_0x5e47[170],_0x5e47[95],_0x5e47[171],_0x5e47[172],_0x5e47[173],_0x5e47[174],_0x5e47[95],_0x5e47[175],_0x5e47[95],_0x5e47[176],_0x5e47[177],_0x5e47[178]])})));this[_0x5e47[30]](_0x5e47[179], new Menu(mxUtils[_0x5e47[29]](this,function(_0xffbdx3,_0xffbdx4){this[_0x5e47[99]](_0xffbdx3,[_0x5e47[179],_0x5e47[95],_0x5e47[180]])})))};Menus[_0x5e47[5]][_0x5e47[30]]=function(_0xffbdxf,_0xffbdx3){this[_0x5e47[1]][_0xffbdxf]=_0xffbdx3};Menus[_0x5e47[5]][_0x5e47[26]]=function(_0xffbdxf){return this[_0x5e47[1]][_0xffbdxf]};Menus[_0x5e47[5]][_0x5e47[94]]=function(_0xffbdxf,_0xffbdx3,_0xffbdx4){var _0xffbdxb=this[_0x5e47[26]](_0xffbdxf)[_0x5e47[78]];if(_0xffbdx3[_0x5e47[81]]||_0xffbdxb){var _0xffbdx10=_0xffbdx3[_0x5e47[72]](mxResources[_0x5e47[26]](_0xffbdxf),null,null,_0xffbdx4,null,_0xffbdxb);this[_0x5e47[181]](_0xffbdxf,_0xffbdx3,_0xffbdx10)}};Menus[_0x5e47[5]][_0x5e47[181]]=function(_0xffbdxf,_0xffbdx11,_0xffbdx4){var _0xffbdx3=this[_0x5e47[26]](_0xffbdxf);if(_0xffbdx3!=null&&(_0xffbdx11[_0x5e47[81]]||_0xffbdx3[_0x5e47[78]])){this[_0x5e47[26]](_0xffbdxf)[_0x5e47[182]](_0xffbdx11,_0xffbdx4)}};Menus[_0x5e47[5]][_0x5e47[20]]=function(_0xffbdx3,_0xffbdx12,_0xffbdx13,_0xffbdx14,_0xffbdx15,_0xffbdx4){return _0xffbdx3[_0x5e47[72]](_0xffbdx12,null,mxUtils[_0x5e47[29]](this,function(){var _0xffbdx2=this[_0x5e47[0]][_0x5e47[7]][_0x5e47[6]];_0xffbdx2[_0x5e47[184]]()[_0x5e47[183]]();try{for(var _0xffbdx6=0;_0xffbdx6<_0xffbdx13[_0x5e47[18]];_0xffbdx6++){_0xffbdx2[_0x5e47[185]](_0xffbdx13[_0xffbdx6],_0xffbdx14[_0xffbdx6])}}finally{_0xffbdx2[_0x5e47[184]]()[_0x5e47[186]]()}}),_0xffbdx4,_0xffbdx15)};Menus[_0x5e47[5]][_0x5e47[28]]=function(_0xffbdx3,_0xffbdx12,_0xffbdx16,_0xffbdx17,_0xffbdx18,_0xffbdx4,_0xffbdxb){return _0xffbdx3[_0x5e47[72]](_0xffbdx12,null,mxUtils[_0x5e47[29]](this,function(){var _0xffbdx2=this[_0x5e47[0]][_0x5e47[7]][_0x5e47[6]];var _0xffbdx19=_0xffbdx17;var _0xffbdx1a=_0xffbdx2[_0x5e47[189]]()[_0x5e47[188]](_0xffbdx2[_0x5e47[187]]());if(_0xffbdx1a!=null){_0xffbdx19=_0xffbdx1a[_0x5e47[21]][_0xffbdx18]||_0xffbdx19};_0xffbdx19=mxUtils[_0x5e47[192]](mxResources[_0x5e47[26]](_0x5e47[190])+((_0xffbdx16[_0x5e47[18]]>0)?(_0x5e47[191]+_0xffbdx16):_0x5e47[27]),_0xffbdx19);if(_0xffbdx19!=null&&_0xffbdx19[_0x5e47[18]]>0){_0xffbdx2[_0x5e47[185]](_0xffbdx18,_0xffbdx19)}}),_0xffbdx4,null,_0xffbdxb)};Menus[_0x5e47[5]][_0x5e47[92]]=function(_0xffbdx3,_0xffbdx18,_0xffbdx4){var _0xffbdx1b=this[_0x5e47[0]][_0x5e47[80]][_0x5e47[26]](_0xffbdx18);if(_0xffbdx1b!=null&&(_0xffbdx3[_0x5e47[81]]||_0xffbdx1b[_0x5e47[78]])){var _0xffbdx1c=_0xffbdx3[_0x5e47[72]](_0xffbdx1b[_0x5e47[193]],null,_0xffbdx1b[_0x5e47[194]],_0xffbdx4,null,_0xffbdx1b[_0x5e47[78]]);if(_0xffbdx1b[_0x5e47[195]]&&_0xffbdx1b[_0x5e47[196]]()){this[_0x5e47[197]](_0xffbdx1c)};this[_0x5e47[198]](_0xffbdx1c,_0xffbdx1b);return _0xffbdx1c};return null};Menus[_0x5e47[5]][_0x5e47[198]]=function(_0xffbdx1c,_0xffbdx1b){if(_0xffbdx1b[_0x5e47[199]]!=null){var _0xffbdx1d=_0xffbdx1c[_0x5e47[23]][_0x5e47[22]][_0x5e47[22]];var _0xffbdx1e=document[_0x5e47[201]](_0x5e47[200]);_0xffbdx1e[_0x5e47[21]][_0x5e47[202]]=_0x5e47[203];mxUtils[_0x5e47[204]](_0xffbdx1e,_0xffbdx1b[_0x5e47[199]]);_0xffbdx1d[_0x5e47[205]](_0xffbdx1e)}};Menus[_0x5e47[5]][_0x5e47[197]]=function(_0xffbdx1c){var _0xffbdx1d=_0xffbdx1c[_0x5e47[23]][_0x5e47[22]];_0xffbdx1d[_0x5e47[21]][_0x5e47[206]]=_0x5e47[207]+IMAGE_PATH+_0x5e47[208];_0xffbdx1d[_0x5e47[21]][_0x5e47[209]]=_0x5e47[210];_0xffbdx1d[_0x5e47[21]][_0x5e47[211]]=_0x5e47[212]};Menus[_0x5e47[5]][_0x5e47[99]]=function(_0xffbdx3,_0xffbdx13,_0xffbdx4){for(var _0xffbdx6=0;_0xffbdx6<_0xffbdx13[_0x5e47[18]];_0xffbdx6++){if(_0xffbdx13[_0xffbdx6]==_0x5e47[95]){_0xffbdx3[_0x5e47[24]](_0xffbdx4)}else {this[_0x5e47[92]](_0xffbdx3,_0xffbdx13[_0xffbdx6],_0xffbdx4)}}};Menus[_0x5e47[5]][_0x5e47[213]]=function(_0xffbdx3,_0xffbdx1f,_0xffbdx20){var _0xffbdx2=this[_0x5e47[0]][_0x5e47[7]][_0x5e47[6]];_0xffbdx3[_0x5e47[214]]=true;if(_0xffbdx2[_0x5e47[215]]()){this[_0x5e47[99]](_0xffbdx3,[_0x5e47[169],_0x5e47[170],_0x5e47[95],_0x5e47[173],_0x5e47[95]])}else {this[_0x5e47[99]](_0xffbdx3,[_0x5e47[174],_0x5e47[95],_0x5e47[171],_0x5e47[172],_0x5e47[95],_0x5e47[175]]);if(_0xffbdx2[_0x5e47[216]]()==1&&_0xffbdx2[_0x5e47[184]]()[_0x5e47[217]](_0xffbdx2[_0x5e47[187]]())){this[_0x5e47[99]](_0xffbdx3,[_0x5e47[218]])};_0xffbdx3[_0x5e47[24]]()};if(_0xffbdx2[_0x5e47[216]]()>0){this[_0x5e47[99]](_0xffbdx3,[_0x5e47[141],_0x5e47[142],_0x5e47[95]]);if(_0xffbdx2[_0x5e47[184]]()[_0x5e47[217]](_0xffbdx2[_0x5e47[187]]())){var _0xffbdx21=false;var _0xffbdx1f=_0xffbdx2[_0x5e47[187]]();if(_0xffbdx1f!=null&&_0xffbdx2[_0x5e47[184]]()[_0x5e47[217]](_0xffbdx1f)){var _0xffbdx22=_0xffbdx2[_0x5e47[220]][_0x5e47[219]](_0xffbdx1f);if(_0xffbdx22 instanceof mxEdgeHandler&&_0xffbdx22[_0x5e47[221]]!=null&&_0xffbdx22[_0x5e47[221]][_0x5e47[18]]>2){var _0xffbdx23=_0xffbdx22[_0x5e47[222]]( new mxMouseEvent(_0xffbdx20));var _0xffbdx24=this[_0x5e47[0]][_0x5e47[80]][_0x5e47[26]](_0x5e47[223]);_0xffbdx24[_0x5e47[224]]=_0xffbdx22;_0xffbdx24[_0x5e47[225]]=_0xffbdx23;_0xffbdx21=_0xffbdx23>0&&_0xffbdx23<_0xffbdx22[_0x5e47[221]][_0x5e47[18]]}};this[_0x5e47[99]](_0xffbdx3,[_0x5e47[95],(_0xffbdx21)?_0x5e47[223]:_0x5e47[226]])}else {if(_0xffbdx2[_0x5e47[216]]()>1){_0xffbdx3[_0x5e47[24]]();this[_0x5e47[99]](_0xffbdx3,[_0x5e47[143]])}};_0xffbdx3[_0x5e47[24]]();if(_0xffbdx2[_0x5e47[216]]()==1){var _0xffbdx25=_0xffbdx2[_0x5e47[227]](_0xffbdx2[_0x5e47[187]]());if(_0xffbdx25!=null){this[_0x5e47[99]](_0xffbdx3,[_0x5e47[228]])}}}else {this[_0x5e47[99]](_0xffbdx3,[_0x5e47[95],_0x5e47[176],_0x5e47[177],_0x5e47[95],_0x5e47[178]])}};Menus[_0x5e47[5]][_0x5e47[229]]=function(_0xffbdx26){var _0xffbdx27= new Menubar(this[_0x5e47[0]],_0xffbdx26);var _0xffbdx28=[_0x5e47[156],_0x5e47[168],_0x5e47[146],_0x5e47[91],_0x5e47[93],_0x5e47[140],_0x5e47[179]];for(var _0xffbdx6=0;_0xffbdx6<_0xffbdx28[_0x5e47[18]];_0xffbdx6++){_0xffbdx27[_0x5e47[181]](mxResources[_0x5e47[26]](_0xffbdx28[_0xffbdx6]),this[_0x5e47[26]](_0xffbdx28[_0xffbdx6])[_0x5e47[194]])};return _0xffbdx27};function Menubar(_0xffbdx1,_0xffbdx26){this[_0x5e47[0]]=_0xffbdx1;this[_0x5e47[230]]=_0xffbdx26;mxEvent[_0x5e47[232]](document,mxUtils[_0x5e47[29]](this,function(_0xffbdx20){this[_0x5e47[231]]()}))}Menubar[_0x5e47[5]][_0x5e47[231]]=function(){if(this[_0x5e47[233]]!=null){this[_0x5e47[233]][_0x5e47[231]]()}};Menubar[_0x5e47[5]][_0x5e47[181]]=function(_0xffbdx12,_0xffbdx2a){var _0xffbdx2b=document[_0x5e47[201]](_0x5e47[234]);_0xffbdx2b[_0x5e47[237]](_0x5e47[235],_0x5e47[236]);_0xffbdx2b[_0x5e47[238]]=_0x5e47[239];mxUtils[_0x5e47[204]](_0xffbdx2b,_0xffbdx12);this[_0x5e47[240]](_0xffbdx2b,_0xffbdx2a);this[_0x5e47[230]][_0x5e47[205]](_0xffbdx2b);return _0xffbdx2b};Menubar[_0x5e47[5]][_0x5e47[240]]=function(_0xffbdx2b,_0xffbdx2a){if(_0xffbdx2a!=null){var _0xffbdx2c=true;var _0xffbdx2d=mxUtils[_0x5e47[29]](this,function(_0xffbdx20){if(_0xffbdx2c&&_0xffbdx2b[_0x5e47[78]]==null||_0xffbdx2b[_0x5e47[78]]){this[_0x5e47[0]][_0x5e47[7]][_0x5e47[6]][_0x5e47[241]][_0x5e47[231]]();var _0xffbdx3= new mxPopupMenu(_0xffbdx2a);_0xffbdx3[_0x5e47[242]][_0x5e47[238]]+=_0x5e47[243];_0xffbdx3[_0x5e47[214]]=true;_0xffbdx3[_0x5e47[81]]=true;_0xffbdx3[_0x5e47[244]]=true;_0xffbdx3[_0x5e47[231]]=mxUtils[_0x5e47[29]](this,function(){mxPopupMenu[_0x5e47[5]][_0x5e47[231]][_0x5e47[245]](_0xffbdx3,arguments);_0xffbdx3[_0x5e47[246]]();this[_0x5e47[233]]=null;this[_0x5e47[247]]=null});var _0xffbdx2e=_0xffbdx2b[_0x5e47[248]]+document[_0x5e47[251]](_0x5e47[250])[_0x5e47[249]]+22;var _0xffbdx2f=_0xffbdx2b[_0x5e47[252]]+_0xffbdx2b[_0x5e47[253]]+140;_0xffbdx3[_0x5e47[254]](_0xffbdx2e,_0xffbdx2f,null,_0xffbdx20);this[_0x5e47[233]]=_0xffbdx3;this[_0x5e47[247]]=_0xffbdx2b};_0xffbdx2c=true;mxEvent[_0x5e47[255]](_0xffbdx20)});mxEvent[_0x5e47[257]](_0xffbdx2b,_0x5e47[256],mxUtils[_0x5e47[29]](this,function(_0xffbdx20){if(this[_0x5e47[233]]!=null&&this[_0x5e47[247]]!=_0xffbdx2b){this[_0x5e47[231]]();_0xffbdx2d(_0xffbdx20)}}));mxEvent[_0x5e47[257]](_0xffbdx2b,_0x5e47[258],mxUtils[_0x5e47[29]](this,function(){_0xffbdx2c=this[_0x5e47[247]]!=_0xffbdx2b}));mxEvent[_0x5e47[257]](_0xffbdx2b,_0x5e47[259],_0xffbdx2d)}};function Menu(_0xffbdx2a,_0xffbdxb){mxEventSource[_0x5e47[260]](this);this[_0x5e47[194]]=_0xffbdx2a;this[_0x5e47[78]]=(_0xffbdxb!=null)?_0xffbdxb:true}mxUtils[_0x5e47[261]](Menu,mxEventSource);Menu[_0x5e47[5]][_0x5e47[262]]=function(_0xffbdx19){if(this[_0x5e47[78]]!=_0xffbdx19){this[_0x5e47[78]]=_0xffbdx19;this[_0x5e47[264]]( new mxEventObject(_0x5e47[263]))}};Menu[_0x5e47[5]][_0x5e47[182]]=function(_0xffbdx3,_0xffbdx4){this[_0x5e47[194]](_0xffbdx3,_0xffbdx4)} \ No newline at end of file diff --git a/public/assets/plugins/square/js/Shapes.js b/public/assets/plugins/square/js/Shapes.js index 1c054be..021660b 100644 --- a/public/assets/plugins/square/js/Shapes.js +++ b/public/assets/plugins/square/js/Shapes.js @@ -1 +1 @@ -var _0x4bce=["\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x63\x6F\x6E\x73\x74\x72\x75\x63\x74\x6F\x72","\x73\x69\x7A\x65","\x72\x65\x64\x72\x61\x77\x50\x61\x74\x68","\x73\x74\x79\x6C\x65","\x67\x65\x74\x56\x61\x6C\x75\x65","\x6D\x69\x6E","\x6D\x6F\x76\x65\x54\x6F","\x6C\x69\x6E\x65\x54\x6F","\x65\x6E\x64","\x63\x6C\x6F\x73\x65","\x63\x75\x62\x65","\x64\x65\x66\x61\x75\x6C\x74\x53\x68\x61\x70\x65\x73","\x6E\x6F\x74\x65","\x74\x61\x62\x57\x69\x64\x74\x68","\x74\x61\x62\x48\x65\x69\x67\x68\x74","\x74\x61\x62\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x72\x69\x67\x68\x74","\x6C\x65\x66\x74","\x66\x6F\x6C\x64\x65\x72","\x63\x61\x72\x64","\x71\x75\x61\x64\x54\x6F","\x74\x61\x70\x65","\x73\x74\x65\x70","\x63\x61\x6C\x6C","\x65\x78\x74\x65\x6E\x64","\x69\x73\x48\x74\x6D\x6C\x41\x6C\x6C\x6F\x77\x65\x64","\x70\x61\x69\x6E\x74\x46\x6F\x72\x65\x67\x72\x6F\x75\x6E\x64","\x62\x65\x67\x69\x6E","\x73\x74\x72\x6F\x6B\x65","\x61\x70\x70\x6C\x79","\x70\x6C\x75\x73","\x64\x6F\x75\x62\x6C\x65","\x73\x74\x72\x6F\x6B\x65\x77\x69\x64\x74\x68","\x6D\x61\x78","\x70\x61\x69\x6E\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x73\x65\x74\x44\x61\x73\x68\x65\x64","\x73\x79\x6D\x62\x6F\x6C","\x41\x6C\x69\x67\x6E","\x56\x65\x72\x74\x69\x63\x61\x6C\x41\x6C\x69\x67\x6E","\x57\x69\x64\x74\x68","\x48\x65\x69\x67\x68\x74","\x53\x70\x61\x63\x69\x6E\x67","\x41\x72\x63\x53\x70\x61\x63\x69\x6E\x67","\x67\x65\x74\x41\x72\x63\x53\x69\x7A\x65","\x41\x4C\x49\x47\x4E\x5F\x43\x45\x4E\x54\x45\x52","\x41\x4C\x49\x47\x4E\x5F\x52\x49\x47\x48\x54","\x41\x4C\x49\x47\x4E\x5F\x4D\x49\x44\x44\x4C\x45","\x41\x4C\x49\x47\x4E\x5F\x42\x4F\x54\x54\x4F\x4D","\x73\x61\x76\x65","\x70\x61\x69\x6E\x74\x56\x65\x72\x74\x65\x78\x53\x68\x61\x70\x65","\x72\x65\x73\x74\x6F\x72\x65","\x65\x78\x74","\x6D\x65\x73\x73\x61\x67\x65","\x61\x64\x64\x50\x69\x70\x65","\x63\x75\x72\x76\x65\x54\x6F","\x75\x6D\x6C\x41\x63\x74\x6F\x72","\x6C\x6F\x6C\x6C\x69\x70\x6F\x70","\x6A\x65\x74\x74\x79\x57\x69\x64\x74\x68","\x6A\x65\x74\x74\x79\x48\x65\x69\x67\x68\x74","\x63\x6F\x6D\x70\x6F\x6E\x65\x6E\x74","\x6F\x75\x74\x65\x72\x53\x74\x72\x6F\x6B\x65","\x65\x6C\x6C\x69\x70\x73\x65","\x66\x69\x6C\x6C\x41\x6E\x64\x53\x74\x72\x6F\x6B\x65","\x73\x65\x74\x53\x68\x61\x64\x6F\x77","\x65\x6E\x64\x53\x74\x61\x74\x65","\x73\x74\x61\x72\x74\x53\x74\x61\x74\x65","\x69\x6E\x69\x74\x53\x68\x61\x70\x65\x73","\x78","\x79","\x77\x69\x64\x74\x68","\x68\x65\x69\x67\x68\x74","\x73\x68\x61\x70\x65\x73","\x70\x61\x69\x6E\x74\x45\x64\x67\x65\x53\x68\x61\x70\x65","\x6C\x65\x6E\x67\x74\x68","\x73\x71\x72\x74","\x6C\x69\x6E\x6B","\x64\x61\x73\x68","\x61\x64\x64\x4D\x61\x72\x6B\x65\x72","\x75\x6E\x64\x65\x66\x69\x6E\x65\x64","\x75\x73\x65\x47\x72\x69\x64\x46\x6F\x72\x53\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65","\x69\x6E\x69\x74","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C","\x73\x74\x61\x74\x65","\x67\x72\x61\x70\x68","\x76\x69\x65\x77","\x68\x61\x6E\x64\x6C\x65\x49\x6D\x61\x67\x65","\x73\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65","\x73\x72\x63","\x64\x69\x61\x6C\x65\x63\x74","\x44\x49\x41\x4C\x45\x43\x54\x5F\x53\x56\x47","\x44\x49\x41\x4C\x45\x43\x54\x5F\x56\x4D\x4C","\x67\x65\x74\x4F\x76\x65\x72\x6C\x61\x79\x50\x61\x6E\x65","\x67\x65\x74\x56\x69\x65\x77","\x63\x75\x72\x73\x6F\x72","\x6E\x6F\x64\x65","\x67\x65\x74\x53\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65\x43\x75\x72\x73\x6F\x72","\x72\x65\x64\x69\x72\x65\x63\x74\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74\x73","\x64\x65\x66\x61\x75\x6C\x74","\x72\x65\x64\x72\x61\x77","\x62\x6F\x75\x6E\x64\x73","\x67\x65\x74\x53\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65\x42\x6F\x75\x6E\x64\x73","\x64\x65\x73\x74\x72\x6F\x79","\x67\x65\x74\x48\x61\x6E\x64\x6C\x65\x46\x6F\x72\x45\x76\x65\x6E\x74","\x69\x73\x53\x6F\x75\x72\x63\x65","\x6D\x6F\x75\x73\x65\x4D\x6F\x76\x65","\x69\x73\x43\x6F\x6E\x73\x75\x6D\x65\x64","\x69\x6E\x64\x65\x78","\x67\x65\x74\x47\x72\x61\x70\x68\x58","\x67\x65\x74\x47\x72\x61\x70\x68\x59","\x63\x6F\x6E\x73\x74\x72\x61\x69\x6E\x50\x6F\x69\x6E\x74","\x67\x65\x74\x45\x76\x65\x6E\x74","\x69\x73\x47\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64\x45\x76\x65\x6E\x74","\x73\x63\x61\x6C\x65","\x73\x6E\x61\x70","\x75\x70\x64\x61\x74\x65\x53\x74\x79\x6C\x65","\x6D\x6F\x76\x65\x53\x69\x7A\x65\x72\x54\x6F","\x63\x65\x6C\x6C\x52\x65\x6E\x64\x65\x72\x65\x72","\x63\x6F\x6E\x73\x75\x6D\x65","\x6D\x6F\x75\x73\x65\x55\x70","\x61\x70\x70\x6C\x79\x53\x74\x79\x6C\x65","\x72\x65\x73\x65\x74","\x67\x65\x74\x53\x68\x61\x70\x65\x52\x6F\x74\x61\x74\x69\x6F\x6E","\x73\x68\x61\x70\x65","\x74\x6F\x52\x61\x64\x69\x61\x6E\x73","\x63\x6F\x73","\x73\x69\x6E","\x69\x73\x50\x61\x69\x6E\x74\x42\x6F\x75\x6E\x64\x73\x49\x6E\x76\x65\x72\x74\x65\x64","\x67\x65\x74\x53\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65\x50\x6F\x69\x6E\x74","\x66\x6C\x69\x70\x48","\x66\x6C\x69\x70\x56","\x67\x65\x74\x43\x65\x6E\x74\x65\x72\x58","\x67\x65\x74\x43\x65\x6E\x74\x65\x72\x59","\x67\x65\x74\x52\x6F\x74\x61\x74\x65\x64\x50\x6F\x69\x6E\x74","\x75\x70\x64\x61\x74\x65\x53\x74\x79\x6C\x65\x55\x6E\x72\x6F\x74\x61\x74\x65\x64","\x72\x6F\x75\x6E\x64","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x62\x65\x67\x69\x6E\x55\x70\x64\x61\x74\x65","\x63\x65\x6C\x6C","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x65\x6E\x64\x55\x70\x64\x61\x74\x65","\x73\x74\x61\x72\x74\x53\x69\x7A\x65","\x64\x65\x66\x61\x75\x6C\x74\x56\x61\x6C\x75\x65","\x73\x63\x61\x6C\x65\x46\x61\x63\x74\x6F\x72","\x63\x72\x65\x61\x74\x65\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x41\x6C\x6C\x43\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74\x73","\x73\x74\x65\x6E\x63\x69\x6C","\x63\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74\x73"];(function(){function _0x3fb7x1(){}_0x3fb7x1[_0x4bce[0]]= new mxCylinder();_0x3fb7x1[_0x4bce[0]][_0x4bce[1]]=_0x3fb7x1;_0x3fb7x1[_0x4bce[0]][_0x4bce[2]]=20;_0x3fb7x1[_0x4bce[0]][_0x4bce[3]]=function(_0x3fb7x2,_0x3fb7x3,_0x3fb7x4,_0x3fb7x5,_0x3fb7x6,_0x3fb7x7){var _0x3fb7x8=Math[_0x4bce[6]](_0x3fb7x5,Math[_0x4bce[6]](_0x3fb7x6,mxUtils[_0x4bce[5]](this[_0x4bce[4]],_0x4bce[2],this[_0x4bce[2]])));if(_0x3fb7x7){_0x3fb7x2[_0x4bce[7]](_0x3fb7x8,_0x3fb7x6);_0x3fb7x2[_0x4bce[8]](_0x3fb7x8,_0x3fb7x8);_0x3fb7x2[_0x4bce[8]](0,0);_0x3fb7x2[_0x4bce[7]](_0x3fb7x8,_0x3fb7x8);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,_0x3fb7x8);_0x3fb7x2[_0x4bce[9]]()}else {_0x3fb7x2[_0x4bce[7]](0,0);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5-_0x3fb7x8,0);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,_0x3fb7x8);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,_0x3fb7x6);_0x3fb7x2[_0x4bce[8]](_0x3fb7x8,_0x3fb7x6);_0x3fb7x2[_0x4bce[8]](0,_0x3fb7x6-_0x3fb7x8);_0x3fb7x2[_0x4bce[8]](0,0);_0x3fb7x2[_0x4bce[10]]();_0x3fb7x2[_0x4bce[9]]()}};mxCellRenderer[_0x4bce[0]][_0x4bce[12]][_0x4bce[11]]=_0x3fb7x1;function _0x3fb7x9(){}_0x3fb7x9[_0x4bce[0]]= new mxCylinder();_0x3fb7x9[_0x4bce[0]][_0x4bce[1]]=_0x3fb7x9;_0x3fb7x9[_0x4bce[0]][_0x4bce[2]]=30;_0x3fb7x9[_0x4bce[0]][_0x4bce[3]]=function(_0x3fb7x2,_0x3fb7x3,_0x3fb7x4,_0x3fb7x5,_0x3fb7x6,_0x3fb7x7){var _0x3fb7x8=Math[_0x4bce[6]](_0x3fb7x5,Math[_0x4bce[6]](_0x3fb7x6,mxUtils[_0x4bce[5]](this[_0x4bce[4]],_0x4bce[2],this[_0x4bce[2]])));if(_0x3fb7x7){_0x3fb7x2[_0x4bce[7]](_0x3fb7x5-_0x3fb7x8,0);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5-_0x3fb7x8,_0x3fb7x8);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,_0x3fb7x8);_0x3fb7x2[_0x4bce[9]]()}else {_0x3fb7x2[_0x4bce[7]](0,0);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5-_0x3fb7x8,0);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,_0x3fb7x8);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,_0x3fb7x6);_0x3fb7x2[_0x4bce[8]](0,_0x3fb7x6);_0x3fb7x2[_0x4bce[8]](0,0);_0x3fb7x2[_0x4bce[10]]();_0x3fb7x2[_0x4bce[9]]()}};mxCellRenderer[_0x4bce[0]][_0x4bce[12]][_0x4bce[13]]=_0x3fb7x9;function _0x3fb7xa(){}_0x3fb7xa[_0x4bce[0]]= new mxCylinder();_0x3fb7xa[_0x4bce[0]][_0x4bce[1]]=_0x3fb7xa;_0x3fb7xa[_0x4bce[0]][_0x4bce[14]]=60;_0x3fb7xa[_0x4bce[0]][_0x4bce[15]]=20;_0x3fb7xa[_0x4bce[0]][_0x4bce[16]]=_0x4bce[17];_0x3fb7xa[_0x4bce[0]][_0x4bce[3]]=function(_0x3fb7x2,_0x3fb7x3,_0x3fb7x4,_0x3fb7x5,_0x3fb7x6,_0x3fb7x7){var _0x3fb7xb=mxUtils[_0x4bce[5]](this[_0x4bce[4]],_0x4bce[14],this[_0x4bce[14]]);var _0x3fb7xc=mxUtils[_0x4bce[5]](this[_0x4bce[4]],_0x4bce[15],this[_0x4bce[15]]);var _0x3fb7xd=mxUtils[_0x4bce[5]](this[_0x4bce[4]],_0x4bce[16],this[_0x4bce[16]]);var _0x3fb7xe=Math[_0x4bce[6]](_0x3fb7x5,_0x3fb7xb);var _0x3fb7xf=Math[_0x4bce[6]](_0x3fb7x6,_0x3fb7xc);if(_0x3fb7x7){if(_0x3fb7xd==_0x4bce[18]){_0x3fb7x2[_0x4bce[7]](0,_0x3fb7xf);_0x3fb7x2[_0x4bce[8]](_0x3fb7xe,_0x3fb7xf)}else {_0x3fb7x2[_0x4bce[7]](_0x3fb7x5-_0x3fb7xe,_0x3fb7xf);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,_0x3fb7xf)};_0x3fb7x2[_0x4bce[9]]()}else {if(_0x3fb7xd==_0x4bce[18]){_0x3fb7x2[_0x4bce[7]](0,0);_0x3fb7x2[_0x4bce[8]](_0x3fb7xe,0);_0x3fb7x2[_0x4bce[8]](_0x3fb7xe,_0x3fb7xf);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,_0x3fb7xf)}else {_0x3fb7x2[_0x4bce[7]](0,_0x3fb7xf);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5-_0x3fb7xe,_0x3fb7xf);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5-_0x3fb7xe,0);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,0)};_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,_0x3fb7x6);_0x3fb7x2[_0x4bce[8]](0,_0x3fb7x6);_0x3fb7x2[_0x4bce[8]](0,_0x3fb7xf);_0x3fb7x2[_0x4bce[10]]();_0x3fb7x2[_0x4bce[9]]()}};mxCellRenderer[_0x4bce[0]][_0x4bce[12]][_0x4bce[19]]=_0x3fb7xa;function _0x3fb7x10(){}_0x3fb7x10[_0x4bce[0]]= new mxCylinder();_0x3fb7x10[_0x4bce[0]][_0x4bce[1]]=_0x3fb7x10;_0x3fb7x10[_0x4bce[0]][_0x4bce[2]]=30;_0x3fb7x10[_0x4bce[0]][_0x4bce[3]]=function(_0x3fb7x2,_0x3fb7x3,_0x3fb7x4,_0x3fb7x5,_0x3fb7x6,_0x3fb7x7){var _0x3fb7x8=Math[_0x4bce[6]](_0x3fb7x5,Math[_0x4bce[6]](_0x3fb7x6,mxUtils[_0x4bce[5]](this[_0x4bce[4]],_0x4bce[2],this[_0x4bce[2]])));if(!_0x3fb7x7){_0x3fb7x2[_0x4bce[7]](_0x3fb7x8,0);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,0);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,_0x3fb7x6);_0x3fb7x2[_0x4bce[8]](0,_0x3fb7x6);_0x3fb7x2[_0x4bce[8]](0,_0x3fb7x8);_0x3fb7x2[_0x4bce[8]](_0x3fb7x8,0);_0x3fb7x2[_0x4bce[10]]();_0x3fb7x2[_0x4bce[9]]()}};mxCellRenderer[_0x4bce[0]][_0x4bce[12]][_0x4bce[20]]=_0x3fb7x10;function _0x3fb7x11(){}_0x3fb7x11[_0x4bce[0]]= new mxCylinder();_0x3fb7x11[_0x4bce[0]][_0x4bce[1]]=_0x3fb7x11;_0x3fb7x11[_0x4bce[0]][_0x4bce[2]]=0.4;_0x3fb7x11[_0x4bce[0]][_0x4bce[3]]=function(_0x3fb7x2,_0x3fb7x3,_0x3fb7x4,_0x3fb7x5,_0x3fb7x6,_0x3fb7x7){var _0x3fb7x8=mxUtils[_0x4bce[5]](this[_0x4bce[4]],_0x4bce[2],this[_0x4bce[2]]);var _0x3fb7xf=_0x3fb7x6*_0x3fb7x8;var _0x3fb7x12=1.4;if(!_0x3fb7x7){_0x3fb7x2[_0x4bce[7]](0,_0x3fb7xf/2);_0x3fb7x2[_0x4bce[21]](_0x3fb7x5/4,_0x3fb7xf*_0x3fb7x12,_0x3fb7x5/2,_0x3fb7xf/2);_0x3fb7x2[_0x4bce[21]](_0x3fb7x5*3/4,_0x3fb7xf*(1-_0x3fb7x12),_0x3fb7x5,_0x3fb7xf/2);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,_0x3fb7x6-_0x3fb7xf/2);_0x3fb7x2[_0x4bce[21]](_0x3fb7x5*3/4,_0x3fb7x6-_0x3fb7xf*_0x3fb7x12,_0x3fb7x5/2,_0x3fb7x6-_0x3fb7xf/2);_0x3fb7x2[_0x4bce[21]](_0x3fb7x5/4,_0x3fb7x6-_0x3fb7xf*(1-_0x3fb7x12),0,_0x3fb7x6-_0x3fb7xf/2);_0x3fb7x2[_0x4bce[8]](0,_0x3fb7xf/2);_0x3fb7x2[_0x4bce[10]]();_0x3fb7x2[_0x4bce[9]]()}};mxCellRenderer[_0x4bce[0]][_0x4bce[12]][_0x4bce[22]]=_0x3fb7x11;function _0x3fb7x13(){}_0x3fb7x13[_0x4bce[0]]= new mxCylinder();_0x3fb7x13[_0x4bce[0]][_0x4bce[1]]=_0x3fb7x13;_0x3fb7x13[_0x4bce[0]][_0x4bce[2]]=0.2;_0x3fb7x13[_0x4bce[0]][_0x4bce[3]]=function(_0x3fb7x2,_0x3fb7x3,_0x3fb7x4,_0x3fb7x5,_0x3fb7x6,_0x3fb7x7){var _0x3fb7x8=_0x3fb7x5*mxUtils[_0x4bce[5]](this[_0x4bce[4]],_0x4bce[2],this[_0x4bce[2]]);if(!_0x3fb7x7){_0x3fb7x2[_0x4bce[7]](0,0);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5-_0x3fb7x8,0);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,_0x3fb7x6/2);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5-_0x3fb7x8,_0x3fb7x6);_0x3fb7x2[_0x4bce[8]](0,_0x3fb7x6);_0x3fb7x2[_0x4bce[8]](_0x3fb7x8,_0x3fb7x6/2);_0x3fb7x2[_0x4bce[10]]();_0x3fb7x2[_0x4bce[9]]()}};mxCellRenderer[_0x4bce[0]][_0x4bce[12]][_0x4bce[23]]=_0x3fb7x13;function _0x3fb7x14(){mxRectangleShape[_0x4bce[24]](this)}mxUtils[_0x4bce[25]](_0x3fb7x14,mxRectangleShape);_0x3fb7x14[_0x4bce[0]][_0x4bce[26]]=function(){return false};_0x3fb7x14[_0x4bce[0]][_0x4bce[27]]=function(_0x3fb7x15,_0x3fb7x3,_0x3fb7x4,_0x3fb7x5,_0x3fb7x6){var _0x3fb7x16=Math[_0x4bce[6]](_0x3fb7x5/5,_0x3fb7x6/5)+1;_0x3fb7x15[_0x4bce[28]]();_0x3fb7x15[_0x4bce[7]](_0x3fb7x3+_0x3fb7x5/2,_0x3fb7x4+_0x3fb7x16);_0x3fb7x15[_0x4bce[8]](_0x3fb7x3+_0x3fb7x5/2,_0x3fb7x4+_0x3fb7x6-_0x3fb7x16);_0x3fb7x15[_0x4bce[7]](_0x3fb7x3+_0x3fb7x16,_0x3fb7x4+_0x3fb7x6/2);_0x3fb7x15[_0x4bce[8]](_0x3fb7x3+_0x3fb7x5-_0x3fb7x16,_0x3fb7x4+_0x3fb7x6/2);_0x3fb7x15[_0x4bce[9]]();_0x3fb7x15[_0x4bce[29]]();mxRectangleShape[_0x4bce[0]][_0x4bce[27]][_0x4bce[30]](this,arguments)};mxCellRenderer[_0x4bce[0]][_0x4bce[12]][_0x4bce[31]]=_0x3fb7x14;function _0x3fb7x17(){mxRectangleShape[_0x4bce[24]](this)}mxUtils[_0x4bce[25]](_0x3fb7x17,mxRectangleShape);_0x3fb7x17[_0x4bce[0]][_0x4bce[26]]=function(){return false};_0x3fb7x17[_0x4bce[0]][_0x4bce[27]]=function(_0x3fb7x15,_0x3fb7x3,_0x3fb7x4,_0x3fb7x5,_0x3fb7x6){if(this[_0x4bce[4]]!=null){if(this[_0x4bce[4]][_0x4bce[32]]==1){var _0x3fb7x18=Math[_0x4bce[34]](2,this[_0x4bce[33]]+1);mxRectangleShape[_0x4bce[0]][_0x4bce[35]][_0x4bce[24]](this,_0x3fb7x15,_0x3fb7x3+_0x3fb7x18,_0x3fb7x4+_0x3fb7x18,_0x3fb7x5-2*_0x3fb7x18,_0x3fb7x6-2*_0x3fb7x18);mxRectangleShape[_0x4bce[0]][_0x4bce[27]][_0x4bce[30]](this,arguments);_0x3fb7x3+=_0x3fb7x18;_0x3fb7x4+=_0x3fb7x18;_0x3fb7x5-=2*_0x3fb7x18;_0x3fb7x6-=2*_0x3fb7x18};_0x3fb7x15[_0x4bce[36]](false);var _0x3fb7x19=0;var _0x3fb7x1a=null;do{_0x3fb7x1a=mxCellRenderer[_0x4bce[0]][_0x4bce[12]][this[_0x4bce[4]][_0x4bce[37]+_0x3fb7x19]];if(_0x3fb7x1a!=null){var _0x3fb7x1b=this[_0x4bce[4]][_0x4bce[37]+_0x3fb7x19+_0x4bce[38]];var _0x3fb7x1c=this[_0x4bce[4]][_0x4bce[37]+_0x3fb7x19+_0x4bce[39]];var _0x3fb7x1d=this[_0x4bce[4]][_0x4bce[37]+_0x3fb7x19+_0x4bce[40]];var _0x3fb7x1e=this[_0x4bce[4]][_0x4bce[37]+_0x3fb7x19+_0x4bce[41]];var _0x3fb7x1f=this[_0x4bce[4]][_0x4bce[37]+_0x3fb7x19+_0x4bce[42]]||0;var _0x3fb7x20=this[_0x4bce[4]][_0x4bce[37]+_0x3fb7x19+_0x4bce[43]];if(_0x3fb7x20!=null){_0x3fb7x1f+=this[_0x4bce[44]](_0x3fb7x5+this[_0x4bce[33]],_0x3fb7x6+this[_0x4bce[33]])*_0x3fb7x20};var _0x3fb7x21=_0x3fb7x3;var _0x3fb7x22=_0x3fb7x4;if(_0x3fb7x1b==mxConstants[_0x4bce[45]]){_0x3fb7x21+=(_0x3fb7x5-_0x3fb7x1d)/2}else {if(_0x3fb7x1b==mxConstants[_0x4bce[46]]){_0x3fb7x21+=_0x3fb7x5-_0x3fb7x1d-_0x3fb7x1f}else {_0x3fb7x21+=_0x3fb7x1f}};if(_0x3fb7x1c==mxConstants[_0x4bce[47]]){_0x3fb7x22+=(_0x3fb7x6-_0x3fb7x1e)/2}else {if(_0x3fb7x1c==mxConstants[_0x4bce[48]]){_0x3fb7x22+=_0x3fb7x6-_0x3fb7x1e-_0x3fb7x1f}else {_0x3fb7x22+=_0x3fb7x1f}};_0x3fb7x15[_0x4bce[49]]();var _0x3fb7x23= new _0x3fb7x1a();_0x3fb7x23[_0x4bce[4]]=this[_0x4bce[4]];_0x3fb7x1a[_0x4bce[0]][_0x4bce[50]][_0x4bce[24]](_0x3fb7x23,_0x3fb7x15,_0x3fb7x21,_0x3fb7x22,_0x3fb7x1d,_0x3fb7x1e);_0x3fb7x15[_0x4bce[51]]()};_0x3fb7x19++}while(_0x3fb7x1a!=null);}};mxCellRenderer[_0x4bce[0]][_0x4bce[12]][_0x4bce[52]]=_0x3fb7x17;function _0x3fb7x24(){}_0x3fb7x24[_0x4bce[0]]= new mxCylinder();_0x3fb7x24[_0x4bce[0]][_0x4bce[1]]=_0x3fb7x24;_0x3fb7x24[_0x4bce[0]][_0x4bce[3]]=function(_0x3fb7x2,_0x3fb7x3,_0x3fb7x4,_0x3fb7x5,_0x3fb7x6,_0x3fb7x7){if(_0x3fb7x7){_0x3fb7x2[_0x4bce[7]](0,0);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5/2,_0x3fb7x6/2);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,0);_0x3fb7x2[_0x4bce[9]]()}else {_0x3fb7x2[_0x4bce[7]](0,0);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,0);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,_0x3fb7x6);_0x3fb7x2[_0x4bce[8]](0,_0x3fb7x6);_0x3fb7x2[_0x4bce[10]]()}};mxCellRenderer[_0x4bce[0]][_0x4bce[12]][_0x4bce[53]]=_0x3fb7x24;function _0x3fb7x25(){}_0x3fb7x25[_0x4bce[0]]= new mxCylinder();_0x3fb7x25[_0x4bce[0]][_0x4bce[1]]=_0x3fb7x25;_0x3fb7x25[_0x4bce[0]][_0x4bce[54]]=true;_0x3fb7x25[_0x4bce[0]][_0x4bce[3]]=function(_0x3fb7x2,_0x3fb7x3,_0x3fb7x4,_0x3fb7x5,_0x3fb7x6,_0x3fb7x7){var _0x3fb7x1d=_0x3fb7x5/3;var _0x3fb7x1e=_0x3fb7x6/4;if(!_0x3fb7x7){_0x3fb7x2[_0x4bce[7]](_0x3fb7x5/2,_0x3fb7x1e);_0x3fb7x2[_0x4bce[55]](_0x3fb7x5/2-_0x3fb7x1d,_0x3fb7x1e,_0x3fb7x5/2-_0x3fb7x1d,0,_0x3fb7x5/2,0);_0x3fb7x2[_0x4bce[55]](_0x3fb7x5/2+_0x3fb7x1d,0,_0x3fb7x5/2+_0x3fb7x1d,_0x3fb7x1e,_0x3fb7x5/2,_0x3fb7x1e);_0x3fb7x2[_0x4bce[10]]();_0x3fb7x2[_0x4bce[7]](_0x3fb7x5/2,_0x3fb7x1e);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5/2,2*_0x3fb7x6/3);_0x3fb7x2[_0x4bce[7]](_0x3fb7x5/2,_0x3fb7x6/3);_0x3fb7x2[_0x4bce[8]](0,_0x3fb7x6/3);_0x3fb7x2[_0x4bce[7]](_0x3fb7x5/2,_0x3fb7x6/3);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,_0x3fb7x6/3);_0x3fb7x2[_0x4bce[7]](_0x3fb7x5/2,2*_0x3fb7x6/3);_0x3fb7x2[_0x4bce[8]](0,_0x3fb7x6);_0x3fb7x2[_0x4bce[7]](_0x3fb7x5/2,2*_0x3fb7x6/3);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,_0x3fb7x6);_0x3fb7x2[_0x4bce[9]]()}};mxCellRenderer[_0x4bce[0]][_0x4bce[12]][_0x4bce[56]]=_0x3fb7x25;function _0x3fb7x26(){}_0x3fb7x26[_0x4bce[0]]= new mxCylinder();_0x3fb7x26[_0x4bce[0]][_0x4bce[1]]=_0x3fb7x26;_0x3fb7x26[_0x4bce[0]][_0x4bce[2]]=10;_0x3fb7x26[_0x4bce[0]][_0x4bce[54]]=true;_0x3fb7x26[_0x4bce[0]][_0x4bce[3]]=function(_0x3fb7x2,_0x3fb7x3,_0x3fb7x4,_0x3fb7x5,_0x3fb7x6,_0x3fb7x7){var _0x3fb7x27=mxUtils[_0x4bce[5]](this[_0x4bce[4]],_0x4bce[2],this[_0x4bce[2]]);var _0x3fb7x1d=_0x3fb7x27*2/3;var _0x3fb7x1e=_0x3fb7x27;if(!_0x3fb7x7){_0x3fb7x2[_0x4bce[7]](_0x3fb7x5/2,_0x3fb7x1e);_0x3fb7x2[_0x4bce[55]](_0x3fb7x5/2-_0x3fb7x1d,_0x3fb7x1e,_0x3fb7x5/2-_0x3fb7x1d,0,_0x3fb7x5/2,0);_0x3fb7x2[_0x4bce[55]](_0x3fb7x5/2+_0x3fb7x1d,0,_0x3fb7x5/2+_0x3fb7x1d,_0x3fb7x1e,_0x3fb7x5/2,_0x3fb7x1e);_0x3fb7x2[_0x4bce[10]]();_0x3fb7x2[_0x4bce[7]](_0x3fb7x5/2,_0x3fb7x1e);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5/2,_0x3fb7x6);_0x3fb7x2[_0x4bce[9]]()}};mxCellRenderer[_0x4bce[0]][_0x4bce[12]][_0x4bce[57]]=_0x3fb7x26;function _0x3fb7x28(){}_0x3fb7x28[_0x4bce[0]]= new mxCylinder();_0x3fb7x28[_0x4bce[0]][_0x4bce[1]]=_0x3fb7x28;_0x3fb7x28[_0x4bce[0]][_0x4bce[58]]=32;_0x3fb7x28[_0x4bce[0]][_0x4bce[59]]=12;_0x3fb7x28[_0x4bce[0]][_0x4bce[3]]=function(_0x3fb7x2,_0x3fb7x3,_0x3fb7x4,_0x3fb7x5,_0x3fb7x6,_0x3fb7x7){var _0x3fb7xe=mxUtils[_0x4bce[5]](this[_0x4bce[4]],_0x4bce[58],this[_0x4bce[58]]);var _0x3fb7xf=mxUtils[_0x4bce[5]](this[_0x4bce[4]],_0x4bce[59],this[_0x4bce[59]]);var _0x3fb7x29=_0x3fb7xe/2;var _0x3fb7x2a=_0x3fb7x29+_0x3fb7xe/2;var _0x3fb7x2b=0.3*_0x3fb7x6-_0x3fb7xf/2;var _0x3fb7x2c=0.7*_0x3fb7x6-_0x3fb7xf/2;if(_0x3fb7x7){_0x3fb7x2[_0x4bce[7]](_0x3fb7x29,_0x3fb7x2b);_0x3fb7x2[_0x4bce[8]](_0x3fb7x2a,_0x3fb7x2b);_0x3fb7x2[_0x4bce[8]](_0x3fb7x2a,_0x3fb7x2b+_0x3fb7xf);_0x3fb7x2[_0x4bce[8]](_0x3fb7x29,_0x3fb7x2b+_0x3fb7xf);_0x3fb7x2[_0x4bce[7]](_0x3fb7x29,_0x3fb7x2c);_0x3fb7x2[_0x4bce[8]](_0x3fb7x2a,_0x3fb7x2c);_0x3fb7x2[_0x4bce[8]](_0x3fb7x2a,_0x3fb7x2c+_0x3fb7xf);_0x3fb7x2[_0x4bce[8]](_0x3fb7x29,_0x3fb7x2c+_0x3fb7xf);_0x3fb7x2[_0x4bce[9]]()}else {_0x3fb7x2[_0x4bce[7]](_0x3fb7x29,0);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,0);_0x3fb7x2[_0x4bce[8]](_0x3fb7x5,_0x3fb7x6);_0x3fb7x2[_0x4bce[8]](_0x3fb7x29,_0x3fb7x6);_0x3fb7x2[_0x4bce[8]](_0x3fb7x29,_0x3fb7x2c+_0x3fb7xf);_0x3fb7x2[_0x4bce[8]](0,_0x3fb7x2c+_0x3fb7xf);_0x3fb7x2[_0x4bce[8]](0,_0x3fb7x2c);_0x3fb7x2[_0x4bce[8]](_0x3fb7x29,_0x3fb7x2c);_0x3fb7x2[_0x4bce[8]](_0x3fb7x29,_0x3fb7x2b+_0x3fb7xf);_0x3fb7x2[_0x4bce[8]](0,_0x3fb7x2b+_0x3fb7xf);_0x3fb7x2[_0x4bce[8]](0,_0x3fb7x2b);_0x3fb7x2[_0x4bce[8]](_0x3fb7x29,_0x3fb7x2b);_0x3fb7x2[_0x4bce[10]]();_0x3fb7x2[_0x4bce[9]]()}};mxCellRenderer[_0x4bce[0]][_0x4bce[12]][_0x4bce[60]]=_0x3fb7x28;function _0x3fb7x2d(){}_0x3fb7x2d[_0x4bce[0]]= new mxDoubleEllipse();_0x3fb7x2d[_0x4bce[0]][_0x4bce[1]]=_0x3fb7x2d;_0x3fb7x2d[_0x4bce[0]][_0x4bce[61]]=true;_0x3fb7x2d[_0x4bce[0]][_0x4bce[50]]=function(_0x3fb7x15,_0x3fb7x3,_0x3fb7x4,_0x3fb7x5,_0x3fb7x6){var _0x3fb7x18=Math[_0x4bce[6]](4,Math[_0x4bce[6]](_0x3fb7x5/5,_0x3fb7x6/5));if(_0x3fb7x5>0&&_0x3fb7x6>0){_0x3fb7x15[_0x4bce[62]](_0x3fb7x3+_0x3fb7x18,_0x3fb7x4+_0x3fb7x18,_0x3fb7x5-2*_0x3fb7x18,_0x3fb7x6-2*_0x3fb7x18);_0x3fb7x15[_0x4bce[63]]()};_0x3fb7x15[_0x4bce[64]](false);if(this[_0x4bce[61]]){_0x3fb7x15[_0x4bce[62]](_0x3fb7x3,_0x3fb7x4,_0x3fb7x5,_0x3fb7x6);_0x3fb7x15[_0x4bce[29]]()}};mxCellRenderer[_0x4bce[0]][_0x4bce[12]][_0x4bce[65]]=_0x3fb7x2d;function _0x3fb7x2e(){}_0x3fb7x2e[_0x4bce[0]]= new _0x3fb7x2d();_0x3fb7x2e[_0x4bce[0]][_0x4bce[1]]=_0x3fb7x2e;_0x3fb7x2e[_0x4bce[0]][_0x4bce[61]]=false;mxCellRenderer[_0x4bce[0]][_0x4bce[12]][_0x4bce[66]]=_0x3fb7x2e;var _0x3fb7x2f=mxImageExport[_0x4bce[0]][_0x4bce[67]];mxImageExport[_0x4bce[0]][_0x4bce[67]]=function(){_0x3fb7x2f[_0x4bce[30]](this,arguments);function _0x3fb7x30(_0x3fb7x31){return {drawShape:function(_0x3fb7x32,_0x3fb7x33,_0x3fb7x34,_0x3fb7x35){var _0x3fb7x3=_0x3fb7x34[_0x4bce[68]];var _0x3fb7x4=_0x3fb7x34[_0x4bce[69]];var _0x3fb7x5=_0x3fb7x34[_0x4bce[70]];var _0x3fb7x6=_0x3fb7x34[_0x4bce[71]];if(_0x3fb7x35){var _0x3fb7x18=Math[_0x4bce[6]](4,Math[_0x4bce[6]](_0x3fb7x5/5,_0x3fb7x6/5));_0x3fb7x3+=_0x3fb7x18;_0x3fb7x4+=_0x3fb7x18;_0x3fb7x5-=2*_0x3fb7x18;_0x3fb7x6-=2*_0x3fb7x18;if(_0x3fb7x5>0&&_0x3fb7x6>0){_0x3fb7x32[_0x4bce[62]](_0x3fb7x3,_0x3fb7x4,_0x3fb7x5,_0x3fb7x6)};return true}else {_0x3fb7x32[_0x4bce[63]]();if(_0x3fb7x31){_0x3fb7x32[_0x4bce[62]](_0x3fb7x3,_0x3fb7x4,_0x3fb7x5,_0x3fb7x6);_0x3fb7x32[_0x4bce[29]]()}}}}}this[_0x4bce[72]][_0x4bce[65]]=_0x3fb7x30(true);this[_0x4bce[72]][_0x4bce[66]]=_0x3fb7x30(false)};function _0x3fb7x36(){mxArrow[_0x4bce[24]](this)}mxUtils[_0x4bce[25]](_0x3fb7x36,mxArrow);_0x3fb7x36[_0x4bce[0]][_0x4bce[73]]=function(_0x3fb7x15,_0x3fb7x37){var _0x3fb7x1d=10;var _0x3fb7x38=_0x3fb7x37[0];var _0x3fb7x39=_0x3fb7x37[_0x3fb7x37[_0x4bce[74]]-1];var _0x3fb7xe=_0x3fb7x39[_0x4bce[68]]-_0x3fb7x38[_0x4bce[68]];var _0x3fb7xf=_0x3fb7x39[_0x4bce[69]]-_0x3fb7x38[_0x4bce[69]];var _0x3fb7x3a=Math[_0x4bce[75]](_0x3fb7xe*_0x3fb7xe+_0x3fb7xf*_0x3fb7xf);var _0x3fb7x3b=_0x3fb7x3a;var _0x3fb7x3c=_0x3fb7xe/_0x3fb7x3a;var _0x3fb7x3d=_0x3fb7xf/_0x3fb7x3a;var _0x3fb7x3e=_0x3fb7x3b*_0x3fb7x3c;var _0x3fb7x3f=_0x3fb7x3b*_0x3fb7x3d;var _0x3fb7x40=_0x3fb7x1d*_0x3fb7x3d/3;var _0x3fb7x41=-_0x3fb7x1d*_0x3fb7x3c/3;var _0x3fb7x42=_0x3fb7x38[_0x4bce[68]]-_0x3fb7x40/2;var _0x3fb7x43=_0x3fb7x38[_0x4bce[69]]-_0x3fb7x41/2;var _0x3fb7x44=_0x3fb7x42+_0x3fb7x40;var _0x3fb7x45=_0x3fb7x43+_0x3fb7x41;var _0x3fb7x46=_0x3fb7x44+_0x3fb7x3e;var _0x3fb7x47=_0x3fb7x45+_0x3fb7x3f;var _0x3fb7x48=_0x3fb7x46+_0x3fb7x40;var _0x3fb7x49=_0x3fb7x47+_0x3fb7x41;var _0x3fb7x4a=_0x3fb7x48-3*_0x3fb7x40;var _0x3fb7x4b=_0x3fb7x49-3*_0x3fb7x41;_0x3fb7x15[_0x4bce[28]]();_0x3fb7x15[_0x4bce[7]](_0x3fb7x44,_0x3fb7x45);_0x3fb7x15[_0x4bce[8]](_0x3fb7x46,_0x3fb7x47);_0x3fb7x15[_0x4bce[7]](_0x3fb7x4a+_0x3fb7x40,_0x3fb7x4b+_0x3fb7x41);_0x3fb7x15[_0x4bce[8]](_0x3fb7x42,_0x3fb7x43);_0x3fb7x15[_0x4bce[29]]()};mxCellRenderer[_0x4bce[0]][_0x4bce[12]][_0x4bce[76]]=_0x3fb7x36;mxMarker[_0x4bce[78]](_0x4bce[77],function(_0x3fb7x32,_0x3fb7x1a,_0x3fb7x4c,_0x3fb7x39,_0x3fb7x4d,_0x3fb7x4e,_0x3fb7x4f,_0x3fb7x50,_0x3fb7x51,_0x3fb7x52){var _0x3fb7x3c=_0x3fb7x4d*(_0x3fb7x4f+_0x3fb7x51+1);var _0x3fb7x3d=_0x3fb7x4e*(_0x3fb7x4f+_0x3fb7x51+1);return function(){_0x3fb7x32[_0x4bce[28]]();_0x3fb7x32[_0x4bce[7]](_0x3fb7x39[_0x4bce[68]]-_0x3fb7x3c/2-_0x3fb7x3d/2,_0x3fb7x39[_0x4bce[69]]-_0x3fb7x3d/2+_0x3fb7x3c/2);_0x3fb7x32[_0x4bce[8]](_0x3fb7x39[_0x4bce[68]]+_0x3fb7x3d/2-3*_0x3fb7x3c/2,_0x3fb7x39[_0x4bce[69]]-3*_0x3fb7x3d/2-_0x3fb7x3c/2);_0x3fb7x32[_0x4bce[29]]()}});var _0x3fb7x53=-99;if( typeof (mxVertexHandler)!=_0x4bce[79]){function _0x3fb7x54(_0x3fb7x33){mxVertexHandler[_0x4bce[24]](this,_0x3fb7x33)}mxUtils[_0x4bce[25]](_0x3fb7x54,mxVertexHandler);_0x3fb7x54[_0x4bce[0]][_0x4bce[80]]=false;_0x3fb7x54[_0x4bce[0]][_0x4bce[81]]=function(){this[_0x4bce[82]]=mxUtils[_0x4bce[5]](this[_0x4bce[83]][_0x4bce[4]],mxConstants.STYLE_HORIZONTAL,true);var _0x3fb7x55=this[_0x4bce[83]][_0x4bce[85]][_0x4bce[84]];if(this[_0x4bce[86]]!=null){var _0x3fb7x34= new mxRectangle(0,0,this[_0x4bce[86]][_0x4bce[70]],this[_0x4bce[86]][_0x4bce[71]]);this[_0x4bce[87]]= new mxImageShape(_0x3fb7x34,this[_0x4bce[86]][_0x4bce[88]])}else {var _0x3fb7x4f=10;var _0x3fb7x34= new mxRectangle(0,0,_0x3fb7x4f,_0x3fb7x4f);this[_0x4bce[87]]= new mxRhombus(_0x3fb7x34,mxConstants.HANDLE_FILLCOLOR,mxConstants.HANDLE_STROKECOLOR)};this[_0x4bce[87]][_0x4bce[89]]=(_0x3fb7x55[_0x4bce[89]]!=mxConstants[_0x4bce[90]])?mxConstants[_0x4bce[91]]:mxConstants[_0x4bce[90]];this[_0x4bce[87]][_0x4bce[81]](_0x3fb7x55[_0x4bce[93]]()[_0x4bce[92]]());this[_0x4bce[87]][_0x4bce[95]][_0x4bce[4]][_0x4bce[94]]=this[_0x4bce[96]]();mxEvent[_0x4bce[97]](this[_0x4bce[87]][_0x4bce[95]],_0x3fb7x55,this[_0x4bce[83]]);mxVertexHandler[_0x4bce[0]][_0x4bce[81]][_0x4bce[30]](this,arguments)};_0x3fb7x54[_0x4bce[0]][_0x4bce[96]]=function(){return _0x4bce[98]};_0x3fb7x54[_0x4bce[0]][_0x4bce[99]]=function(){mxVertexHandler[_0x4bce[0]][_0x4bce[99]][_0x4bce[30]](this,arguments);var _0x3fb7x4f=this[_0x4bce[87]][_0x4bce[100]][_0x4bce[70]];this[_0x4bce[87]][_0x4bce[100]]=this[_0x4bce[101]](_0x3fb7x4f);this[_0x4bce[87]][_0x4bce[99]]()};_0x3fb7x54[_0x4bce[0]][_0x4bce[102]]=function(){mxVertexHandler[_0x4bce[0]][_0x4bce[102]][_0x4bce[30]](this,arguments);if(this[_0x4bce[87]]!=null){this[_0x4bce[87]][_0x4bce[102]]();this[_0x4bce[87]]=null}};_0x3fb7x54[_0x4bce[0]][_0x4bce[103]]=function(_0x3fb7x56){if(_0x3fb7x56[_0x4bce[104]](this[_0x4bce[87]])){return _0x3fb7x53};return mxVertexHandler[_0x4bce[0]][_0x4bce[103]][_0x4bce[30]](this,arguments)};_0x3fb7x54[_0x4bce[0]][_0x4bce[105]]=function(_0x3fb7x57,_0x3fb7x56){if(!_0x3fb7x56[_0x4bce[106]]()&&this[_0x4bce[107]]==_0x3fb7x53){var _0x3fb7x58= new mxPoint(_0x3fb7x56[_0x4bce[108]](),_0x3fb7x56[_0x4bce[109]]());this[_0x4bce[110]](_0x3fb7x58);var _0x3fb7x59=this[_0x4bce[84]][_0x4bce[112]](_0x3fb7x56[_0x4bce[111]]());var _0x3fb7x5a=this[_0x4bce[84]][_0x4bce[93]]()[_0x4bce[113]];if(_0x3fb7x59&&this[_0x4bce[80]]){_0x3fb7x58[_0x4bce[68]]=this[_0x4bce[84]][_0x4bce[114]](_0x3fb7x58[_0x4bce[68]]/_0x3fb7x5a)*_0x3fb7x5a;_0x3fb7x58[_0x4bce[69]]=this[_0x4bce[84]][_0x4bce[114]](_0x3fb7x58[_0x4bce[69]]/_0x3fb7x5a)*_0x3fb7x5a};this[_0x4bce[115]](_0x3fb7x58);this[_0x4bce[116]](this[_0x4bce[87]],_0x3fb7x58[_0x4bce[68]],_0x3fb7x58[_0x4bce[69]]);this[_0x4bce[83]][_0x4bce[85]][_0x4bce[84]][_0x4bce[117]][_0x4bce[99]](this[_0x4bce[83]],true);_0x3fb7x56[_0x4bce[118]]()}else {mxVertexHandler[_0x4bce[0]][_0x4bce[105]][_0x4bce[30]](this,arguments)}};_0x3fb7x54[_0x4bce[0]][_0x4bce[119]]=function(_0x3fb7x57,_0x3fb7x56){if(!_0x3fb7x56[_0x4bce[106]]()&&this[_0x4bce[107]]==_0x3fb7x53){this[_0x4bce[120]]();this[_0x4bce[121]]();_0x3fb7x56[_0x4bce[118]]()}else {mxVertexHandler[_0x4bce[0]][_0x4bce[119]][_0x4bce[30]](this,arguments)}};_0x3fb7x54[_0x4bce[0]][_0x4bce[101]]=function(_0x3fb7x4f){var _0x3fb7x5b=this[_0x4bce[83]][_0x4bce[123]][_0x4bce[122]]();var _0x3fb7x5c=mxUtils[_0x4bce[124]](_0x3fb7x5b);var _0x3fb7x5d=Math[_0x4bce[125]](_0x3fb7x5c);var _0x3fb7x5e=Math[_0x4bce[126]](_0x3fb7x5c);var _0x3fb7x34= new mxRectangle(this[_0x4bce[83]][_0x4bce[68]],this[_0x4bce[83]][_0x4bce[69]],this[_0x4bce[83]][_0x4bce[70]],this[_0x4bce[83]][_0x4bce[71]]);if(this[_0x4bce[83]][_0x4bce[123]][_0x4bce[127]]()){var _0x3fb7x5f=(_0x3fb7x34[_0x4bce[70]]-_0x3fb7x34[_0x4bce[71]])/2;_0x3fb7x34[_0x4bce[68]]+=_0x3fb7x5f;_0x3fb7x34[_0x4bce[69]]-=_0x3fb7x5f;var _0x3fb7x23=_0x3fb7x34[_0x4bce[70]];_0x3fb7x34[_0x4bce[70]]=_0x3fb7x34[_0x4bce[71]];_0x3fb7x34[_0x4bce[71]]=_0x3fb7x23};var _0x3fb7x60=this[_0x4bce[128]](_0x3fb7x34);if(this[_0x4bce[83]][_0x4bce[123]][_0x4bce[129]]){_0x3fb7x60[_0x4bce[68]]=2*_0x3fb7x34[_0x4bce[68]]+_0x3fb7x34[_0x4bce[70]]-_0x3fb7x60[_0x4bce[68]]};if(this[_0x4bce[83]][_0x4bce[123]][_0x4bce[130]]){_0x3fb7x60[_0x4bce[69]]=2*_0x3fb7x34[_0x4bce[69]]+_0x3fb7x34[_0x4bce[71]]-_0x3fb7x60[_0x4bce[69]]};_0x3fb7x60=mxUtils[_0x4bce[133]](_0x3fb7x60,_0x3fb7x5d,_0x3fb7x5e, new mxPoint(this[_0x4bce[83]][_0x4bce[131]](),this[_0x4bce[83]][_0x4bce[132]]()));return new mxRectangle(_0x3fb7x60[_0x4bce[68]]-_0x3fb7x4f/2,_0x3fb7x60[_0x4bce[69]]-_0x3fb7x4f/2,_0x3fb7x4f,_0x3fb7x4f)};_0x3fb7x54[_0x4bce[0]][_0x4bce[128]]=function(_0x3fb7x34){return null};_0x3fb7x54[_0x4bce[0]][_0x4bce[115]]=function(_0x3fb7x58){};_0x3fb7x54[_0x4bce[0]][_0x4bce[110]]=function(_0x3fb7x58){_0x3fb7x58[_0x4bce[68]]=Math[_0x4bce[34]](this[_0x4bce[83]][_0x4bce[68]],Math[_0x4bce[6]](this[_0x4bce[83]][_0x4bce[68]]+this[_0x4bce[83]][_0x4bce[70]],_0x3fb7x58[_0x4bce[68]]));_0x3fb7x58[_0x4bce[69]]=Math[_0x4bce[34]](this[_0x4bce[83]][_0x4bce[69]],Math[_0x4bce[6]](this[_0x4bce[83]][_0x4bce[69]]+this[_0x4bce[83]][_0x4bce[71]],_0x3fb7x58[_0x4bce[69]]))};_0x3fb7x54[_0x4bce[0]][_0x4bce[120]]=function(){};function _0x3fb7x61(_0x3fb7x33){_0x3fb7x54[_0x4bce[24]](this,_0x3fb7x33)}mxUtils[_0x4bce[25]](_0x3fb7x61,_0x3fb7x54);_0x3fb7x61[_0x4bce[0]][_0x4bce[128]]=function(_0x3fb7x34){var _0x3fb7x5a=this[_0x4bce[84]][_0x4bce[93]]()[_0x4bce[113]];var _0x3fb7xb=Math[_0x4bce[6]](_0x3fb7x34[_0x4bce[70]],mxUtils[_0x4bce[5]](this[_0x4bce[83]][_0x4bce[4]],_0x4bce[14],60)*_0x3fb7x5a);var _0x3fb7xc=Math[_0x4bce[6]](_0x3fb7x34[_0x4bce[71]],mxUtils[_0x4bce[5]](this[_0x4bce[83]][_0x4bce[4]],_0x4bce[15],20)*_0x3fb7x5a);var _0x3fb7xd=mxUtils[_0x4bce[5]](this[_0x4bce[83]][_0x4bce[4]],_0x4bce[16],_0x4bce[17]);var _0x3fb7x3=(_0x3fb7xd==_0x4bce[18])?_0x3fb7x34[_0x4bce[68]]+_0x3fb7xb:_0x3fb7x34[_0x4bce[68]]+_0x3fb7x34[_0x4bce[70]]-_0x3fb7xb;return new mxPoint(_0x3fb7x3,_0x3fb7x34[_0x4bce[69]]+_0x3fb7xc)};_0x3fb7x61[_0x4bce[0]][_0x4bce[115]]=function(_0x3fb7x58){var _0x3fb7x5b=this[_0x4bce[83]][_0x4bce[123]][_0x4bce[122]]();var _0x3fb7x5c=mxUtils[_0x4bce[124]](_0x3fb7x5b);var _0x3fb7x5d=Math[_0x4bce[125]](-_0x3fb7x5c);var _0x3fb7x5e=Math[_0x4bce[126]](-_0x3fb7x5c);var _0x3fb7x34= new mxRectangle(this[_0x4bce[83]][_0x4bce[68]],this[_0x4bce[83]][_0x4bce[69]],this[_0x4bce[83]][_0x4bce[70]],this[_0x4bce[83]][_0x4bce[71]]);if(this[_0x4bce[83]][_0x4bce[123]][_0x4bce[127]]()){var _0x3fb7x5f=(_0x3fb7x34[_0x4bce[70]]-_0x3fb7x34[_0x4bce[71]])/2;_0x3fb7x34[_0x4bce[68]]+=_0x3fb7x5f;_0x3fb7x34[_0x4bce[69]]-=_0x3fb7x5f;var _0x3fb7x23=_0x3fb7x34[_0x4bce[70]];_0x3fb7x34[_0x4bce[70]]=_0x3fb7x34[_0x4bce[71]];_0x3fb7x34[_0x4bce[71]]=_0x3fb7x23};var _0x3fb7x60= new mxPoint(_0x3fb7x58[_0x4bce[68]],_0x3fb7x58[_0x4bce[69]]);_0x3fb7x60=mxUtils[_0x4bce[133]](_0x3fb7x60,_0x3fb7x5d,_0x3fb7x5e, new mxPoint(this[_0x4bce[83]][_0x4bce[131]](),this[_0x4bce[83]][_0x4bce[132]]()));if(this[_0x4bce[83]][_0x4bce[123]][_0x4bce[129]]){_0x3fb7x60[_0x4bce[68]]=2*_0x3fb7x34[_0x4bce[68]]+_0x3fb7x34[_0x4bce[70]]-_0x3fb7x60[_0x4bce[68]]};if(this[_0x4bce[83]][_0x4bce[123]][_0x4bce[130]]){_0x3fb7x60[_0x4bce[69]]=2*_0x3fb7x34[_0x4bce[69]]+_0x3fb7x34[_0x4bce[71]]-_0x3fb7x60[_0x4bce[69]]};var _0x3fb7x62=this[_0x4bce[134]](_0x3fb7x60,_0x3fb7x34);if(_0x3fb7x62!=null){if(this[_0x4bce[83]][_0x4bce[123]][_0x4bce[129]]){_0x3fb7x62[_0x4bce[68]]=2*_0x3fb7x34[_0x4bce[68]]+_0x3fb7x34[_0x4bce[70]]-_0x3fb7x62[_0x4bce[68]]};if(this[_0x4bce[83]][_0x4bce[123]][_0x4bce[130]]){_0x3fb7x62[_0x4bce[69]]=2*_0x3fb7x34[_0x4bce[69]]+_0x3fb7x34[_0x4bce[71]]-_0x3fb7x62[_0x4bce[69]]};_0x3fb7x5d=Math[_0x4bce[125]](_0x3fb7x5c);_0x3fb7x5e=Math[_0x4bce[126]](_0x3fb7x5c);_0x3fb7x62=mxUtils[_0x4bce[133]](_0x3fb7x62,_0x3fb7x5d,_0x3fb7x5e, new mxPoint(this[_0x4bce[83]][_0x4bce[131]](),this[_0x4bce[83]][_0x4bce[132]]()));_0x3fb7x58[_0x4bce[68]]=_0x3fb7x62[_0x4bce[68]];_0x3fb7x58[_0x4bce[69]]=_0x3fb7x62[_0x4bce[69]]}};_0x3fb7x61[_0x4bce[0]][_0x4bce[134]]=function(_0x3fb7x60,_0x3fb7x34){var _0x3fb7xd=mxUtils[_0x4bce[5]](this[_0x4bce[83]][_0x4bce[4]],_0x4bce[16],_0x4bce[17]);var _0x3fb7xb=(_0x3fb7xd==_0x4bce[18])?_0x3fb7x60[_0x4bce[68]]-_0x3fb7x34[_0x4bce[68]]:_0x3fb7x34[_0x4bce[68]]+_0x3fb7x34[_0x4bce[70]]-_0x3fb7x60[_0x4bce[68]];var _0x3fb7xc=_0x3fb7x60[_0x4bce[69]]-_0x3fb7x34[_0x4bce[69]];var _0x3fb7x5a=this[_0x4bce[84]][_0x4bce[93]]()[_0x4bce[113]];this[_0x4bce[83]][_0x4bce[4]][_0x4bce[14]]=Math[_0x4bce[135]](Math[_0x4bce[34]](1,_0x3fb7xb)/_0x3fb7x5a);this[_0x4bce[83]][_0x4bce[4]][_0x4bce[15]]=Math[_0x4bce[135]](Math[_0x4bce[34]](1,_0x3fb7xc)/_0x3fb7x5a)};_0x3fb7x61[_0x4bce[0]][_0x4bce[120]]=function(){var _0x3fb7x63=this[_0x4bce[84]][_0x4bce[136]]();_0x3fb7x63[_0x4bce[137]]();try{this[_0x4bce[83]][_0x4bce[85]][_0x4bce[84]][_0x4bce[139]](_0x4bce[14],this[_0x4bce[83]][_0x4bce[4]][_0x4bce[14]],[this[_0x4bce[83]][_0x4bce[138]]]);this[_0x4bce[83]][_0x4bce[85]][_0x4bce[84]][_0x4bce[139]](_0x4bce[15],this[_0x4bce[83]][_0x4bce[4]][_0x4bce[15]],[this[_0x4bce[83]][_0x4bce[138]]])}finally{_0x3fb7x63[_0x4bce[140]]()}};function _0x3fb7x64(_0x3fb7x33){_0x3fb7x61[_0x4bce[24]](this,_0x3fb7x33)}mxUtils[_0x4bce[25]](_0x3fb7x64,_0x3fb7x61);_0x3fb7x64[_0x4bce[0]][_0x4bce[128]]=function(_0x3fb7x34){var _0x3fb7x5a=this[_0x4bce[84]][_0x4bce[93]]()[_0x4bce[113]];var _0x3fb7x65=mxUtils[_0x4bce[5]](this[_0x4bce[83]][_0x4bce[4]],mxConstants.STYLE_STARTSIZE,mxConstants.DEFAULT_STARTSIZE);return new mxPoint(_0x3fb7x34[_0x4bce[68]]+_0x3fb7x34[_0x4bce[70]]/2,_0x3fb7x34[_0x4bce[69]]+Math[_0x4bce[6]](_0x3fb7x34[_0x4bce[71]],_0x3fb7x65*_0x3fb7x5a))};_0x3fb7x64[_0x4bce[0]][_0x4bce[134]]=function(_0x3fb7x58,_0x3fb7x34){_0x3fb7x58[_0x4bce[68]]=_0x3fb7x34[_0x4bce[68]]+_0x3fb7x34[_0x4bce[70]]/2;startSize=_0x3fb7x58[_0x4bce[69]]-_0x3fb7x34[_0x4bce[69]];var _0x3fb7x5a=this[_0x4bce[84]][_0x4bce[93]]()[_0x4bce[113]];this[_0x4bce[83]][_0x4bce[4]][_0x4bce[141]]=Math[_0x4bce[135]](Math[_0x4bce[34]](1,startSize)/_0x3fb7x5a);return _0x3fb7x58};_0x3fb7x64[_0x4bce[0]][_0x4bce[120]]=function(){this[_0x4bce[83]][_0x4bce[85]][_0x4bce[84]][_0x4bce[139]](_0x4bce[141],this[_0x4bce[83]][_0x4bce[4]][_0x4bce[141]],[this[_0x4bce[83]][_0x4bce[138]]])};function _0x3fb7x66(_0x3fb7x33){_0x3fb7x61[_0x4bce[24]](this,_0x3fb7x33)}mxUtils[_0x4bce[25]](_0x3fb7x66,_0x3fb7x61);_0x3fb7x66[_0x4bce[0]][_0x4bce[142]]=20;_0x3fb7x66[_0x4bce[0]][_0x4bce[143]]=1;_0x3fb7x66[_0x4bce[0]][_0x4bce[128]]=function(_0x3fb7x34){var _0x3fb7x5a=this[_0x4bce[84]][_0x4bce[93]]()[_0x4bce[113]];var _0x3fb7x67=Math[_0x4bce[6]](_0x3fb7x34[_0x4bce[70]],Math[_0x4bce[6]](_0x3fb7x34[_0x4bce[71]],mxUtils[_0x4bce[5]](this[_0x4bce[83]][_0x4bce[4]],_0x4bce[2],this[_0x4bce[142]])*_0x3fb7x5a/this[_0x4bce[143]]));return new mxPoint(_0x3fb7x34[_0x4bce[68]]+_0x3fb7x67,_0x3fb7x34[_0x4bce[69]]+_0x3fb7x67)};_0x3fb7x66[_0x4bce[0]][_0x4bce[134]]=function(_0x3fb7x60,_0x3fb7x34){var _0x3fb7x4f=Math[_0x4bce[34]](0,Math[_0x4bce[6]](Math[_0x4bce[6]](_0x3fb7x34[_0x4bce[70]]/this[_0x4bce[143]],_0x3fb7x60[_0x4bce[68]]-_0x3fb7x34[_0x4bce[68]]),Math[_0x4bce[6]](_0x3fb7x34[_0x4bce[71]]/this[_0x4bce[143]],_0x3fb7x60[_0x4bce[69]]-_0x3fb7x34[_0x4bce[69]])));var _0x3fb7x5a=this[_0x4bce[84]][_0x4bce[93]]()[_0x4bce[113]];this[_0x4bce[83]][_0x4bce[4]][_0x4bce[2]]=Math[_0x4bce[135]](Math[_0x4bce[34]](1,_0x3fb7x4f)/_0x3fb7x5a)*this[_0x4bce[143]];return new mxPoint(_0x3fb7x34[_0x4bce[68]]+_0x3fb7x4f,_0x3fb7x34[_0x4bce[69]]+_0x3fb7x4f)};_0x3fb7x66[_0x4bce[0]][_0x4bce[120]]=function(){this[_0x4bce[83]][_0x4bce[85]][_0x4bce[84]][_0x4bce[139]](_0x4bce[2],this[_0x4bce[83]][_0x4bce[4]][_0x4bce[2]],[this[_0x4bce[83]][_0x4bce[138]]])};function _0x3fb7x68(_0x3fb7x33){_0x3fb7x66[_0x4bce[24]](this,_0x3fb7x33)}mxUtils[_0x4bce[25]](_0x3fb7x68,_0x3fb7x66);_0x3fb7x68[_0x4bce[0]][_0x4bce[142]]=30;_0x3fb7x68[_0x4bce[0]][_0x4bce[143]]=2;function _0x3fb7x69(_0x3fb7x33){_0x3fb7x66[_0x4bce[24]](this,_0x3fb7x33)}mxUtils[_0x4bce[25]](_0x3fb7x69,_0x3fb7x66);_0x3fb7x69[_0x4bce[0]][_0x4bce[142]]=30;_0x3fb7x69[_0x4bce[0]][_0x4bce[143]]=1;_0x3fb7x69[_0x4bce[0]][_0x4bce[128]]=function(_0x3fb7x34){var _0x3fb7x5a=this[_0x4bce[84]][_0x4bce[93]]()[_0x4bce[113]];var _0x3fb7x67=Math[_0x4bce[6]](_0x3fb7x34[_0x4bce[70]],Math[_0x4bce[6]](_0x3fb7x34[_0x4bce[71]],mxUtils[_0x4bce[5]](this[_0x4bce[83]][_0x4bce[4]],_0x4bce[2],this[_0x4bce[142]])*_0x3fb7x5a/this[_0x4bce[143]]));return new mxPoint(_0x3fb7x34[_0x4bce[68]]+_0x3fb7x34[_0x4bce[70]]-_0x3fb7x67,_0x3fb7x34[_0x4bce[69]]+_0x3fb7x67)};_0x3fb7x69[_0x4bce[0]][_0x4bce[134]]=function(_0x3fb7x60,_0x3fb7x34){var _0x3fb7x4f=Math[_0x4bce[34]](0,Math[_0x4bce[6]](Math[_0x4bce[6]](_0x3fb7x34[_0x4bce[70]]/this[_0x4bce[143]],_0x3fb7x60[_0x4bce[68]]-_0x3fb7x34[_0x4bce[68]]+_0x3fb7x34[_0x4bce[70]]),Math[_0x4bce[6]](_0x3fb7x34[_0x4bce[71]]/this[_0x4bce[143]],_0x3fb7x60[_0x4bce[69]]-_0x3fb7x34[_0x4bce[69]])));var _0x3fb7x5a=this[_0x4bce[84]][_0x4bce[93]]()[_0x4bce[113]];this[_0x4bce[83]][_0x4bce[4]][_0x4bce[2]]=Math[_0x4bce[135]](Math[_0x4bce[34]](1,_0x3fb7x4f)/_0x3fb7x5a)*this[_0x4bce[143]];return new mxPoint(_0x3fb7x34[_0x4bce[68]]+_0x3fb7x34[_0x4bce[70]]-_0x3fb7x4f,_0x3fb7x34[_0x4bce[69]]+_0x3fb7x4f)};function _0x3fb7x6a(_0x3fb7x33){_0x3fb7x66[_0x4bce[24]](this,_0x3fb7x33)}mxUtils[_0x4bce[25]](_0x3fb7x6a,_0x3fb7x66);_0x3fb7x6a[_0x4bce[0]][_0x4bce[142]]=0.2;_0x3fb7x6a[_0x4bce[0]][_0x4bce[143]]=1;_0x3fb7x6a[_0x4bce[0]][_0x4bce[128]]=function(_0x3fb7x34){var _0x3fb7x67=mxUtils[_0x4bce[5]](this[_0x4bce[83]][_0x4bce[4]],_0x4bce[2],this[_0x4bce[142]]);return new mxPoint(_0x3fb7x34[_0x4bce[68]]+_0x3fb7x34[_0x4bce[70]]*_0x3fb7x67,_0x3fb7x34[_0x4bce[69]]+_0x3fb7x34[_0x4bce[71]]/2)};_0x3fb7x6a[_0x4bce[0]][_0x4bce[134]]=function(_0x3fb7x60,_0x3fb7x34){var _0x3fb7x4f=Math[_0x4bce[6]](1,(_0x3fb7x60[_0x4bce[68]]-_0x3fb7x34[_0x4bce[68]])/_0x3fb7x34[_0x4bce[70]]);this[_0x4bce[83]][_0x4bce[4]][_0x4bce[2]]=_0x3fb7x4f;return new mxPoint(_0x3fb7x34[_0x4bce[68]]+_0x3fb7x4f*_0x3fb7x34[_0x4bce[70]],_0x3fb7x34[_0x4bce[69]]+_0x3fb7x34[_0x4bce[71]]/2)};function _0x3fb7x6b(_0x3fb7x33){_0x3fb7x66[_0x4bce[24]](this,_0x3fb7x33)}mxUtils[_0x4bce[25]](_0x3fb7x6b,_0x3fb7x66);_0x3fb7x6b[_0x4bce[0]][_0x4bce[142]]=0.4;_0x3fb7x6b[_0x4bce[0]][_0x4bce[143]]=1;_0x3fb7x6b[_0x4bce[0]][_0x4bce[128]]=function(_0x3fb7x34){var _0x3fb7x67=mxUtils[_0x4bce[5]](this[_0x4bce[83]][_0x4bce[4]],_0x4bce[2],this[_0x4bce[142]]);return new mxPoint(_0x3fb7x34[_0x4bce[68]]+_0x3fb7x34[_0x4bce[70]]/2,_0x3fb7x34[_0x4bce[69]]+_0x3fb7x67*_0x3fb7x34[_0x4bce[71]]/2)};_0x3fb7x6b[_0x4bce[0]][_0x4bce[134]]=function(_0x3fb7x60,_0x3fb7x34){var _0x3fb7x4f=Math[_0x4bce[34]](0,Math[_0x4bce[6]](1,((_0x3fb7x60[_0x4bce[69]]-_0x3fb7x34[_0x4bce[69]])/_0x3fb7x34[_0x4bce[71]])*2));this[_0x4bce[83]][_0x4bce[4]][_0x4bce[2]]=_0x3fb7x4f;return new mxPoint(_0x3fb7x34[_0x4bce[68]]+_0x3fb7x34[_0x4bce[70]]/2,_0x3fb7x34[_0x4bce[69]]+_0x3fb7x4f*_0x3fb7x34[_0x4bce[71]]/2)};var _0x3fb7x6c={"\x73\x77\x69\x6D\x6C\x61\x6E\x65":_0x3fb7x64,"\x66\x6F\x6C\x64\x65\x72":_0x3fb7x61,"\x63\x75\x62\x65":_0x3fb7x66,"\x63\x61\x72\x64":_0x3fb7x68,"\x6E\x6F\x74\x65":_0x3fb7x69,"\x73\x74\x65\x70":_0x3fb7x6a,"\x74\x61\x70\x65":_0x3fb7x6b};var _0x3fb7x6d=mxGraph[_0x4bce[0]][_0x4bce[144]];mxGraph[_0x4bce[0]][_0x4bce[144]]=function(_0x3fb7x33){if(_0x3fb7x33!=null){var _0x3fb7x6e=_0x3fb7x6c[_0x3fb7x33[_0x4bce[4]][_0x4bce[123]]];if(_0x3fb7x6e!=null){return new _0x3fb7x6e(_0x3fb7x33)}};return _0x3fb7x6d[_0x4bce[30]](this,arguments)}};mxGraph[_0x4bce[0]][_0x4bce[145]]=function(_0x3fb7x6f,_0x3fb7x50){if(_0x3fb7x6f!=null&&_0x3fb7x6f[_0x4bce[123]]!=null){if(_0x3fb7x6f[_0x4bce[123]][_0x4bce[146]]!=null){if(_0x3fb7x6f[_0x4bce[123]][_0x4bce[146]]!=null){return _0x3fb7x6f[_0x4bce[123]][_0x4bce[146]][_0x4bce[147]]}}else {if(_0x3fb7x6f[_0x4bce[123]][_0x4bce[147]]!=null){return _0x3fb7x6f[_0x4bce[123]][_0x4bce[147]]}}};return null};mxRectangleShape[_0x4bce[0]][_0x4bce[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.75,0),true), new mxConnectionConstraint( new mxPoint(0,0.25),true), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(0,0.75),true), new mxConnectionConstraint( new mxPoint(1,0.25),true), new mxConnectionConstraint( new mxPoint(1,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.75),true), new mxConnectionConstraint( new mxPoint(0.25,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.75,1),true)];mxLabel[_0x4bce[0]][_0x4bce[147]]=mxRectangleShape[_0x4bce[0]][_0x4bce[147]];mxImageShape[_0x4bce[0]][_0x4bce[147]]=mxRectangleShape[_0x4bce[0]][_0x4bce[147]];mxSwimlane[_0x4bce[0]][_0x4bce[147]]=mxRectangleShape[_0x4bce[0]][_0x4bce[147]];_0x3fb7x14[_0x4bce[0]][_0x4bce[147]]=mxRectangleShape[_0x4bce[0]][_0x4bce[147]];_0x3fb7x9[_0x4bce[0]][_0x4bce[147]]=mxRectangleShape[_0x4bce[0]][_0x4bce[147]];_0x3fb7x10[_0x4bce[0]][_0x4bce[147]]=mxRectangleShape[_0x4bce[0]][_0x4bce[147]];_0x3fb7x1[_0x4bce[0]][_0x4bce[147]]=mxRectangleShape[_0x4bce[0]][_0x4bce[147]];_0x3fb7xa[_0x4bce[0]][_0x4bce[147]]=mxRectangleShape[_0x4bce[0]][_0x4bce[147]];mxCylinder[_0x4bce[0]][_0x4bce[147]]=[ new mxConnectionConstraint( new mxPoint(0.15,0.05),false), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.85,0.05),false), new mxConnectionConstraint( new mxPoint(0,0.3),true), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(0,0.7),true), new mxConnectionConstraint( new mxPoint(1,0.3),true), new mxConnectionConstraint( new mxPoint(1,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.7),true), new mxConnectionConstraint( new mxPoint(0.15,0.95),false), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.85,0.95),false)];_0x3fb7x25[_0x4bce[0]][_0x4bce[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0.1),false), new mxConnectionConstraint( new mxPoint(0.5,0),false), new mxConnectionConstraint( new mxPoint(0.75,0.1),false), new mxConnectionConstraint( new mxPoint(0,1/3),false), new mxConnectionConstraint( new mxPoint(0,1),false), new mxConnectionConstraint( new mxPoint(1,1/3),false), new mxConnectionConstraint( new mxPoint(1,1),false), new mxConnectionConstraint( new mxPoint(0.5,0.5),false)];_0x3fb7x28[_0x4bce[0]][_0x4bce[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.75,0),true), new mxConnectionConstraint( new mxPoint(0,0.3),true), new mxConnectionConstraint( new mxPoint(0,0.7),true), new mxConnectionConstraint( new mxPoint(1,0.25),true), new mxConnectionConstraint( new mxPoint(1,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.75),true), new mxConnectionConstraint( new mxPoint(0.25,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.75,1),true)];mxActor[_0x4bce[0]][_0x4bce[147]]=[ new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.25,0.2),false), new mxConnectionConstraint( new mxPoint(0.1,0.5),false), new mxConnectionConstraint( new mxPoint(0,0.75),true), new mxConnectionConstraint( new mxPoint(0.75,0.25),false), new mxConnectionConstraint( new mxPoint(0.9,0.5),false), new mxConnectionConstraint( new mxPoint(1,0.75),true), new mxConnectionConstraint( new mxPoint(0.25,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.75,1),true)];_0x3fb7x11[_0x4bce[0]][_0x4bce[147]]=[ new mxConnectionConstraint( new mxPoint(0,0.35),false), new mxConnectionConstraint( new mxPoint(0,0.5),false), new mxConnectionConstraint( new mxPoint(0,0.65),false), new mxConnectionConstraint( new mxPoint(1,0.35),false), new mxConnectionConstraint( new mxPoint(1,0.5),false), new mxConnectionConstraint( new mxPoint(1,0.65),false), new mxConnectionConstraint( new mxPoint(0.25,1),false), new mxConnectionConstraint( new mxPoint(0.75,0),false)];_0x3fb7x13[_0x4bce[0]][_0x4bce[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.75,0),true), new mxConnectionConstraint( new mxPoint(0.25,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.75,1),true), new mxConnectionConstraint( new mxPoint(0.1,0.25),false), new mxConnectionConstraint( new mxPoint(0.2,0.5),false), new mxConnectionConstraint( new mxPoint(0.1,0.75),false), new mxConnectionConstraint( new mxPoint(0.9,0.25),false), new mxConnectionConstraint( new mxPoint(1,0.5),false), new mxConnectionConstraint( new mxPoint(0.9,0.75),false)];mxLine[_0x4bce[0]][_0x4bce[147]]=[ new mxConnectionConstraint( new mxPoint(0,0.5),false), new mxConnectionConstraint( new mxPoint(0.25,0.5),false), new mxConnectionConstraint( new mxPoint(0.75,0.5),false), new mxConnectionConstraint( new mxPoint(1,0.5),false)];_0x3fb7x26[_0x4bce[0]][_0x4bce[147]]=[ new mxConnectionConstraint( new mxPoint(0.5,0),false), new mxConnectionConstraint( new mxPoint(0.5,1),false)];mxEllipse[_0x4bce[0]][_0x4bce[147]]=[ new mxConnectionConstraint( new mxPoint(0,0),true), new mxConnectionConstraint( new mxPoint(1,0),true), new mxConnectionConstraint( new mxPoint(0,1),true), new mxConnectionConstraint( new mxPoint(1,1),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.5))];mxDoubleEllipse[_0x4bce[0]][_0x4bce[147]]=mxEllipse[_0x4bce[0]][_0x4bce[147]];mxRhombus[_0x4bce[0]][_0x4bce[147]]=mxEllipse[_0x4bce[0]][_0x4bce[147]];mxTriangle[_0x4bce[0]][_0x4bce[147]]=[ new mxConnectionConstraint( new mxPoint(0,0.25),true), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(0,0.75),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(1,0.5),true)];mxHexagon[_0x4bce[0]][_0x4bce[147]]=[ new mxConnectionConstraint( new mxPoint(0.375,0),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.625,0),true), new mxConnectionConstraint( new mxPoint(0.125,0.25),false), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(0.125,0.75),false), new mxConnectionConstraint( new mxPoint(0.875,0.25),false), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.5),true), new mxConnectionConstraint( new mxPoint(0.875,0.75),false), new mxConnectionConstraint( new mxPoint(0.375,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.625,1),true)];mxCloud[_0x4bce[0]][_0x4bce[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0.25),false), new mxConnectionConstraint( new mxPoint(0.4,0.1),false), new mxConnectionConstraint( new mxPoint(0.16,0.55),false), new mxConnectionConstraint( new mxPoint(0.07,0.4),false), new mxConnectionConstraint( new mxPoint(0.31,0.8),false), new mxConnectionConstraint( new mxPoint(0.13,0.77),false), new mxConnectionConstraint( new mxPoint(0.8,0.8),false), new mxConnectionConstraint( new mxPoint(0.55,0.95),false), new mxConnectionConstraint( new mxPoint(0.875,0.5),false), new mxConnectionConstraint( new mxPoint(0.96,0.7),false), new mxConnectionConstraint( new mxPoint(0.625,0.2),false), new mxConnectionConstraint( new mxPoint(0.88,0.25),false)];mxArrow[_0x4bce[0]][_0x4bce[147]]=null})() \ No newline at end of file +var _0x7044=["\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x63\x6F\x6E\x73\x74\x72\x75\x63\x74\x6F\x72","\x73\x69\x7A\x65","\x72\x65\x64\x72\x61\x77\x50\x61\x74\x68","\x73\x74\x79\x6C\x65","\x67\x65\x74\x56\x61\x6C\x75\x65","\x6D\x69\x6E","\x6D\x6F\x76\x65\x54\x6F","\x6C\x69\x6E\x65\x54\x6F","\x65\x6E\x64","\x63\x6C\x6F\x73\x65","\x63\x75\x62\x65","\x64\x65\x66\x61\x75\x6C\x74\x53\x68\x61\x70\x65\x73","\x6E\x6F\x74\x65","\x74\x61\x62\x57\x69\x64\x74\x68","\x74\x61\x62\x48\x65\x69\x67\x68\x74","\x74\x61\x62\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x72\x69\x67\x68\x74","\x6C\x65\x66\x74","\x66\x6F\x6C\x64\x65\x72","\x63\x61\x72\x64","\x71\x75\x61\x64\x54\x6F","\x74\x61\x70\x65","\x73\x74\x65\x70","\x63\x61\x6C\x6C","\x65\x78\x74\x65\x6E\x64","\x69\x73\x48\x74\x6D\x6C\x41\x6C\x6C\x6F\x77\x65\x64","\x70\x61\x69\x6E\x74\x46\x6F\x72\x65\x67\x72\x6F\x75\x6E\x64","\x62\x65\x67\x69\x6E","\x73\x74\x72\x6F\x6B\x65","\x61\x70\x70\x6C\x79","\x70\x6C\x75\x73","\x64\x6F\x75\x62\x6C\x65","\x73\x74\x72\x6F\x6B\x65\x77\x69\x64\x74\x68","\x6D\x61\x78","\x70\x61\x69\x6E\x74\x42\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x73\x65\x74\x44\x61\x73\x68\x65\x64","\x73\x79\x6D\x62\x6F\x6C","\x41\x6C\x69\x67\x6E","\x56\x65\x72\x74\x69\x63\x61\x6C\x41\x6C\x69\x67\x6E","\x57\x69\x64\x74\x68","\x48\x65\x69\x67\x68\x74","\x53\x70\x61\x63\x69\x6E\x67","\x41\x72\x63\x53\x70\x61\x63\x69\x6E\x67","\x67\x65\x74\x41\x72\x63\x53\x69\x7A\x65","\x41\x4C\x49\x47\x4E\x5F\x43\x45\x4E\x54\x45\x52","\x41\x4C\x49\x47\x4E\x5F\x52\x49\x47\x48\x54","\x41\x4C\x49\x47\x4E\x5F\x4D\x49\x44\x44\x4C\x45","\x41\x4C\x49\x47\x4E\x5F\x42\x4F\x54\x54\x4F\x4D","\x73\x61\x76\x65","\x70\x61\x69\x6E\x74\x56\x65\x72\x74\x65\x78\x53\x68\x61\x70\x65","\x72\x65\x73\x74\x6F\x72\x65","\x65\x78\x74","\x6D\x65\x73\x73\x61\x67\x65","\x61\x64\x64\x50\x69\x70\x65","\x63\x75\x72\x76\x65\x54\x6F","\x75\x6D\x6C\x41\x63\x74\x6F\x72","\x6C\x6F\x6C\x6C\x69\x70\x6F\x70","\x6A\x65\x74\x74\x79\x57\x69\x64\x74\x68","\x6A\x65\x74\x74\x79\x48\x65\x69\x67\x68\x74","\x63\x6F\x6D\x70\x6F\x6E\x65\x6E\x74","\x6F\x75\x74\x65\x72\x53\x74\x72\x6F\x6B\x65","\x65\x6C\x6C\x69\x70\x73\x65","\x66\x69\x6C\x6C\x41\x6E\x64\x53\x74\x72\x6F\x6B\x65","\x73\x65\x74\x53\x68\x61\x64\x6F\x77","\x65\x6E\x64\x53\x74\x61\x74\x65","\x73\x74\x61\x72\x74\x53\x74\x61\x74\x65","\x69\x6E\x69\x74\x53\x68\x61\x70\x65\x73","\x78","\x79","\x77\x69\x64\x74\x68","\x68\x65\x69\x67\x68\x74","\x73\x68\x61\x70\x65\x73","\x70\x61\x69\x6E\x74\x45\x64\x67\x65\x53\x68\x61\x70\x65","\x6C\x65\x6E\x67\x74\x68","\x73\x71\x72\x74","\x6C\x69\x6E\x6B","\x64\x61\x73\x68","\x61\x64\x64\x4D\x61\x72\x6B\x65\x72","\x75\x6E\x64\x65\x66\x69\x6E\x65\x64","\x75\x73\x65\x47\x72\x69\x64\x46\x6F\x72\x53\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65","\x69\x6E\x69\x74","\x68\x6F\x72\x69\x7A\x6F\x6E\x74\x61\x6C","\x73\x74\x61\x74\x65","\x67\x72\x61\x70\x68","\x76\x69\x65\x77","\x68\x61\x6E\x64\x6C\x65\x49\x6D\x61\x67\x65","\x73\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65","\x73\x72\x63","\x64\x69\x61\x6C\x65\x63\x74","\x44\x49\x41\x4C\x45\x43\x54\x5F\x53\x56\x47","\x44\x49\x41\x4C\x45\x43\x54\x5F\x56\x4D\x4C","\x67\x65\x74\x4F\x76\x65\x72\x6C\x61\x79\x50\x61\x6E\x65","\x67\x65\x74\x56\x69\x65\x77","\x63\x75\x72\x73\x6F\x72","\x6E\x6F\x64\x65","\x67\x65\x74\x53\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65\x43\x75\x72\x73\x6F\x72","\x72\x65\x64\x69\x72\x65\x63\x74\x4D\x6F\x75\x73\x65\x45\x76\x65\x6E\x74\x73","\x64\x65\x66\x61\x75\x6C\x74","\x72\x65\x64\x72\x61\x77","\x62\x6F\x75\x6E\x64\x73","\x67\x65\x74\x53\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65\x42\x6F\x75\x6E\x64\x73","\x64\x65\x73\x74\x72\x6F\x79","\x67\x65\x74\x48\x61\x6E\x64\x6C\x65\x46\x6F\x72\x45\x76\x65\x6E\x74","\x69\x73\x53\x6F\x75\x72\x63\x65","\x6D\x6F\x75\x73\x65\x4D\x6F\x76\x65","\x69\x73\x43\x6F\x6E\x73\x75\x6D\x65\x64","\x69\x6E\x64\x65\x78","\x67\x65\x74\x47\x72\x61\x70\x68\x58","\x67\x65\x74\x47\x72\x61\x70\x68\x59","\x63\x6F\x6E\x73\x74\x72\x61\x69\x6E\x50\x6F\x69\x6E\x74","\x67\x65\x74\x45\x76\x65\x6E\x74","\x69\x73\x47\x72\x69\x64\x45\x6E\x61\x62\x6C\x65\x64\x45\x76\x65\x6E\x74","\x73\x63\x61\x6C\x65","\x73\x6E\x61\x70","\x75\x70\x64\x61\x74\x65\x53\x74\x79\x6C\x65","\x6D\x6F\x76\x65\x53\x69\x7A\x65\x72\x54\x6F","\x63\x65\x6C\x6C\x52\x65\x6E\x64\x65\x72\x65\x72","\x63\x6F\x6E\x73\x75\x6D\x65","\x6D\x6F\x75\x73\x65\x55\x70","\x61\x70\x70\x6C\x79\x53\x74\x79\x6C\x65","\x72\x65\x73\x65\x74","\x67\x65\x74\x53\x68\x61\x70\x65\x52\x6F\x74\x61\x74\x69\x6F\x6E","\x73\x68\x61\x70\x65","\x74\x6F\x52\x61\x64\x69\x61\x6E\x73","\x63\x6F\x73","\x73\x69\x6E","\x69\x73\x50\x61\x69\x6E\x74\x42\x6F\x75\x6E\x64\x73\x49\x6E\x76\x65\x72\x74\x65\x64","\x67\x65\x74\x53\x70\x65\x63\x69\x61\x6C\x48\x61\x6E\x64\x6C\x65\x50\x6F\x69\x6E\x74","\x66\x6C\x69\x70\x48","\x66\x6C\x69\x70\x56","\x67\x65\x74\x43\x65\x6E\x74\x65\x72\x58","\x67\x65\x74\x43\x65\x6E\x74\x65\x72\x59","\x67\x65\x74\x52\x6F\x74\x61\x74\x65\x64\x50\x6F\x69\x6E\x74","\x75\x70\x64\x61\x74\x65\x53\x74\x79\x6C\x65\x55\x6E\x72\x6F\x74\x61\x74\x65\x64","\x72\x6F\x75\x6E\x64","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x62\x65\x67\x69\x6E\x55\x70\x64\x61\x74\x65","\x63\x65\x6C\x6C","\x73\x65\x74\x43\x65\x6C\x6C\x53\x74\x79\x6C\x65\x73","\x65\x6E\x64\x55\x70\x64\x61\x74\x65","\x73\x74\x61\x72\x74\x53\x69\x7A\x65","\x64\x65\x66\x61\x75\x6C\x74\x56\x61\x6C\x75\x65","\x73\x63\x61\x6C\x65\x46\x61\x63\x74\x6F\x72","\x63\x72\x65\x61\x74\x65\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x41\x6C\x6C\x43\x6F\x6E\x6E\x65\x63\x74\x69\x6F\x6E\x43\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74\x73","\x73\x74\x65\x6E\x63\x69\x6C","\x63\x6F\x6E\x73\x74\x72\x61\x69\x6E\x74\x73"];(function(){function _0x66a0x1(){}_0x66a0x1[_0x7044[0]]= new mxCylinder();_0x66a0x1[_0x7044[0]][_0x7044[1]]=_0x66a0x1;_0x66a0x1[_0x7044[0]][_0x7044[2]]=20;_0x66a0x1[_0x7044[0]][_0x7044[3]]=function(_0x66a0x2,_0x66a0x3,_0x66a0x4,_0x66a0x5,_0x66a0x6,_0x66a0x7){var _0x66a0x8=Math[_0x7044[6]](_0x66a0x5,Math[_0x7044[6]](_0x66a0x6,mxUtils[_0x7044[5]](this[_0x7044[4]],_0x7044[2],this[_0x7044[2]])));if(_0x66a0x7){_0x66a0x2[_0x7044[7]](_0x66a0x8,_0x66a0x6);_0x66a0x2[_0x7044[8]](_0x66a0x8,_0x66a0x8);_0x66a0x2[_0x7044[8]](0,0);_0x66a0x2[_0x7044[7]](_0x66a0x8,_0x66a0x8);_0x66a0x2[_0x7044[8]](_0x66a0x5,_0x66a0x8);_0x66a0x2[_0x7044[9]]()}else {_0x66a0x2[_0x7044[7]](0,0);_0x66a0x2[_0x7044[8]](_0x66a0x5-_0x66a0x8,0);_0x66a0x2[_0x7044[8]](_0x66a0x5,_0x66a0x8);_0x66a0x2[_0x7044[8]](_0x66a0x5,_0x66a0x6);_0x66a0x2[_0x7044[8]](_0x66a0x8,_0x66a0x6);_0x66a0x2[_0x7044[8]](0,_0x66a0x6-_0x66a0x8);_0x66a0x2[_0x7044[8]](0,0);_0x66a0x2[_0x7044[10]]();_0x66a0x2[_0x7044[9]]()}};mxCellRenderer[_0x7044[0]][_0x7044[12]][_0x7044[11]]=_0x66a0x1;function _0x66a0x9(){}_0x66a0x9[_0x7044[0]]= new mxCylinder();_0x66a0x9[_0x7044[0]][_0x7044[1]]=_0x66a0x9;_0x66a0x9[_0x7044[0]][_0x7044[2]]=30;_0x66a0x9[_0x7044[0]][_0x7044[3]]=function(_0x66a0x2,_0x66a0x3,_0x66a0x4,_0x66a0x5,_0x66a0x6,_0x66a0x7){var _0x66a0x8=Math[_0x7044[6]](_0x66a0x5,Math[_0x7044[6]](_0x66a0x6,mxUtils[_0x7044[5]](this[_0x7044[4]],_0x7044[2],this[_0x7044[2]])));if(_0x66a0x7){_0x66a0x2[_0x7044[7]](_0x66a0x5-_0x66a0x8,0);_0x66a0x2[_0x7044[8]](_0x66a0x5-_0x66a0x8,_0x66a0x8);_0x66a0x2[_0x7044[8]](_0x66a0x5,_0x66a0x8);_0x66a0x2[_0x7044[9]]()}else {_0x66a0x2[_0x7044[7]](0,0);_0x66a0x2[_0x7044[8]](_0x66a0x5-_0x66a0x8,0);_0x66a0x2[_0x7044[8]](_0x66a0x5,_0x66a0x8);_0x66a0x2[_0x7044[8]](_0x66a0x5,_0x66a0x6);_0x66a0x2[_0x7044[8]](0,_0x66a0x6);_0x66a0x2[_0x7044[8]](0,0);_0x66a0x2[_0x7044[10]]();_0x66a0x2[_0x7044[9]]()}};mxCellRenderer[_0x7044[0]][_0x7044[12]][_0x7044[13]]=_0x66a0x9;function _0x66a0xa(){}_0x66a0xa[_0x7044[0]]= new mxCylinder();_0x66a0xa[_0x7044[0]][_0x7044[1]]=_0x66a0xa;_0x66a0xa[_0x7044[0]][_0x7044[14]]=60;_0x66a0xa[_0x7044[0]][_0x7044[15]]=20;_0x66a0xa[_0x7044[0]][_0x7044[16]]=_0x7044[17];_0x66a0xa[_0x7044[0]][_0x7044[3]]=function(_0x66a0x2,_0x66a0x3,_0x66a0x4,_0x66a0x5,_0x66a0x6,_0x66a0x7){var _0x66a0xb=mxUtils[_0x7044[5]](this[_0x7044[4]],_0x7044[14],this[_0x7044[14]]);var _0x66a0xc=mxUtils[_0x7044[5]](this[_0x7044[4]],_0x7044[15],this[_0x7044[15]]);var _0x66a0xd=mxUtils[_0x7044[5]](this[_0x7044[4]],_0x7044[16],this[_0x7044[16]]);var _0x66a0xe=Math[_0x7044[6]](_0x66a0x5,_0x66a0xb);var _0x66a0xf=Math[_0x7044[6]](_0x66a0x6,_0x66a0xc);if(_0x66a0x7){if(_0x66a0xd==_0x7044[18]){_0x66a0x2[_0x7044[7]](0,_0x66a0xf);_0x66a0x2[_0x7044[8]](_0x66a0xe,_0x66a0xf)}else {_0x66a0x2[_0x7044[7]](_0x66a0x5-_0x66a0xe,_0x66a0xf);_0x66a0x2[_0x7044[8]](_0x66a0x5,_0x66a0xf)};_0x66a0x2[_0x7044[9]]()}else {if(_0x66a0xd==_0x7044[18]){_0x66a0x2[_0x7044[7]](0,0);_0x66a0x2[_0x7044[8]](_0x66a0xe,0);_0x66a0x2[_0x7044[8]](_0x66a0xe,_0x66a0xf);_0x66a0x2[_0x7044[8]](_0x66a0x5,_0x66a0xf)}else {_0x66a0x2[_0x7044[7]](0,_0x66a0xf);_0x66a0x2[_0x7044[8]](_0x66a0x5-_0x66a0xe,_0x66a0xf);_0x66a0x2[_0x7044[8]](_0x66a0x5-_0x66a0xe,0);_0x66a0x2[_0x7044[8]](_0x66a0x5,0)};_0x66a0x2[_0x7044[8]](_0x66a0x5,_0x66a0x6);_0x66a0x2[_0x7044[8]](0,_0x66a0x6);_0x66a0x2[_0x7044[8]](0,_0x66a0xf);_0x66a0x2[_0x7044[10]]();_0x66a0x2[_0x7044[9]]()}};mxCellRenderer[_0x7044[0]][_0x7044[12]][_0x7044[19]]=_0x66a0xa;function _0x66a0x10(){}_0x66a0x10[_0x7044[0]]= new mxCylinder();_0x66a0x10[_0x7044[0]][_0x7044[1]]=_0x66a0x10;_0x66a0x10[_0x7044[0]][_0x7044[2]]=30;_0x66a0x10[_0x7044[0]][_0x7044[3]]=function(_0x66a0x2,_0x66a0x3,_0x66a0x4,_0x66a0x5,_0x66a0x6,_0x66a0x7){var _0x66a0x8=Math[_0x7044[6]](_0x66a0x5,Math[_0x7044[6]](_0x66a0x6,mxUtils[_0x7044[5]](this[_0x7044[4]],_0x7044[2],this[_0x7044[2]])));if(!_0x66a0x7){_0x66a0x2[_0x7044[7]](_0x66a0x8,0);_0x66a0x2[_0x7044[8]](_0x66a0x5,0);_0x66a0x2[_0x7044[8]](_0x66a0x5,_0x66a0x6);_0x66a0x2[_0x7044[8]](0,_0x66a0x6);_0x66a0x2[_0x7044[8]](0,_0x66a0x8);_0x66a0x2[_0x7044[8]](_0x66a0x8,0);_0x66a0x2[_0x7044[10]]();_0x66a0x2[_0x7044[9]]()}};mxCellRenderer[_0x7044[0]][_0x7044[12]][_0x7044[20]]=_0x66a0x10;function _0x66a0x11(){}_0x66a0x11[_0x7044[0]]= new mxCylinder();_0x66a0x11[_0x7044[0]][_0x7044[1]]=_0x66a0x11;_0x66a0x11[_0x7044[0]][_0x7044[2]]=0.4;_0x66a0x11[_0x7044[0]][_0x7044[3]]=function(_0x66a0x2,_0x66a0x3,_0x66a0x4,_0x66a0x5,_0x66a0x6,_0x66a0x7){var _0x66a0x8=mxUtils[_0x7044[5]](this[_0x7044[4]],_0x7044[2],this[_0x7044[2]]);var _0x66a0xf=_0x66a0x6*_0x66a0x8;var _0x66a0x12=1.4;if(!_0x66a0x7){_0x66a0x2[_0x7044[7]](0,_0x66a0xf/2);_0x66a0x2[_0x7044[21]](_0x66a0x5/4,_0x66a0xf*_0x66a0x12,_0x66a0x5/2,_0x66a0xf/2);_0x66a0x2[_0x7044[21]](_0x66a0x5*3/4,_0x66a0xf*(1-_0x66a0x12),_0x66a0x5,_0x66a0xf/2);_0x66a0x2[_0x7044[8]](_0x66a0x5,_0x66a0x6-_0x66a0xf/2);_0x66a0x2[_0x7044[21]](_0x66a0x5*3/4,_0x66a0x6-_0x66a0xf*_0x66a0x12,_0x66a0x5/2,_0x66a0x6-_0x66a0xf/2);_0x66a0x2[_0x7044[21]](_0x66a0x5/4,_0x66a0x6-_0x66a0xf*(1-_0x66a0x12),0,_0x66a0x6-_0x66a0xf/2);_0x66a0x2[_0x7044[8]](0,_0x66a0xf/2);_0x66a0x2[_0x7044[10]]();_0x66a0x2[_0x7044[9]]()}};mxCellRenderer[_0x7044[0]][_0x7044[12]][_0x7044[22]]=_0x66a0x11;function _0x66a0x13(){}_0x66a0x13[_0x7044[0]]= new mxCylinder();_0x66a0x13[_0x7044[0]][_0x7044[1]]=_0x66a0x13;_0x66a0x13[_0x7044[0]][_0x7044[2]]=0.2;_0x66a0x13[_0x7044[0]][_0x7044[3]]=function(_0x66a0x2,_0x66a0x3,_0x66a0x4,_0x66a0x5,_0x66a0x6,_0x66a0x7){var _0x66a0x8=_0x66a0x5*mxUtils[_0x7044[5]](this[_0x7044[4]],_0x7044[2],this[_0x7044[2]]);if(!_0x66a0x7){_0x66a0x2[_0x7044[7]](0,0);_0x66a0x2[_0x7044[8]](_0x66a0x5-_0x66a0x8,0);_0x66a0x2[_0x7044[8]](_0x66a0x5,_0x66a0x6/2);_0x66a0x2[_0x7044[8]](_0x66a0x5-_0x66a0x8,_0x66a0x6);_0x66a0x2[_0x7044[8]](0,_0x66a0x6);_0x66a0x2[_0x7044[8]](_0x66a0x8,_0x66a0x6/2);_0x66a0x2[_0x7044[10]]();_0x66a0x2[_0x7044[9]]()}};mxCellRenderer[_0x7044[0]][_0x7044[12]][_0x7044[23]]=_0x66a0x13;function _0x66a0x14(){mxRectangleShape[_0x7044[24]](this)}mxUtils[_0x7044[25]](_0x66a0x14,mxRectangleShape);_0x66a0x14[_0x7044[0]][_0x7044[26]]=function(){return false};_0x66a0x14[_0x7044[0]][_0x7044[27]]=function(_0x66a0x15,_0x66a0x3,_0x66a0x4,_0x66a0x5,_0x66a0x6){var _0x66a0x16=Math[_0x7044[6]](_0x66a0x5/5,_0x66a0x6/5)+1;_0x66a0x15[_0x7044[28]]();_0x66a0x15[_0x7044[7]](_0x66a0x3+_0x66a0x5/2,_0x66a0x4+_0x66a0x16);_0x66a0x15[_0x7044[8]](_0x66a0x3+_0x66a0x5/2,_0x66a0x4+_0x66a0x6-_0x66a0x16);_0x66a0x15[_0x7044[7]](_0x66a0x3+_0x66a0x16,_0x66a0x4+_0x66a0x6/2);_0x66a0x15[_0x7044[8]](_0x66a0x3+_0x66a0x5-_0x66a0x16,_0x66a0x4+_0x66a0x6/2);_0x66a0x15[_0x7044[9]]();_0x66a0x15[_0x7044[29]]();mxRectangleShape[_0x7044[0]][_0x7044[27]][_0x7044[30]](this,arguments)};mxCellRenderer[_0x7044[0]][_0x7044[12]][_0x7044[31]]=_0x66a0x14;function _0x66a0x17(){mxRectangleShape[_0x7044[24]](this)}mxUtils[_0x7044[25]](_0x66a0x17,mxRectangleShape);_0x66a0x17[_0x7044[0]][_0x7044[26]]=function(){return false};_0x66a0x17[_0x7044[0]][_0x7044[27]]=function(_0x66a0x15,_0x66a0x3,_0x66a0x4,_0x66a0x5,_0x66a0x6){if(this[_0x7044[4]]!=null){if(this[_0x7044[4]][_0x7044[32]]==1){var _0x66a0x18=Math[_0x7044[34]](2,this[_0x7044[33]]+1);mxRectangleShape[_0x7044[0]][_0x7044[35]][_0x7044[24]](this,_0x66a0x15,_0x66a0x3+_0x66a0x18,_0x66a0x4+_0x66a0x18,_0x66a0x5-2*_0x66a0x18,_0x66a0x6-2*_0x66a0x18);mxRectangleShape[_0x7044[0]][_0x7044[27]][_0x7044[30]](this,arguments);_0x66a0x3+=_0x66a0x18;_0x66a0x4+=_0x66a0x18;_0x66a0x5-=2*_0x66a0x18;_0x66a0x6-=2*_0x66a0x18};_0x66a0x15[_0x7044[36]](false);var _0x66a0x19=0;var _0x66a0x1a=null;do{_0x66a0x1a=mxCellRenderer[_0x7044[0]][_0x7044[12]][this[_0x7044[4]][_0x7044[37]+_0x66a0x19]];if(_0x66a0x1a!=null){var _0x66a0x1b=this[_0x7044[4]][_0x7044[37]+_0x66a0x19+_0x7044[38]];var _0x66a0x1c=this[_0x7044[4]][_0x7044[37]+_0x66a0x19+_0x7044[39]];var _0x66a0x1d=this[_0x7044[4]][_0x7044[37]+_0x66a0x19+_0x7044[40]];var _0x66a0x1e=this[_0x7044[4]][_0x7044[37]+_0x66a0x19+_0x7044[41]];var _0x66a0x1f=this[_0x7044[4]][_0x7044[37]+_0x66a0x19+_0x7044[42]]||0;var _0x66a0x20=this[_0x7044[4]][_0x7044[37]+_0x66a0x19+_0x7044[43]];if(_0x66a0x20!=null){_0x66a0x1f+=this[_0x7044[44]](_0x66a0x5+this[_0x7044[33]],_0x66a0x6+this[_0x7044[33]])*_0x66a0x20};var _0x66a0x21=_0x66a0x3;var _0x66a0x22=_0x66a0x4;if(_0x66a0x1b==mxConstants[_0x7044[45]]){_0x66a0x21+=(_0x66a0x5-_0x66a0x1d)/2}else {if(_0x66a0x1b==mxConstants[_0x7044[46]]){_0x66a0x21+=_0x66a0x5-_0x66a0x1d-_0x66a0x1f}else {_0x66a0x21+=_0x66a0x1f}};if(_0x66a0x1c==mxConstants[_0x7044[47]]){_0x66a0x22+=(_0x66a0x6-_0x66a0x1e)/2}else {if(_0x66a0x1c==mxConstants[_0x7044[48]]){_0x66a0x22+=_0x66a0x6-_0x66a0x1e-_0x66a0x1f}else {_0x66a0x22+=_0x66a0x1f}};_0x66a0x15[_0x7044[49]]();var _0x66a0x23= new _0x66a0x1a();_0x66a0x23[_0x7044[4]]=this[_0x7044[4]];_0x66a0x1a[_0x7044[0]][_0x7044[50]][_0x7044[24]](_0x66a0x23,_0x66a0x15,_0x66a0x21,_0x66a0x22,_0x66a0x1d,_0x66a0x1e);_0x66a0x15[_0x7044[51]]()};_0x66a0x19++}while(_0x66a0x1a!=null);}};mxCellRenderer[_0x7044[0]][_0x7044[12]][_0x7044[52]]=_0x66a0x17;function _0x66a0x24(){}_0x66a0x24[_0x7044[0]]= new mxCylinder();_0x66a0x24[_0x7044[0]][_0x7044[1]]=_0x66a0x24;_0x66a0x24[_0x7044[0]][_0x7044[3]]=function(_0x66a0x2,_0x66a0x3,_0x66a0x4,_0x66a0x5,_0x66a0x6,_0x66a0x7){if(_0x66a0x7){_0x66a0x2[_0x7044[7]](0,0);_0x66a0x2[_0x7044[8]](_0x66a0x5/2,_0x66a0x6/2);_0x66a0x2[_0x7044[8]](_0x66a0x5,0);_0x66a0x2[_0x7044[9]]()}else {_0x66a0x2[_0x7044[7]](0,0);_0x66a0x2[_0x7044[8]](_0x66a0x5,0);_0x66a0x2[_0x7044[8]](_0x66a0x5,_0x66a0x6);_0x66a0x2[_0x7044[8]](0,_0x66a0x6);_0x66a0x2[_0x7044[10]]()}};mxCellRenderer[_0x7044[0]][_0x7044[12]][_0x7044[53]]=_0x66a0x24;function _0x66a0x25(){}_0x66a0x25[_0x7044[0]]= new mxCylinder();_0x66a0x25[_0x7044[0]][_0x7044[1]]=_0x66a0x25;_0x66a0x25[_0x7044[0]][_0x7044[54]]=true;_0x66a0x25[_0x7044[0]][_0x7044[3]]=function(_0x66a0x2,_0x66a0x3,_0x66a0x4,_0x66a0x5,_0x66a0x6,_0x66a0x7){var _0x66a0x1d=_0x66a0x5/3;var _0x66a0x1e=_0x66a0x6/4;if(!_0x66a0x7){_0x66a0x2[_0x7044[7]](_0x66a0x5/2,_0x66a0x1e);_0x66a0x2[_0x7044[55]](_0x66a0x5/2-_0x66a0x1d,_0x66a0x1e,_0x66a0x5/2-_0x66a0x1d,0,_0x66a0x5/2,0);_0x66a0x2[_0x7044[55]](_0x66a0x5/2+_0x66a0x1d,0,_0x66a0x5/2+_0x66a0x1d,_0x66a0x1e,_0x66a0x5/2,_0x66a0x1e);_0x66a0x2[_0x7044[10]]();_0x66a0x2[_0x7044[7]](_0x66a0x5/2,_0x66a0x1e);_0x66a0x2[_0x7044[8]](_0x66a0x5/2,2*_0x66a0x6/3);_0x66a0x2[_0x7044[7]](_0x66a0x5/2,_0x66a0x6/3);_0x66a0x2[_0x7044[8]](0,_0x66a0x6/3);_0x66a0x2[_0x7044[7]](_0x66a0x5/2,_0x66a0x6/3);_0x66a0x2[_0x7044[8]](_0x66a0x5,_0x66a0x6/3);_0x66a0x2[_0x7044[7]](_0x66a0x5/2,2*_0x66a0x6/3);_0x66a0x2[_0x7044[8]](0,_0x66a0x6);_0x66a0x2[_0x7044[7]](_0x66a0x5/2,2*_0x66a0x6/3);_0x66a0x2[_0x7044[8]](_0x66a0x5,_0x66a0x6);_0x66a0x2[_0x7044[9]]()}};mxCellRenderer[_0x7044[0]][_0x7044[12]][_0x7044[56]]=_0x66a0x25;function _0x66a0x26(){}_0x66a0x26[_0x7044[0]]= new mxCylinder();_0x66a0x26[_0x7044[0]][_0x7044[1]]=_0x66a0x26;_0x66a0x26[_0x7044[0]][_0x7044[2]]=10;_0x66a0x26[_0x7044[0]][_0x7044[54]]=true;_0x66a0x26[_0x7044[0]][_0x7044[3]]=function(_0x66a0x2,_0x66a0x3,_0x66a0x4,_0x66a0x5,_0x66a0x6,_0x66a0x7){var _0x66a0x27=mxUtils[_0x7044[5]](this[_0x7044[4]],_0x7044[2],this[_0x7044[2]]);var _0x66a0x1d=_0x66a0x27*2/3;var _0x66a0x1e=_0x66a0x27;if(!_0x66a0x7){_0x66a0x2[_0x7044[7]](_0x66a0x5/2,_0x66a0x1e);_0x66a0x2[_0x7044[55]](_0x66a0x5/2-_0x66a0x1d,_0x66a0x1e,_0x66a0x5/2-_0x66a0x1d,0,_0x66a0x5/2,0);_0x66a0x2[_0x7044[55]](_0x66a0x5/2+_0x66a0x1d,0,_0x66a0x5/2+_0x66a0x1d,_0x66a0x1e,_0x66a0x5/2,_0x66a0x1e);_0x66a0x2[_0x7044[10]]();_0x66a0x2[_0x7044[7]](_0x66a0x5/2,_0x66a0x1e);_0x66a0x2[_0x7044[8]](_0x66a0x5/2,_0x66a0x6);_0x66a0x2[_0x7044[9]]()}};mxCellRenderer[_0x7044[0]][_0x7044[12]][_0x7044[57]]=_0x66a0x26;function _0x66a0x28(){}_0x66a0x28[_0x7044[0]]= new mxCylinder();_0x66a0x28[_0x7044[0]][_0x7044[1]]=_0x66a0x28;_0x66a0x28[_0x7044[0]][_0x7044[58]]=32;_0x66a0x28[_0x7044[0]][_0x7044[59]]=12;_0x66a0x28[_0x7044[0]][_0x7044[3]]=function(_0x66a0x2,_0x66a0x3,_0x66a0x4,_0x66a0x5,_0x66a0x6,_0x66a0x7){var _0x66a0xe=mxUtils[_0x7044[5]](this[_0x7044[4]],_0x7044[58],this[_0x7044[58]]);var _0x66a0xf=mxUtils[_0x7044[5]](this[_0x7044[4]],_0x7044[59],this[_0x7044[59]]);var _0x66a0x29=_0x66a0xe/2;var _0x66a0x2a=_0x66a0x29+_0x66a0xe/2;var _0x66a0x2b=0.3*_0x66a0x6-_0x66a0xf/2;var _0x66a0x2c=0.7*_0x66a0x6-_0x66a0xf/2;if(_0x66a0x7){_0x66a0x2[_0x7044[7]](_0x66a0x29,_0x66a0x2b);_0x66a0x2[_0x7044[8]](_0x66a0x2a,_0x66a0x2b);_0x66a0x2[_0x7044[8]](_0x66a0x2a,_0x66a0x2b+_0x66a0xf);_0x66a0x2[_0x7044[8]](_0x66a0x29,_0x66a0x2b+_0x66a0xf);_0x66a0x2[_0x7044[7]](_0x66a0x29,_0x66a0x2c);_0x66a0x2[_0x7044[8]](_0x66a0x2a,_0x66a0x2c);_0x66a0x2[_0x7044[8]](_0x66a0x2a,_0x66a0x2c+_0x66a0xf);_0x66a0x2[_0x7044[8]](_0x66a0x29,_0x66a0x2c+_0x66a0xf);_0x66a0x2[_0x7044[9]]()}else {_0x66a0x2[_0x7044[7]](_0x66a0x29,0);_0x66a0x2[_0x7044[8]](_0x66a0x5,0);_0x66a0x2[_0x7044[8]](_0x66a0x5,_0x66a0x6);_0x66a0x2[_0x7044[8]](_0x66a0x29,_0x66a0x6);_0x66a0x2[_0x7044[8]](_0x66a0x29,_0x66a0x2c+_0x66a0xf);_0x66a0x2[_0x7044[8]](0,_0x66a0x2c+_0x66a0xf);_0x66a0x2[_0x7044[8]](0,_0x66a0x2c);_0x66a0x2[_0x7044[8]](_0x66a0x29,_0x66a0x2c);_0x66a0x2[_0x7044[8]](_0x66a0x29,_0x66a0x2b+_0x66a0xf);_0x66a0x2[_0x7044[8]](0,_0x66a0x2b+_0x66a0xf);_0x66a0x2[_0x7044[8]](0,_0x66a0x2b);_0x66a0x2[_0x7044[8]](_0x66a0x29,_0x66a0x2b);_0x66a0x2[_0x7044[10]]();_0x66a0x2[_0x7044[9]]()}};mxCellRenderer[_0x7044[0]][_0x7044[12]][_0x7044[60]]=_0x66a0x28;function _0x66a0x2d(){}_0x66a0x2d[_0x7044[0]]= new mxDoubleEllipse();_0x66a0x2d[_0x7044[0]][_0x7044[1]]=_0x66a0x2d;_0x66a0x2d[_0x7044[0]][_0x7044[61]]=true;_0x66a0x2d[_0x7044[0]][_0x7044[50]]=function(_0x66a0x15,_0x66a0x3,_0x66a0x4,_0x66a0x5,_0x66a0x6){var _0x66a0x18=Math[_0x7044[6]](4,Math[_0x7044[6]](_0x66a0x5/5,_0x66a0x6/5));if(_0x66a0x5>0&&_0x66a0x6>0){_0x66a0x15[_0x7044[62]](_0x66a0x3+_0x66a0x18,_0x66a0x4+_0x66a0x18,_0x66a0x5-2*_0x66a0x18,_0x66a0x6-2*_0x66a0x18);_0x66a0x15[_0x7044[63]]()};_0x66a0x15[_0x7044[64]](false);if(this[_0x7044[61]]){_0x66a0x15[_0x7044[62]](_0x66a0x3,_0x66a0x4,_0x66a0x5,_0x66a0x6);_0x66a0x15[_0x7044[29]]()}};mxCellRenderer[_0x7044[0]][_0x7044[12]][_0x7044[65]]=_0x66a0x2d;function _0x66a0x2e(){}_0x66a0x2e[_0x7044[0]]= new _0x66a0x2d();_0x66a0x2e[_0x7044[0]][_0x7044[1]]=_0x66a0x2e;_0x66a0x2e[_0x7044[0]][_0x7044[61]]=false;mxCellRenderer[_0x7044[0]][_0x7044[12]][_0x7044[66]]=_0x66a0x2e;var _0x66a0x2f=mxImageExport[_0x7044[0]][_0x7044[67]];mxImageExport[_0x7044[0]][_0x7044[67]]=function(){_0x66a0x2f[_0x7044[30]](this,arguments);function _0x66a0x30(_0x66a0x31){return {drawShape:function(_0x66a0x32,_0x66a0x33,_0x66a0x34,_0x66a0x35){var _0x66a0x3=_0x66a0x34[_0x7044[68]];var _0x66a0x4=_0x66a0x34[_0x7044[69]];var _0x66a0x5=_0x66a0x34[_0x7044[70]];var _0x66a0x6=_0x66a0x34[_0x7044[71]];if(_0x66a0x35){var _0x66a0x18=Math[_0x7044[6]](4,Math[_0x7044[6]](_0x66a0x5/5,_0x66a0x6/5));_0x66a0x3+=_0x66a0x18;_0x66a0x4+=_0x66a0x18;_0x66a0x5-=2*_0x66a0x18;_0x66a0x6-=2*_0x66a0x18;if(_0x66a0x5>0&&_0x66a0x6>0){_0x66a0x32[_0x7044[62]](_0x66a0x3,_0x66a0x4,_0x66a0x5,_0x66a0x6)};return true}else {_0x66a0x32[_0x7044[63]]();if(_0x66a0x31){_0x66a0x32[_0x7044[62]](_0x66a0x3,_0x66a0x4,_0x66a0x5,_0x66a0x6);_0x66a0x32[_0x7044[29]]()}}}}}this[_0x7044[72]][_0x7044[65]]=_0x66a0x30(true);this[_0x7044[72]][_0x7044[66]]=_0x66a0x30(false)};function _0x66a0x36(){mxArrow[_0x7044[24]](this)}mxUtils[_0x7044[25]](_0x66a0x36,mxArrow);_0x66a0x36[_0x7044[0]][_0x7044[73]]=function(_0x66a0x15,_0x66a0x37){var _0x66a0x1d=10;var _0x66a0x38=_0x66a0x37[0];var _0x66a0x39=_0x66a0x37[_0x66a0x37[_0x7044[74]]-1];var _0x66a0xe=_0x66a0x39[_0x7044[68]]-_0x66a0x38[_0x7044[68]];var _0x66a0xf=_0x66a0x39[_0x7044[69]]-_0x66a0x38[_0x7044[69]];var _0x66a0x3a=Math[_0x7044[75]](_0x66a0xe*_0x66a0xe+_0x66a0xf*_0x66a0xf);var _0x66a0x3b=_0x66a0x3a;var _0x66a0x3c=_0x66a0xe/_0x66a0x3a;var _0x66a0x3d=_0x66a0xf/_0x66a0x3a;var _0x66a0x3e=_0x66a0x3b*_0x66a0x3c;var _0x66a0x3f=_0x66a0x3b*_0x66a0x3d;var _0x66a0x40=_0x66a0x1d*_0x66a0x3d/3;var _0x66a0x41=-_0x66a0x1d*_0x66a0x3c/3;var _0x66a0x42=_0x66a0x38[_0x7044[68]]-_0x66a0x40/2;var _0x66a0x43=_0x66a0x38[_0x7044[69]]-_0x66a0x41/2;var _0x66a0x44=_0x66a0x42+_0x66a0x40;var _0x66a0x45=_0x66a0x43+_0x66a0x41;var _0x66a0x46=_0x66a0x44+_0x66a0x3e;var _0x66a0x47=_0x66a0x45+_0x66a0x3f;var _0x66a0x48=_0x66a0x46+_0x66a0x40;var _0x66a0x49=_0x66a0x47+_0x66a0x41;var _0x66a0x4a=_0x66a0x48-3*_0x66a0x40;var _0x66a0x4b=_0x66a0x49-3*_0x66a0x41;_0x66a0x15[_0x7044[28]]();_0x66a0x15[_0x7044[7]](_0x66a0x44,_0x66a0x45);_0x66a0x15[_0x7044[8]](_0x66a0x46,_0x66a0x47);_0x66a0x15[_0x7044[7]](_0x66a0x4a+_0x66a0x40,_0x66a0x4b+_0x66a0x41);_0x66a0x15[_0x7044[8]](_0x66a0x42,_0x66a0x43);_0x66a0x15[_0x7044[29]]()};mxCellRenderer[_0x7044[0]][_0x7044[12]][_0x7044[76]]=_0x66a0x36;mxMarker[_0x7044[78]](_0x7044[77],function(_0x66a0x32,_0x66a0x1a,_0x66a0x4c,_0x66a0x39,_0x66a0x4d,_0x66a0x4e,_0x66a0x4f,_0x66a0x50,_0x66a0x51,_0x66a0x52){var _0x66a0x3c=_0x66a0x4d*(_0x66a0x4f+_0x66a0x51+1);var _0x66a0x3d=_0x66a0x4e*(_0x66a0x4f+_0x66a0x51+1);return function(){_0x66a0x32[_0x7044[28]]();_0x66a0x32[_0x7044[7]](_0x66a0x39[_0x7044[68]]-_0x66a0x3c/2-_0x66a0x3d/2,_0x66a0x39[_0x7044[69]]-_0x66a0x3d/2+_0x66a0x3c/2);_0x66a0x32[_0x7044[8]](_0x66a0x39[_0x7044[68]]+_0x66a0x3d/2-3*_0x66a0x3c/2,_0x66a0x39[_0x7044[69]]-3*_0x66a0x3d/2-_0x66a0x3c/2);_0x66a0x32[_0x7044[29]]()}});var _0x66a0x53=-99;if( typeof (mxVertexHandler)!=_0x7044[79]){function _0x66a0x54(_0x66a0x33){mxVertexHandler[_0x7044[24]](this,_0x66a0x33)}mxUtils[_0x7044[25]](_0x66a0x54,mxVertexHandler);_0x66a0x54[_0x7044[0]][_0x7044[80]]=false;_0x66a0x54[_0x7044[0]][_0x7044[81]]=function(){this[_0x7044[82]]=mxUtils[_0x7044[5]](this[_0x7044[83]][_0x7044[4]],mxConstants.STYLE_HORIZONTAL,true);var _0x66a0x55=this[_0x7044[83]][_0x7044[85]][_0x7044[84]];if(this[_0x7044[86]]!=null){var _0x66a0x34= new mxRectangle(0,0,this[_0x7044[86]][_0x7044[70]],this[_0x7044[86]][_0x7044[71]]);this[_0x7044[87]]= new mxImageShape(_0x66a0x34,this[_0x7044[86]][_0x7044[88]])}else {var _0x66a0x4f=10;var _0x66a0x34= new mxRectangle(0,0,_0x66a0x4f,_0x66a0x4f);this[_0x7044[87]]= new mxRhombus(_0x66a0x34,mxConstants.HANDLE_FILLCOLOR,mxConstants.HANDLE_STROKECOLOR)};this[_0x7044[87]][_0x7044[89]]=(_0x66a0x55[_0x7044[89]]!=mxConstants[_0x7044[90]])?mxConstants[_0x7044[91]]:mxConstants[_0x7044[90]];this[_0x7044[87]][_0x7044[81]](_0x66a0x55[_0x7044[93]]()[_0x7044[92]]());this[_0x7044[87]][_0x7044[95]][_0x7044[4]][_0x7044[94]]=this[_0x7044[96]]();mxEvent[_0x7044[97]](this[_0x7044[87]][_0x7044[95]],_0x66a0x55,this[_0x7044[83]]);mxVertexHandler[_0x7044[0]][_0x7044[81]][_0x7044[30]](this,arguments)};_0x66a0x54[_0x7044[0]][_0x7044[96]]=function(){return _0x7044[98]};_0x66a0x54[_0x7044[0]][_0x7044[99]]=function(){mxVertexHandler[_0x7044[0]][_0x7044[99]][_0x7044[30]](this,arguments);var _0x66a0x4f=this[_0x7044[87]][_0x7044[100]][_0x7044[70]];this[_0x7044[87]][_0x7044[100]]=this[_0x7044[101]](_0x66a0x4f);this[_0x7044[87]][_0x7044[99]]()};_0x66a0x54[_0x7044[0]][_0x7044[102]]=function(){mxVertexHandler[_0x7044[0]][_0x7044[102]][_0x7044[30]](this,arguments);if(this[_0x7044[87]]!=null){this[_0x7044[87]][_0x7044[102]]();this[_0x7044[87]]=null}};_0x66a0x54[_0x7044[0]][_0x7044[103]]=function(_0x66a0x56){if(_0x66a0x56[_0x7044[104]](this[_0x7044[87]])){return _0x66a0x53};return mxVertexHandler[_0x7044[0]][_0x7044[103]][_0x7044[30]](this,arguments)};_0x66a0x54[_0x7044[0]][_0x7044[105]]=function(_0x66a0x57,_0x66a0x56){if(!_0x66a0x56[_0x7044[106]]()&&this[_0x7044[107]]==_0x66a0x53){var _0x66a0x58= new mxPoint(_0x66a0x56[_0x7044[108]](),_0x66a0x56[_0x7044[109]]());this[_0x7044[110]](_0x66a0x58);var _0x66a0x59=this[_0x7044[84]][_0x7044[112]](_0x66a0x56[_0x7044[111]]());var _0x66a0x5a=this[_0x7044[84]][_0x7044[93]]()[_0x7044[113]];if(_0x66a0x59&&this[_0x7044[80]]){_0x66a0x58[_0x7044[68]]=this[_0x7044[84]][_0x7044[114]](_0x66a0x58[_0x7044[68]]/_0x66a0x5a)*_0x66a0x5a;_0x66a0x58[_0x7044[69]]=this[_0x7044[84]][_0x7044[114]](_0x66a0x58[_0x7044[69]]/_0x66a0x5a)*_0x66a0x5a};this[_0x7044[115]](_0x66a0x58);this[_0x7044[116]](this[_0x7044[87]],_0x66a0x58[_0x7044[68]],_0x66a0x58[_0x7044[69]]);this[_0x7044[83]][_0x7044[85]][_0x7044[84]][_0x7044[117]][_0x7044[99]](this[_0x7044[83]],true);_0x66a0x56[_0x7044[118]]()}else {mxVertexHandler[_0x7044[0]][_0x7044[105]][_0x7044[30]](this,arguments)}};_0x66a0x54[_0x7044[0]][_0x7044[119]]=function(_0x66a0x57,_0x66a0x56){if(!_0x66a0x56[_0x7044[106]]()&&this[_0x7044[107]]==_0x66a0x53){this[_0x7044[120]]();this[_0x7044[121]]();_0x66a0x56[_0x7044[118]]()}else {mxVertexHandler[_0x7044[0]][_0x7044[119]][_0x7044[30]](this,arguments)}};_0x66a0x54[_0x7044[0]][_0x7044[101]]=function(_0x66a0x4f){var _0x66a0x5b=this[_0x7044[83]][_0x7044[123]][_0x7044[122]]();var _0x66a0x5c=mxUtils[_0x7044[124]](_0x66a0x5b);var _0x66a0x5d=Math[_0x7044[125]](_0x66a0x5c);var _0x66a0x5e=Math[_0x7044[126]](_0x66a0x5c);var _0x66a0x34= new mxRectangle(this[_0x7044[83]][_0x7044[68]],this[_0x7044[83]][_0x7044[69]],this[_0x7044[83]][_0x7044[70]],this[_0x7044[83]][_0x7044[71]]);if(this[_0x7044[83]][_0x7044[123]][_0x7044[127]]()){var _0x66a0x5f=(_0x66a0x34[_0x7044[70]]-_0x66a0x34[_0x7044[71]])/2;_0x66a0x34[_0x7044[68]]+=_0x66a0x5f;_0x66a0x34[_0x7044[69]]-=_0x66a0x5f;var _0x66a0x23=_0x66a0x34[_0x7044[70]];_0x66a0x34[_0x7044[70]]=_0x66a0x34[_0x7044[71]];_0x66a0x34[_0x7044[71]]=_0x66a0x23};var _0x66a0x60=this[_0x7044[128]](_0x66a0x34);if(this[_0x7044[83]][_0x7044[123]][_0x7044[129]]){_0x66a0x60[_0x7044[68]]=2*_0x66a0x34[_0x7044[68]]+_0x66a0x34[_0x7044[70]]-_0x66a0x60[_0x7044[68]]};if(this[_0x7044[83]][_0x7044[123]][_0x7044[130]]){_0x66a0x60[_0x7044[69]]=2*_0x66a0x34[_0x7044[69]]+_0x66a0x34[_0x7044[71]]-_0x66a0x60[_0x7044[69]]};_0x66a0x60=mxUtils[_0x7044[133]](_0x66a0x60,_0x66a0x5d,_0x66a0x5e, new mxPoint(this[_0x7044[83]][_0x7044[131]](),this[_0x7044[83]][_0x7044[132]]()));return new mxRectangle(_0x66a0x60[_0x7044[68]]-_0x66a0x4f/2,_0x66a0x60[_0x7044[69]]-_0x66a0x4f/2,_0x66a0x4f,_0x66a0x4f)};_0x66a0x54[_0x7044[0]][_0x7044[128]]=function(_0x66a0x34){return null};_0x66a0x54[_0x7044[0]][_0x7044[115]]=function(_0x66a0x58){};_0x66a0x54[_0x7044[0]][_0x7044[110]]=function(_0x66a0x58){_0x66a0x58[_0x7044[68]]=Math[_0x7044[34]](this[_0x7044[83]][_0x7044[68]],Math[_0x7044[6]](this[_0x7044[83]][_0x7044[68]]+this[_0x7044[83]][_0x7044[70]],_0x66a0x58[_0x7044[68]]));_0x66a0x58[_0x7044[69]]=Math[_0x7044[34]](this[_0x7044[83]][_0x7044[69]],Math[_0x7044[6]](this[_0x7044[83]][_0x7044[69]]+this[_0x7044[83]][_0x7044[71]],_0x66a0x58[_0x7044[69]]))};_0x66a0x54[_0x7044[0]][_0x7044[120]]=function(){};function _0x66a0x61(_0x66a0x33){_0x66a0x54[_0x7044[24]](this,_0x66a0x33)}mxUtils[_0x7044[25]](_0x66a0x61,_0x66a0x54);_0x66a0x61[_0x7044[0]][_0x7044[128]]=function(_0x66a0x34){var _0x66a0x5a=this[_0x7044[84]][_0x7044[93]]()[_0x7044[113]];var _0x66a0xb=Math[_0x7044[6]](_0x66a0x34[_0x7044[70]],mxUtils[_0x7044[5]](this[_0x7044[83]][_0x7044[4]],_0x7044[14],60)*_0x66a0x5a);var _0x66a0xc=Math[_0x7044[6]](_0x66a0x34[_0x7044[71]],mxUtils[_0x7044[5]](this[_0x7044[83]][_0x7044[4]],_0x7044[15],20)*_0x66a0x5a);var _0x66a0xd=mxUtils[_0x7044[5]](this[_0x7044[83]][_0x7044[4]],_0x7044[16],_0x7044[17]);var _0x66a0x3=(_0x66a0xd==_0x7044[18])?_0x66a0x34[_0x7044[68]]+_0x66a0xb:_0x66a0x34[_0x7044[68]]+_0x66a0x34[_0x7044[70]]-_0x66a0xb;return new mxPoint(_0x66a0x3,_0x66a0x34[_0x7044[69]]+_0x66a0xc)};_0x66a0x61[_0x7044[0]][_0x7044[115]]=function(_0x66a0x58){var _0x66a0x5b=this[_0x7044[83]][_0x7044[123]][_0x7044[122]]();var _0x66a0x5c=mxUtils[_0x7044[124]](_0x66a0x5b);var _0x66a0x5d=Math[_0x7044[125]](-_0x66a0x5c);var _0x66a0x5e=Math[_0x7044[126]](-_0x66a0x5c);var _0x66a0x34= new mxRectangle(this[_0x7044[83]][_0x7044[68]],this[_0x7044[83]][_0x7044[69]],this[_0x7044[83]][_0x7044[70]],this[_0x7044[83]][_0x7044[71]]);if(this[_0x7044[83]][_0x7044[123]][_0x7044[127]]()){var _0x66a0x5f=(_0x66a0x34[_0x7044[70]]-_0x66a0x34[_0x7044[71]])/2;_0x66a0x34[_0x7044[68]]+=_0x66a0x5f;_0x66a0x34[_0x7044[69]]-=_0x66a0x5f;var _0x66a0x23=_0x66a0x34[_0x7044[70]];_0x66a0x34[_0x7044[70]]=_0x66a0x34[_0x7044[71]];_0x66a0x34[_0x7044[71]]=_0x66a0x23};var _0x66a0x60= new mxPoint(_0x66a0x58[_0x7044[68]],_0x66a0x58[_0x7044[69]]);_0x66a0x60=mxUtils[_0x7044[133]](_0x66a0x60,_0x66a0x5d,_0x66a0x5e, new mxPoint(this[_0x7044[83]][_0x7044[131]](),this[_0x7044[83]][_0x7044[132]]()));if(this[_0x7044[83]][_0x7044[123]][_0x7044[129]]){_0x66a0x60[_0x7044[68]]=2*_0x66a0x34[_0x7044[68]]+_0x66a0x34[_0x7044[70]]-_0x66a0x60[_0x7044[68]]};if(this[_0x7044[83]][_0x7044[123]][_0x7044[130]]){_0x66a0x60[_0x7044[69]]=2*_0x66a0x34[_0x7044[69]]+_0x66a0x34[_0x7044[71]]-_0x66a0x60[_0x7044[69]]};var _0x66a0x62=this[_0x7044[134]](_0x66a0x60,_0x66a0x34);if(_0x66a0x62!=null){if(this[_0x7044[83]][_0x7044[123]][_0x7044[129]]){_0x66a0x62[_0x7044[68]]=2*_0x66a0x34[_0x7044[68]]+_0x66a0x34[_0x7044[70]]-_0x66a0x62[_0x7044[68]]};if(this[_0x7044[83]][_0x7044[123]][_0x7044[130]]){_0x66a0x62[_0x7044[69]]=2*_0x66a0x34[_0x7044[69]]+_0x66a0x34[_0x7044[71]]-_0x66a0x62[_0x7044[69]]};_0x66a0x5d=Math[_0x7044[125]](_0x66a0x5c);_0x66a0x5e=Math[_0x7044[126]](_0x66a0x5c);_0x66a0x62=mxUtils[_0x7044[133]](_0x66a0x62,_0x66a0x5d,_0x66a0x5e, new mxPoint(this[_0x7044[83]][_0x7044[131]](),this[_0x7044[83]][_0x7044[132]]()));_0x66a0x58[_0x7044[68]]=_0x66a0x62[_0x7044[68]];_0x66a0x58[_0x7044[69]]=_0x66a0x62[_0x7044[69]]}};_0x66a0x61[_0x7044[0]][_0x7044[134]]=function(_0x66a0x60,_0x66a0x34){var _0x66a0xd=mxUtils[_0x7044[5]](this[_0x7044[83]][_0x7044[4]],_0x7044[16],_0x7044[17]);var _0x66a0xb=(_0x66a0xd==_0x7044[18])?_0x66a0x60[_0x7044[68]]-_0x66a0x34[_0x7044[68]]:_0x66a0x34[_0x7044[68]]+_0x66a0x34[_0x7044[70]]-_0x66a0x60[_0x7044[68]];var _0x66a0xc=_0x66a0x60[_0x7044[69]]-_0x66a0x34[_0x7044[69]];var _0x66a0x5a=this[_0x7044[84]][_0x7044[93]]()[_0x7044[113]];this[_0x7044[83]][_0x7044[4]][_0x7044[14]]=Math[_0x7044[135]](Math[_0x7044[34]](1,_0x66a0xb)/_0x66a0x5a);this[_0x7044[83]][_0x7044[4]][_0x7044[15]]=Math[_0x7044[135]](Math[_0x7044[34]](1,_0x66a0xc)/_0x66a0x5a)};_0x66a0x61[_0x7044[0]][_0x7044[120]]=function(){var _0x66a0x63=this[_0x7044[84]][_0x7044[136]]();_0x66a0x63[_0x7044[137]]();try{this[_0x7044[83]][_0x7044[85]][_0x7044[84]][_0x7044[139]](_0x7044[14],this[_0x7044[83]][_0x7044[4]][_0x7044[14]],[this[_0x7044[83]][_0x7044[138]]]);this[_0x7044[83]][_0x7044[85]][_0x7044[84]][_0x7044[139]](_0x7044[15],this[_0x7044[83]][_0x7044[4]][_0x7044[15]],[this[_0x7044[83]][_0x7044[138]]])}finally{_0x66a0x63[_0x7044[140]]()}};function _0x66a0x64(_0x66a0x33){_0x66a0x61[_0x7044[24]](this,_0x66a0x33)}mxUtils[_0x7044[25]](_0x66a0x64,_0x66a0x61);_0x66a0x64[_0x7044[0]][_0x7044[128]]=function(_0x66a0x34){var _0x66a0x5a=this[_0x7044[84]][_0x7044[93]]()[_0x7044[113]];var _0x66a0x65=mxUtils[_0x7044[5]](this[_0x7044[83]][_0x7044[4]],mxConstants.STYLE_STARTSIZE,mxConstants.DEFAULT_STARTSIZE);return new mxPoint(_0x66a0x34[_0x7044[68]]+_0x66a0x34[_0x7044[70]]/2,_0x66a0x34[_0x7044[69]]+Math[_0x7044[6]](_0x66a0x34[_0x7044[71]],_0x66a0x65*_0x66a0x5a))};_0x66a0x64[_0x7044[0]][_0x7044[134]]=function(_0x66a0x58,_0x66a0x34){_0x66a0x58[_0x7044[68]]=_0x66a0x34[_0x7044[68]]+_0x66a0x34[_0x7044[70]]/2;startSize=_0x66a0x58[_0x7044[69]]-_0x66a0x34[_0x7044[69]];var _0x66a0x5a=this[_0x7044[84]][_0x7044[93]]()[_0x7044[113]];this[_0x7044[83]][_0x7044[4]][_0x7044[141]]=Math[_0x7044[135]](Math[_0x7044[34]](1,startSize)/_0x66a0x5a);return _0x66a0x58};_0x66a0x64[_0x7044[0]][_0x7044[120]]=function(){this[_0x7044[83]][_0x7044[85]][_0x7044[84]][_0x7044[139]](_0x7044[141],this[_0x7044[83]][_0x7044[4]][_0x7044[141]],[this[_0x7044[83]][_0x7044[138]]])};function _0x66a0x66(_0x66a0x33){_0x66a0x61[_0x7044[24]](this,_0x66a0x33)}mxUtils[_0x7044[25]](_0x66a0x66,_0x66a0x61);_0x66a0x66[_0x7044[0]][_0x7044[142]]=20;_0x66a0x66[_0x7044[0]][_0x7044[143]]=1;_0x66a0x66[_0x7044[0]][_0x7044[128]]=function(_0x66a0x34){var _0x66a0x5a=this[_0x7044[84]][_0x7044[93]]()[_0x7044[113]];var _0x66a0x67=Math[_0x7044[6]](_0x66a0x34[_0x7044[70]],Math[_0x7044[6]](_0x66a0x34[_0x7044[71]],mxUtils[_0x7044[5]](this[_0x7044[83]][_0x7044[4]],_0x7044[2],this[_0x7044[142]])*_0x66a0x5a/this[_0x7044[143]]));return new mxPoint(_0x66a0x34[_0x7044[68]]+_0x66a0x67,_0x66a0x34[_0x7044[69]]+_0x66a0x67)};_0x66a0x66[_0x7044[0]][_0x7044[134]]=function(_0x66a0x60,_0x66a0x34){var _0x66a0x4f=Math[_0x7044[34]](0,Math[_0x7044[6]](Math[_0x7044[6]](_0x66a0x34[_0x7044[70]]/this[_0x7044[143]],_0x66a0x60[_0x7044[68]]-_0x66a0x34[_0x7044[68]]),Math[_0x7044[6]](_0x66a0x34[_0x7044[71]]/this[_0x7044[143]],_0x66a0x60[_0x7044[69]]-_0x66a0x34[_0x7044[69]])));var _0x66a0x5a=this[_0x7044[84]][_0x7044[93]]()[_0x7044[113]];this[_0x7044[83]][_0x7044[4]][_0x7044[2]]=Math[_0x7044[135]](Math[_0x7044[34]](1,_0x66a0x4f)/_0x66a0x5a)*this[_0x7044[143]];return new mxPoint(_0x66a0x34[_0x7044[68]]+_0x66a0x4f,_0x66a0x34[_0x7044[69]]+_0x66a0x4f)};_0x66a0x66[_0x7044[0]][_0x7044[120]]=function(){this[_0x7044[83]][_0x7044[85]][_0x7044[84]][_0x7044[139]](_0x7044[2],this[_0x7044[83]][_0x7044[4]][_0x7044[2]],[this[_0x7044[83]][_0x7044[138]]])};function _0x66a0x68(_0x66a0x33){_0x66a0x66[_0x7044[24]](this,_0x66a0x33)}mxUtils[_0x7044[25]](_0x66a0x68,_0x66a0x66);_0x66a0x68[_0x7044[0]][_0x7044[142]]=30;_0x66a0x68[_0x7044[0]][_0x7044[143]]=2;function _0x66a0x69(_0x66a0x33){_0x66a0x66[_0x7044[24]](this,_0x66a0x33)}mxUtils[_0x7044[25]](_0x66a0x69,_0x66a0x66);_0x66a0x69[_0x7044[0]][_0x7044[142]]=30;_0x66a0x69[_0x7044[0]][_0x7044[143]]=1;_0x66a0x69[_0x7044[0]][_0x7044[128]]=function(_0x66a0x34){var _0x66a0x5a=this[_0x7044[84]][_0x7044[93]]()[_0x7044[113]];var _0x66a0x67=Math[_0x7044[6]](_0x66a0x34[_0x7044[70]],Math[_0x7044[6]](_0x66a0x34[_0x7044[71]],mxUtils[_0x7044[5]](this[_0x7044[83]][_0x7044[4]],_0x7044[2],this[_0x7044[142]])*_0x66a0x5a/this[_0x7044[143]]));return new mxPoint(_0x66a0x34[_0x7044[68]]+_0x66a0x34[_0x7044[70]]-_0x66a0x67,_0x66a0x34[_0x7044[69]]+_0x66a0x67)};_0x66a0x69[_0x7044[0]][_0x7044[134]]=function(_0x66a0x60,_0x66a0x34){var _0x66a0x4f=Math[_0x7044[34]](0,Math[_0x7044[6]](Math[_0x7044[6]](_0x66a0x34[_0x7044[70]]/this[_0x7044[143]],_0x66a0x60[_0x7044[68]]-_0x66a0x34[_0x7044[68]]+_0x66a0x34[_0x7044[70]]),Math[_0x7044[6]](_0x66a0x34[_0x7044[71]]/this[_0x7044[143]],_0x66a0x60[_0x7044[69]]-_0x66a0x34[_0x7044[69]])));var _0x66a0x5a=this[_0x7044[84]][_0x7044[93]]()[_0x7044[113]];this[_0x7044[83]][_0x7044[4]][_0x7044[2]]=Math[_0x7044[135]](Math[_0x7044[34]](1,_0x66a0x4f)/_0x66a0x5a)*this[_0x7044[143]];return new mxPoint(_0x66a0x34[_0x7044[68]]+_0x66a0x34[_0x7044[70]]-_0x66a0x4f,_0x66a0x34[_0x7044[69]]+_0x66a0x4f)};function _0x66a0x6a(_0x66a0x33){_0x66a0x66[_0x7044[24]](this,_0x66a0x33)}mxUtils[_0x7044[25]](_0x66a0x6a,_0x66a0x66);_0x66a0x6a[_0x7044[0]][_0x7044[142]]=0.2;_0x66a0x6a[_0x7044[0]][_0x7044[143]]=1;_0x66a0x6a[_0x7044[0]][_0x7044[128]]=function(_0x66a0x34){var _0x66a0x67=mxUtils[_0x7044[5]](this[_0x7044[83]][_0x7044[4]],_0x7044[2],this[_0x7044[142]]);return new mxPoint(_0x66a0x34[_0x7044[68]]+_0x66a0x34[_0x7044[70]]*_0x66a0x67,_0x66a0x34[_0x7044[69]]+_0x66a0x34[_0x7044[71]]/2)};_0x66a0x6a[_0x7044[0]][_0x7044[134]]=function(_0x66a0x60,_0x66a0x34){var _0x66a0x4f=Math[_0x7044[6]](1,(_0x66a0x60[_0x7044[68]]-_0x66a0x34[_0x7044[68]])/_0x66a0x34[_0x7044[70]]);this[_0x7044[83]][_0x7044[4]][_0x7044[2]]=_0x66a0x4f;return new mxPoint(_0x66a0x34[_0x7044[68]]+_0x66a0x4f*_0x66a0x34[_0x7044[70]],_0x66a0x34[_0x7044[69]]+_0x66a0x34[_0x7044[71]]/2)};function _0x66a0x6b(_0x66a0x33){_0x66a0x66[_0x7044[24]](this,_0x66a0x33)}mxUtils[_0x7044[25]](_0x66a0x6b,_0x66a0x66);_0x66a0x6b[_0x7044[0]][_0x7044[142]]=0.4;_0x66a0x6b[_0x7044[0]][_0x7044[143]]=1;_0x66a0x6b[_0x7044[0]][_0x7044[128]]=function(_0x66a0x34){var _0x66a0x67=mxUtils[_0x7044[5]](this[_0x7044[83]][_0x7044[4]],_0x7044[2],this[_0x7044[142]]);return new mxPoint(_0x66a0x34[_0x7044[68]]+_0x66a0x34[_0x7044[70]]/2,_0x66a0x34[_0x7044[69]]+_0x66a0x67*_0x66a0x34[_0x7044[71]]/2)};_0x66a0x6b[_0x7044[0]][_0x7044[134]]=function(_0x66a0x60,_0x66a0x34){var _0x66a0x4f=Math[_0x7044[34]](0,Math[_0x7044[6]](1,((_0x66a0x60[_0x7044[69]]-_0x66a0x34[_0x7044[69]])/_0x66a0x34[_0x7044[71]])*2));this[_0x7044[83]][_0x7044[4]][_0x7044[2]]=_0x66a0x4f;return new mxPoint(_0x66a0x34[_0x7044[68]]+_0x66a0x34[_0x7044[70]]/2,_0x66a0x34[_0x7044[69]]+_0x66a0x4f*_0x66a0x34[_0x7044[71]]/2)};var _0x66a0x6c={"\x73\x77\x69\x6D\x6C\x61\x6E\x65":_0x66a0x64,"\x66\x6F\x6C\x64\x65\x72":_0x66a0x61,"\x63\x75\x62\x65":_0x66a0x66,"\x63\x61\x72\x64":_0x66a0x68,"\x6E\x6F\x74\x65":_0x66a0x69,"\x73\x74\x65\x70":_0x66a0x6a,"\x74\x61\x70\x65":_0x66a0x6b};var _0x66a0x6d=mxGraph[_0x7044[0]][_0x7044[144]];mxGraph[_0x7044[0]][_0x7044[144]]=function(_0x66a0x33){if(_0x66a0x33!=null){var _0x66a0x6e=_0x66a0x6c[_0x66a0x33[_0x7044[4]][_0x7044[123]]];if(_0x66a0x6e!=null){return new _0x66a0x6e(_0x66a0x33)}};return _0x66a0x6d[_0x7044[30]](this,arguments)}};mxGraph[_0x7044[0]][_0x7044[145]]=function(_0x66a0x6f,_0x66a0x50){if(_0x66a0x6f!=null&&_0x66a0x6f[_0x7044[123]]!=null){if(_0x66a0x6f[_0x7044[123]][_0x7044[146]]!=null){if(_0x66a0x6f[_0x7044[123]][_0x7044[146]]!=null){return _0x66a0x6f[_0x7044[123]][_0x7044[146]][_0x7044[147]]}}else {if(_0x66a0x6f[_0x7044[123]][_0x7044[147]]!=null){return _0x66a0x6f[_0x7044[123]][_0x7044[147]]}}};return null};mxRectangleShape[_0x7044[0]][_0x7044[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.75,0),true), new mxConnectionConstraint( new mxPoint(0,0.25),true), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(0,0.75),true), new mxConnectionConstraint( new mxPoint(1,0.25),true), new mxConnectionConstraint( new mxPoint(1,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.75),true), new mxConnectionConstraint( new mxPoint(0.25,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.75,1),true)];mxLabel[_0x7044[0]][_0x7044[147]]=mxRectangleShape[_0x7044[0]][_0x7044[147]];mxImageShape[_0x7044[0]][_0x7044[147]]=mxRectangleShape[_0x7044[0]][_0x7044[147]];mxSwimlane[_0x7044[0]][_0x7044[147]]=mxRectangleShape[_0x7044[0]][_0x7044[147]];_0x66a0x14[_0x7044[0]][_0x7044[147]]=mxRectangleShape[_0x7044[0]][_0x7044[147]];_0x66a0x9[_0x7044[0]][_0x7044[147]]=mxRectangleShape[_0x7044[0]][_0x7044[147]];_0x66a0x10[_0x7044[0]][_0x7044[147]]=mxRectangleShape[_0x7044[0]][_0x7044[147]];_0x66a0x1[_0x7044[0]][_0x7044[147]]=mxRectangleShape[_0x7044[0]][_0x7044[147]];_0x66a0xa[_0x7044[0]][_0x7044[147]]=mxRectangleShape[_0x7044[0]][_0x7044[147]];mxCylinder[_0x7044[0]][_0x7044[147]]=[ new mxConnectionConstraint( new mxPoint(0.15,0.05),false), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.85,0.05),false), new mxConnectionConstraint( new mxPoint(0,0.3),true), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(0,0.7),true), new mxConnectionConstraint( new mxPoint(1,0.3),true), new mxConnectionConstraint( new mxPoint(1,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.7),true), new mxConnectionConstraint( new mxPoint(0.15,0.95),false), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.85,0.95),false)];_0x66a0x25[_0x7044[0]][_0x7044[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0.1),false), new mxConnectionConstraint( new mxPoint(0.5,0),false), new mxConnectionConstraint( new mxPoint(0.75,0.1),false), new mxConnectionConstraint( new mxPoint(0,1/3),false), new mxConnectionConstraint( new mxPoint(0,1),false), new mxConnectionConstraint( new mxPoint(1,1/3),false), new mxConnectionConstraint( new mxPoint(1,1),false), new mxConnectionConstraint( new mxPoint(0.5,0.5),false)];_0x66a0x28[_0x7044[0]][_0x7044[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.75,0),true), new mxConnectionConstraint( new mxPoint(0,0.3),true), new mxConnectionConstraint( new mxPoint(0,0.7),true), new mxConnectionConstraint( new mxPoint(1,0.25),true), new mxConnectionConstraint( new mxPoint(1,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.75),true), new mxConnectionConstraint( new mxPoint(0.25,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.75,1),true)];mxActor[_0x7044[0]][_0x7044[147]]=[ new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.25,0.2),false), new mxConnectionConstraint( new mxPoint(0.1,0.5),false), new mxConnectionConstraint( new mxPoint(0,0.75),true), new mxConnectionConstraint( new mxPoint(0.75,0.25),false), new mxConnectionConstraint( new mxPoint(0.9,0.5),false), new mxConnectionConstraint( new mxPoint(1,0.75),true), new mxConnectionConstraint( new mxPoint(0.25,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.75,1),true)];_0x66a0x11[_0x7044[0]][_0x7044[147]]=[ new mxConnectionConstraint( new mxPoint(0,0.35),false), new mxConnectionConstraint( new mxPoint(0,0.5),false), new mxConnectionConstraint( new mxPoint(0,0.65),false), new mxConnectionConstraint( new mxPoint(1,0.35),false), new mxConnectionConstraint( new mxPoint(1,0.5),false), new mxConnectionConstraint( new mxPoint(1,0.65),false), new mxConnectionConstraint( new mxPoint(0.25,1),false), new mxConnectionConstraint( new mxPoint(0.75,0),false)];_0x66a0x13[_0x7044[0]][_0x7044[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.75,0),true), new mxConnectionConstraint( new mxPoint(0.25,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.75,1),true), new mxConnectionConstraint( new mxPoint(0.1,0.25),false), new mxConnectionConstraint( new mxPoint(0.2,0.5),false), new mxConnectionConstraint( new mxPoint(0.1,0.75),false), new mxConnectionConstraint( new mxPoint(0.9,0.25),false), new mxConnectionConstraint( new mxPoint(1,0.5),false), new mxConnectionConstraint( new mxPoint(0.9,0.75),false)];mxLine[_0x7044[0]][_0x7044[147]]=[ new mxConnectionConstraint( new mxPoint(0,0.5),false), new mxConnectionConstraint( new mxPoint(0.25,0.5),false), new mxConnectionConstraint( new mxPoint(0.75,0.5),false), new mxConnectionConstraint( new mxPoint(1,0.5),false)];_0x66a0x26[_0x7044[0]][_0x7044[147]]=[ new mxConnectionConstraint( new mxPoint(0.5,0),false), new mxConnectionConstraint( new mxPoint(0.5,1),false)];mxEllipse[_0x7044[0]][_0x7044[147]]=[ new mxConnectionConstraint( new mxPoint(0,0),true), new mxConnectionConstraint( new mxPoint(1,0),true), new mxConnectionConstraint( new mxPoint(0,1),true), new mxConnectionConstraint( new mxPoint(1,1),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.5))];mxDoubleEllipse[_0x7044[0]][_0x7044[147]]=mxEllipse[_0x7044[0]][_0x7044[147]];mxRhombus[_0x7044[0]][_0x7044[147]]=mxEllipse[_0x7044[0]][_0x7044[147]];mxTriangle[_0x7044[0]][_0x7044[147]]=[ new mxConnectionConstraint( new mxPoint(0,0.25),true), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(0,0.75),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(1,0.5),true)];mxHexagon[_0x7044[0]][_0x7044[147]]=[ new mxConnectionConstraint( new mxPoint(0.375,0),true), new mxConnectionConstraint( new mxPoint(0.5,0),true), new mxConnectionConstraint( new mxPoint(0.625,0),true), new mxConnectionConstraint( new mxPoint(0.125,0.25),false), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(0.125,0.75),false), new mxConnectionConstraint( new mxPoint(0.875,0.25),false), new mxConnectionConstraint( new mxPoint(0,0.5),true), new mxConnectionConstraint( new mxPoint(1,0.5),true), new mxConnectionConstraint( new mxPoint(0.875,0.75),false), new mxConnectionConstraint( new mxPoint(0.375,1),true), new mxConnectionConstraint( new mxPoint(0.5,1),true), new mxConnectionConstraint( new mxPoint(0.625,1),true)];mxCloud[_0x7044[0]][_0x7044[147]]=[ new mxConnectionConstraint( new mxPoint(0.25,0.25),false), new mxConnectionConstraint( new mxPoint(0.4,0.1),false), new mxConnectionConstraint( new mxPoint(0.16,0.55),false), new mxConnectionConstraint( new mxPoint(0.07,0.4),false), new mxConnectionConstraint( new mxPoint(0.31,0.8),false), new mxConnectionConstraint( new mxPoint(0.13,0.77),false), new mxConnectionConstraint( new mxPoint(0.8,0.8),false), new mxConnectionConstraint( new mxPoint(0.55,0.95),false), new mxConnectionConstraint( new mxPoint(0.875,0.5),false), new mxConnectionConstraint( new mxPoint(0.96,0.7),false), new mxConnectionConstraint( new mxPoint(0.625,0.2),false), new mxConnectionConstraint( new mxPoint(0.88,0.25),false)];mxArrow[_0x7044[0]][_0x7044[147]]=null})() \ No newline at end of file diff --git a/public/assets/plugins/square/js/Sidebar.js b/public/assets/plugins/square/js/Sidebar.js index 95e3a9e..35fcc4f 100644 --- a/public/assets/plugins/square/js/Sidebar.js +++ b/public/assets/plugins/square/js/Sidebar.js @@ -1 +1 @@ -var _0xbb0a=["\x75\x73\x65\x20\x73\x74\x72\x69\x63\x74","\x65\x64\x69\x74\x6F\x72\x55\x69","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x70\x61\x6C\x65\x74\x74\x65\x73","\x73\x68\x6F\x77\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x67\x72\x61\x70\x68","\x64\x69\x76","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x67\x65\x74\x53\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x65\x64\x69\x74\x6F\x72","\x72\x65\x73\x65\x74\x56\x69\x65\x77\x4F\x6E\x52\x6F\x6F\x74\x43\x68\x61\x6E\x67\x65","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x61\x75\x74\x6F\x53\x63\x72\x6F\x6C\x6C","\x73\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x76\x69\x73\x69\x62\x69\x6C\x69\x74\x79","\x73\x74\x79\x6C\x65","\x68\x69\x64\x64\x65\x6E","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x61\x62\x73\x6F\x6C\x75\x74\x65","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x62\x6F\x64\x79","\x49\x53\x5F\x54\x4F\x55\x43\x48","\x6D\x6F\x75\x73\x65\x75\x70","\x62\x69\x6E\x64","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x73\x63\x72\x6F\x6C\x6C","\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E","\x68\x69\x64\x65\x54\x6F\x6F\x6C\x74\x69\x70","\x6D\x6F\x75\x73\x65\x6D\x6F\x76\x65","\x67\x65\x74\x53\x6F\x75\x72\x63\x65","\x63\x75\x72\x72\x65\x6E\x74\x45\x6C\x74","\x70\x61\x72\x65\x6E\x74\x4E\x6F\x64\x65","\x6D\x6F\x75\x73\x65\x6F\x75\x74","\x74\x6F\x45\x6C\x65\x6D\x65\x6E\x74","\x72\x65\x6C\x61\x74\x65\x64\x54\x61\x72\x67\x65\x74","\x69\x6E\x69\x74","\x73\x72\x63","\x2F\x74\x6F\x6F\x6C\x74\x69\x70\x2E\x70\x6E\x67","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x6C\x6F\x67","\x65\x6E\x74\x72\x79","\x67\x65\x74","\x2F\x65\x6E\x74\x72\x79\x2F","\x5F\x31\x32\x38\x78\x31\x32\x38\x2E\x70\x6E\x67","\x73\x74\x61\x72\x74","\x66\x69\x6E\x61\x6C\x6C\x79","\x65\x6E\x64","\x61\x64\x64\x49\x6D\x61\x67\x65\x50\x61\x6C\x65\x74\x74\x65","\x63\x61\x6C\x6C\x5F\x6D\x61\x6E\x61\x67\x65\x6D\x65\x6E\x74","\x2F\x63\x61\x6C\x6C\x5F\x6D\x61\x6E\x61\x67\x65\x6D\x65\x6E\x74\x2F","\x61\x6E\x73\x77\x65\x72","\x68\x61\x6E\x67\x75\x70","\x64\x69\x61\x6C","\x65\x78\x74\x5F\x64\x69\x61\x6C","\x71\x75\x65\x75\x65","\x76\x6F\x69\x63\x65\x6D\x61\x69\x6C","\x63\x61\x6C\x6C\x62\x61\x63\x6B","\x76\x61\x72\x69\x61\x62\x6C\x65","\x2F\x76\x61\x72\x69\x61\x62\x6C\x65\x2F","\x73\x65\x74","\x6D\x61\x74\x68","\x70\x6C\x61\x79\x62\x61\x63\x6B","\x2F\x70\x6C\x61\x79\x62\x61\x63\x6B\x2F","\x6D\x65\x6E\x75","\x73\x61\x79\x64\x69\x67\x69\x74\x73","\x73\x61\x79\x6E\x75\x6D\x62\x65\x72","\x73\x61\x79\x70\x68\x6F\x6E\x65\x74\x69\x63","\x74\x74\x73","\x69\x73\x70\x65\x65\x63\x68\x74\x74\x73","\x67\x65\x74\x64\x69\x67\x69\x74\x73","\x72\x65\x63\x6F\x72\x64\x69\x6E\x67","\x2F\x72\x65\x63\x6F\x72\x64\x69\x6E\x67\x2F","\x72\x65\x63\x6F\x72\x64","\x69\x6E\x74\x65\x67\x72\x61\x74\x69\x6F\x6E\x53\x65\x72\x76\x65\x72","\x2F\x69\x6E\x74\x65\x67\x72\x61\x74\x69\x6F\x6E\x5F\x73\x65\x72\x76\x65\x72\x2F","\x64\x61\x74\x61\x62\x61\x73\x65","\x69\x73\x70\x65\x65\x63\x68\x61\x73\x72","\x73\x6D\x73","\x63\x61\x6C\x6C\x66\x6C\x6F\x77","\x2F\x63\x61\x6C\x6C\x66\x6C\x6F\x77\x2F","\x67\x6F\x74\x6F\x63","\x67\x6F\x74\x6F\x69\x66","\x67\x6F\x74\x6F\x69\x66\x74\x69\x6D\x65","\x76\x73\x77\x69\x74\x63\x68","\x73\x74\x61\x74\x73","\x2F\x73\x74\x61\x74\x73\x2F","\x67\x6F\x61\x6C","\x67\x65\x6E\x65\x72\x61\x6C","\x2F\x67\x65\x6E\x65\x72\x61\x6C\x2F","\x6E\x6F\x6F\x70","\x73\x79\x73\x74\x65\x6D","\x61\x67\x69","\x73\x75\x62\x70\x72\x6F\x6A\x65\x63\x74","\x63\x75\x73\x74\x6F\x6D\x5F\x61\x70\x70","\x65\x6E\x61\x62\x6C\x65\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x74\x6F\x6F\x6C\x74\x69\x70\x42\x6F\x72\x64\x65\x72","\x74\x68\x75\x6D\x62\x42\x6F\x72\x64\x65\x72","\x74\x6F\x6F\x6C\x74\x69\x70\x44\x65\x6C\x61\x79","\x69\x6E\x73\x74\x61\x6C\x6C\x45\x64\x67\x65\x73","\x67\x65\x61\x72\x49\x6D\x61\x67\x65","\x2F\x63\x6C\x69\x70\x61\x72\x74\x2F\x47\x65\x61\x72\x5F\x31\x32\x38\x78\x31\x32\x38\x2E\x70\x6E\x67","\x74\x68\x75\x6D\x62\x57\x69\x64\x74\x68","\x74\x68\x75\x6D\x62\x48\x65\x69\x67\x68\x74","\x74\x68\x75\x6D\x62\x50\x61\x64\x64\x69\x6E\x67","\x73\x69\x64\x65\x62\x61\x72\x54\x69\x74\x6C\x65\x53\x69\x7A\x65","\x73\x69\x64\x65\x62\x61\x72\x54\x69\x74\x6C\x65\x73","\x74\x6F\x6F\x6C\x74\x69\x70\x54\x69\x74\x6C\x65\x73","\x73\x68\x6F\x77\x54\x6F\x6F\x6C\x74\x69\x70","\x74\x68\x72\x65\x61\x64","\x63\x6C\x65\x61\x72\x54\x69\x6D\x65\x6F\x75\x74","\x74\x6F\x6F\x6C\x74\x69\x70","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x53\x69\x64\x65\x62\x61\x72\x54\x6F\x6F\x6C\x74\x69\x70","\x67\x72\x61\x70\x68\x32","\x73\x65\x74\x54\x72\x61\x6E\x73\x6C\x61\x74\x65","\x76\x69\x65\x77","\x49\x53\x5F\x53\x56\x47","\x63\x61\x6E\x76\x61\x73","\x72\x65\x6C\x61\x74\x69\x76\x65","\x74\x6F\x6F\x6C\x74\x69\x70\x49\x6D\x61\x67\x65","\x63\x72\x65\x61\x74\x65\x49\x6D\x61\x67\x65","\x77\x69\x64\x74\x68","\x31\x34\x70\x78","\x68\x65\x69\x67\x68\x74","\x32\x37\x70\x78","\x64\x69\x73\x70\x6C\x61\x79","\x62\x6C\x6F\x63\x6B","\x6C\x61\x62\x65\x6C\x73\x56\x69\x73\x69\x62\x6C\x65","\x63\x6C\x65\x61\x72","\x6D\x6F\x64\x65\x6C","\x61\x64\x64\x43\x65\x6C\x6C\x73","\x67\x65\x74\x47\x72\x61\x70\x68\x42\x6F\x75\x6E\x64\x73","\x49\x53\x5F\x51\x55\x49\x52\x4B\x53","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x76\x69\x73\x69\x62\x6C\x65","\x70\x78","\x6C\x65\x6E\x67\x74\x68","\x74\x6F\x6F\x6C\x74\x69\x70\x54\x69\x74\x6C\x65","\x62\x6F\x72\x64\x65\x72\x54\x6F\x70","\x31\x70\x78\x20\x73\x6F\x6C\x69\x64\x20\x67\x72\x61\x79","\x74\x65\x78\x74\x41\x6C\x69\x67\x6E","\x63\x65\x6E\x74\x65\x72","\x31\x30\x30\x25","\x70\x61\x64\x64\x69\x6E\x67\x54\x6F\x70","\x32\x70\x78","\x36\x70\x78","\x69\x6E\x6E\x65\x72\x48\x54\x4D\x4C","","\x77\x72\x69\x74\x65","\x6F\x66\x66\x73\x65\x74\x48\x65\x69\x67\x68\x74","\x6D\x61\x72\x67\x69\x6E\x54\x6F\x70","\x74\x6F\x70","\x6E\x6F\x6E\x65","\x78","\x6D\x69\x6E","\x79","\x63\x6C\x69\x65\x6E\x74\x57\x69\x64\x74\x68","\x73\x70\x6C\x69\x74\x53\x69\x7A\x65","\x6F\x66\x66\x73\x65\x74\x54\x6F\x70","\x73\x63\x72\x6F\x6C\x6C\x54\x6F\x70","\x6D\x61\x78","\x74\x72\x61\x6E\x73\x66\x6F\x72\x6D","\x74\x72\x61\x6E\x73\x6C\x61\x74\x65\x28","\x2C","\x29","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x6C\x65\x66\x74","\x64\x72\x61\x77\x50\x61\x6E\x65","\x6F\x66\x66\x73\x65\x74\x57\x69\x64\x74\x68","\x70\x61\x67\x65\x2D\x73\x69\x64\x65\x62\x61\x72","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74\x42\x79\x49\x64","\x73\x65\x74\x54\x69\x6D\x65\x6F\x75\x74","\x63\x72\x65\x61\x74\x65\x54\x69\x74\x6C\x65","\x61","\x68\x72\x65\x66","\x6A\x61\x76\x61\x73\x63\x72\x69\x70\x74\x3A\x76\x6F\x69\x64\x28\x30\x29\x3B","\x67\x65\x54\x69\x74\x6C\x65","\x63\x72\x65\x61\x74\x65\x54\x68\x75\x6D\x62","\x73\x63\x61\x6C\x65\x41\x6E\x64\x54\x72\x61\x6E\x73\x6C\x61\x74\x65","\x66\x6C\x6F\x6F\x72","\x64\x69\x61\x6C\x65\x63\x74","\x44\x49\x41\x4C\x45\x43\x54\x5F\x53\x56\x47","\x4E\x4F\x5F\x46\x4F","\x63\x6C\x6F\x6E\x65\x4E\x6F\x64\x65","\x6F\x77\x6E\x65\x72\x53\x56\x47\x45\x6C\x65\x6D\x65\x6E\x74","\x67\x65\x74\x43\x61\x6E\x76\x61\x73","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x49\x53\x5F\x49\x45\x36","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x75\x72\x6C\x28","\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x49\x6D\x61\x67\x65","\x63\x75\x72\x73\x6F\x72","\x70\x6F\x69\x6E\x74\x65\x72","\x6D\x69\x6E\x57\x69\x64\x74\x68","\x6D\x69\x6E\x48\x65\x69\x67\x68\x74","\x66\x6F\x6E\x74\x53\x69\x7A\x65","\x77\x68\x69\x74\x65\x53\x70\x61\x63\x65","\x6E\x6F\x77\x72\x61\x70","\x49\x53\x5F\x49\x45","\x34\x70\x78","\x63\x72\x65\x61\x74\x65\x49\x74\x65\x6D","\x67\x65\x49\x74\x65\x6D","\x70\x61\x64\x64\x69\x6E\x67","\x63\x6C\x69\x63\x6B","\x63\x6F\x6E\x73\x75\x6D\x65","\x63\x72\x65\x61\x74\x65\x44\x72\x6F\x70\x48\x61\x6E\x64\x6C\x65\x72","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x65\x74\x49\x6D\x70\x6F\x72\x74\x61\x62\x6C\x65\x43\x65\x6C\x6C\x73","\x69\x73\x56\x61\x6C\x69\x64\x44\x72\x6F\x70\x54\x61\x72\x67\x65\x74","\x69\x73\x53\x70\x6C\x69\x74\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x53\x70\x6C\x69\x74\x54\x61\x72\x67\x65\x74","\x73\x70\x6C\x69\x74\x45\x64\x67\x65","\x69\x6D\x70\x6F\x72\x74\x43\x65\x6C\x6C\x73","\x73\x63\x72\x6F\x6C\x6C\x43\x65\x6C\x6C\x54\x6F\x56\x69\x73\x69\x62\x6C\x65","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x63\x72\x65\x61\x74\x65\x44\x72\x61\x67\x50\x72\x65\x76\x69\x65\x77","\x62\x6F\x72\x64\x65\x72","\x31\x70\x78\x20\x64\x61\x73\x68\x65\x64\x20\x62\x6C\x61\x63\x6B","\x63\x72\x65\x61\x74\x65\x44\x72\x61\x67\x53\x6F\x75\x72\x63\x65","\x61\x75\x74\x6F\x73\x63\x72\x6F\x6C\x6C","\x6D\x61\x6B\x65\x44\x72\x61\x67\x67\x61\x62\x6C\x65","\x67\x65\x74\x44\x72\x6F\x70\x54\x61\x72\x67\x65\x74","\x61\x70\x70\x6C\x79","\x69\x73\x56\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x61\x64\x64\x43\x6C\x69\x63\x6B\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x58","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x59","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x6D\x6F\x75\x73\x65\x55\x70","\x69\x73\x50\x6F\x70\x75\x70\x54\x72\x69\x67\x67\x65\x72","\x63\x75\x72\x72\x65\x6E\x74\x47\x72\x61\x70\x68","\x74\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x61\x62\x73","\x67\x65\x74\x47\x72\x69\x64\x53\x69\x7A\x65","\x64\x72\x6F\x70","\x63\x72\x65\x61\x74\x65\x58\x6D\x6C\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x6C\x61\x62\x65\x6C","\x66\x6F\x72\x45\x61\x63\x68","\x63\x72\x65\x61\x74\x65\x56\x65\x72\x74\x65\x78\x54\x65\x6D\x70\x6C\x61\x74\x65","\x6B\x65\x79","\x69\x73\x70\x65\x65\x63\x68\x5F\x61\x73\x72\x5F\x6C\x61\x6E\x67\x75\x61\x67\x65","\x74\x69\x6D\x65\x6F\x75\x74","\x69\x6E\x74\x4B\x65\x79","\x62\x65\x65\x70","\x69\x74\x2D\x49\x54","\x23","\x66\x69\x6C\x65\x5F\x69\x64","\x6F\x70\x74\x73","\x30","\x72\x65\x73\x70\x6F\x6E\x73\x65","\x64\x69\x67\x69\x74","\x35","\x31","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x72\x65\x74\x72\x79","\x76\x61\x72\x69\x61\x62\x6C\x65\x5F\x69\x64","\x6D\x69\x6E\x64\x69\x67\x69\x74","\x6D\x61\x78\x64\x69\x67\x69\x74","\x31\x30","\x61\x70\x70\x6C\x69\x63\x61\x74\x69\x6F\x6E","\x6F\x70\x74\x69\x6F\x6E\x73","\x76\x61\x72\x69\x61\x62\x6C\x65\x5F\x76\x61\x6C\x75\x65","\x6F\x64\x62\x63\x5F\x69\x64","\x71\x75\x65\x72\x79","\x6F\x75\x74\x70\x75\x74","\x63\x6F\x6E\x74\x65\x78\x74","\x65\x78\x74\x65\x6E\x73\x69\x6F\x6E","\x63\x6F\x6D\x6D\x61\x6E\x64","\x61\x72\x67\x73","\x63\x6F\x6E\x64\x69\x74\x69\x6F\x6E","\x69\x6E\x74\x65\x72\x76\x61\x6C\x5F\x69\x64","\x67\x6F\x74\x6F\x69\x66\x6D\x75\x6C\x74\x69\x74\x69\x6D\x65","\x64\x69\x67\x69\x74\x73","\x65\x73\x63\x61\x70\x65\x5F\x64\x69\x67\x69\x74\x73","\x6E\x75\x6D\x62\x65\x72","\x74\x65\x78\x74","\x66\x69\x6C\x65","\x2D\x31","\x73\x69\x70\x5F\x69\x64","\x75\x72\x6C","\x36\x30","\x70\x68\x6F\x6E\x65","\x74\x72\x75\x6E\x6B\x5F\x6E\x61\x6D\x65","\x67\x6F\x6F\x67\x6C\x65\x5F\x74\x74\x73\x5F\x6C\x61\x6E\x67\x75\x61\x67\x65","\x69\x74","\x69\x73\x70\x65\x65\x63\x68\x5F\x74\x74\x73\x5F\x6C\x61\x6E\x67\x75\x61\x67\x65","\x73\x70\x65\x65\x64","\x65\x75\x72\x69\x74\x61\x6C\x69\x61\x6E\x66\x65\x6D\x61\x6C\x65","\x71\x75\x65\x75\x65\x5F\x69\x64","\x6D\x61\x63\x72\x6F","\x67\x6F\x73\x75\x62","\x33\x30\x30","\x6D\x61\x69\x6C\x62\x6F\x78","\x70\x72\x6F\x6A\x65\x63\x74\x5F\x69\x64","\x6F\x70\x65\x72\x61\x74\x69\x6F\x6E","\x71\x75\x65\x75\x65\x6E\x61\x6D\x65","\x61\x67\x65\x6E\x74","\x71\x75\x65\x75\x65\x5F\x65\x76\x65\x6E\x74","\x64\x61\x74\x61\x31","\x64\x61\x74\x61\x32","\x64\x61\x74\x61\x33","\x64\x61\x74\x61\x34","\x64\x61\x74\x61\x35","\x71\x75\x65\x75\x65\x6C\x6F\x67","\x67\x6F\x61\x6C\x6E\x61\x6D\x65","\x6E\x61\x6D\x65","\x6C\x69\x73\x74\x5F\x69\x64","\x64\x65\x6C\x61\x79","\x7B\x43\x41\x4C\x4C\x45\x52\x49\x44\x28\x6E\x75\x6D\x29\x7D","\x61\x63\x63\x6F\x75\x6E\x74\x5F\x69\x64","\x74\x6F","\x73\x6D\x73\x5F\x74\x65\x78\x74","\x76\x65\x72\x74\x65\x78","\x63\x72\x65\x61\x74\x65\x56\x65\x72\x74\x65\x78\x54\x65\x6D\x70\x6C\x61\x74\x65\x46\x72\x6F\x6D\x43\x65\x6C\x6C\x73","\x69\x73\x47\x75\x69\x64\x65\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x75\x69\x64\x65\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x61\x70\x68\x48\x61\x6E\x64\x6C\x65\x72","\x63\x72\x65\x61\x74\x65\x45\x64\x67\x65\x54\x65\x6D\x70\x6C\x61\x74\x65","\x73\x65\x74\x54\x65\x72\x6D\x69\x6E\x61\x6C\x50\x6F\x69\x6E\x74","\x67\x65\x6F\x6D\x65\x74\x72\x79","\x65\x64\x67\x65","\x63\x72\x65\x61\x74\x65\x45\x64\x67\x65\x54\x65\x6D\x70\x6C\x61\x74\x65\x46\x72\x6F\x6D\x43\x65\x6C\x6C\x73","\x73\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x43\x6F\x6C\x6F\x72","\x23\x66\x66\x66\x66\x66\x66","\x61\x64\x64\x50\x61\x6C\x65\x74\x74\x65","\x67\x65\x53\x69\x64\x65\x62\x61\x72","\x61\x64\x64\x46\x6F\x6C\x64\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x2F\x63\x6F\x6C\x6C\x61\x70\x73\x65\x64\x2E\x67\x69\x66\x29","\x2F\x65\x78\x70\x61\x6E\x64\x65\x64\x2E\x67\x69\x66\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x52\x65\x70\x65\x61\x74","\x6E\x6F\x2D\x72\x65\x70\x65\x61\x74","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x31\x30\x30\x25\x20\x35\x30\x25","\x77\x61\x69\x74","\x72\x65\x6D\x6F\x76\x65\x50\x61\x6C\x65\x74\x74\x65","\x72\x65\x6D\x6F\x76\x65\x43\x68\x69\x6C\x64","\x69\x6D\x61\x67\x65\x3B\x69\x6D\x61\x67\x65\x3D"];_0xbb0a[0];function Sidebar(_0x8fc9x2,_0x8fc9x3){this[_0xbb0a[1]]=_0x8fc9x2;this[_0xbb0a[2]]=_0x8fc9x3;this[_0xbb0a[3]]= new Object();this[_0xbb0a[4]]=true;this[_0xbb0a[5]]= new Graph(document[_0xbb0a[7]](_0xbb0a[6]),null,null,this[_0xbb0a[1]][_0xbb0a[9]][_0xbb0a[5]][_0xbb0a[8]]());this[_0xbb0a[5]][_0xbb0a[10]]=false;this[_0xbb0a[5]][_0xbb0a[11]]=false;this[_0xbb0a[5]][_0xbb0a[12]](false);this[_0xbb0a[5]][_0xbb0a[13]]=false;this[_0xbb0a[5]][_0xbb0a[14]](false);this[_0xbb0a[5]][_0xbb0a[15]](false);this[_0xbb0a[5]][_0xbb0a[2]][_0xbb0a[17]][_0xbb0a[16]]=_0xbb0a[18];this[_0xbb0a[5]][_0xbb0a[2]][_0xbb0a[17]][_0xbb0a[19]]=_0xbb0a[20];document[_0xbb0a[22]][_0xbb0a[21]](this[_0xbb0a[5]][_0xbb0a[2]]);if(!mxClient[_0xbb0a[23]]){mxEvent[_0xbb0a[26]](document,_0xbb0a[24],mxUtils[_0xbb0a[25]](this,function(){this[_0xbb0a[4]]=true}));mxEvent[_0xbb0a[26]](_0x8fc9x3,_0xbb0a[27],mxUtils[_0xbb0a[25]](this,function(){this[_0xbb0a[4]]=true}));mxEvent[_0xbb0a[26]](document,_0xbb0a[28],mxUtils[_0xbb0a[25]](this,function(){this[_0xbb0a[4]]=false;this[_0xbb0a[29]]()}));mxEvent[_0xbb0a[26]](document,_0xbb0a[30],mxUtils[_0xbb0a[25]](this,function(_0x8fc9x4){var _0x8fc9x5=mxEvent[_0xbb0a[31]](_0x8fc9x4);while(_0x8fc9x5!=null){if(_0x8fc9x5==this[_0xbb0a[32]]){return};_0x8fc9x5=_0x8fc9x5[_0xbb0a[33]]};this[_0xbb0a[29]]()}));mxEvent[_0xbb0a[26]](document,_0xbb0a[34],mxUtils[_0xbb0a[25]](this,function(_0x8fc9x4){if(_0x8fc9x4[_0xbb0a[35]]==null&&_0x8fc9x4[_0xbb0a[36]]==null){this[_0xbb0a[29]]()}}))};this[_0xbb0a[37]](); new Image()[_0xbb0a[38]]=IMAGE_PATH+_0xbb0a[39]}Sidebar[_0xbb0a[40]][_0xbb0a[37]]=function(){var _0x8fc9x6=STENCIL_PATH;console[_0xbb0a[41]](_0x8fc9x6);this[_0xbb0a[49]](_0xbb0a[42],mxResources[_0xbb0a[43]](_0xbb0a[42]),_0x8fc9x6+_0xbb0a[44],_0xbb0a[45],[_0xbb0a[46],_0xbb0a[47],_0xbb0a[48]],[mxResources[_0xbb0a[43]](_0xbb0a[46]),mxResources[_0xbb0a[43]](_0xbb0a[47]),mxResources[_0xbb0a[43]](_0xbb0a[48])]);this[_0xbb0a[49]](_0xbb0a[50],mxResources[_0xbb0a[43]](_0xbb0a[50]),_0x8fc9x6+_0xbb0a[51],_0xbb0a[45],[_0xbb0a[52],_0xbb0a[53],_0xbb0a[54],_0xbb0a[55],_0xbb0a[56],_0xbb0a[57],_0xbb0a[58]],[mxResources[_0xbb0a[43]](_0xbb0a[52]),mxResources[_0xbb0a[43]](_0xbb0a[53]),mxResources[_0xbb0a[43]](_0xbb0a[54]),mxResources[_0xbb0a[43]](_0xbb0a[55]),mxResources[_0xbb0a[43]](_0xbb0a[56]),mxResources[_0xbb0a[43]](_0xbb0a[57]),mxResources[_0xbb0a[43]](_0xbb0a[58])]);this[_0xbb0a[49]](_0xbb0a[59],mxResources[_0xbb0a[43]](_0xbb0a[59]),_0x8fc9x6+_0xbb0a[60],_0xbb0a[45],[_0xbb0a[61],_0xbb0a[62]],[mxResources[_0xbb0a[43]](_0xbb0a[61]),mxResources[_0xbb0a[43]](_0xbb0a[62])]);this[_0xbb0a[49]](_0xbb0a[63],mxResources[_0xbb0a[43]](_0xbb0a[63]),_0x8fc9x6+_0xbb0a[64],_0xbb0a[45],[_0xbb0a[63],_0xbb0a[65],_0xbb0a[66],_0xbb0a[67],_0xbb0a[68],_0xbb0a[69],_0xbb0a[70],_0xbb0a[71]],[mxResources[_0xbb0a[43]](_0xbb0a[63]),mxResources[_0xbb0a[43]](_0xbb0a[65]),mxResources[_0xbb0a[43]](_0xbb0a[66]),mxResources[_0xbb0a[43]](_0xbb0a[67]),mxResources[_0xbb0a[43]](_0xbb0a[68]),mxResources[_0xbb0a[43]](_0xbb0a[69]),mxResources[_0xbb0a[43]](_0xbb0a[70]),mxResources[_0xbb0a[43]](_0xbb0a[71])]);this[_0xbb0a[49]](_0xbb0a[72],mxResources[_0xbb0a[43]](_0xbb0a[72]),_0x8fc9x6+_0xbb0a[73],_0xbb0a[45],[_0xbb0a[74]],[mxResources[_0xbb0a[43]](_0xbb0a[74])]);this[_0xbb0a[49]](_0xbb0a[75],mxResources[_0xbb0a[43]](_0xbb0a[75]),_0x8fc9x6+_0xbb0a[76],_0xbb0a[45],[_0xbb0a[77],_0xbb0a[78],_0xbb0a[79]],[mxResources[_0xbb0a[43]](_0xbb0a[77]),mxResources[_0xbb0a[43]](_0xbb0a[78]),mxResources[_0xbb0a[43]](_0xbb0a[79])]);this[_0xbb0a[49]](_0xbb0a[80],mxResources[_0xbb0a[43]](_0xbb0a[80]),_0x8fc9x6+_0xbb0a[81],_0xbb0a[45],[_0xbb0a[82],_0xbb0a[83],_0xbb0a[84],_0xbb0a[85]],[mxResources[_0xbb0a[43]](_0xbb0a[82]),mxResources[_0xbb0a[43]](_0xbb0a[83]),mxResources[_0xbb0a[43]](_0xbb0a[84]),mxResources[_0xbb0a[43]](_0xbb0a[85])]);this[_0xbb0a[49]](_0xbb0a[86],mxResources[_0xbb0a[43]](_0xbb0a[86]),_0x8fc9x6+_0xbb0a[87],_0xbb0a[45],[_0xbb0a[88]],[mxResources[_0xbb0a[43]](_0xbb0a[88])]);this[_0xbb0a[49]](_0xbb0a[89],mxResources[_0xbb0a[43]](_0xbb0a[89]),_0x8fc9x6+_0xbb0a[90],_0xbb0a[45],[_0xbb0a[91],_0xbb0a[92],_0xbb0a[93],_0xbb0a[94],_0xbb0a[95]],[mxResources[_0xbb0a[43]](_0xbb0a[91]),mxResources[_0xbb0a[43]](_0xbb0a[92]),mxResources[_0xbb0a[43]](_0xbb0a[93]),mxResources[_0xbb0a[43]](_0xbb0a[94]),mxResources[_0xbb0a[43]](_0xbb0a[95])])};Sidebar[_0xbb0a[40]][_0xbb0a[96]]=!mxClient[_0xbb0a[23]];Sidebar[_0xbb0a[40]][_0xbb0a[97]]=16;Sidebar[_0xbb0a[40]][_0xbb0a[98]]=3;Sidebar[_0xbb0a[40]][_0xbb0a[99]]=300;Sidebar[_0xbb0a[40]][_0xbb0a[100]]=true;Sidebar[_0xbb0a[40]][_0xbb0a[101]]=STENCIL_PATH+_0xbb0a[102];Sidebar[_0xbb0a[40]][_0xbb0a[103]]=34;Sidebar[_0xbb0a[40]][_0xbb0a[104]]=34;Sidebar[_0xbb0a[40]][_0xbb0a[105]]=2;Sidebar[_0xbb0a[40]][_0xbb0a[106]]=9;Sidebar[_0xbb0a[40]][_0xbb0a[107]]=false;Sidebar[_0xbb0a[40]][_0xbb0a[108]]=false;Sidebar[_0xbb0a[40]][_0xbb0a[109]]=function(_0x8fc9x7,_0x8fc9x8,_0x8fc9x9,_0x8fc9xa){if(this[_0xbb0a[96]]&&this[_0xbb0a[4]]){if(this[_0xbb0a[32]]!=_0x8fc9x7){if(this[_0xbb0a[110]]!=null){window[_0xbb0a[111]](this[_0xbb0a[110]]);this[_0xbb0a[110]]=null};var _0x8fc9xb=mxUtils[_0xbb0a[25]](this,function(){if(this[_0xbb0a[112]]==null){this[_0xbb0a[112]]=document[_0xbb0a[7]](_0xbb0a[6]);this[_0xbb0a[112]][_0xbb0a[113]]=_0xbb0a[114];document[_0xbb0a[22]][_0xbb0a[21]](this[_0xbb0a[112]]);this[_0xbb0a[115]]= new Graph(this[_0xbb0a[112]],null,null,this[_0xbb0a[1]][_0xbb0a[9]][_0xbb0a[5]][_0xbb0a[8]]());this[_0xbb0a[115]][_0xbb0a[117]][_0xbb0a[116]](this[_0xbb0a[97]],this[_0xbb0a[97]]);this[_0xbb0a[115]][_0xbb0a[10]]=false;this[_0xbb0a[115]][_0xbb0a[11]]=false;this[_0xbb0a[115]][_0xbb0a[13]]=false;this[_0xbb0a[115]][_0xbb0a[14]](false);this[_0xbb0a[115]][_0xbb0a[12]](false);this[_0xbb0a[115]][_0xbb0a[15]](false);if(!mxClient[_0xbb0a[118]]){this[_0xbb0a[115]][_0xbb0a[117]][_0xbb0a[119]][_0xbb0a[17]][_0xbb0a[19]]=_0xbb0a[120]};this[_0xbb0a[121]]=mxUtils[_0xbb0a[122]](IMAGE_PATH+_0xbb0a[39]);this[_0xbb0a[121]][_0xbb0a[17]][_0xbb0a[19]]=_0xbb0a[20];this[_0xbb0a[121]][_0xbb0a[17]][_0xbb0a[123]]=_0xbb0a[124];this[_0xbb0a[121]][_0xbb0a[17]][_0xbb0a[125]]=_0xbb0a[126];document[_0xbb0a[22]][_0xbb0a[21]](this[_0xbb0a[121]])};this[_0xbb0a[112]][_0xbb0a[17]][_0xbb0a[127]]=_0xbb0a[128];this[_0xbb0a[115]][_0xbb0a[129]]=(_0x8fc9xa==null||_0x8fc9xa);this[_0xbb0a[115]][_0xbb0a[131]][_0xbb0a[130]]();this[_0xbb0a[115]][_0xbb0a[132]](_0x8fc9x8);var _0x8fc9xc=this[_0xbb0a[115]][_0xbb0a[133]]();var _0x8fc9xd=_0x8fc9xc[_0xbb0a[123]]+2*this[_0xbb0a[97]];var _0x8fc9xe=_0x8fc9xc[_0xbb0a[125]]+2*this[_0xbb0a[97]];if(mxClient[_0xbb0a[134]]){_0x8fc9xd+=4;_0x8fc9xe+=4;this[_0xbb0a[112]][_0xbb0a[17]][_0xbb0a[135]]=_0xbb0a[18]}else {this[_0xbb0a[112]][_0xbb0a[17]][_0xbb0a[135]]=_0xbb0a[136]};this[_0xbb0a[121]][_0xbb0a[17]][_0xbb0a[16]]=_0xbb0a[136];this[_0xbb0a[112]][_0xbb0a[17]][_0xbb0a[123]]=_0x8fc9xd+_0xbb0a[137];if(this[_0xbb0a[108]]&&_0x8fc9x9!=null&&_0x8fc9x9[_0xbb0a[138]]>0){if(this[_0xbb0a[139]]==null){this[_0xbb0a[139]]=document[_0xbb0a[7]](_0xbb0a[6]);this[_0xbb0a[139]][_0xbb0a[17]][_0xbb0a[140]]=_0xbb0a[141];this[_0xbb0a[139]][_0xbb0a[17]][_0xbb0a[142]]=_0xbb0a[143];this[_0xbb0a[139]][_0xbb0a[17]][_0xbb0a[123]]=_0xbb0a[144];this[_0xbb0a[139]][_0xbb0a[17]][_0xbb0a[135]]=_0xbb0a[18];if(mxClient[_0xbb0a[118]]){this[_0xbb0a[139]][_0xbb0a[17]][_0xbb0a[145]]=_0xbb0a[146]}else {this[_0xbb0a[139]][_0xbb0a[17]][_0xbb0a[19]]=_0xbb0a[20];this[_0xbb0a[139]][_0xbb0a[17]][_0xbb0a[145]]=_0xbb0a[147]};this[_0xbb0a[112]][_0xbb0a[21]](this[_0xbb0a[139]])}else {this[_0xbb0a[139]][_0xbb0a[148]]=_0xbb0a[149]};this[_0xbb0a[139]][_0xbb0a[17]][_0xbb0a[127]]=_0xbb0a[149];mxUtils[_0xbb0a[150]](this[_0xbb0a[139]],_0x8fc9x9);var _0x8fc9xf=this[_0xbb0a[139]][_0xbb0a[151]]+10;_0x8fc9xe+=_0x8fc9xf;if(mxClient[_0xbb0a[118]]){this[_0xbb0a[139]][_0xbb0a[17]][_0xbb0a[152]]=(-_0x8fc9xf)+_0xbb0a[137]}else {_0x8fc9xe-=6;this[_0xbb0a[139]][_0xbb0a[17]][_0xbb0a[153]]=(_0x8fc9xe-_0x8fc9xf)+_0xbb0a[137]}}else {if(this[_0xbb0a[139]]!=null&&this[_0xbb0a[139]][_0xbb0a[33]]!=null){this[_0xbb0a[139]][_0xbb0a[17]][_0xbb0a[127]]=_0xbb0a[154]}};this[_0xbb0a[112]][_0xbb0a[17]][_0xbb0a[125]]=_0x8fc9xe+_0xbb0a[137];var _0x8fc9x10=-Math[_0xbb0a[156]](0,_0x8fc9xc[_0xbb0a[155]]-this[_0xbb0a[97]]);var _0x8fc9x11=-Math[_0xbb0a[156]](0,_0x8fc9xc[_0xbb0a[157]]-this[_0xbb0a[97]]);var _0x8fc9x12=this[_0xbb0a[2]][_0xbb0a[158]]+this[_0xbb0a[1]][_0xbb0a[159]]+3;var _0x8fc9x13=Math[_0xbb0a[162]](0,(this[_0xbb0a[2]][_0xbb0a[160]]+_0x8fc9x7[_0xbb0a[160]]-this[_0xbb0a[2]][_0xbb0a[161]]-_0x8fc9xe/2+16));if(mxClient[_0xbb0a[118]]){this[_0xbb0a[115]][_0xbb0a[117]][_0xbb0a[119]][_0xbb0a[167]](_0xbb0a[163],_0xbb0a[164]+_0x8fc9x10+_0xbb0a[165]+_0x8fc9x11+_0xbb0a[166])}else {this[_0xbb0a[115]][_0xbb0a[117]][_0xbb0a[169]][_0xbb0a[17]][_0xbb0a[168]]=_0x8fc9x10+_0xbb0a[137];this[_0xbb0a[115]][_0xbb0a[117]][_0xbb0a[169]][_0xbb0a[17]][_0xbb0a[153]]=_0x8fc9x11+_0xbb0a[137]};_0x8fc9x12+=document[_0xbb0a[172]](_0xbb0a[171])[_0xbb0a[170]];_0x8fc9x13+=90;this[_0xbb0a[112]][_0xbb0a[17]][_0xbb0a[19]]=_0xbb0a[20];this[_0xbb0a[112]][_0xbb0a[17]][_0xbb0a[168]]=_0x8fc9x12+_0xbb0a[137];this[_0xbb0a[112]][_0xbb0a[17]][_0xbb0a[153]]=_0x8fc9x13+_0xbb0a[137];this[_0xbb0a[121]][_0xbb0a[17]][_0xbb0a[168]]=(_0x8fc9x12-13)+_0xbb0a[137];this[_0xbb0a[121]][_0xbb0a[17]][_0xbb0a[153]]=(_0x8fc9x13+_0x8fc9xe/2-13)+_0xbb0a[137]});if(this[_0xbb0a[112]]!=null&&this[_0xbb0a[112]][_0xbb0a[17]][_0xbb0a[127]]!=_0xbb0a[154]){_0x8fc9xb()}else {this[_0xbb0a[110]]=window[_0xbb0a[173]](_0x8fc9xb,this[_0xbb0a[99]])};this[_0xbb0a[32]]=_0x8fc9x7}}};Sidebar[_0xbb0a[40]][_0xbb0a[29]]=function(){if(this[_0xbb0a[110]]!=null){window[_0xbb0a[111]](this[_0xbb0a[110]]);this[_0xbb0a[110]]=null};if(this[_0xbb0a[112]]!=null){this[_0xbb0a[112]][_0xbb0a[17]][_0xbb0a[127]]=_0xbb0a[154];this[_0xbb0a[121]][_0xbb0a[17]][_0xbb0a[16]]=_0xbb0a[18];this[_0xbb0a[32]]=null}};Sidebar[_0xbb0a[40]][_0xbb0a[174]]=function(_0x8fc9x14){var _0x8fc9x7=document[_0xbb0a[7]](_0xbb0a[175]);_0x8fc9x7[_0xbb0a[167]](_0xbb0a[176],_0xbb0a[177]);_0x8fc9x7[_0xbb0a[113]]=_0xbb0a[178];mxUtils[_0xbb0a[150]](_0x8fc9x7,_0x8fc9x14);return _0x8fc9x7};Sidebar[_0xbb0a[40]][_0xbb0a[179]]=function(_0x8fc9x8,_0x8fc9xd,_0x8fc9xe,_0x8fc9x15,_0x8fc9x9,_0x8fc9xa){this[_0xbb0a[5]][_0xbb0a[129]]=(_0x8fc9xa==null||_0x8fc9xa);this[_0xbb0a[5]][_0xbb0a[117]][_0xbb0a[180]](1,0,0);this[_0xbb0a[5]][_0xbb0a[132]](_0x8fc9x8);var _0x8fc9xc=this[_0xbb0a[5]][_0xbb0a[133]]();var _0x8fc9x16=this[_0xbb0a[98]];var _0x8fc9x17=Math[_0xbb0a[156]]((_0x8fc9xd-2)/(_0x8fc9xc[_0xbb0a[123]]-_0x8fc9xc[_0xbb0a[155]]+_0x8fc9x16),(_0x8fc9xe-2)/(_0x8fc9xc[_0xbb0a[125]]-_0x8fc9xc[_0xbb0a[157]]+_0x8fc9x16));var _0x8fc9x10=-Math[_0xbb0a[156]](_0x8fc9xc[_0xbb0a[155]],0);var _0x8fc9x11=-Math[_0xbb0a[156]](_0x8fc9xc[_0xbb0a[157]],0);this[_0xbb0a[5]][_0xbb0a[117]][_0xbb0a[180]](_0x8fc9x17,_0x8fc9x10,_0x8fc9x11);_0x8fc9xc=this[_0xbb0a[5]][_0xbb0a[133]]();var _0x8fc9x18=Math[_0xbb0a[162]](0,Math[_0xbb0a[181]]((_0x8fc9xd-_0x8fc9xc[_0xbb0a[123]]-_0x8fc9xc[_0xbb0a[155]])/2));var _0x8fc9xf=Math[_0xbb0a[162]](0,Math[_0xbb0a[181]]((_0x8fc9xe-_0x8fc9xc[_0xbb0a[125]]-_0x8fc9xc[_0xbb0a[157]])/2));var _0x8fc9x19=null;if(this[_0xbb0a[5]][_0xbb0a[182]]==mxConstants[_0xbb0a[183]]&&!mxClient[_0xbb0a[184]]){_0x8fc9x19=this[_0xbb0a[5]][_0xbb0a[117]][_0xbb0a[187]]()[_0xbb0a[186]][_0xbb0a[185]](true)}else {_0x8fc9x19=this[_0xbb0a[5]][_0xbb0a[2]][_0xbb0a[185]](false);_0x8fc9x19[_0xbb0a[148]]=this[_0xbb0a[5]][_0xbb0a[2]][_0xbb0a[148]]};this[_0xbb0a[5]][_0xbb0a[188]]()[_0xbb0a[130]]();if(mxClient[_0xbb0a[189]]){_0x8fc9x15[_0xbb0a[17]][_0xbb0a[190]]=_0xbb0a[191]+this[_0xbb0a[1]][_0xbb0a[9]][_0xbb0a[192]]+_0xbb0a[166]};var _0x8fc9x1a=3;_0x8fc9x19[_0xbb0a[17]][_0xbb0a[19]]=_0xbb0a[120];_0x8fc9x19[_0xbb0a[17]][_0xbb0a[135]]=_0xbb0a[18];_0x8fc9x19[_0xbb0a[17]][_0xbb0a[193]]=_0xbb0a[194];_0x8fc9x19[_0xbb0a[17]][_0xbb0a[168]]=(_0x8fc9x18+_0x8fc9x1a)+_0xbb0a[137];_0x8fc9x19[_0xbb0a[17]][_0xbb0a[153]]=(_0x8fc9xf+_0x8fc9x1a)+_0xbb0a[137];_0x8fc9x19[_0xbb0a[17]][_0xbb0a[123]]=_0x8fc9xd+_0xbb0a[137];_0x8fc9x19[_0xbb0a[17]][_0xbb0a[125]]=_0x8fc9xe+_0xbb0a[137];_0x8fc9x19[_0xbb0a[17]][_0xbb0a[16]]=_0xbb0a[149];_0x8fc9x19[_0xbb0a[17]][_0xbb0a[195]]=_0xbb0a[149];_0x8fc9x19[_0xbb0a[17]][_0xbb0a[196]]=_0xbb0a[149];_0x8fc9x15[_0xbb0a[21]](_0x8fc9x19);if(this[_0xbb0a[107]]&&_0x8fc9x9!=null){var _0x8fc9x1b=(mxClient[_0xbb0a[134]])?2*this[_0xbb0a[105]]+2:0;_0x8fc9x15[_0xbb0a[17]][_0xbb0a[125]]=(this[_0xbb0a[104]]+_0x8fc9x1b+this[_0xbb0a[106]]+8)+_0xbb0a[137];var _0x8fc9x1c=document[_0xbb0a[7]](_0xbb0a[6]);_0x8fc9x1c[_0xbb0a[17]][_0xbb0a[197]]=this[_0xbb0a[106]]+_0xbb0a[137];_0x8fc9x1c[_0xbb0a[17]][_0xbb0a[142]]=_0xbb0a[143];_0x8fc9x1c[_0xbb0a[17]][_0xbb0a[198]]=_0xbb0a[199];if(mxClient[_0xbb0a[200]]){_0x8fc9x1c[_0xbb0a[17]][_0xbb0a[125]]=(this[_0xbb0a[106]]+12)+_0xbb0a[137]};_0x8fc9x1c[_0xbb0a[17]][_0xbb0a[145]]=_0xbb0a[201];mxUtils[_0xbb0a[150]](_0x8fc9x1c,_0x8fc9x9);_0x8fc9x15[_0xbb0a[21]](_0x8fc9x1c)}};Sidebar[_0xbb0a[40]][_0xbb0a[202]]=function(_0x8fc9x8,_0x8fc9x9,_0x8fc9xa){var _0x8fc9x7=document[_0xbb0a[7]](_0xbb0a[175]);_0x8fc9x7[_0xbb0a[167]](_0xbb0a[176],_0xbb0a[177]);_0x8fc9x7[_0xbb0a[113]]=_0xbb0a[203];_0x8fc9x7[_0xbb0a[17]][_0xbb0a[135]]=_0xbb0a[18];var _0x8fc9x1b=(mxClient[_0xbb0a[134]])?8+2*this[_0xbb0a[105]]:6;_0x8fc9x7[_0xbb0a[17]][_0xbb0a[123]]=(this[_0xbb0a[103]]+_0x8fc9x1b)+_0xbb0a[137];_0x8fc9x7[_0xbb0a[17]][_0xbb0a[125]]=(this[_0xbb0a[104]]+_0x8fc9x1b)+_0xbb0a[137];_0x8fc9x7[_0xbb0a[17]][_0xbb0a[204]]=this[_0xbb0a[105]]+_0xbb0a[137];mxEvent[_0xbb0a[26]](_0x8fc9x7,_0xbb0a[205],function(_0x8fc9x4){mxEvent[_0xbb0a[206]](_0x8fc9x4)});this[_0xbb0a[179]](_0x8fc9x8,this[_0xbb0a[103]],this[_0xbb0a[104]],_0x8fc9x7,_0x8fc9x9,_0x8fc9xa);return _0x8fc9x7};Sidebar[_0xbb0a[40]][_0xbb0a[207]]=function(_0x8fc9x8,_0x8fc9x1d){return function(_0x8fc9x1e,_0x8fc9x4,_0x8fc9x1f,_0x8fc9x20,_0x8fc9x21){if(_0x8fc9x1e[_0xbb0a[208]]()){_0x8fc9x8=_0x8fc9x1e[_0xbb0a[209]](_0x8fc9x8);if(_0x8fc9x8[_0xbb0a[138]]>0){var _0x8fc9x22=(_0x8fc9x1f!=null)?_0x8fc9x1e[_0xbb0a[210]](_0x8fc9x1f,_0x8fc9x8,_0x8fc9x4):false;var _0x8fc9x23=null;if(_0x8fc9x1f!=null&& !_0x8fc9x22){_0x8fc9x1f=null};if(_0x8fc9x1d&&_0x8fc9x1e[_0xbb0a[211]]()&&_0x8fc9x1e[_0xbb0a[212]](_0x8fc9x1f,_0x8fc9x8,_0x8fc9x4)){_0x8fc9x1e[_0xbb0a[213]](_0x8fc9x1f,_0x8fc9x8,null,_0x8fc9x20,_0x8fc9x21);_0x8fc9x23=_0x8fc9x8}else {if(_0x8fc9x8[_0xbb0a[138]]>0){_0x8fc9x23=_0x8fc9x1e[_0xbb0a[214]](_0x8fc9x8,_0x8fc9x20,_0x8fc9x21,_0x8fc9x1f)}};if(_0x8fc9x23!=null&&_0x8fc9x23[_0xbb0a[138]]>0){_0x8fc9x1e[_0xbb0a[215]](_0x8fc9x23[0]);_0x8fc9x1e[_0xbb0a[216]](_0x8fc9x23)}}}}};Sidebar[_0xbb0a[40]][_0xbb0a[217]]=function(_0x8fc9xd,_0x8fc9xe){var _0x8fc9x7=document[_0xbb0a[7]](_0xbb0a[6]);_0x8fc9x7[_0xbb0a[17]][_0xbb0a[218]]=_0xbb0a[219];_0x8fc9x7[_0xbb0a[17]][_0xbb0a[123]]=_0x8fc9xd+_0xbb0a[137];_0x8fc9x7[_0xbb0a[17]][_0xbb0a[125]]=_0x8fc9xe+_0xbb0a[137];return _0x8fc9x7};Sidebar[_0xbb0a[40]][_0xbb0a[220]]=function(_0x8fc9x7,_0x8fc9x24,_0x8fc9x25){var _0x8fc9x26=mxUtils[_0xbb0a[222]](_0x8fc9x7,this[_0xbb0a[1]][_0xbb0a[9]][_0xbb0a[5]],_0x8fc9x24,_0x8fc9x25,0,0,this[_0xbb0a[1]][_0xbb0a[9]][_0xbb0a[5]][_0xbb0a[221]],true,true);_0x8fc9x26[_0xbb0a[223]]=function(_0x8fc9x1e,_0x8fc9x20,_0x8fc9x21){var _0x8fc9x1f=mxDragSource[_0xbb0a[40]][_0xbb0a[223]][_0xbb0a[224]](this,arguments);if(!_0x8fc9x1e[_0xbb0a[225]](_0x8fc9x1f)){_0x8fc9x1f=null};return _0x8fc9x1f};return _0x8fc9x26};Sidebar[_0xbb0a[40]][_0xbb0a[226]]=function(_0x8fc9x7,_0x8fc9x27){var _0x8fc9x1e=this[_0xbb0a[1]][_0xbb0a[9]][_0xbb0a[5]];var _0x8fc9x28=null;mxEvent[_0xbb0a[229]](_0x8fc9x7,function(_0x8fc9x4){_0x8fc9x28= new mxPoint(mxEvent[_0xbb0a[227]](_0x8fc9x4),mxEvent[_0xbb0a[228]](_0x8fc9x4))});var _0x8fc9x29=_0x8fc9x27[_0xbb0a[230]];_0x8fc9x27[_0xbb0a[230]]=function(_0x8fc9x4){if(!mxEvent[_0xbb0a[231]](_0x8fc9x4)&&this[_0xbb0a[232]]==null&&_0x8fc9x28!=null){var _0x8fc9x2a=_0x8fc9x1e[_0xbb0a[233]];if(Math[_0xbb0a[234]](_0x8fc9x28[_0xbb0a[155]]-mxEvent[_0xbb0a[227]](_0x8fc9x4))<=_0x8fc9x2a&&Math[_0xbb0a[234]](_0x8fc9x28[_0xbb0a[157]]-mxEvent[_0xbb0a[228]](_0x8fc9x4))<=_0x8fc9x2a){var _0x8fc9x2b=_0x8fc9x1e[_0xbb0a[235]]();_0x8fc9x27[_0xbb0a[236]](_0x8fc9x1e,_0x8fc9x4,null,_0x8fc9x2b,_0x8fc9x2b)}};_0x8fc9x29[_0xbb0a[224]](this,arguments);_0x8fc9x28=null}};Sidebar[_0xbb0a[40]][_0xbb0a[237]]=function(_0x8fc9x2c,_0x8fc9x14,_0x8fc9x2d,_0x8fc9x2e){var _0x8fc9x2f=mxUtils[_0xbb0a[237]]();var _0x8fc9x19=_0x8fc9x2f[_0xbb0a[7]](_0x8fc9x2c);_0x8fc9x19[_0xbb0a[167]](_0xbb0a[238],_0x8fc9x14);_0x8fc9x2d[_0xbb0a[239]](function(_0x8fc9x30,_0x8fc9x31){_0x8fc9x19[_0xbb0a[167]](_0x8fc9x30,_0x8fc9x2e[_0x8fc9x31]?_0x8fc9x2e[_0x8fc9x31]:_0xbb0a[149])});return _0x8fc9x19};Sidebar[_0xbb0a[40]][_0xbb0a[240]]=function(_0x8fc9x32,_0x8fc9xd,_0x8fc9xe,_0x8fc9x33,_0x8fc9x9,_0x8fc9xa){var _0x8fc9x2d=[];var _0x8fc9x2e=[];switch(_0x8fc9x33){case _0xbb0a[52]:break;case _0xbb0a[78]:_0x8fc9x2d=[_0xbb0a[241],_0xbb0a[131],_0xbb0a[242],_0xbb0a[243],_0xbb0a[244],_0xbb0a[245]];_0x8fc9x2e=[_0xbb0a[149],0,_0xbb0a[246],1,_0xbb0a[247],true];break;case _0xbb0a[63]:_0x8fc9x2d=[_0xbb0a[248],_0xbb0a[249]];_0x8fc9x2e=[_0xbb0a[250]];break;case _0xbb0a[255]:_0x8fc9x2d=[_0xbb0a[248],_0xbb0a[251],_0xbb0a[252]];_0x8fc9x2e=[_0xbb0a[250],_0xbb0a[253],_0xbb0a[254]];break;case _0xbb0a[65]:_0x8fc9x2d=[_0xbb0a[248],_0xbb0a[251],_0xbb0a[252],_0xbb0a[256],_0xbb0a[257]];_0x8fc9x2e=[_0xbb0a[250],_0xbb0a[253],_0xbb0a[254],_0xbb0a[254],_0xbb0a[250]];break;case _0xbb0a[71]:_0x8fc9x2d=[_0xbb0a[248],_0xbb0a[251],_0xbb0a[258],_0xbb0a[259],_0xbb0a[256],_0xbb0a[257]];_0x8fc9x2e=[_0xbb0a[250],_0xbb0a[253],_0xbb0a[254],_0xbb0a[260],_0xbb0a[254],_0xbb0a[250]];break;case _0xbb0a[95]:_0x8fc9x2d=[_0xbb0a[261],_0xbb0a[262]];_0x8fc9x2e=[_0xbb0a[149],_0xbb0a[149]];break;case _0xbb0a[61]:_0x8fc9x2d=[_0xbb0a[257],_0xbb0a[263]];_0x8fc9x2e=[_0xbb0a[250],_0xbb0a[149]];break;case _0xbb0a[77]:_0x8fc9x2d=[_0xbb0a[264],_0xbb0a[265],_0xbb0a[257]];_0x8fc9x2e=[_0xbb0a[250],_0xbb0a[149],_0xbb0a[250]];break;case _0xbb0a[91]:_0x8fc9x2d=[_0xbb0a[266]];_0x8fc9x2e=[];break;case _0xbb0a[82]:_0x8fc9x2d=[_0xbb0a[267],_0xbb0a[268]];_0x8fc9x2e=[];break;case _0xbb0a[92]:_0x8fc9x2d=[_0xbb0a[257],_0xbb0a[269]];_0x8fc9x2e=[];break;case _0xbb0a[93]:_0x8fc9x2d=[_0xbb0a[270],_0xbb0a[269]];_0x8fc9x2e=[];break;case _0xbb0a[83]:_0x8fc9x2d=[_0xbb0a[271]];_0x8fc9x2e=[];break;case _0xbb0a[84]:_0x8fc9x2d=[_0xbb0a[272]];_0x8fc9x2e=[_0xbb0a[250]];break;case _0xbb0a[273]:_0x8fc9x2d=[_0xbb0a[272]];_0x8fc9x2e=[_0xbb0a[250]];break;case _0xbb0a[85]:_0x8fc9x2d=[_0xbb0a[257]];_0x8fc9x2e=[_0xbb0a[250]];break;case _0xbb0a[66]:_0x8fc9x2d=[_0xbb0a[274],_0xbb0a[275]];_0x8fc9x2e=[_0xbb0a[149],_0xbb0a[247]];break;case _0xbb0a[67]:_0x8fc9x2d=[_0xbb0a[276],_0xbb0a[275]];_0x8fc9x2e=[_0xbb0a[149],_0xbb0a[247]];break;case _0xbb0a[68]:_0x8fc9x2d=[_0xbb0a[277],_0xbb0a[275]];_0x8fc9x2e=[_0xbb0a[149],_0xbb0a[247]];break;case _0xbb0a[74]:_0x8fc9x2d=[_0xbb0a[278],_0xbb0a[243],_0xbb0a[275]];_0x8fc9x2e=[_0xbb0a[149],_0xbb0a[279],_0xbb0a[247]];break;case _0xbb0a[54]:_0x8fc9x2d=[_0xbb0a[280],_0xbb0a[243],_0xbb0a[95],_0xbb0a[281]];_0x8fc9x2e=[_0xbb0a[250],_0xbb0a[282]];break;case _0xbb0a[55]:_0x8fc9x2d=[_0xbb0a[283],_0xbb0a[284],_0xbb0a[243],_0xbb0a[249],_0xbb0a[281]];_0x8fc9x2e=[_0xbb0a[149],_0xbb0a[279],_0xbb0a[282]];break;case _0xbb0a[69]:_0x8fc9x2d=[_0xbb0a[277],_0xbb0a[285]];_0x8fc9x2e=[_0xbb0a[149],_0xbb0a[286]];break;case _0xbb0a[70]:_0x8fc9x2d=[_0xbb0a[277],_0xbb0a[241],_0xbb0a[287],_0xbb0a[288],_0xbb0a[244]];_0x8fc9x2e=[_0xbb0a[149],_0xbb0a[149],_0xbb0a[289],_0xbb0a[250],_0xbb0a[247]];break;case _0xbb0a[56]:_0x8fc9x2d=[_0xbb0a[290],_0xbb0a[249],_0xbb0a[281],_0xbb0a[248],_0xbb0a[243],_0xbb0a[93],_0xbb0a[291],_0xbb0a[292],_0xbb0a[19]];_0x8fc9x2e=[_0xbb0a[279],_0xbb0a[149],_0xbb0a[149],_0xbb0a[250],_0xbb0a[293]];break;case _0xbb0a[57]:_0x8fc9x2d=[_0xbb0a[294],_0xbb0a[262]];_0x8fc9x2e=[_0xbb0a[149],_0xbb0a[149]];break;case _0xbb0a[94]:_0x8fc9x2d=[_0xbb0a[295]];_0x8fc9x2e=[_0xbb0a[250]];break;case _0xbb0a[62]:_0x8fc9x2d=[_0xbb0a[296],_0xbb0a[257]];_0x8fc9x2e=[_0xbb0a[149],_0xbb0a[250]];break;case _0xbb0a[305]:_0x8fc9x2d=[_0xbb0a[297],_0xbb0a[298],_0xbb0a[299],_0xbb0a[300],_0xbb0a[301],_0xbb0a[302],_0xbb0a[303],_0xbb0a[304]];_0x8fc9x2e=[];break;case _0xbb0a[88]:_0x8fc9x2d=[_0xbb0a[306]];_0x8fc9x2e=[];break;case _0xbb0a[58]:_0x8fc9x2d=[_0xbb0a[307],_0xbb0a[283],_0xbb0a[308],_0xbb0a[309]];_0x8fc9x2e=[_0xbb0a[149],_0xbb0a[310],_0xbb0a[250],_0xbb0a[253]];break;case _0xbb0a[79]:_0x8fc9x2d=[_0xbb0a[311],_0xbb0a[312],_0xbb0a[313]];_0x8fc9x2e=[_0xbb0a[250]];break;default:_0x8fc9x2d=[];_0x8fc9x2e=[];break};var _0x8fc9x19=this[_0xbb0a[237]](_0x8fc9x33,_0x8fc9x9,_0x8fc9x2d,_0x8fc9x2e);var _0x8fc9x8=[ new mxCell(_0x8fc9x19, new mxGeometry(0,0,_0x8fc9xd,_0x8fc9xe),_0x8fc9x32)];_0x8fc9x8[0][_0xbb0a[314]]=true;return this[_0xbb0a[315]](_0x8fc9x8,_0x8fc9xd,_0x8fc9xe,_0x8fc9x9,_0x8fc9xa)};Sidebar[_0xbb0a[40]][_0xbb0a[315]]=function(_0x8fc9x8,_0x8fc9xd,_0x8fc9xe,_0x8fc9x9,_0x8fc9xa){var _0x8fc9x7=this[_0xbb0a[202]](_0x8fc9x8,_0x8fc9x9,_0x8fc9xa);var _0x8fc9x27=this[_0xbb0a[220]](_0x8fc9x7,this[_0xbb0a[207]](_0x8fc9x8,true),this[_0xbb0a[217]](_0x8fc9xd,_0x8fc9xe));this[_0xbb0a[226]](_0x8fc9x7,_0x8fc9x27);_0x8fc9x27[_0xbb0a[316]]=mxUtils[_0xbb0a[25]](this,function(){return this[_0xbb0a[1]][_0xbb0a[9]][_0xbb0a[5]][_0xbb0a[318]][_0xbb0a[317]]});if(!touchStyle){mxEvent[_0xbb0a[26]](_0x8fc9x7,_0xbb0a[30],mxUtils[_0xbb0a[25]](this,function(_0x8fc9x4){this[_0xbb0a[109]](_0x8fc9x7,_0x8fc9x8,_0x8fc9x9,_0x8fc9xa)}))};return _0x8fc9x7};Sidebar[_0xbb0a[40]][_0xbb0a[319]]=function(_0x8fc9x32,_0x8fc9xd,_0x8fc9xe,_0x8fc9x33,_0x8fc9x9,_0x8fc9xa){var _0x8fc9x8=[ new mxCell((_0x8fc9x33!=null)?_0x8fc9x33:_0xbb0a[149], new mxGeometry(0,0,_0x8fc9xd,_0x8fc9xe),_0x8fc9x32)];_0x8fc9x8[0][_0xbb0a[321]][_0xbb0a[320]]( new mxPoint(0,_0x8fc9xe),true);_0x8fc9x8[0][_0xbb0a[321]][_0xbb0a[320]]( new mxPoint(_0x8fc9xd,0),false);_0x8fc9x8[0][_0xbb0a[321]][_0xbb0a[120]]=true;_0x8fc9x8[0][_0xbb0a[322]]=true;return this[_0xbb0a[323]](_0x8fc9x8,_0x8fc9xd,_0x8fc9xe,_0x8fc9x9,_0x8fc9xa)};Sidebar[_0xbb0a[40]][_0xbb0a[323]]=function(_0x8fc9x8,_0x8fc9xd,_0x8fc9xe,_0x8fc9x9,_0x8fc9xa){var _0x8fc9x7=this[_0xbb0a[202]](_0x8fc9x8,_0x8fc9x9,_0x8fc9xa);this[_0xbb0a[220]](_0x8fc9x7,this[_0xbb0a[207]](_0x8fc9x8,false),this[_0xbb0a[217]](_0x8fc9xd,_0x8fc9xe));var _0x8fc9x1e=this[_0xbb0a[1]][_0xbb0a[9]][_0xbb0a[5]];mxEvent[_0xbb0a[26]](_0x8fc9x7,_0xbb0a[205],mxUtils[_0xbb0a[25]](this,function(_0x8fc9x4){if(this[_0xbb0a[100]]){_0x8fc9x1e[_0xbb0a[324]](_0x8fc9x8[0])};_0x8fc9x7[_0xbb0a[17]][_0xbb0a[325]]=_0xbb0a[326];window[_0xbb0a[173]](function(){_0x8fc9x7[_0xbb0a[17]][_0xbb0a[325]]=_0xbb0a[149]},300);mxEvent[_0xbb0a[206]](_0x8fc9x4)}));if(!touchStyle){mxEvent[_0xbb0a[26]](_0x8fc9x7,_0xbb0a[30],mxUtils[_0xbb0a[25]](this,function(_0x8fc9x4){this[_0xbb0a[109]](_0x8fc9x7,_0x8fc9x8,_0x8fc9x9,_0x8fc9xa)}))};return _0x8fc9x7};Sidebar[_0xbb0a[40]][_0xbb0a[327]]=function(_0x8fc9x34,_0x8fc9x9,_0x8fc9x35,_0x8fc9x36){var _0x8fc9x7=this[_0xbb0a[174]](_0x8fc9x9);this[_0xbb0a[2]][_0xbb0a[21]](_0x8fc9x7);var _0x8fc9x1c=document[_0xbb0a[7]](_0xbb0a[6]);_0x8fc9x1c[_0xbb0a[113]]=_0xbb0a[328];if(_0x8fc9x35){_0x8fc9x36(_0x8fc9x1c);_0x8fc9x36=null}else {_0x8fc9x1c[_0xbb0a[17]][_0xbb0a[127]]=_0xbb0a[154]};this[_0xbb0a[329]](_0x8fc9x7,_0x8fc9x1c,_0x8fc9x36);var _0x8fc9x37=document[_0xbb0a[7]](_0xbb0a[6]);_0x8fc9x37[_0xbb0a[21]](_0x8fc9x1c);this[_0xbb0a[2]][_0xbb0a[21]](_0x8fc9x37);if(_0x8fc9x34!=null){this[_0xbb0a[3]][_0x8fc9x34]=[_0x8fc9x7,_0x8fc9x37]}};Sidebar[_0xbb0a[40]][_0xbb0a[329]]=function(_0x8fc9x9,_0x8fc9x38,_0x8fc9x39){var _0x8fc9x3a=false;_0x8fc9x9[_0xbb0a[17]][_0xbb0a[190]]=(_0x8fc9x38[_0xbb0a[17]][_0xbb0a[127]]==_0xbb0a[154])?_0xbb0a[191]+IMAGE_PATH+_0xbb0a[330]:_0xbb0a[191]+IMAGE_PATH+_0xbb0a[331];_0x8fc9x9[_0xbb0a[17]][_0xbb0a[332]]=_0xbb0a[333];_0x8fc9x9[_0xbb0a[17]][_0xbb0a[334]]=_0xbb0a[335];mxEvent[_0xbb0a[26]](_0x8fc9x9,_0xbb0a[205],function(_0x8fc9x4){if(_0x8fc9x38[_0xbb0a[17]][_0xbb0a[127]]==_0xbb0a[154]){if(!_0x8fc9x3a){_0x8fc9x3a=true;if(_0x8fc9x39!=null){_0x8fc9x9[_0xbb0a[17]][_0xbb0a[193]]=_0xbb0a[336];window[_0xbb0a[173]](function(){_0x8fc9x39(_0x8fc9x38);_0x8fc9x9[_0xbb0a[17]][_0xbb0a[193]]=_0xbb0a[149]},0)}};_0x8fc9x9[_0xbb0a[17]][_0xbb0a[190]]=_0xbb0a[191]+IMAGE_PATH+_0xbb0a[331];_0x8fc9x38[_0xbb0a[17]][_0xbb0a[127]]=_0xbb0a[128]}else {_0x8fc9x9[_0xbb0a[17]][_0xbb0a[190]]=_0xbb0a[191]+IMAGE_PATH+_0xbb0a[330];_0x8fc9x38[_0xbb0a[17]][_0xbb0a[127]]=_0xbb0a[154]};mxEvent[_0xbb0a[206]](_0x8fc9x4)})};Sidebar[_0xbb0a[40]][_0xbb0a[337]]=function(_0x8fc9x34){var _0x8fc9x3b=this[_0xbb0a[3]][_0x8fc9x34];if(_0x8fc9x3b!=null){this[_0xbb0a[3]][_0x8fc9x34]=null;for(var _0x8fc9x3c=0;_0x8fc9x3c<_0x8fc9x3b[_0xbb0a[138]];_0x8fc9x3c++){this[_0xbb0a[2]][_0xbb0a[338]](_0x8fc9x3b[_0x8fc9x3c])};return true};return false};Sidebar[_0xbb0a[40]][_0xbb0a[49]]=function(_0x8fc9x34,_0x8fc9x9,_0x8fc9x3d,_0x8fc9x3e,_0x8fc9x3f,_0x8fc9x40){this[_0xbb0a[327]](_0x8fc9x34,_0x8fc9x9,(_0x8fc9x34===_0xbb0a[42]),mxUtils[_0xbb0a[25]](this,function(_0x8fc9x38){var _0x8fc9x41=_0x8fc9x40!=null;for(var _0x8fc9x3c=0;_0x8fc9x3c<_0x8fc9x3f[_0xbb0a[138]];_0x8fc9x3c++){var _0x8fc9x42=_0x8fc9x3d+_0x8fc9x3f[_0x8fc9x3c]+_0x8fc9x3e;_0x8fc9x38[_0xbb0a[21]](this[_0xbb0a[240]](_0xbb0a[339]+_0x8fc9x42,80,80,_0x8fc9x3f[_0x8fc9x3c],(_0x8fc9x41)?_0x8fc9x40[_0x8fc9x3c]:null,_0x8fc9x41))}}))} \ No newline at end of file +var _0x138a=["\x75\x73\x65\x20\x73\x74\x72\x69\x63\x74","\x65\x64\x69\x74\x6F\x72\x55\x69","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x70\x61\x6C\x65\x74\x74\x65\x73","\x73\x68\x6F\x77\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x67\x72\x61\x70\x68","\x64\x69\x76","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x67\x65\x74\x53\x74\x79\x6C\x65\x73\x68\x65\x65\x74","\x65\x64\x69\x74\x6F\x72","\x72\x65\x73\x65\x74\x56\x69\x65\x77\x4F\x6E\x52\x6F\x6F\x74\x43\x68\x61\x6E\x67\x65","\x66\x6F\x6C\x64\x69\x6E\x67\x45\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x43\x6F\x6E\x6E\x65\x63\x74\x61\x62\x6C\x65","\x61\x75\x74\x6F\x53\x63\x72\x6F\x6C\x6C","\x73\x65\x74\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x76\x69\x73\x69\x62\x69\x6C\x69\x74\x79","\x73\x74\x79\x6C\x65","\x68\x69\x64\x64\x65\x6E","\x70\x6F\x73\x69\x74\x69\x6F\x6E","\x61\x62\x73\x6F\x6C\x75\x74\x65","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x62\x6F\x64\x79","\x49\x53\x5F\x54\x4F\x55\x43\x48","\x6D\x6F\x75\x73\x65\x75\x70","\x62\x69\x6E\x64","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x73\x63\x72\x6F\x6C\x6C","\x6D\x6F\x75\x73\x65\x64\x6F\x77\x6E","\x68\x69\x64\x65\x54\x6F\x6F\x6C\x74\x69\x70","\x6D\x6F\x75\x73\x65\x6D\x6F\x76\x65","\x67\x65\x74\x53\x6F\x75\x72\x63\x65","\x63\x75\x72\x72\x65\x6E\x74\x45\x6C\x74","\x70\x61\x72\x65\x6E\x74\x4E\x6F\x64\x65","\x6D\x6F\x75\x73\x65\x6F\x75\x74","\x74\x6F\x45\x6C\x65\x6D\x65\x6E\x74","\x72\x65\x6C\x61\x74\x65\x64\x54\x61\x72\x67\x65\x74","\x69\x6E\x69\x74","\x73\x72\x63","\x2F\x74\x6F\x6F\x6C\x74\x69\x70\x2E\x70\x6E\x67","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x6C\x6F\x67","\x65\x6E\x74\x72\x79","\x67\x65\x74","\x2F\x65\x6E\x74\x72\x79\x2F","\x5F\x31\x32\x38\x78\x31\x32\x38\x2E\x70\x6E\x67","\x73\x74\x61\x72\x74","\x66\x69\x6E\x61\x6C\x6C\x79","\x65\x6E\x64","\x61\x64\x64\x49\x6D\x61\x67\x65\x50\x61\x6C\x65\x74\x74\x65","\x63\x61\x6C\x6C\x5F\x6D\x61\x6E\x61\x67\x65\x6D\x65\x6E\x74","\x2F\x63\x61\x6C\x6C\x5F\x6D\x61\x6E\x61\x67\x65\x6D\x65\x6E\x74\x2F","\x61\x6E\x73\x77\x65\x72","\x68\x61\x6E\x67\x75\x70","\x64\x69\x61\x6C","\x65\x78\x74\x5F\x64\x69\x61\x6C","\x71\x75\x65\x75\x65","\x76\x6F\x69\x63\x65\x6D\x61\x69\x6C","\x63\x61\x6C\x6C\x62\x61\x63\x6B","\x76\x61\x72\x69\x61\x62\x6C\x65","\x2F\x76\x61\x72\x69\x61\x62\x6C\x65\x2F","\x73\x65\x74","\x6D\x61\x74\x68","\x70\x6C\x61\x79\x62\x61\x63\x6B","\x2F\x70\x6C\x61\x79\x62\x61\x63\x6B\x2F","\x6D\x65\x6E\x75","\x73\x61\x79\x64\x69\x67\x69\x74\x73","\x73\x61\x79\x6E\x75\x6D\x62\x65\x72","\x73\x61\x79\x70\x68\x6F\x6E\x65\x74\x69\x63","\x74\x74\x73","\x69\x73\x70\x65\x65\x63\x68\x74\x74\x73","\x67\x65\x74\x64\x69\x67\x69\x74\x73","\x72\x65\x63\x6F\x72\x64\x69\x6E\x67","\x2F\x72\x65\x63\x6F\x72\x64\x69\x6E\x67\x2F","\x72\x65\x63\x6F\x72\x64","\x69\x6E\x74\x65\x67\x72\x61\x74\x69\x6F\x6E\x53\x65\x72\x76\x65\x72","\x2F\x69\x6E\x74\x65\x67\x72\x61\x74\x69\x6F\x6E\x5F\x73\x65\x72\x76\x65\x72\x2F","\x64\x61\x74\x61\x62\x61\x73\x65","\x69\x73\x70\x65\x65\x63\x68\x61\x73\x72","\x73\x6D\x73","\x63\x61\x6C\x6C\x66\x6C\x6F\x77","\x2F\x63\x61\x6C\x6C\x66\x6C\x6F\x77\x2F","\x67\x6F\x74\x6F\x63","\x67\x6F\x74\x6F\x69\x66","\x67\x6F\x74\x6F\x69\x66\x74\x69\x6D\x65","\x76\x73\x77\x69\x74\x63\x68","\x73\x74\x61\x74\x73","\x2F\x73\x74\x61\x74\x73\x2F","\x67\x6F\x61\x6C","\x67\x65\x6E\x65\x72\x61\x6C","\x2F\x67\x65\x6E\x65\x72\x61\x6C\x2F","\x6E\x6F\x6F\x70","\x73\x79\x73\x74\x65\x6D","\x61\x67\x69","\x73\x75\x62\x70\x72\x6F\x6A\x65\x63\x74","\x63\x75\x73\x74\x6F\x6D\x5F\x61\x70\x70","\x65\x6E\x61\x62\x6C\x65\x54\x6F\x6F\x6C\x74\x69\x70\x73","\x74\x6F\x6F\x6C\x74\x69\x70\x42\x6F\x72\x64\x65\x72","\x74\x68\x75\x6D\x62\x42\x6F\x72\x64\x65\x72","\x74\x6F\x6F\x6C\x74\x69\x70\x44\x65\x6C\x61\x79","\x69\x6E\x73\x74\x61\x6C\x6C\x45\x64\x67\x65\x73","\x67\x65\x61\x72\x49\x6D\x61\x67\x65","\x2F\x63\x6C\x69\x70\x61\x72\x74\x2F\x47\x65\x61\x72\x5F\x31\x32\x38\x78\x31\x32\x38\x2E\x70\x6E\x67","\x74\x68\x75\x6D\x62\x57\x69\x64\x74\x68","\x74\x68\x75\x6D\x62\x48\x65\x69\x67\x68\x74","\x74\x68\x75\x6D\x62\x50\x61\x64\x64\x69\x6E\x67","\x73\x69\x64\x65\x62\x61\x72\x54\x69\x74\x6C\x65\x53\x69\x7A\x65","\x73\x69\x64\x65\x62\x61\x72\x54\x69\x74\x6C\x65\x73","\x74\x6F\x6F\x6C\x74\x69\x70\x54\x69\x74\x6C\x65\x73","\x73\x68\x6F\x77\x54\x6F\x6F\x6C\x74\x69\x70","\x74\x68\x72\x65\x61\x64","\x63\x6C\x65\x61\x72\x54\x69\x6D\x65\x6F\x75\x74","\x74\x6F\x6F\x6C\x74\x69\x70","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x53\x69\x64\x65\x62\x61\x72\x54\x6F\x6F\x6C\x74\x69\x70","\x67\x72\x61\x70\x68\x32","\x73\x65\x74\x54\x72\x61\x6E\x73\x6C\x61\x74\x65","\x76\x69\x65\x77","\x49\x53\x5F\x53\x56\x47","\x63\x61\x6E\x76\x61\x73","\x72\x65\x6C\x61\x74\x69\x76\x65","\x74\x6F\x6F\x6C\x74\x69\x70\x49\x6D\x61\x67\x65","\x63\x72\x65\x61\x74\x65\x49\x6D\x61\x67\x65","\x77\x69\x64\x74\x68","\x31\x34\x70\x78","\x68\x65\x69\x67\x68\x74","\x32\x37\x70\x78","\x64\x69\x73\x70\x6C\x61\x79","\x62\x6C\x6F\x63\x6B","\x6C\x61\x62\x65\x6C\x73\x56\x69\x73\x69\x62\x6C\x65","\x63\x6C\x65\x61\x72","\x6D\x6F\x64\x65\x6C","\x61\x64\x64\x43\x65\x6C\x6C\x73","\x67\x65\x74\x47\x72\x61\x70\x68\x42\x6F\x75\x6E\x64\x73","\x49\x53\x5F\x51\x55\x49\x52\x4B\x53","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x76\x69\x73\x69\x62\x6C\x65","\x70\x78","\x6C\x65\x6E\x67\x74\x68","\x74\x6F\x6F\x6C\x74\x69\x70\x54\x69\x74\x6C\x65","\x62\x6F\x72\x64\x65\x72\x54\x6F\x70","\x31\x70\x78\x20\x73\x6F\x6C\x69\x64\x20\x67\x72\x61\x79","\x74\x65\x78\x74\x41\x6C\x69\x67\x6E","\x63\x65\x6E\x74\x65\x72","\x31\x30\x30\x25","\x70\x61\x64\x64\x69\x6E\x67\x54\x6F\x70","\x32\x70\x78","\x36\x70\x78","\x69\x6E\x6E\x65\x72\x48\x54\x4D\x4C","","\x77\x72\x69\x74\x65","\x6F\x66\x66\x73\x65\x74\x48\x65\x69\x67\x68\x74","\x6D\x61\x72\x67\x69\x6E\x54\x6F\x70","\x74\x6F\x70","\x6E\x6F\x6E\x65","\x78","\x6D\x69\x6E","\x79","\x63\x6C\x69\x65\x6E\x74\x57\x69\x64\x74\x68","\x73\x70\x6C\x69\x74\x53\x69\x7A\x65","\x6F\x66\x66\x73\x65\x74\x54\x6F\x70","\x73\x63\x72\x6F\x6C\x6C\x54\x6F\x70","\x6D\x61\x78","\x74\x72\x61\x6E\x73\x66\x6F\x72\x6D","\x74\x72\x61\x6E\x73\x6C\x61\x74\x65\x28","\x2C","\x29","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x6C\x65\x66\x74","\x64\x72\x61\x77\x50\x61\x6E\x65","\x6F\x66\x66\x73\x65\x74\x57\x69\x64\x74\x68","\x70\x61\x67\x65\x2D\x73\x69\x64\x65\x62\x61\x72","\x67\x65\x74\x45\x6C\x65\x6D\x65\x6E\x74\x42\x79\x49\x64","\x73\x65\x74\x54\x69\x6D\x65\x6F\x75\x74","\x63\x72\x65\x61\x74\x65\x54\x69\x74\x6C\x65","\x61","\x68\x72\x65\x66","\x6A\x61\x76\x61\x73\x63\x72\x69\x70\x74\x3A\x76\x6F\x69\x64\x28\x30\x29\x3B","\x67\x65\x54\x69\x74\x6C\x65","\x63\x72\x65\x61\x74\x65\x54\x68\x75\x6D\x62","\x73\x63\x61\x6C\x65\x41\x6E\x64\x54\x72\x61\x6E\x73\x6C\x61\x74\x65","\x66\x6C\x6F\x6F\x72","\x64\x69\x61\x6C\x65\x63\x74","\x44\x49\x41\x4C\x45\x43\x54\x5F\x53\x56\x47","\x4E\x4F\x5F\x46\x4F","\x63\x6C\x6F\x6E\x65\x4E\x6F\x64\x65","\x6F\x77\x6E\x65\x72\x53\x56\x47\x45\x6C\x65\x6D\x65\x6E\x74","\x67\x65\x74\x43\x61\x6E\x76\x61\x73","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x49\x53\x5F\x49\x45\x36","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x49\x6D\x61\x67\x65","\x75\x72\x6C\x28","\x74\x72\x61\x6E\x73\x70\x61\x72\x65\x6E\x74\x49\x6D\x61\x67\x65","\x63\x75\x72\x73\x6F\x72","\x70\x6F\x69\x6E\x74\x65\x72","\x6D\x69\x6E\x57\x69\x64\x74\x68","\x6D\x69\x6E\x48\x65\x69\x67\x68\x74","\x66\x6F\x6E\x74\x53\x69\x7A\x65","\x77\x68\x69\x74\x65\x53\x70\x61\x63\x65","\x6E\x6F\x77\x72\x61\x70","\x49\x53\x5F\x49\x45","\x34\x70\x78","\x63\x72\x65\x61\x74\x65\x49\x74\x65\x6D","\x67\x65\x49\x74\x65\x6D","\x70\x61\x64\x64\x69\x6E\x67","\x63\x6C\x69\x63\x6B","\x63\x6F\x6E\x73\x75\x6D\x65","\x63\x72\x65\x61\x74\x65\x44\x72\x6F\x70\x48\x61\x6E\x64\x6C\x65\x72","\x69\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x65\x74\x49\x6D\x70\x6F\x72\x74\x61\x62\x6C\x65\x43\x65\x6C\x6C\x73","\x69\x73\x56\x61\x6C\x69\x64\x44\x72\x6F\x70\x54\x61\x72\x67\x65\x74","\x69\x73\x53\x70\x6C\x69\x74\x45\x6E\x61\x62\x6C\x65\x64","\x69\x73\x53\x70\x6C\x69\x74\x54\x61\x72\x67\x65\x74","\x73\x70\x6C\x69\x74\x45\x64\x67\x65","\x69\x6D\x70\x6F\x72\x74\x43\x65\x6C\x6C\x73","\x73\x63\x72\x6F\x6C\x6C\x43\x65\x6C\x6C\x54\x6F\x56\x69\x73\x69\x62\x6C\x65","\x73\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x63\x72\x65\x61\x74\x65\x44\x72\x61\x67\x50\x72\x65\x76\x69\x65\x77","\x62\x6F\x72\x64\x65\x72","\x31\x70\x78\x20\x64\x61\x73\x68\x65\x64\x20\x62\x6C\x61\x63\x6B","\x63\x72\x65\x61\x74\x65\x44\x72\x61\x67\x53\x6F\x75\x72\x63\x65","\x61\x75\x74\x6F\x73\x63\x72\x6F\x6C\x6C","\x6D\x61\x6B\x65\x44\x72\x61\x67\x67\x61\x62\x6C\x65","\x67\x65\x74\x44\x72\x6F\x70\x54\x61\x72\x67\x65\x74","\x61\x70\x70\x6C\x79","\x69\x73\x56\x61\x6C\x69\x64\x52\x6F\x6F\x74","\x61\x64\x64\x43\x6C\x69\x63\x6B\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x58","\x67\x65\x74\x43\x6C\x69\x65\x6E\x74\x59","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x6D\x6F\x75\x73\x65\x55\x70","\x69\x73\x50\x6F\x70\x75\x70\x54\x72\x69\x67\x67\x65\x72","\x63\x75\x72\x72\x65\x6E\x74\x47\x72\x61\x70\x68","\x74\x6F\x6C\x65\x72\x61\x6E\x63\x65","\x61\x62\x73","\x67\x65\x74\x47\x72\x69\x64\x53\x69\x7A\x65","\x64\x72\x6F\x70","\x63\x72\x65\x61\x74\x65\x58\x6D\x6C\x44\x6F\x63\x75\x6D\x65\x6E\x74","\x6C\x61\x62\x65\x6C","\x66\x6F\x72\x45\x61\x63\x68","\x63\x72\x65\x61\x74\x65\x56\x65\x72\x74\x65\x78\x54\x65\x6D\x70\x6C\x61\x74\x65","\x6B\x65\x79","\x69\x73\x70\x65\x65\x63\x68\x5F\x61\x73\x72\x5F\x6C\x61\x6E\x67\x75\x61\x67\x65","\x74\x69\x6D\x65\x6F\x75\x74","\x69\x6E\x74\x4B\x65\x79","\x62\x65\x65\x70","\x69\x74\x2D\x49\x54","\x23","\x66\x69\x6C\x65\x5F\x69\x64","\x6F\x70\x74\x73","\x30","\x72\x65\x73\x70\x6F\x6E\x73\x65","\x64\x69\x67\x69\x74","\x35","\x31","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64","\x72\x65\x74\x72\x79","\x76\x61\x72\x69\x61\x62\x6C\x65\x5F\x69\x64","\x6D\x69\x6E\x64\x69\x67\x69\x74","\x6D\x61\x78\x64\x69\x67\x69\x74","\x31\x30","\x61\x70\x70\x6C\x69\x63\x61\x74\x69\x6F\x6E","\x6F\x70\x74\x69\x6F\x6E\x73","\x76\x61\x72\x69\x61\x62\x6C\x65\x5F\x76\x61\x6C\x75\x65","\x6F\x64\x62\x63\x5F\x69\x64","\x71\x75\x65\x72\x79","\x6F\x75\x74\x70\x75\x74","\x63\x6F\x6E\x74\x65\x78\x74","\x65\x78\x74\x65\x6E\x73\x69\x6F\x6E","\x63\x6F\x6D\x6D\x61\x6E\x64","\x61\x72\x67\x73","\x63\x6F\x6E\x64\x69\x74\x69\x6F\x6E","\x69\x6E\x74\x65\x72\x76\x61\x6C\x5F\x69\x64","\x67\x6F\x74\x6F\x69\x66\x6D\x75\x6C\x74\x69\x74\x69\x6D\x65","\x64\x69\x67\x69\x74\x73","\x65\x73\x63\x61\x70\x65\x5F\x64\x69\x67\x69\x74\x73","\x6E\x75\x6D\x62\x65\x72","\x74\x65\x78\x74","\x66\x69\x6C\x65","\x2D\x31","\x73\x69\x70\x5F\x69\x64","\x75\x72\x6C","\x36\x30","\x70\x68\x6F\x6E\x65","\x74\x72\x75\x6E\x6B\x5F\x6E\x61\x6D\x65","\x67\x6F\x6F\x67\x6C\x65\x5F\x74\x74\x73\x5F\x6C\x61\x6E\x67\x75\x61\x67\x65","\x69\x74","\x69\x73\x70\x65\x65\x63\x68\x5F\x74\x74\x73\x5F\x6C\x61\x6E\x67\x75\x61\x67\x65","\x73\x70\x65\x65\x64","\x65\x75\x72\x69\x74\x61\x6C\x69\x61\x6E\x66\x65\x6D\x61\x6C\x65","\x71\x75\x65\x75\x65\x5F\x69\x64","\x6D\x61\x63\x72\x6F","\x67\x6F\x73\x75\x62","\x33\x30\x30","\x6D\x61\x69\x6C\x62\x6F\x78","\x70\x72\x6F\x6A\x65\x63\x74\x5F\x69\x64","\x6F\x70\x65\x72\x61\x74\x69\x6F\x6E","\x71\x75\x65\x75\x65\x6E\x61\x6D\x65","\x61\x67\x65\x6E\x74","\x71\x75\x65\x75\x65\x5F\x65\x76\x65\x6E\x74","\x64\x61\x74\x61\x31","\x64\x61\x74\x61\x32","\x64\x61\x74\x61\x33","\x64\x61\x74\x61\x34","\x64\x61\x74\x61\x35","\x71\x75\x65\x75\x65\x6C\x6F\x67","\x67\x6F\x61\x6C\x6E\x61\x6D\x65","\x6E\x61\x6D\x65","\x6C\x69\x73\x74\x5F\x69\x64","\x64\x65\x6C\x61\x79","\x7B\x43\x41\x4C\x4C\x45\x52\x49\x44\x28\x6E\x75\x6D\x29\x7D","\x61\x63\x63\x6F\x75\x6E\x74\x5F\x69\x64","\x74\x6F","\x73\x6D\x73\x5F\x74\x65\x78\x74","\x76\x65\x72\x74\x65\x78","\x63\x72\x65\x61\x74\x65\x56\x65\x72\x74\x65\x78\x54\x65\x6D\x70\x6C\x61\x74\x65\x46\x72\x6F\x6D\x43\x65\x6C\x6C\x73","\x69\x73\x47\x75\x69\x64\x65\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x75\x69\x64\x65\x73\x45\x6E\x61\x62\x6C\x65\x64","\x67\x72\x61\x70\x68\x48\x61\x6E\x64\x6C\x65\x72","\x63\x72\x65\x61\x74\x65\x45\x64\x67\x65\x54\x65\x6D\x70\x6C\x61\x74\x65","\x73\x65\x74\x54\x65\x72\x6D\x69\x6E\x61\x6C\x50\x6F\x69\x6E\x74","\x67\x65\x6F\x6D\x65\x74\x72\x79","\x65\x64\x67\x65","\x63\x72\x65\x61\x74\x65\x45\x64\x67\x65\x54\x65\x6D\x70\x6C\x61\x74\x65\x46\x72\x6F\x6D\x43\x65\x6C\x6C\x73","\x73\x65\x74\x44\x65\x66\x61\x75\x6C\x74\x45\x64\x67\x65","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x43\x6F\x6C\x6F\x72","\x23\x66\x66\x66\x66\x66\x66","\x61\x64\x64\x50\x61\x6C\x65\x74\x74\x65","\x67\x65\x53\x69\x64\x65\x62\x61\x72","\x61\x64\x64\x46\x6F\x6C\x64\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x2F\x63\x6F\x6C\x6C\x61\x70\x73\x65\x64\x2E\x67\x69\x66\x29","\x2F\x65\x78\x70\x61\x6E\x64\x65\x64\x2E\x67\x69\x66\x29","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x52\x65\x70\x65\x61\x74","\x6E\x6F\x2D\x72\x65\x70\x65\x61\x74","\x62\x61\x63\x6B\x67\x72\x6F\x75\x6E\x64\x50\x6F\x73\x69\x74\x69\x6F\x6E","\x31\x30\x30\x25\x20\x35\x30\x25","\x77\x61\x69\x74","\x72\x65\x6D\x6F\x76\x65\x50\x61\x6C\x65\x74\x74\x65","\x72\x65\x6D\x6F\x76\x65\x43\x68\x69\x6C\x64","\x69\x6D\x61\x67\x65\x3B\x69\x6D\x61\x67\x65\x3D"];_0x138a[0];function Sidebar(_0xf18dx2,_0xf18dx3){this[_0x138a[1]]=_0xf18dx2;this[_0x138a[2]]=_0xf18dx3;this[_0x138a[3]]= new Object();this[_0x138a[4]]=true;this[_0x138a[5]]= new Graph(document[_0x138a[7]](_0x138a[6]),null,null,this[_0x138a[1]][_0x138a[9]][_0x138a[5]][_0x138a[8]]());this[_0x138a[5]][_0x138a[10]]=false;this[_0x138a[5]][_0x138a[11]]=false;this[_0x138a[5]][_0x138a[12]](false);this[_0x138a[5]][_0x138a[13]]=false;this[_0x138a[5]][_0x138a[14]](false);this[_0x138a[5]][_0x138a[15]](false);this[_0x138a[5]][_0x138a[2]][_0x138a[17]][_0x138a[16]]=_0x138a[18];this[_0x138a[5]][_0x138a[2]][_0x138a[17]][_0x138a[19]]=_0x138a[20];document[_0x138a[22]][_0x138a[21]](this[_0x138a[5]][_0x138a[2]]);if(!mxClient[_0x138a[23]]){mxEvent[_0x138a[26]](document,_0x138a[24],mxUtils[_0x138a[25]](this,function(){this[_0x138a[4]]=true}));mxEvent[_0x138a[26]](_0xf18dx3,_0x138a[27],mxUtils[_0x138a[25]](this,function(){this[_0x138a[4]]=true}));mxEvent[_0x138a[26]](document,_0x138a[28],mxUtils[_0x138a[25]](this,function(){this[_0x138a[4]]=false;this[_0x138a[29]]()}));mxEvent[_0x138a[26]](document,_0x138a[30],mxUtils[_0x138a[25]](this,function(_0xf18dx4){var _0xf18dx5=mxEvent[_0x138a[31]](_0xf18dx4);while(_0xf18dx5!=null){if(_0xf18dx5==this[_0x138a[32]]){return};_0xf18dx5=_0xf18dx5[_0x138a[33]]};this[_0x138a[29]]()}));mxEvent[_0x138a[26]](document,_0x138a[34],mxUtils[_0x138a[25]](this,function(_0xf18dx4){if(_0xf18dx4[_0x138a[35]]==null&&_0xf18dx4[_0x138a[36]]==null){this[_0x138a[29]]()}}))};this[_0x138a[37]](); new Image()[_0x138a[38]]=IMAGE_PATH+_0x138a[39]}Sidebar[_0x138a[40]][_0x138a[37]]=function(){var _0xf18dx6=STENCIL_PATH;console[_0x138a[41]](_0xf18dx6);this[_0x138a[49]](_0x138a[42],mxResources[_0x138a[43]](_0x138a[42]),_0xf18dx6+_0x138a[44],_0x138a[45],[_0x138a[46],_0x138a[47],_0x138a[48]],[mxResources[_0x138a[43]](_0x138a[46]),mxResources[_0x138a[43]](_0x138a[47]),mxResources[_0x138a[43]](_0x138a[48])]);this[_0x138a[49]](_0x138a[50],mxResources[_0x138a[43]](_0x138a[50]),_0xf18dx6+_0x138a[51],_0x138a[45],[_0x138a[52],_0x138a[53],_0x138a[54],_0x138a[55],_0x138a[56],_0x138a[57],_0x138a[58]],[mxResources[_0x138a[43]](_0x138a[52]),mxResources[_0x138a[43]](_0x138a[53]),mxResources[_0x138a[43]](_0x138a[54]),mxResources[_0x138a[43]](_0x138a[55]),mxResources[_0x138a[43]](_0x138a[56]),mxResources[_0x138a[43]](_0x138a[57]),mxResources[_0x138a[43]](_0x138a[58])]);this[_0x138a[49]](_0x138a[59],mxResources[_0x138a[43]](_0x138a[59]),_0xf18dx6+_0x138a[60],_0x138a[45],[_0x138a[61],_0x138a[62]],[mxResources[_0x138a[43]](_0x138a[61]),mxResources[_0x138a[43]](_0x138a[62])]);this[_0x138a[49]](_0x138a[63],mxResources[_0x138a[43]](_0x138a[63]),_0xf18dx6+_0x138a[64],_0x138a[45],[_0x138a[63],_0x138a[65],_0x138a[66],_0x138a[67],_0x138a[68],_0x138a[69],_0x138a[70],_0x138a[71]],[mxResources[_0x138a[43]](_0x138a[63]),mxResources[_0x138a[43]](_0x138a[65]),mxResources[_0x138a[43]](_0x138a[66]),mxResources[_0x138a[43]](_0x138a[67]),mxResources[_0x138a[43]](_0x138a[68]),mxResources[_0x138a[43]](_0x138a[69]),mxResources[_0x138a[43]](_0x138a[70]),mxResources[_0x138a[43]](_0x138a[71])]);this[_0x138a[49]](_0x138a[72],mxResources[_0x138a[43]](_0x138a[72]),_0xf18dx6+_0x138a[73],_0x138a[45],[_0x138a[74]],[mxResources[_0x138a[43]](_0x138a[74])]);this[_0x138a[49]](_0x138a[75],mxResources[_0x138a[43]](_0x138a[75]),_0xf18dx6+_0x138a[76],_0x138a[45],[_0x138a[77],_0x138a[78],_0x138a[79]],[mxResources[_0x138a[43]](_0x138a[77]),mxResources[_0x138a[43]](_0x138a[78]),mxResources[_0x138a[43]](_0x138a[79])]);this[_0x138a[49]](_0x138a[80],mxResources[_0x138a[43]](_0x138a[80]),_0xf18dx6+_0x138a[81],_0x138a[45],[_0x138a[82],_0x138a[83],_0x138a[84],_0x138a[85]],[mxResources[_0x138a[43]](_0x138a[82]),mxResources[_0x138a[43]](_0x138a[83]),mxResources[_0x138a[43]](_0x138a[84]),mxResources[_0x138a[43]](_0x138a[85])]);this[_0x138a[49]](_0x138a[86],mxResources[_0x138a[43]](_0x138a[86]),_0xf18dx6+_0x138a[87],_0x138a[45],[_0x138a[88]],[mxResources[_0x138a[43]](_0x138a[88])]);this[_0x138a[49]](_0x138a[89],mxResources[_0x138a[43]](_0x138a[89]),_0xf18dx6+_0x138a[90],_0x138a[45],[_0x138a[91],_0x138a[92],_0x138a[93],_0x138a[94],_0x138a[95]],[mxResources[_0x138a[43]](_0x138a[91]),mxResources[_0x138a[43]](_0x138a[92]),mxResources[_0x138a[43]](_0x138a[93]),mxResources[_0x138a[43]](_0x138a[94]),mxResources[_0x138a[43]](_0x138a[95])])};Sidebar[_0x138a[40]][_0x138a[96]]=!mxClient[_0x138a[23]];Sidebar[_0x138a[40]][_0x138a[97]]=16;Sidebar[_0x138a[40]][_0x138a[98]]=3;Sidebar[_0x138a[40]][_0x138a[99]]=300;Sidebar[_0x138a[40]][_0x138a[100]]=true;Sidebar[_0x138a[40]][_0x138a[101]]=STENCIL_PATH+_0x138a[102];Sidebar[_0x138a[40]][_0x138a[103]]=34;Sidebar[_0x138a[40]][_0x138a[104]]=34;Sidebar[_0x138a[40]][_0x138a[105]]=2;Sidebar[_0x138a[40]][_0x138a[106]]=9;Sidebar[_0x138a[40]][_0x138a[107]]=false;Sidebar[_0x138a[40]][_0x138a[108]]=false;Sidebar[_0x138a[40]][_0x138a[109]]=function(_0xf18dx7,_0xf18dx8,_0xf18dx9,_0xf18dxa){if(this[_0x138a[96]]&&this[_0x138a[4]]){if(this[_0x138a[32]]!=_0xf18dx7){if(this[_0x138a[110]]!=null){window[_0x138a[111]](this[_0x138a[110]]);this[_0x138a[110]]=null};var _0xf18dxb=mxUtils[_0x138a[25]](this,function(){if(this[_0x138a[112]]==null){this[_0x138a[112]]=document[_0x138a[7]](_0x138a[6]);this[_0x138a[112]][_0x138a[113]]=_0x138a[114];document[_0x138a[22]][_0x138a[21]](this[_0x138a[112]]);this[_0x138a[115]]= new Graph(this[_0x138a[112]],null,null,this[_0x138a[1]][_0x138a[9]][_0x138a[5]][_0x138a[8]]());this[_0x138a[115]][_0x138a[117]][_0x138a[116]](this[_0x138a[97]],this[_0x138a[97]]);this[_0x138a[115]][_0x138a[10]]=false;this[_0x138a[115]][_0x138a[11]]=false;this[_0x138a[115]][_0x138a[13]]=false;this[_0x138a[115]][_0x138a[14]](false);this[_0x138a[115]][_0x138a[12]](false);this[_0x138a[115]][_0x138a[15]](false);if(!mxClient[_0x138a[118]]){this[_0x138a[115]][_0x138a[117]][_0x138a[119]][_0x138a[17]][_0x138a[19]]=_0x138a[120]};this[_0x138a[121]]=mxUtils[_0x138a[122]](IMAGE_PATH+_0x138a[39]);this[_0x138a[121]][_0x138a[17]][_0x138a[19]]=_0x138a[20];this[_0x138a[121]][_0x138a[17]][_0x138a[123]]=_0x138a[124];this[_0x138a[121]][_0x138a[17]][_0x138a[125]]=_0x138a[126];document[_0x138a[22]][_0x138a[21]](this[_0x138a[121]])};this[_0x138a[112]][_0x138a[17]][_0x138a[127]]=_0x138a[128];this[_0x138a[115]][_0x138a[129]]=(_0xf18dxa==null||_0xf18dxa);this[_0x138a[115]][_0x138a[131]][_0x138a[130]]();this[_0x138a[115]][_0x138a[132]](_0xf18dx8);var _0xf18dxc=this[_0x138a[115]][_0x138a[133]]();var _0xf18dxd=_0xf18dxc[_0x138a[123]]+2*this[_0x138a[97]];var _0xf18dxe=_0xf18dxc[_0x138a[125]]+2*this[_0x138a[97]];if(mxClient[_0x138a[134]]){_0xf18dxd+=4;_0xf18dxe+=4;this[_0x138a[112]][_0x138a[17]][_0x138a[135]]=_0x138a[18]}else {this[_0x138a[112]][_0x138a[17]][_0x138a[135]]=_0x138a[136]};this[_0x138a[121]][_0x138a[17]][_0x138a[16]]=_0x138a[136];this[_0x138a[112]][_0x138a[17]][_0x138a[123]]=_0xf18dxd+_0x138a[137];if(this[_0x138a[108]]&&_0xf18dx9!=null&&_0xf18dx9[_0x138a[138]]>0){if(this[_0x138a[139]]==null){this[_0x138a[139]]=document[_0x138a[7]](_0x138a[6]);this[_0x138a[139]][_0x138a[17]][_0x138a[140]]=_0x138a[141];this[_0x138a[139]][_0x138a[17]][_0x138a[142]]=_0x138a[143];this[_0x138a[139]][_0x138a[17]][_0x138a[123]]=_0x138a[144];this[_0x138a[139]][_0x138a[17]][_0x138a[135]]=_0x138a[18];if(mxClient[_0x138a[118]]){this[_0x138a[139]][_0x138a[17]][_0x138a[145]]=_0x138a[146]}else {this[_0x138a[139]][_0x138a[17]][_0x138a[19]]=_0x138a[20];this[_0x138a[139]][_0x138a[17]][_0x138a[145]]=_0x138a[147]};this[_0x138a[112]][_0x138a[21]](this[_0x138a[139]])}else {this[_0x138a[139]][_0x138a[148]]=_0x138a[149]};this[_0x138a[139]][_0x138a[17]][_0x138a[127]]=_0x138a[149];mxUtils[_0x138a[150]](this[_0x138a[139]],_0xf18dx9);var _0xf18dxf=this[_0x138a[139]][_0x138a[151]]+10;_0xf18dxe+=_0xf18dxf;if(mxClient[_0x138a[118]]){this[_0x138a[139]][_0x138a[17]][_0x138a[152]]=(-_0xf18dxf)+_0x138a[137]}else {_0xf18dxe-=6;this[_0x138a[139]][_0x138a[17]][_0x138a[153]]=(_0xf18dxe-_0xf18dxf)+_0x138a[137]}}else {if(this[_0x138a[139]]!=null&&this[_0x138a[139]][_0x138a[33]]!=null){this[_0x138a[139]][_0x138a[17]][_0x138a[127]]=_0x138a[154]}};this[_0x138a[112]][_0x138a[17]][_0x138a[125]]=_0xf18dxe+_0x138a[137];var _0xf18dx10=-Math[_0x138a[156]](0,_0xf18dxc[_0x138a[155]]-this[_0x138a[97]]);var _0xf18dx11=-Math[_0x138a[156]](0,_0xf18dxc[_0x138a[157]]-this[_0x138a[97]]);var _0xf18dx12=this[_0x138a[2]][_0x138a[158]]+this[_0x138a[1]][_0x138a[159]]+3;var _0xf18dx13=Math[_0x138a[162]](0,(this[_0x138a[2]][_0x138a[160]]+_0xf18dx7[_0x138a[160]]-this[_0x138a[2]][_0x138a[161]]-_0xf18dxe/2+16));if(mxClient[_0x138a[118]]){this[_0x138a[115]][_0x138a[117]][_0x138a[119]][_0x138a[167]](_0x138a[163],_0x138a[164]+_0xf18dx10+_0x138a[165]+_0xf18dx11+_0x138a[166])}else {this[_0x138a[115]][_0x138a[117]][_0x138a[169]][_0x138a[17]][_0x138a[168]]=_0xf18dx10+_0x138a[137];this[_0x138a[115]][_0x138a[117]][_0x138a[169]][_0x138a[17]][_0x138a[153]]=_0xf18dx11+_0x138a[137]};_0xf18dx12+=document[_0x138a[172]](_0x138a[171])[_0x138a[170]];_0xf18dx13+=90;this[_0x138a[112]][_0x138a[17]][_0x138a[19]]=_0x138a[20];this[_0x138a[112]][_0x138a[17]][_0x138a[168]]=_0xf18dx12+_0x138a[137];this[_0x138a[112]][_0x138a[17]][_0x138a[153]]=_0xf18dx13+_0x138a[137];this[_0x138a[121]][_0x138a[17]][_0x138a[168]]=(_0xf18dx12-13)+_0x138a[137];this[_0x138a[121]][_0x138a[17]][_0x138a[153]]=(_0xf18dx13+_0xf18dxe/2-13)+_0x138a[137]});if(this[_0x138a[112]]!=null&&this[_0x138a[112]][_0x138a[17]][_0x138a[127]]!=_0x138a[154]){_0xf18dxb()}else {this[_0x138a[110]]=window[_0x138a[173]](_0xf18dxb,this[_0x138a[99]])};this[_0x138a[32]]=_0xf18dx7}}};Sidebar[_0x138a[40]][_0x138a[29]]=function(){if(this[_0x138a[110]]!=null){window[_0x138a[111]](this[_0x138a[110]]);this[_0x138a[110]]=null};if(this[_0x138a[112]]!=null){this[_0x138a[112]][_0x138a[17]][_0x138a[127]]=_0x138a[154];this[_0x138a[121]][_0x138a[17]][_0x138a[16]]=_0x138a[18];this[_0x138a[32]]=null}};Sidebar[_0x138a[40]][_0x138a[174]]=function(_0xf18dx14){var _0xf18dx7=document[_0x138a[7]](_0x138a[175]);_0xf18dx7[_0x138a[167]](_0x138a[176],_0x138a[177]);_0xf18dx7[_0x138a[113]]=_0x138a[178];mxUtils[_0x138a[150]](_0xf18dx7,_0xf18dx14);return _0xf18dx7};Sidebar[_0x138a[40]][_0x138a[179]]=function(_0xf18dx8,_0xf18dxd,_0xf18dxe,_0xf18dx15,_0xf18dx9,_0xf18dxa){this[_0x138a[5]][_0x138a[129]]=(_0xf18dxa==null||_0xf18dxa);this[_0x138a[5]][_0x138a[117]][_0x138a[180]](1,0,0);this[_0x138a[5]][_0x138a[132]](_0xf18dx8);var _0xf18dxc=this[_0x138a[5]][_0x138a[133]]();var _0xf18dx16=this[_0x138a[98]];var _0xf18dx17=Math[_0x138a[156]]((_0xf18dxd-2)/(_0xf18dxc[_0x138a[123]]-_0xf18dxc[_0x138a[155]]+_0xf18dx16),(_0xf18dxe-2)/(_0xf18dxc[_0x138a[125]]-_0xf18dxc[_0x138a[157]]+_0xf18dx16));var _0xf18dx10=-Math[_0x138a[156]](_0xf18dxc[_0x138a[155]],0);var _0xf18dx11=-Math[_0x138a[156]](_0xf18dxc[_0x138a[157]],0);this[_0x138a[5]][_0x138a[117]][_0x138a[180]](_0xf18dx17,_0xf18dx10,_0xf18dx11);_0xf18dxc=this[_0x138a[5]][_0x138a[133]]();var _0xf18dx18=Math[_0x138a[162]](0,Math[_0x138a[181]]((_0xf18dxd-_0xf18dxc[_0x138a[123]]-_0xf18dxc[_0x138a[155]])/2));var _0xf18dxf=Math[_0x138a[162]](0,Math[_0x138a[181]]((_0xf18dxe-_0xf18dxc[_0x138a[125]]-_0xf18dxc[_0x138a[157]])/2));var _0xf18dx19=null;if(this[_0x138a[5]][_0x138a[182]]==mxConstants[_0x138a[183]]&&!mxClient[_0x138a[184]]){_0xf18dx19=this[_0x138a[5]][_0x138a[117]][_0x138a[187]]()[_0x138a[186]][_0x138a[185]](true)}else {_0xf18dx19=this[_0x138a[5]][_0x138a[2]][_0x138a[185]](false);_0xf18dx19[_0x138a[148]]=this[_0x138a[5]][_0x138a[2]][_0x138a[148]]};this[_0x138a[5]][_0x138a[188]]()[_0x138a[130]]();if(mxClient[_0x138a[189]]){_0xf18dx15[_0x138a[17]][_0x138a[190]]=_0x138a[191]+this[_0x138a[1]][_0x138a[9]][_0x138a[192]]+_0x138a[166]};var _0xf18dx1a=3;_0xf18dx19[_0x138a[17]][_0x138a[19]]=_0x138a[120];_0xf18dx19[_0x138a[17]][_0x138a[135]]=_0x138a[18];_0xf18dx19[_0x138a[17]][_0x138a[193]]=_0x138a[194];_0xf18dx19[_0x138a[17]][_0x138a[168]]=(_0xf18dx18+_0xf18dx1a)+_0x138a[137];_0xf18dx19[_0x138a[17]][_0x138a[153]]=(_0xf18dxf+_0xf18dx1a)+_0x138a[137];_0xf18dx19[_0x138a[17]][_0x138a[123]]=_0xf18dxd+_0x138a[137];_0xf18dx19[_0x138a[17]][_0x138a[125]]=_0xf18dxe+_0x138a[137];_0xf18dx19[_0x138a[17]][_0x138a[16]]=_0x138a[149];_0xf18dx19[_0x138a[17]][_0x138a[195]]=_0x138a[149];_0xf18dx19[_0x138a[17]][_0x138a[196]]=_0x138a[149];_0xf18dx15[_0x138a[21]](_0xf18dx19);if(this[_0x138a[107]]&&_0xf18dx9!=null){var _0xf18dx1b=(mxClient[_0x138a[134]])?2*this[_0x138a[105]]+2:0;_0xf18dx15[_0x138a[17]][_0x138a[125]]=(this[_0x138a[104]]+_0xf18dx1b+this[_0x138a[106]]+8)+_0x138a[137];var _0xf18dx1c=document[_0x138a[7]](_0x138a[6]);_0xf18dx1c[_0x138a[17]][_0x138a[197]]=this[_0x138a[106]]+_0x138a[137];_0xf18dx1c[_0x138a[17]][_0x138a[142]]=_0x138a[143];_0xf18dx1c[_0x138a[17]][_0x138a[198]]=_0x138a[199];if(mxClient[_0x138a[200]]){_0xf18dx1c[_0x138a[17]][_0x138a[125]]=(this[_0x138a[106]]+12)+_0x138a[137]};_0xf18dx1c[_0x138a[17]][_0x138a[145]]=_0x138a[201];mxUtils[_0x138a[150]](_0xf18dx1c,_0xf18dx9);_0xf18dx15[_0x138a[21]](_0xf18dx1c)}};Sidebar[_0x138a[40]][_0x138a[202]]=function(_0xf18dx8,_0xf18dx9,_0xf18dxa){var _0xf18dx7=document[_0x138a[7]](_0x138a[175]);_0xf18dx7[_0x138a[167]](_0x138a[176],_0x138a[177]);_0xf18dx7[_0x138a[113]]=_0x138a[203];_0xf18dx7[_0x138a[17]][_0x138a[135]]=_0x138a[18];var _0xf18dx1b=(mxClient[_0x138a[134]])?8+2*this[_0x138a[105]]:6;_0xf18dx7[_0x138a[17]][_0x138a[123]]=(this[_0x138a[103]]+_0xf18dx1b)+_0x138a[137];_0xf18dx7[_0x138a[17]][_0x138a[125]]=(this[_0x138a[104]]+_0xf18dx1b)+_0x138a[137];_0xf18dx7[_0x138a[17]][_0x138a[204]]=this[_0x138a[105]]+_0x138a[137];mxEvent[_0x138a[26]](_0xf18dx7,_0x138a[205],function(_0xf18dx4){mxEvent[_0x138a[206]](_0xf18dx4)});this[_0x138a[179]](_0xf18dx8,this[_0x138a[103]],this[_0x138a[104]],_0xf18dx7,_0xf18dx9,_0xf18dxa);return _0xf18dx7};Sidebar[_0x138a[40]][_0x138a[207]]=function(_0xf18dx8,_0xf18dx1d){return function(_0xf18dx1e,_0xf18dx4,_0xf18dx1f,_0xf18dx20,_0xf18dx21){if(_0xf18dx1e[_0x138a[208]]()){_0xf18dx8=_0xf18dx1e[_0x138a[209]](_0xf18dx8);if(_0xf18dx8[_0x138a[138]]>0){var _0xf18dx22=(_0xf18dx1f!=null)?_0xf18dx1e[_0x138a[210]](_0xf18dx1f,_0xf18dx8,_0xf18dx4):false;var _0xf18dx23=null;if(_0xf18dx1f!=null&& !_0xf18dx22){_0xf18dx1f=null};if(_0xf18dx1d&&_0xf18dx1e[_0x138a[211]]()&&_0xf18dx1e[_0x138a[212]](_0xf18dx1f,_0xf18dx8,_0xf18dx4)){_0xf18dx1e[_0x138a[213]](_0xf18dx1f,_0xf18dx8,null,_0xf18dx20,_0xf18dx21);_0xf18dx23=_0xf18dx8}else {if(_0xf18dx8[_0x138a[138]]>0){_0xf18dx23=_0xf18dx1e[_0x138a[214]](_0xf18dx8,_0xf18dx20,_0xf18dx21,_0xf18dx1f)}};if(_0xf18dx23!=null&&_0xf18dx23[_0x138a[138]]>0){_0xf18dx1e[_0x138a[215]](_0xf18dx23[0]);_0xf18dx1e[_0x138a[216]](_0xf18dx23)}}}}};Sidebar[_0x138a[40]][_0x138a[217]]=function(_0xf18dxd,_0xf18dxe){var _0xf18dx7=document[_0x138a[7]](_0x138a[6]);_0xf18dx7[_0x138a[17]][_0x138a[218]]=_0x138a[219];_0xf18dx7[_0x138a[17]][_0x138a[123]]=_0xf18dxd+_0x138a[137];_0xf18dx7[_0x138a[17]][_0x138a[125]]=_0xf18dxe+_0x138a[137];return _0xf18dx7};Sidebar[_0x138a[40]][_0x138a[220]]=function(_0xf18dx7,_0xf18dx24,_0xf18dx25){var _0xf18dx26=mxUtils[_0x138a[222]](_0xf18dx7,this[_0x138a[1]][_0x138a[9]][_0x138a[5]],_0xf18dx24,_0xf18dx25,0,0,this[_0x138a[1]][_0x138a[9]][_0x138a[5]][_0x138a[221]],true,true);_0xf18dx26[_0x138a[223]]=function(_0xf18dx1e,_0xf18dx20,_0xf18dx21){var _0xf18dx1f=mxDragSource[_0x138a[40]][_0x138a[223]][_0x138a[224]](this,arguments);if(!_0xf18dx1e[_0x138a[225]](_0xf18dx1f)){_0xf18dx1f=null};return _0xf18dx1f};return _0xf18dx26};Sidebar[_0x138a[40]][_0x138a[226]]=function(_0xf18dx7,_0xf18dx27){var _0xf18dx1e=this[_0x138a[1]][_0x138a[9]][_0x138a[5]];var _0xf18dx28=null;mxEvent[_0x138a[229]](_0xf18dx7,function(_0xf18dx4){_0xf18dx28= new mxPoint(mxEvent[_0x138a[227]](_0xf18dx4),mxEvent[_0x138a[228]](_0xf18dx4))});var _0xf18dx29=_0xf18dx27[_0x138a[230]];_0xf18dx27[_0x138a[230]]=function(_0xf18dx4){if(!mxEvent[_0x138a[231]](_0xf18dx4)&&this[_0x138a[232]]==null&&_0xf18dx28!=null){var _0xf18dx2a=_0xf18dx1e[_0x138a[233]];if(Math[_0x138a[234]](_0xf18dx28[_0x138a[155]]-mxEvent[_0x138a[227]](_0xf18dx4))<=_0xf18dx2a&&Math[_0x138a[234]](_0xf18dx28[_0x138a[157]]-mxEvent[_0x138a[228]](_0xf18dx4))<=_0xf18dx2a){var _0xf18dx2b=_0xf18dx1e[_0x138a[235]]();_0xf18dx27[_0x138a[236]](_0xf18dx1e,_0xf18dx4,null,_0xf18dx2b,_0xf18dx2b)}};_0xf18dx29[_0x138a[224]](this,arguments);_0xf18dx28=null}};Sidebar[_0x138a[40]][_0x138a[237]]=function(_0xf18dx2c,_0xf18dx14,_0xf18dx2d,_0xf18dx2e){var _0xf18dx2f=mxUtils[_0x138a[237]]();var _0xf18dx19=_0xf18dx2f[_0x138a[7]](_0xf18dx2c);_0xf18dx19[_0x138a[167]](_0x138a[238],_0xf18dx14);_0xf18dx2d[_0x138a[239]](function(_0xf18dx30,_0xf18dx31){_0xf18dx19[_0x138a[167]](_0xf18dx30,_0xf18dx2e[_0xf18dx31]?_0xf18dx2e[_0xf18dx31]:_0x138a[149])});return _0xf18dx19};Sidebar[_0x138a[40]][_0x138a[240]]=function(_0xf18dx32,_0xf18dxd,_0xf18dxe,_0xf18dx33,_0xf18dx9,_0xf18dxa){var _0xf18dx2d=[];var _0xf18dx2e=[];switch(_0xf18dx33){case _0x138a[52]:break;case _0x138a[78]:_0xf18dx2d=[_0x138a[241],_0x138a[131],_0x138a[242],_0x138a[243],_0x138a[244],_0x138a[245]];_0xf18dx2e=[_0x138a[149],0,_0x138a[246],1,_0x138a[247],true];break;case _0x138a[63]:_0xf18dx2d=[_0x138a[248],_0x138a[249]];_0xf18dx2e=[_0x138a[250]];break;case _0x138a[255]:_0xf18dx2d=[_0x138a[248],_0x138a[251],_0x138a[252]];_0xf18dx2e=[_0x138a[250],_0x138a[253],_0x138a[254]];break;case _0x138a[65]:_0xf18dx2d=[_0x138a[248],_0x138a[251],_0x138a[252],_0x138a[256],_0x138a[257]];_0xf18dx2e=[_0x138a[250],_0x138a[253],_0x138a[254],_0x138a[254],_0x138a[250]];break;case _0x138a[71]:_0xf18dx2d=[_0x138a[248],_0x138a[251],_0x138a[258],_0x138a[259],_0x138a[256],_0x138a[257]];_0xf18dx2e=[_0x138a[250],_0x138a[253],_0x138a[254],_0x138a[260],_0x138a[254],_0x138a[250]];break;case _0x138a[95]:_0xf18dx2d=[_0x138a[261],_0x138a[262]];_0xf18dx2e=[_0x138a[149],_0x138a[149]];break;case _0x138a[61]:_0xf18dx2d=[_0x138a[257],_0x138a[263]];_0xf18dx2e=[_0x138a[250],_0x138a[149]];break;case _0x138a[77]:_0xf18dx2d=[_0x138a[264],_0x138a[265],_0x138a[257]];_0xf18dx2e=[_0x138a[250],_0x138a[149],_0x138a[250]];break;case _0x138a[91]:_0xf18dx2d=[_0x138a[266]];_0xf18dx2e=[];break;case _0x138a[82]:_0xf18dx2d=[_0x138a[267],_0x138a[268]];_0xf18dx2e=[];break;case _0x138a[92]:_0xf18dx2d=[_0x138a[257],_0x138a[269]];_0xf18dx2e=[];break;case _0x138a[93]:_0xf18dx2d=[_0x138a[270],_0x138a[269]];_0xf18dx2e=[];break;case _0x138a[83]:_0xf18dx2d=[_0x138a[271]];_0xf18dx2e=[];break;case _0x138a[84]:_0xf18dx2d=[_0x138a[272]];_0xf18dx2e=[_0x138a[250]];break;case _0x138a[273]:_0xf18dx2d=[_0x138a[272]];_0xf18dx2e=[_0x138a[250]];break;case _0x138a[85]:_0xf18dx2d=[_0x138a[257]];_0xf18dx2e=[_0x138a[250]];break;case _0x138a[66]:_0xf18dx2d=[_0x138a[274],_0x138a[275]];_0xf18dx2e=[_0x138a[149],_0x138a[247]];break;case _0x138a[67]:_0xf18dx2d=[_0x138a[276],_0x138a[275]];_0xf18dx2e=[_0x138a[149],_0x138a[247]];break;case _0x138a[68]:_0xf18dx2d=[_0x138a[277],_0x138a[275]];_0xf18dx2e=[_0x138a[149],_0x138a[247]];break;case _0x138a[74]:_0xf18dx2d=[_0x138a[278],_0x138a[243],_0x138a[275]];_0xf18dx2e=[_0x138a[149],_0x138a[279],_0x138a[247]];break;case _0x138a[54]:_0xf18dx2d=[_0x138a[280],_0x138a[243],_0x138a[95],_0x138a[281]];_0xf18dx2e=[_0x138a[250],_0x138a[282]];break;case _0x138a[55]:_0xf18dx2d=[_0x138a[283],_0x138a[284],_0x138a[243],_0x138a[249],_0x138a[281]];_0xf18dx2e=[_0x138a[149],_0x138a[279],_0x138a[282]];break;case _0x138a[69]:_0xf18dx2d=[_0x138a[277],_0x138a[285]];_0xf18dx2e=[_0x138a[149],_0x138a[286]];break;case _0x138a[70]:_0xf18dx2d=[_0x138a[277],_0x138a[241],_0x138a[287],_0x138a[288],_0x138a[244]];_0xf18dx2e=[_0x138a[149],_0x138a[149],_0x138a[289],_0x138a[250],_0x138a[247]];break;case _0x138a[56]:_0xf18dx2d=[_0x138a[290],_0x138a[249],_0x138a[281],_0x138a[248],_0x138a[243],_0x138a[93],_0x138a[291],_0x138a[292],_0x138a[19]];_0xf18dx2e=[_0x138a[279],_0x138a[149],_0x138a[149],_0x138a[250],_0x138a[293]];break;case _0x138a[57]:_0xf18dx2d=[_0x138a[294],_0x138a[262]];_0xf18dx2e=[_0x138a[149],_0x138a[149]];break;case _0x138a[94]:_0xf18dx2d=[_0x138a[295]];_0xf18dx2e=[_0x138a[250]];break;case _0x138a[62]:_0xf18dx2d=[_0x138a[296],_0x138a[257]];_0xf18dx2e=[_0x138a[149],_0x138a[250]];break;case _0x138a[305]:_0xf18dx2d=[_0x138a[297],_0x138a[298],_0x138a[299],_0x138a[300],_0x138a[301],_0x138a[302],_0x138a[303],_0x138a[304]];_0xf18dx2e=[];break;case _0x138a[88]:_0xf18dx2d=[_0x138a[306]];_0xf18dx2e=[];break;case _0x138a[58]:_0xf18dx2d=[_0x138a[307],_0x138a[283],_0x138a[308],_0x138a[309]];_0xf18dx2e=[_0x138a[149],_0x138a[310],_0x138a[250],_0x138a[253]];break;case _0x138a[79]:_0xf18dx2d=[_0x138a[311],_0x138a[312],_0x138a[313]];_0xf18dx2e=[_0x138a[250]];break;default:_0xf18dx2d=[];_0xf18dx2e=[];break};var _0xf18dx19=this[_0x138a[237]](_0xf18dx33,_0xf18dx9,_0xf18dx2d,_0xf18dx2e);var _0xf18dx8=[ new mxCell(_0xf18dx19, new mxGeometry(0,0,_0xf18dxd,_0xf18dxe),_0xf18dx32)];_0xf18dx8[0][_0x138a[314]]=true;return this[_0x138a[315]](_0xf18dx8,_0xf18dxd,_0xf18dxe,_0xf18dx9,_0xf18dxa)};Sidebar[_0x138a[40]][_0x138a[315]]=function(_0xf18dx8,_0xf18dxd,_0xf18dxe,_0xf18dx9,_0xf18dxa){var _0xf18dx7=this[_0x138a[202]](_0xf18dx8,_0xf18dx9,_0xf18dxa);var _0xf18dx27=this[_0x138a[220]](_0xf18dx7,this[_0x138a[207]](_0xf18dx8,true),this[_0x138a[217]](_0xf18dxd,_0xf18dxe));this[_0x138a[226]](_0xf18dx7,_0xf18dx27);_0xf18dx27[_0x138a[316]]=mxUtils[_0x138a[25]](this,function(){return this[_0x138a[1]][_0x138a[9]][_0x138a[5]][_0x138a[318]][_0x138a[317]]});if(!touchStyle){mxEvent[_0x138a[26]](_0xf18dx7,_0x138a[30],mxUtils[_0x138a[25]](this,function(_0xf18dx4){this[_0x138a[109]](_0xf18dx7,_0xf18dx8,_0xf18dx9,_0xf18dxa)}))};return _0xf18dx7};Sidebar[_0x138a[40]][_0x138a[319]]=function(_0xf18dx32,_0xf18dxd,_0xf18dxe,_0xf18dx33,_0xf18dx9,_0xf18dxa){var _0xf18dx8=[ new mxCell((_0xf18dx33!=null)?_0xf18dx33:_0x138a[149], new mxGeometry(0,0,_0xf18dxd,_0xf18dxe),_0xf18dx32)];_0xf18dx8[0][_0x138a[321]][_0x138a[320]]( new mxPoint(0,_0xf18dxe),true);_0xf18dx8[0][_0x138a[321]][_0x138a[320]]( new mxPoint(_0xf18dxd,0),false);_0xf18dx8[0][_0x138a[321]][_0x138a[120]]=true;_0xf18dx8[0][_0x138a[322]]=true;return this[_0x138a[323]](_0xf18dx8,_0xf18dxd,_0xf18dxe,_0xf18dx9,_0xf18dxa)};Sidebar[_0x138a[40]][_0x138a[323]]=function(_0xf18dx8,_0xf18dxd,_0xf18dxe,_0xf18dx9,_0xf18dxa){var _0xf18dx7=this[_0x138a[202]](_0xf18dx8,_0xf18dx9,_0xf18dxa);this[_0x138a[220]](_0xf18dx7,this[_0x138a[207]](_0xf18dx8,false),this[_0x138a[217]](_0xf18dxd,_0xf18dxe));var _0xf18dx1e=this[_0x138a[1]][_0x138a[9]][_0x138a[5]];mxEvent[_0x138a[26]](_0xf18dx7,_0x138a[205],mxUtils[_0x138a[25]](this,function(_0xf18dx4){if(this[_0x138a[100]]){_0xf18dx1e[_0x138a[324]](_0xf18dx8[0])};_0xf18dx7[_0x138a[17]][_0x138a[325]]=_0x138a[326];window[_0x138a[173]](function(){_0xf18dx7[_0x138a[17]][_0x138a[325]]=_0x138a[149]},300);mxEvent[_0x138a[206]](_0xf18dx4)}));if(!touchStyle){mxEvent[_0x138a[26]](_0xf18dx7,_0x138a[30],mxUtils[_0x138a[25]](this,function(_0xf18dx4){this[_0x138a[109]](_0xf18dx7,_0xf18dx8,_0xf18dx9,_0xf18dxa)}))};return _0xf18dx7};Sidebar[_0x138a[40]][_0x138a[327]]=function(_0xf18dx34,_0xf18dx9,_0xf18dx35,_0xf18dx36){var _0xf18dx7=this[_0x138a[174]](_0xf18dx9);this[_0x138a[2]][_0x138a[21]](_0xf18dx7);var _0xf18dx1c=document[_0x138a[7]](_0x138a[6]);_0xf18dx1c[_0x138a[113]]=_0x138a[328];if(_0xf18dx35){_0xf18dx36(_0xf18dx1c);_0xf18dx36=null}else {_0xf18dx1c[_0x138a[17]][_0x138a[127]]=_0x138a[154]};this[_0x138a[329]](_0xf18dx7,_0xf18dx1c,_0xf18dx36);var _0xf18dx37=document[_0x138a[7]](_0x138a[6]);_0xf18dx37[_0x138a[21]](_0xf18dx1c);this[_0x138a[2]][_0x138a[21]](_0xf18dx37);if(_0xf18dx34!=null){this[_0x138a[3]][_0xf18dx34]=[_0xf18dx7,_0xf18dx37]}};Sidebar[_0x138a[40]][_0x138a[329]]=function(_0xf18dx9,_0xf18dx38,_0xf18dx39){var _0xf18dx3a=false;_0xf18dx9[_0x138a[17]][_0x138a[190]]=(_0xf18dx38[_0x138a[17]][_0x138a[127]]==_0x138a[154])?_0x138a[191]+IMAGE_PATH+_0x138a[330]:_0x138a[191]+IMAGE_PATH+_0x138a[331];_0xf18dx9[_0x138a[17]][_0x138a[332]]=_0x138a[333];_0xf18dx9[_0x138a[17]][_0x138a[334]]=_0x138a[335];mxEvent[_0x138a[26]](_0xf18dx9,_0x138a[205],function(_0xf18dx4){if(_0xf18dx38[_0x138a[17]][_0x138a[127]]==_0x138a[154]){if(!_0xf18dx3a){_0xf18dx3a=true;if(_0xf18dx39!=null){_0xf18dx9[_0x138a[17]][_0x138a[193]]=_0x138a[336];window[_0x138a[173]](function(){_0xf18dx39(_0xf18dx38);_0xf18dx9[_0x138a[17]][_0x138a[193]]=_0x138a[149]},0)}};_0xf18dx9[_0x138a[17]][_0x138a[190]]=_0x138a[191]+IMAGE_PATH+_0x138a[331];_0xf18dx38[_0x138a[17]][_0x138a[127]]=_0x138a[128]}else {_0xf18dx9[_0x138a[17]][_0x138a[190]]=_0x138a[191]+IMAGE_PATH+_0x138a[330];_0xf18dx38[_0x138a[17]][_0x138a[127]]=_0x138a[154]};mxEvent[_0x138a[206]](_0xf18dx4)})};Sidebar[_0x138a[40]][_0x138a[337]]=function(_0xf18dx34){var _0xf18dx3b=this[_0x138a[3]][_0xf18dx34];if(_0xf18dx3b!=null){this[_0x138a[3]][_0xf18dx34]=null;for(var _0xf18dx3c=0;_0xf18dx3c<_0xf18dx3b[_0x138a[138]];_0xf18dx3c++){this[_0x138a[2]][_0x138a[338]](_0xf18dx3b[_0xf18dx3c])};return true};return false};Sidebar[_0x138a[40]][_0x138a[49]]=function(_0xf18dx34,_0xf18dx9,_0xf18dx3d,_0xf18dx3e,_0xf18dx3f,_0xf18dx40){this[_0x138a[327]](_0xf18dx34,_0xf18dx9,(_0xf18dx34===_0x138a[42]),mxUtils[_0x138a[25]](this,function(_0xf18dx38){var _0xf18dx41=_0xf18dx40!=null;for(var _0xf18dx3c=0;_0xf18dx3c<_0xf18dx3f[_0x138a[138]];_0xf18dx3c++){var _0xf18dx42=_0xf18dx3d+_0xf18dx3f[_0xf18dx3c]+_0xf18dx3e;_0xf18dx38[_0x138a[21]](this[_0x138a[240]](_0x138a[339]+_0xf18dx42,80,80,_0xf18dx3f[_0xf18dx3c],(_0xf18dx41)?_0xf18dx40[_0xf18dx3c]:null,_0xf18dx41))}}))} \ No newline at end of file diff --git a/public/assets/plugins/square/js/Toolbar.js b/public/assets/plugins/square/js/Toolbar.js index 42ad376..0631c92 100644 --- a/public/assets/plugins/square/js/Toolbar.js +++ b/public/assets/plugins/square/js/Toolbar.js @@ -1 +1 @@ -var _0x9bc4=["\x65\x64\x69\x74\x6F\x72\x55\x69","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x69\x6E\x69\x74","\x68\x69\x64\x65\x4D\x65\x6E\x75","\x62\x69\x6E\x64","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x73\x61\x76\x65","\x70\x75\x62\x6C\x69\x73\x68","\x2D","\x70\x72\x69\x6E\x74","\x75\x6E\x64\x6F","\x72\x65\x64\x6F","\x63\x6F\x70\x79","\x63\x75\x74","\x70\x61\x73\x74\x65","\x64\x65\x6C\x65\x74\x65","\x64\x75\x70\x6C\x69\x63\x61\x74\x65","\x61\x63\x74\x75\x61\x6C\x53\x69\x7A\x65","\x7A\x6F\x6F\x6D\x49\x6E","\x7A\x6F\x6F\x6D\x4F\x75\x74","\x61\x64\x64\x49\x74\x65\x6D\x73","\x48\x65\x6C\x76\x65\x74\x69\x63\x61","\x66\x6F\x6E\x74\x46\x61\x6D\x69\x6C\x79","\x67\x65\x74","\x61\x64\x64\x4D\x65\x6E\x75","\x77\x68\x69\x74\x65\x53\x70\x61\x63\x65","\x73\x74\x79\x6C\x65","\x6E\x6F\x77\x72\x61\x70","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x68\x69\x64\x64\x65\x6E","\x77\x69\x64\x74\x68","\x37\x30\x70\x78","\x61\x64\x64\x53\x65\x70\x61\x72\x61\x74\x6F\x72","\x31\x32","\x66\x6F\x6E\x74\x53\x69\x7A\x65","\x33\x30\x70\x78","\x62\x6F\x6C\x64","\x69\x74\x61\x6C\x69\x63","\x75\x6E\x64\x65\x72\x6C\x69\x6E\x65","\x67\x72\x61\x70\x68","\x65\x64\x69\x74\x6F\x72","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x67\x65\x74\x53\x74\x61\x74\x65","\x67\x65\x74\x56\x69\x65\x77","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x46\x41\x4D\x49\x4C\x59","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x53\x49\x5A\x45","\x6C\x65\x6E\x67\x74\x68","\x73\x75\x62\x73\x74\x72\x69\x6E\x67","\x2E\x2E\x2E","\x69\x6E\x6E\x65\x72\x48\x54\x4D\x4C","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x4D\x6F\x64\x65\x6C","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x63\x75\x72\x72\x65\x6E\x74\x4D\x65\x6E\x75","\x64\x65\x73\x74\x72\x6F\x79","\x6D\x65\x6E\x75\x73","\x66\x75\x6E\x63\x74","\x61\x64\x64\x4D\x65\x6E\x75\x46\x75\x6E\x63\x74\x69\x6F\x6E","\x73\x74\x61\x74\x65\x43\x68\x61\x6E\x67\x65\x64","\x65\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x63\x72\x65\x61\x74\x65\x4C\x61\x62\x65\x6C","\x63\x72\x65\x61\x74\x65\x42\x75\x74\x74\x6F\x6E","\x69\x6E\x69\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x61\x64\x64\x4D\x65\x6E\x75\x48\x61\x6E\x64\x6C\x65\x72","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x64\x69\x76","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x53\x65\x70\x61\x72\x61\x74\x6F\x72","\x67\x65\x53\x70\x72\x69\x74\x65\x2D","\x74\x6F\x4C\x6F\x77\x65\x72\x43\x61\x73\x65","\x61\x64\x64\x49\x74\x65\x6D","\x61\x63\x74\x69\x6F\x6E\x73","\x6C\x61\x62\x65\x6C","\x61\x64\x64\x42\x75\x74\x74\x6F\x6E","\x61\x64\x64\x43\x6C\x69\x63\x6B\x48\x61\x6E\x64\x6C\x65\x72","\x61\x64\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6D\x70\x74\x79","\x61\x64\x64\x45\x64\x67\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x69\x73\x45\x64\x67\x65","\x74\x61\x62\x69\x6E\x64\x65\x78","\x30","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x74\x69\x74\x6C\x65","\x61\x64\x64\x45\x6E\x61\x62\x6C\x65\x64\x53\x74\x61\x74\x65","\x20\x67\x65\x44\x69\x73\x61\x62\x6C\x65\x64","\x63\x6C\x69\x63\x6B","\x63\x6F\x6E\x73\x75\x6D\x65","\x61","\x68\x72\x65\x66","\x6A\x61\x76\x61\x73\x63\x72\x69\x70\x74\x3A\x76\x6F\x69\x64\x28\x30\x29\x3B","\x67\x65\x42\x75\x74\x74\x6F\x6E","\x67\x65\x53\x70\x72\x69\x74\x65\x20","\x67\x65\x4C\x61\x62\x65\x6C","\x77\x72\x69\x74\x65","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x20\x67\x65\x54\x6F\x6F\x6C\x62\x61\x72\x4D\x65\x6E\x75","\x73\x68\x6F\x77\x44\x69\x73\x61\x62\x6C\x65\x64","\x6C\x61\x62\x65\x6C\x73","\x61\x75\x74\x6F\x45\x78\x70\x61\x6E\x64","\x6F\x66\x66\x73\x65\x74\x4C\x65\x66\x74","\x6F\x66\x66\x73\x65\x74\x54\x6F\x70","\x6F\x66\x66\x73\x65\x74\x48\x65\x69\x67\x68\x74","\x70\x6F\x70\x75\x70"];function Toolbar(_0xf3dfx2,_0xf3dfx3){this[_0x9bc4[0]]=_0xf3dfx2;this[_0x9bc4[1]]=_0xf3dfx3;this[_0x9bc4[2]]();mxEvent[_0x9bc4[5]](document,mxUtils[_0x9bc4[4]](this,function(_0xf3dfx4){this[_0x9bc4[3]]()}))}Toolbar[_0x9bc4[6]][_0x9bc4[2]]=function(){this[_0x9bc4[21]]([_0x9bc4[7],_0x9bc4[8],_0x9bc4[9],_0x9bc4[10],_0x9bc4[11],_0x9bc4[12],_0x9bc4[9],_0x9bc4[13],_0x9bc4[14],_0x9bc4[15],_0x9bc4[16],_0x9bc4[9],_0x9bc4[17],_0x9bc4[9],_0x9bc4[18],_0x9bc4[19],_0x9bc4[20],_0x9bc4[9]]);var _0xf3dfx5=this[_0x9bc4[25]](_0x9bc4[22],mxResources[_0x9bc4[24]](_0x9bc4[23]),true,_0x9bc4[23]);_0xf3dfx5[_0x9bc4[27]][_0x9bc4[26]]=_0x9bc4[28];_0xf3dfx5[_0x9bc4[27]][_0x9bc4[29]]=_0x9bc4[30];_0xf3dfx5[_0x9bc4[27]][_0x9bc4[31]]=_0x9bc4[32];this[_0x9bc4[33]]();var _0xf3dfx6=this[_0x9bc4[25]](_0x9bc4[34],mxResources[_0x9bc4[24]](_0x9bc4[35]),true,_0x9bc4[35]);_0xf3dfx6[_0x9bc4[27]][_0x9bc4[26]]=_0x9bc4[28];_0xf3dfx6[_0x9bc4[27]][_0x9bc4[29]]=_0x9bc4[30];_0xf3dfx6[_0x9bc4[27]][_0x9bc4[31]]=_0x9bc4[36];this[_0x9bc4[21]]([_0x9bc4[9],_0x9bc4[37],_0x9bc4[38],_0x9bc4[39]]);var _0xf3dfx7=this[_0x9bc4[0]][_0x9bc4[41]][_0x9bc4[40]];var _0xf3dfx8=mxUtils[_0x9bc4[4]](this,function(){var _0xf3dfx9=_0x9bc4[22];var _0xf3dfxa=_0x9bc4[34];var _0xf3dfxb=_0xf3dfx7[_0x9bc4[44]]()[_0x9bc4[43]](_0xf3dfx7[_0x9bc4[42]]());if(_0xf3dfxb!=null){_0xf3dfx9=_0xf3dfxb[_0x9bc4[27]][mxConstants[_0x9bc4[45]]]||_0xf3dfx9;_0xf3dfxa=_0xf3dfxb[_0x9bc4[27]][mxConstants[_0x9bc4[46]]]||_0xf3dfxa;if(_0xf3dfx9[_0x9bc4[47]]>10){_0xf3dfx9=_0xf3dfx9[_0x9bc4[48]](0,8)+_0x9bc4[49]};_0xf3dfx5[_0x9bc4[50]]=_0xf3dfx9;_0xf3dfx6[_0x9bc4[50]]=_0xf3dfxa}});_0xf3dfx7[_0x9bc4[52]]()[_0x9bc4[51]](mxEvent.CHANGE,_0xf3dfx8);_0xf3dfx7[_0x9bc4[53]]()[_0x9bc4[51]](mxEvent.CHANGE,_0xf3dfx8)};Toolbar[_0x9bc4[6]][_0x9bc4[3]]=function(){if(this[_0x9bc4[54]]!=null){this[_0x9bc4[54]][_0x9bc4[3]]();this[_0x9bc4[54]][_0x9bc4[55]]();this[_0x9bc4[54]]=null}};Toolbar[_0x9bc4[6]][_0x9bc4[25]]=function(_0xf3dfxc,_0xf3dfxd,_0xf3dfxe,_0xf3dfxf){var _0xf3dfx10=this[_0x9bc4[0]][_0x9bc4[56]][_0x9bc4[24]](_0xf3dfxf);var _0xf3dfx11=this[_0x9bc4[58]](_0xf3dfxc,_0xf3dfxd,_0xf3dfxe,_0xf3dfx10[_0x9bc4[57]]);_0xf3dfx10[_0x9bc4[51]](_0x9bc4[59],function(){_0xf3dfx11[_0x9bc4[61]](_0xf3dfx10[_0x9bc4[60]])});return _0xf3dfx11};Toolbar[_0x9bc4[6]][_0x9bc4[58]]=function(_0xf3dfxc,_0xf3dfxd,_0xf3dfxe,_0xf3dfx12){var _0xf3dfx11=(_0xf3dfxe)?this[_0x9bc4[62]](_0xf3dfxc):this[_0x9bc4[63]](_0xf3dfxc);this[_0x9bc4[64]](_0xf3dfx11,_0xf3dfxd);this[_0x9bc4[65]](_0xf3dfx11,_0xf3dfxe,_0xf3dfx12);this[_0x9bc4[1]][_0x9bc4[66]](_0xf3dfx11);return _0xf3dfx11};Toolbar[_0x9bc4[6]][_0x9bc4[33]]=function(){var _0xf3dfx11=document[_0x9bc4[68]](_0x9bc4[67]);_0xf3dfx11[_0x9bc4[69]]=_0x9bc4[70];this[_0x9bc4[1]][_0x9bc4[66]](_0xf3dfx11);return _0xf3dfx11};Toolbar[_0x9bc4[6]][_0x9bc4[21]]=function(_0xf3dfx13){for(var _0xf3dfx14=0;_0xf3dfx14<_0xf3dfx13[_0x9bc4[47]];_0xf3dfx14++){var _0xf3dfx15=_0xf3dfx13[_0xf3dfx14];if(_0xf3dfx15==_0x9bc4[9]){this[_0x9bc4[33]]()}else {this[_0x9bc4[73]](_0x9bc4[71]+_0xf3dfx15[_0x9bc4[72]](),_0xf3dfx15)}}};Toolbar[_0x9bc4[6]][_0x9bc4[73]]=function(_0xf3dfx16,_0xf3dfx15){var _0xf3dfx17=this[_0x9bc4[0]][_0x9bc4[74]][_0x9bc4[24]](_0xf3dfx15);var _0xf3dfx11=null;if(_0xf3dfx17!=null){_0xf3dfx11=this[_0x9bc4[76]](_0xf3dfx16,_0xf3dfx17[_0x9bc4[75]],_0xf3dfx17[_0x9bc4[57]]);_0xf3dfx11[_0x9bc4[61]](_0xf3dfx17[_0x9bc4[60]]);_0xf3dfx17[_0x9bc4[51]](_0x9bc4[59],function(){_0xf3dfx11[_0x9bc4[61]](_0xf3dfx17[_0x9bc4[60]])})};return _0xf3dfx11};Toolbar[_0x9bc4[6]][_0x9bc4[76]]=function(_0xf3dfx18,_0xf3dfxd,_0xf3dfx12){var _0xf3dfx11=this[_0x9bc4[63]](_0xf3dfx18);this[_0x9bc4[64]](_0xf3dfx11,_0xf3dfxd);this[_0x9bc4[77]](_0xf3dfx11,_0xf3dfx12);this[_0x9bc4[1]][_0x9bc4[66]](_0xf3dfx11);return _0xf3dfx11};Toolbar[_0x9bc4[6]][_0x9bc4[78]]=function(_0xf3dfx19){var _0xf3dfx7=this[_0x9bc4[0]][_0x9bc4[41]][_0x9bc4[40]];var _0xf3dfx1a=function(){var _0xf3dfx1b=!_0xf3dfx7[_0x9bc4[79]]();for(var _0xf3dfx14=0;_0xf3dfx14<_0xf3dfx19[_0x9bc4[47]];_0xf3dfx14++){_0xf3dfx19[_0xf3dfx14][_0x9bc4[61]](_0xf3dfx1b)}};_0xf3dfx7[_0x9bc4[52]]()[_0x9bc4[51]](mxEvent.CHANGE,_0xf3dfx1a);_0xf3dfx1a()};Toolbar[_0x9bc4[6]][_0x9bc4[80]]=function(_0xf3dfx19){var _0xf3dfx7=this[_0x9bc4[0]][_0x9bc4[41]][_0x9bc4[40]];var _0xf3dfx1a=function(){var _0xf3dfx1c=false;if(!_0xf3dfx7[_0x9bc4[79]]()){var _0xf3dfx1d=_0xf3dfx7[_0x9bc4[81]]();for(var _0xf3dfx14=0;_0xf3dfx14<_0xf3dfx1d[_0x9bc4[47]];_0xf3dfx14++){if(_0xf3dfx7[_0x9bc4[53]]()[_0x9bc4[82]](_0xf3dfx1d[_0xf3dfx14])){_0xf3dfx1c=true;break}}};for(var _0xf3dfx14=0;_0xf3dfx14<_0xf3dfx19[_0x9bc4[47]];_0xf3dfx14++){_0xf3dfx19[_0xf3dfx14][_0x9bc4[61]](_0xf3dfx1c)}};_0xf3dfx7[_0x9bc4[52]]()[_0x9bc4[51]](mxEvent.CHANGE,_0xf3dfx1a);_0xf3dfx1a()};Toolbar[_0x9bc4[6]][_0x9bc4[64]]=function(_0xf3dfx11,_0xf3dfxd){_0xf3dfx11[_0x9bc4[85]](_0x9bc4[83],_0x9bc4[84]);if(_0xf3dfxd!=null){_0xf3dfx11[_0x9bc4[85]](_0x9bc4[86],_0xf3dfxd)};this[_0x9bc4[87]](_0xf3dfx11)};Toolbar[_0x9bc4[6]][_0x9bc4[87]]=function(_0xf3dfx11){var _0xf3dfx18=_0xf3dfx11[_0x9bc4[69]];_0xf3dfx11[_0x9bc4[61]]=function(_0xf3dfx1e){_0xf3dfx11[_0x9bc4[60]]=_0xf3dfx1e;if(_0xf3dfx1e){_0xf3dfx11[_0x9bc4[69]]=_0xf3dfx18}else {_0xf3dfx11[_0x9bc4[69]]=_0xf3dfx18+_0x9bc4[88]}};_0xf3dfx11[_0x9bc4[61]](true)};Toolbar[_0x9bc4[6]][_0x9bc4[77]]=function(_0xf3dfx11,_0xf3dfx12){if(_0xf3dfx12!=null){mxEvent[_0x9bc4[51]](_0xf3dfx11,_0x9bc4[89],function(_0xf3dfx4){if(_0xf3dfx11[_0x9bc4[60]]){_0xf3dfx12(_0xf3dfx4)};mxEvent[_0x9bc4[90]](_0xf3dfx4)})}};Toolbar[_0x9bc4[6]][_0x9bc4[63]]=function(_0xf3dfx18){var _0xf3dfx11=document[_0x9bc4[68]](_0x9bc4[91]);_0xf3dfx11[_0x9bc4[85]](_0x9bc4[92],_0x9bc4[93]);_0xf3dfx11[_0x9bc4[69]]=_0x9bc4[94];var _0xf3dfx1f=document[_0x9bc4[68]](_0x9bc4[67]);_0xf3dfx1f[_0x9bc4[69]]=_0x9bc4[95]+_0xf3dfx18;_0xf3dfx11[_0x9bc4[66]](_0xf3dfx1f);return _0xf3dfx11};Toolbar[_0x9bc4[6]][_0x9bc4[62]]=function(_0xf3dfxc,_0xf3dfxd){var _0xf3dfx11=document[_0x9bc4[68]](_0x9bc4[91]);_0xf3dfx11[_0x9bc4[85]](_0x9bc4[92],_0x9bc4[93]);_0xf3dfx11[_0x9bc4[69]]=_0x9bc4[96];mxUtils[_0x9bc4[97]](_0xf3dfx11,_0xf3dfxc);return _0xf3dfx11};Toolbar[_0x9bc4[6]][_0x9bc4[65]]=function(_0xf3dfx11,_0xf3dfxe,_0xf3dfx12,_0xf3dfx20){if(_0xf3dfx12!=null){var _0xf3dfx7=this[_0x9bc4[0]][_0x9bc4[41]][_0x9bc4[40]];var _0xf3dfx10=null;mxEvent[_0x9bc4[51]](_0xf3dfx11,_0x9bc4[89],mxUtils[_0x9bc4[4]](this,function(_0xf3dfx4){if(_0xf3dfx11[_0x9bc4[60]]==null||_0xf3dfx11[_0x9bc4[60]]){_0xf3dfx7[_0x9bc4[98]][_0x9bc4[3]]();_0xf3dfx10= new mxPopupMenu(_0xf3dfx12);_0xf3dfx10[_0x9bc4[67]][_0x9bc4[69]]+=_0x9bc4[99];_0xf3dfx10[_0x9bc4[100]]=_0xf3dfx20;_0xf3dfx10[_0x9bc4[101]]=_0xf3dfxe;_0xf3dfx10[_0x9bc4[102]]=true;_0xf3dfx10[_0x9bc4[106]](_0xf3dfx11[_0x9bc4[103]],_0xf3dfx11[_0x9bc4[104]]+_0xf3dfx11[_0x9bc4[105]]+34,null,_0xf3dfx4);this[_0x9bc4[54]]=_0xf3dfx10};mxEvent[_0x9bc4[90]](_0xf3dfx4)}))}} \ No newline at end of file +var _0x60ea=["\x65\x64\x69\x74\x6F\x72\x55\x69","\x63\x6F\x6E\x74\x61\x69\x6E\x65\x72","\x69\x6E\x69\x74","\x68\x69\x64\x65\x4D\x65\x6E\x75","\x62\x69\x6E\x64","\x61\x64\x64\x47\x65\x73\x74\x75\x72\x65\x4C\x69\x73\x74\x65\x6E\x65\x72\x73","\x70\x72\x6F\x74\x6F\x74\x79\x70\x65","\x73\x61\x76\x65","\x70\x75\x62\x6C\x69\x73\x68","\x2D","\x70\x72\x69\x6E\x74","\x75\x6E\x64\x6F","\x72\x65\x64\x6F","\x63\x6F\x70\x79","\x63\x75\x74","\x70\x61\x73\x74\x65","\x64\x65\x6C\x65\x74\x65","\x64\x75\x70\x6C\x69\x63\x61\x74\x65","\x61\x63\x74\x75\x61\x6C\x53\x69\x7A\x65","\x7A\x6F\x6F\x6D\x49\x6E","\x7A\x6F\x6F\x6D\x4F\x75\x74","\x61\x64\x64\x49\x74\x65\x6D\x73","\x48\x65\x6C\x76\x65\x74\x69\x63\x61","\x66\x6F\x6E\x74\x46\x61\x6D\x69\x6C\x79","\x67\x65\x74","\x61\x64\x64\x4D\x65\x6E\x75","\x77\x68\x69\x74\x65\x53\x70\x61\x63\x65","\x73\x74\x79\x6C\x65","\x6E\x6F\x77\x72\x61\x70","\x6F\x76\x65\x72\x66\x6C\x6F\x77","\x68\x69\x64\x64\x65\x6E","\x77\x69\x64\x74\x68","\x37\x30\x70\x78","\x61\x64\x64\x53\x65\x70\x61\x72\x61\x74\x6F\x72","\x31\x32","\x66\x6F\x6E\x74\x53\x69\x7A\x65","\x33\x30\x70\x78","\x62\x6F\x6C\x64","\x69\x74\x61\x6C\x69\x63","\x75\x6E\x64\x65\x72\x6C\x69\x6E\x65","\x67\x72\x61\x70\x68","\x65\x64\x69\x74\x6F\x72","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C","\x67\x65\x74\x53\x74\x61\x74\x65","\x67\x65\x74\x56\x69\x65\x77","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x46\x41\x4D\x49\x4C\x59","\x53\x54\x59\x4C\x45\x5F\x46\x4F\x4E\x54\x53\x49\x5A\x45","\x6C\x65\x6E\x67\x74\x68","\x73\x75\x62\x73\x74\x72\x69\x6E\x67","\x2E\x2E\x2E","\x69\x6E\x6E\x65\x72\x48\x54\x4D\x4C","\x61\x64\x64\x4C\x69\x73\x74\x65\x6E\x65\x72","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x4D\x6F\x64\x65\x6C","\x67\x65\x74\x4D\x6F\x64\x65\x6C","\x63\x75\x72\x72\x65\x6E\x74\x4D\x65\x6E\x75","\x64\x65\x73\x74\x72\x6F\x79","\x6D\x65\x6E\x75\x73","\x66\x75\x6E\x63\x74","\x61\x64\x64\x4D\x65\x6E\x75\x46\x75\x6E\x63\x74\x69\x6F\x6E","\x73\x74\x61\x74\x65\x43\x68\x61\x6E\x67\x65\x64","\x65\x6E\x61\x62\x6C\x65\x64","\x73\x65\x74\x45\x6E\x61\x62\x6C\x65\x64","\x63\x72\x65\x61\x74\x65\x4C\x61\x62\x65\x6C","\x63\x72\x65\x61\x74\x65\x42\x75\x74\x74\x6F\x6E","\x69\x6E\x69\x74\x45\x6C\x65\x6D\x65\x6E\x74","\x61\x64\x64\x4D\x65\x6E\x75\x48\x61\x6E\x64\x6C\x65\x72","\x61\x70\x70\x65\x6E\x64\x43\x68\x69\x6C\x64","\x64\x69\x76","\x63\x72\x65\x61\x74\x65\x45\x6C\x65\x6D\x65\x6E\x74","\x63\x6C\x61\x73\x73\x4E\x61\x6D\x65","\x67\x65\x53\x65\x70\x61\x72\x61\x74\x6F\x72","\x67\x65\x53\x70\x72\x69\x74\x65\x2D","\x74\x6F\x4C\x6F\x77\x65\x72\x43\x61\x73\x65","\x61\x64\x64\x49\x74\x65\x6D","\x61\x63\x74\x69\x6F\x6E\x73","\x6C\x61\x62\x65\x6C","\x61\x64\x64\x42\x75\x74\x74\x6F\x6E","\x61\x64\x64\x43\x6C\x69\x63\x6B\x48\x61\x6E\x64\x6C\x65\x72","\x61\x64\x64\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x69\x73\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x45\x6D\x70\x74\x79","\x61\x64\x64\x45\x64\x67\x65\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x48\x61\x6E\x64\x6C\x65\x72","\x67\x65\x74\x53\x65\x6C\x65\x63\x74\x69\x6F\x6E\x43\x65\x6C\x6C\x73","\x69\x73\x45\x64\x67\x65","\x74\x61\x62\x69\x6E\x64\x65\x78","\x30","\x73\x65\x74\x41\x74\x74\x72\x69\x62\x75\x74\x65","\x74\x69\x74\x6C\x65","\x61\x64\x64\x45\x6E\x61\x62\x6C\x65\x64\x53\x74\x61\x74\x65","\x20\x67\x65\x44\x69\x73\x61\x62\x6C\x65\x64","\x63\x6C\x69\x63\x6B","\x63\x6F\x6E\x73\x75\x6D\x65","\x61","\x68\x72\x65\x66","\x6A\x61\x76\x61\x73\x63\x72\x69\x70\x74\x3A\x76\x6F\x69\x64\x28\x30\x29\x3B","\x67\x65\x42\x75\x74\x74\x6F\x6E","\x67\x65\x53\x70\x72\x69\x74\x65\x20","\x67\x65\x4C\x61\x62\x65\x6C","\x77\x72\x69\x74\x65","\x70\x61\x6E\x6E\x69\x6E\x67\x48\x61\x6E\x64\x6C\x65\x72","\x20\x67\x65\x54\x6F\x6F\x6C\x62\x61\x72\x4D\x65\x6E\x75","\x73\x68\x6F\x77\x44\x69\x73\x61\x62\x6C\x65\x64","\x6C\x61\x62\x65\x6C\x73","\x61\x75\x74\x6F\x45\x78\x70\x61\x6E\x64","\x6F\x66\x66\x73\x65\x74\x4C\x65\x66\x74","\x6F\x66\x66\x73\x65\x74\x54\x6F\x70","\x6F\x66\x66\x73\x65\x74\x48\x65\x69\x67\x68\x74","\x70\x6F\x70\x75\x70"];function Toolbar(_0xa245x2,_0xa245x3){this[_0x60ea[0]]=_0xa245x2;this[_0x60ea[1]]=_0xa245x3;this[_0x60ea[2]]();mxEvent[_0x60ea[5]](document,mxUtils[_0x60ea[4]](this,function(_0xa245x4){this[_0x60ea[3]]()}))}Toolbar[_0x60ea[6]][_0x60ea[2]]=function(){this[_0x60ea[21]]([_0x60ea[7],_0x60ea[8],_0x60ea[9],_0x60ea[10],_0x60ea[11],_0x60ea[12],_0x60ea[9],_0x60ea[13],_0x60ea[14],_0x60ea[15],_0x60ea[16],_0x60ea[9],_0x60ea[17],_0x60ea[9],_0x60ea[18],_0x60ea[19],_0x60ea[20],_0x60ea[9]]);var _0xa245x5=this[_0x60ea[25]](_0x60ea[22],mxResources[_0x60ea[24]](_0x60ea[23]),true,_0x60ea[23]);_0xa245x5[_0x60ea[27]][_0x60ea[26]]=_0x60ea[28];_0xa245x5[_0x60ea[27]][_0x60ea[29]]=_0x60ea[30];_0xa245x5[_0x60ea[27]][_0x60ea[31]]=_0x60ea[32];this[_0x60ea[33]]();var _0xa245x6=this[_0x60ea[25]](_0x60ea[34],mxResources[_0x60ea[24]](_0x60ea[35]),true,_0x60ea[35]);_0xa245x6[_0x60ea[27]][_0x60ea[26]]=_0x60ea[28];_0xa245x6[_0x60ea[27]][_0x60ea[29]]=_0x60ea[30];_0xa245x6[_0x60ea[27]][_0x60ea[31]]=_0x60ea[36];this[_0x60ea[21]]([_0x60ea[9],_0x60ea[37],_0x60ea[38],_0x60ea[39]]);var _0xa245x7=this[_0x60ea[0]][_0x60ea[41]][_0x60ea[40]];var _0xa245x8=mxUtils[_0x60ea[4]](this,function(){var _0xa245x9=_0x60ea[22];var _0xa245xa=_0x60ea[34];var _0xa245xb=_0xa245x7[_0x60ea[44]]()[_0x60ea[43]](_0xa245x7[_0x60ea[42]]());if(_0xa245xb!=null){_0xa245x9=_0xa245xb[_0x60ea[27]][mxConstants[_0x60ea[45]]]||_0xa245x9;_0xa245xa=_0xa245xb[_0x60ea[27]][mxConstants[_0x60ea[46]]]||_0xa245xa;if(_0xa245x9[_0x60ea[47]]>10){_0xa245x9=_0xa245x9[_0x60ea[48]](0,8)+_0x60ea[49]};_0xa245x5[_0x60ea[50]]=_0xa245x9;_0xa245x6[_0x60ea[50]]=_0xa245xa}});_0xa245x7[_0x60ea[52]]()[_0x60ea[51]](mxEvent.CHANGE,_0xa245x8);_0xa245x7[_0x60ea[53]]()[_0x60ea[51]](mxEvent.CHANGE,_0xa245x8)};Toolbar[_0x60ea[6]][_0x60ea[3]]=function(){if(this[_0x60ea[54]]!=null){this[_0x60ea[54]][_0x60ea[3]]();this[_0x60ea[54]][_0x60ea[55]]();this[_0x60ea[54]]=null}};Toolbar[_0x60ea[6]][_0x60ea[25]]=function(_0xa245xc,_0xa245xd,_0xa245xe,_0xa245xf){var _0xa245x10=this[_0x60ea[0]][_0x60ea[56]][_0x60ea[24]](_0xa245xf);var _0xa245x11=this[_0x60ea[58]](_0xa245xc,_0xa245xd,_0xa245xe,_0xa245x10[_0x60ea[57]]);_0xa245x10[_0x60ea[51]](_0x60ea[59],function(){_0xa245x11[_0x60ea[61]](_0xa245x10[_0x60ea[60]])});return _0xa245x11};Toolbar[_0x60ea[6]][_0x60ea[58]]=function(_0xa245xc,_0xa245xd,_0xa245xe,_0xa245x12){var _0xa245x11=(_0xa245xe)?this[_0x60ea[62]](_0xa245xc):this[_0x60ea[63]](_0xa245xc);this[_0x60ea[64]](_0xa245x11,_0xa245xd);this[_0x60ea[65]](_0xa245x11,_0xa245xe,_0xa245x12);this[_0x60ea[1]][_0x60ea[66]](_0xa245x11);return _0xa245x11};Toolbar[_0x60ea[6]][_0x60ea[33]]=function(){var _0xa245x11=document[_0x60ea[68]](_0x60ea[67]);_0xa245x11[_0x60ea[69]]=_0x60ea[70];this[_0x60ea[1]][_0x60ea[66]](_0xa245x11);return _0xa245x11};Toolbar[_0x60ea[6]][_0x60ea[21]]=function(_0xa245x13){for(var _0xa245x14=0;_0xa245x14<_0xa245x13[_0x60ea[47]];_0xa245x14++){var _0xa245x15=_0xa245x13[_0xa245x14];if(_0xa245x15==_0x60ea[9]){this[_0x60ea[33]]()}else {this[_0x60ea[73]](_0x60ea[71]+_0xa245x15[_0x60ea[72]](),_0xa245x15)}}};Toolbar[_0x60ea[6]][_0x60ea[73]]=function(_0xa245x16,_0xa245x15){var _0xa245x17=this[_0x60ea[0]][_0x60ea[74]][_0x60ea[24]](_0xa245x15);var _0xa245x11=null;if(_0xa245x17!=null){_0xa245x11=this[_0x60ea[76]](_0xa245x16,_0xa245x17[_0x60ea[75]],_0xa245x17[_0x60ea[57]]);_0xa245x11[_0x60ea[61]](_0xa245x17[_0x60ea[60]]);_0xa245x17[_0x60ea[51]](_0x60ea[59],function(){_0xa245x11[_0x60ea[61]](_0xa245x17[_0x60ea[60]])})};return _0xa245x11};Toolbar[_0x60ea[6]][_0x60ea[76]]=function(_0xa245x18,_0xa245xd,_0xa245x12){var _0xa245x11=this[_0x60ea[63]](_0xa245x18);this[_0x60ea[64]](_0xa245x11,_0xa245xd);this[_0x60ea[77]](_0xa245x11,_0xa245x12);this[_0x60ea[1]][_0x60ea[66]](_0xa245x11);return _0xa245x11};Toolbar[_0x60ea[6]][_0x60ea[78]]=function(_0xa245x19){var _0xa245x7=this[_0x60ea[0]][_0x60ea[41]][_0x60ea[40]];var _0xa245x1a=function(){var _0xa245x1b=!_0xa245x7[_0x60ea[79]]();for(var _0xa245x14=0;_0xa245x14<_0xa245x19[_0x60ea[47]];_0xa245x14++){_0xa245x19[_0xa245x14][_0x60ea[61]](_0xa245x1b)}};_0xa245x7[_0x60ea[52]]()[_0x60ea[51]](mxEvent.CHANGE,_0xa245x1a);_0xa245x1a()};Toolbar[_0x60ea[6]][_0x60ea[80]]=function(_0xa245x19){var _0xa245x7=this[_0x60ea[0]][_0x60ea[41]][_0x60ea[40]];var _0xa245x1a=function(){var _0xa245x1c=false;if(!_0xa245x7[_0x60ea[79]]()){var _0xa245x1d=_0xa245x7[_0x60ea[81]]();for(var _0xa245x14=0;_0xa245x14<_0xa245x1d[_0x60ea[47]];_0xa245x14++){if(_0xa245x7[_0x60ea[53]]()[_0x60ea[82]](_0xa245x1d[_0xa245x14])){_0xa245x1c=true;break}}};for(var _0xa245x14=0;_0xa245x14<_0xa245x19[_0x60ea[47]];_0xa245x14++){_0xa245x19[_0xa245x14][_0x60ea[61]](_0xa245x1c)}};_0xa245x7[_0x60ea[52]]()[_0x60ea[51]](mxEvent.CHANGE,_0xa245x1a);_0xa245x1a()};Toolbar[_0x60ea[6]][_0x60ea[64]]=function(_0xa245x11,_0xa245xd){_0xa245x11[_0x60ea[85]](_0x60ea[83],_0x60ea[84]);if(_0xa245xd!=null){_0xa245x11[_0x60ea[85]](_0x60ea[86],_0xa245xd)};this[_0x60ea[87]](_0xa245x11)};Toolbar[_0x60ea[6]][_0x60ea[87]]=function(_0xa245x11){var _0xa245x18=_0xa245x11[_0x60ea[69]];_0xa245x11[_0x60ea[61]]=function(_0xa245x1e){_0xa245x11[_0x60ea[60]]=_0xa245x1e;if(_0xa245x1e){_0xa245x11[_0x60ea[69]]=_0xa245x18}else {_0xa245x11[_0x60ea[69]]=_0xa245x18+_0x60ea[88]}};_0xa245x11[_0x60ea[61]](true)};Toolbar[_0x60ea[6]][_0x60ea[77]]=function(_0xa245x11,_0xa245x12){if(_0xa245x12!=null){mxEvent[_0x60ea[51]](_0xa245x11,_0x60ea[89],function(_0xa245x4){if(_0xa245x11[_0x60ea[60]]){_0xa245x12(_0xa245x4)};mxEvent[_0x60ea[90]](_0xa245x4)})}};Toolbar[_0x60ea[6]][_0x60ea[63]]=function(_0xa245x18){var _0xa245x11=document[_0x60ea[68]](_0x60ea[91]);_0xa245x11[_0x60ea[85]](_0x60ea[92],_0x60ea[93]);_0xa245x11[_0x60ea[69]]=_0x60ea[94];var _0xa245x1f=document[_0x60ea[68]](_0x60ea[67]);_0xa245x1f[_0x60ea[69]]=_0x60ea[95]+_0xa245x18;_0xa245x11[_0x60ea[66]](_0xa245x1f);return _0xa245x11};Toolbar[_0x60ea[6]][_0x60ea[62]]=function(_0xa245xc,_0xa245xd){var _0xa245x11=document[_0x60ea[68]](_0x60ea[91]);_0xa245x11[_0x60ea[85]](_0x60ea[92],_0x60ea[93]);_0xa245x11[_0x60ea[69]]=_0x60ea[96];mxUtils[_0x60ea[97]](_0xa245x11,_0xa245xc);return _0xa245x11};Toolbar[_0x60ea[6]][_0x60ea[65]]=function(_0xa245x11,_0xa245xe,_0xa245x12,_0xa245x20){if(_0xa245x12!=null){var _0xa245x7=this[_0x60ea[0]][_0x60ea[41]][_0x60ea[40]];var _0xa245x10=null;mxEvent[_0x60ea[51]](_0xa245x11,_0x60ea[89],mxUtils[_0x60ea[4]](this,function(_0xa245x4){if(_0xa245x11[_0x60ea[60]]==null||_0xa245x11[_0x60ea[60]]){_0xa245x7[_0x60ea[98]][_0x60ea[3]]();_0xa245x10= new mxPopupMenu(_0xa245x12);_0xa245x10[_0x60ea[67]][_0x60ea[69]]+=_0x60ea[99];_0xa245x10[_0x60ea[100]]=_0xa245x20;_0xa245x10[_0x60ea[101]]=_0xa245xe;_0xa245x10[_0x60ea[102]]=true;_0xa245x10[_0x60ea[106]](_0xa245x11[_0x60ea[103]],_0xa245x11[_0x60ea[104]]+_0xa245x11[_0x60ea[105]]+34,null,_0xa245x4);this[_0x60ea[54]]=_0xa245x10};mxEvent[_0x60ea[90]](_0xa245x4)}))}} \ No newline at end of file diff --git a/public/assets/plugins/square/js/custom.js b/public/assets/plugins/square/js/custom.js index 5863079..a551de4 100644 --- a/public/assets/plugins/square/js/custom.js +++ b/public/assets/plugins/square/js/custom.js @@ -1 +1 @@ -var _0x9115=["\x68\x72\x65\x66","\x6C\x6F\x63\x61\x74\x69\x6F\x6E","\x3F","\x6C\x61\x73\x74\x49\x6E\x64\x65\x78\x4F\x66","\x26","\x73\x70\x6C\x69\x74","\x73\x75\x62\x73\x74\x72\x69\x6E\x67","\x6C\x65\x6E\x67\x74\x68","\x3D","\x69\x6E\x64\x65\x78\x4F\x66","\x68\x74\x74\x70\x3A\x2F\x2F\x65\x78\x70\x2E\x64\x72\x61\x77\x2E\x69\x6F\x2F\x49\x6D\x61\x67\x65\x45\x78\x70\x6F\x72\x74\x32\x2F\x65\x78\x70\x6F\x72\x74","\x68\x74\x74\x70\x3A\x2F\x2F\x6C\x6F\x63\x61\x6C\x68\x6F\x73\x74\x3A\x33\x30\x30\x30","\x61\x70\x69\x2F\x73\x71\x75\x61\x72\x65\x2F\x70\x72\x6F\x6A\x65\x63\x74\x73\x2F","\x2F\x61\x70\x69\x2F\x76\x61\x72\x69\x61\x62\x6C\x65\x73","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x73\x71\x75\x61\x72\x65\x2F\x73\x74\x65\x6E\x63\x69\x6C\x73","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x73\x71\x75\x61\x72\x65\x2F\x73\x68\x61\x70\x65\x73","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68\x2F\x69\x6D\x61\x67\x65\x73","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68\x2F\x73\x74\x79\x6C\x65\x73","\x61\x70\x69\x2F\x73\x71\x75\x61\x72\x65\x2F\x70\x72\x6F\x6A\x65\x63\x74\x73\x2F\x6F\x70\x65\x6E","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x73\x71\x75\x61\x72\x65\x2F\x74\x65\x6D\x70\x6C\x61\x74\x65\x73","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x73\x71\x75\x61\x72\x65\x2F\x72\x65\x73\x6F\x75\x72\x63\x65\x73","\x2F\x64\x69\x61","\x65\x6E","\x63\x75\x73\x74\x6F\x6D","\x4E\x47\x5F\x54\x52\x41\x4E\x53\x4C\x41\x54\x45\x5F\x4C\x41\x4E\x47\x5F\x4B\x45\x59","\x67\x65\x74\x49\x74\x65\x6D","\x6C\x6F\x67","\x65\x6E\x5F\x45\x4E","\x69\x74","\x6D\x78\x4C\x61\x6E\x67\x75\x61\x67\x65","\x61\x72","\x62\x73","\x63\x73","\x64\x61","\x64\x65","\x65\x6C","\x65\x73","\x65\x73\x2D\x61\x72","\x66\x72","\x68\x75","\x69\x64","\x6A\x61","\x6B\x6F","\x6E\x6C","\x6E\x6F","\x70\x6C","\x70\x74\x2D\x62\x72","\x70\x74","\x72\x6F","\x72\x75","\x73\x72","\x73\x76","\x74\x68","\x74\x72","\x75\x6B","\x7A\x68","\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68","\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68\x2F\x6A\x73","\x55\x53\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x55\x53\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x4D\x61\x6C\x65","\x55\x4B\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x55\x4B\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x4D\x61\x6C\x65","\x41\x75\x73\x74\x72\x61\x6C\x69\x61\x6E\x20\x45\x6E\x67\x6C\x69\x73\x68","\x55\x53\x20\x53\x70\x61\x6E\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x55\x53\x20\x53\x70\x61\x6E\x69\x73\x68\x20\x4D\x61\x6C\x65","\x43\x68\x69\x6E\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x43\x68\x69\x6E\x65\x73\x65\x20\x4D\x61\x6C\x65","\x48\x6F\x6E\x67\x20\x4B\x6F\x6E\x67\x20\x43\x61\x6E\x74\x6F\x6E\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x54\x61\x69\x77\x61\x6E\x20\x43\x68\x69\x6E\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x4A\x61\x70\x61\x6E\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x4A\x61\x70\x61\x6E\x65\x73\x65\x20\x4D\x61\x6C\x65","\x4B\x6F\x72\x65\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x4B\x6F\x72\x65\x61\x6E\x20\x4D\x61\x6C\x65","\x43\x61\x6E\x61\x64\x69\x61\x6E\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x48\x75\x6E\x67\x61\x72\x69\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x42\x72\x61\x7A\x69\x6C\x69\x61\x6E\x20\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x4D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x53\x70\x61\x6E\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x53\x70\x61\x6E\x69\x73\x68\x20\x4D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x43\x61\x74\x61\x6C\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x43\x7A\x65\x63\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x44\x61\x6E\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x46\x69\x6E\x6E\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x46\x72\x65\x6E\x63\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x46\x72\x65\x6E\x63\x68\x20\x4D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x4E\x6F\x72\x77\x65\x67\x69\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x44\x75\x74\x63\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x50\x6F\x6C\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x49\x74\x61\x6C\x69\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x54\x75\x72\x6B\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65\x09","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x54\x75\x72\x6B\x69\x73\x68\x20\x4D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x47\x65\x72\x6D\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x47\x65\x72\x6D\x61\x6E\x20\x4D\x61\x6C\x65","\x52\x75\x73\x73\x69\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x52\x75\x73\x73\x69\x61\x6E\x20\x4D\x61\x6C\x65","\x53\x77\x65\x64\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x43\x61\x6E\x61\x64\x69\x61\x6E\x20\x46\x72\x65\x6E\x63\x68\x20\x46\x65\x6D\x61\x6C\x65","\x43\x61\x6E\x61\x64\x69\x61\x6E\x20\x46\x72\x65\x6E\x63\x68\x20\x4D\x61\x6C\x65","\x45\x6E\x67\x6C\x69\x73\x68\x20\x28\x55\x53\x29","\x45\x6E\x67\x6C\x69\x73\x68\x20\x28\x43\x61\x6E\x61\x64\x61\x29","\x45\x6E\x67\x6C\x69\x73\x68\x20\x28\x55\x4B\x29","\x45\x6E\x67\x6C\x69\x73\x68\x20\x28\x41\x75\x73\x74\x72\x61\x6C\x69\x61\x29","\x53\x70\x61\x6E\x69\x73\x68\x20\x28\x53\x70\x61\x69\x6E\x29","\x53\x70\x61\x6E\x69\x73\x68\x20\x28\x4D\x65\x78\x69\x63\x6F\x29","\x49\x74\x61\x6C\x69\x61\x6E\x20\x28\x49\x74\x61\x6C\x79\x29\x20\x2F\x20\x46\x69\x6E\x6E\x69\x73\x68\x20\x28\x46\x69\x6E\x6C\x61\x6E\x64\x29","\x46\x72\x65\x6E\x63\x68\x20\x28\x46\x72\x61\x6E\x63\x65\x29","\x46\x72\x65\x6E\x63\x68\x20\x28\x43\x61\x6E\x61\x64\x61\x29","\x50\x6F\x6C\x69\x73\x68\x20\x28\x50\x6F\x6C\x61\x6E\x64\x29","\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x28\x50\x6F\x72\x74\x75\x67\x61\x6C\x29","\x43\x61\x74\x61\x6C\x61\x6E\x20\x28\x43\x61\x74\x61\x6C\x61\x6E\x29","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x54\x61\x69\x77\x61\x6E\x29","\x44\x61\x6E\x69\x73\x68\x20\x28\x44\x65\x6E\x6D\x61\x72\x6B\x29","\x47\x65\x72\x6D\x61\x6E\x20\x28\x47\x65\x72\x6D\x61\x6E\x79\x29","\x4A\x61\x70\x61\x6E\x65\x73\x65\x20\x28\x4A\x61\x70\x61\x6E\x29","\x4B\x6F\x72\x65\x61\x6E\x20\x28\x4B\x6F\x72\x65\x61\x29","\x44\x75\x74\x63\x68\x20\x28\x4E\x65\x74\x68\x65\x72\x6C\x61\x6E\x64\x73\x29","\x4E\x6F\x72\x77\x65\x67\x69\x61\x6E\x20\x28\x4E\x6F\x72\x77\x61\x79\x29","\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x28\x42\x72\x61\x7A\x69\x6C\x29","\x52\x75\x73\x73\x69\x61\x6E\x20\x28\x52\x75\x73\x73\x69\x61\x29","\x53\x77\x65\x64\x69\x73\x68\x20\x28\x53\x77\x65\x64\x65\x6E\x29","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x43\x68\x69\x6E\x61\x29","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x48\x6F\x6E\x67\x20\x4B\x6F\x6E\x67\x29","\x44\x65\x66\x61\x75\x6C\x74","\x44\x61\x74\x65","\x55\x53\x20\x4D\x6F\x6E\x65\x79","\x4E\x75\x6D\x62\x65\x72\x73\x20\x74\x6F\x20\x39","\x4E\x75\x6D\x62\x65\x72\x73\x20\x74\x6F\x20\x39\x39","\x4E\x75\x6D\x62\x65\x72\x73\x20\x74\x6F\x20\x39\x39\x39","\x54\x69\x6D\x65","\x50\x68\x6F\x6E\x65\x20\x6E\x75\x6D\x62\x65\x72","\x53\x74\x72\x65\x65\x74\x73","\x55\x53\x20\x43\x69\x74\x79\x2F\x53\x74\x61\x74\x65\x73","\x59\x65\x73","\x4E\x6F","\x41\x66\x72\x69\x6B\x61\x61\x6E\x73","\x41\x6C\x62\x61\x6E\x69\x61\x6E","\x41\x6D\x68\x61\x72\x69\x63","\x41\x72\x61\x62\x69\x63","\x41\x72\x6D\x65\x6E\x69\x61\x6E","\x41\x7A\x65\x72\x62\x61\x69\x6A\x61\x6E\x69","\x42\x61\x73\x71\x75\x65","\x42\x65\x6C\x61\x72\x75\x73\x69\x61\x6E","\x42\x65\x6E\x67\x61\x6C\x69","\x42\x69\x68\x61\x72\x69","\x42\x6F\x73\x6E\x69\x61\x6E","\x42\x72\x65\x74\x6F\x6E","\x42\x75\x6C\x67\x61\x72\x69\x61\x6E","\x43\x61\x6D\x62\x6F\x64\x69\x61\x6E","\x43\x61\x74\x61\x6C\x61\x6E","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x53\x69\x6D\x70\x6C\x69\x66\x69\x65\x64\x29","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x54\x72\x61\x64\x69\x74\x69\x6F\x6E\x61\x6C\x29","\x43\x6F\x72\x73\x69\x63\x61\x6E","\x43\x72\x6F\x61\x74\x69\x61\x6E","\x43\x7A\x65\x63\x68","\x44\x61\x6E\x69\x73\x68","\x44\x75\x74\x63\x68","\x45\x6E\x67\x6C\x69\x73\x68","\x45\x73\x70\x65\x72\x61\x6E\x74\x6F","\x45\x73\x74\x6F\x6E\x69\x61\x6E","\x46\x61\x72\x6F\x65\x73\x65","\x46\x69\x6C\x69\x70\x69\x6E\x6F","\x46\x69\x6E\x6E\x69\x73\x68","\x46\x72\x65\x6E\x63\x68","\x46\x72\x69\x73\x69\x61\x6E","\x47\x61\x6C\x69\x63\x69\x61\x6E","\x47\x65\x6F\x72\x67\x69\x61\x6E","\x47\x65\x72\x6D\x61\x6E","\x47\x72\x65\x65\x6B","\x47\x75\x61\x72\x61\x6E\x69","\x47\x75\x6A\x61\x72\x61\x74\x69","\x48\x61\x63\x6B\x65\x72","\x48\x61\x75\x73\x61","\x48\x65\x62\x72\x65\x77","\x48\x69\x6E\x64\x69","\x48\x75\x6E\x67\x61\x72\x69\x61\x6E","\x49\x63\x65\x6C\x61\x6E\x64\x69\x63","\x49\x6E\x64\x6F\x6E\x65\x73\x69\x61\x6E","\x49\x6E\x74\x65\x72\x6C\x69\x6E\x67\x75\x61","\x49\x72\x69\x73\x68","\x49\x74\x61\x6C\x69\x61\x6E","\x4A\x61\x76\x61\x6E\x65\x73\x65","\x4A\x61\x70\x61\x6E\x65\x73\x65","\x4B\x61\x6E\x6E\x61\x64\x61","\x4B\x61\x7A\x61\x6B\x68","\x4B\x69\x6E\x79\x61\x72\x77\x61\x6E\x64\x61","\x4B\x69\x72\x75\x6E\x64\x69","\x4B\x6C\x69\x6E\x67\x6F\x6E","\x4B\x75\x72\x64\x69\x73\x68","\x4B\x6F\x72\x65\x61\x6E","\x4B\x79\x72\x67\x79\x7A","\x4C\x61\x6F\x74\x68\x69\x61\x6E","\x4C\x61\x74\x69\x6E","\x4C\x61\x74\x76\x69\x61\x6E","\x4C\x69\x6E\x67\x61\x6C\x61","\x4C\x69\x74\x68\x75\x61\x6E\x69\x61\x6E","\x4D\x61\x63\x65\x64\x6F\x6E\x69\x61\x6E","\x4D\x61\x6C\x61\x67\x61\x73\x79","\x4D\x61\x6C\x61\x79","\x4D\x61\x6C\x61\x79\x61\x6C\x61\x6D","\x4D\x61\x6C\x74\x65\x73\x65","\x4D\x61\x6F\x72\x69","\x4D\x61\x72\x61\x74\x68\x69","\x4D\x6F\x6C\x64\x61\x76\x69\x61\x6E","\x4D\x6F\x6E\x67\x6F\x6C\x69\x61\x6E","\x4D\x6F\x6E\x74\x65\x6E\x65\x67\x72\x69\x6E","\x4E\x65\x70\x61\x6C\x69","\x4E\x6F\x72\x77\x65\x67\x69\x61\x6E","\x4E\x6F\x72\x77\x65\x67\x69\x61\x6E\x20\x28\x4E\x79\x6E\x6F\x72\x73\x6B\x29","\x4F\x63\x63\x69\x74\x61\x6E","\x4F\x72\x69\x79\x61","\x4F\x72\x6F\x6D\x6F","\x50\x61\x73\x68\x74\x6F","\x50\x65\x72\x73\x69\x61\x6E","\x50\x69\x72\x61\x74\x65","\x50\x6F\x6C\x69\x73\x68","\x50\x75\x6E\x6A\x61\x62\x69","\x51\x75\x65\x63\x68\x75\x61","\x52\x6F\x6D\x61\x6E\x69\x61\x6E","\x52\x6F\x6D\x61\x6E\x73\x68","\x52\x75\x73\x73\x69\x61\x6E","\x53\x63\x6F\x74\x73\x20\x47\x61\x65\x6C\x69\x63","\x53\x65\x72\x62\x69\x61\x6E","\x53\x65\x72\x62\x6F\x2D\x43\x72\x6F\x61\x74\x69\x61\x6E","\x53\x65\x73\x6F\x74\x68\x6F","\x53\x68\x6F\x6E\x61","\x53\x69\x6E\x64\x68\x69","\x53\x69\x6E\x68\x61\x6C\x65\x73\x65","\x53\x6C\x6F\x76\x61\x6B","\x53\x6C\x6F\x76\x65\x6E\x69\x61\x6E","\x53\x6F\x6D\x61\x6C\x69","\x53\x70\x61\x6E\x69\x73\x68","\x53\x75\x6E\x64\x61\x6E\x65\x73\x65","\x53\x77\x61\x68\x69\x6C\x69","\x53\x77\x65\x64\x69\x73\x68","\x54\x61\x6A\x69\x6B","\x54\x61\x6D\x69\x6C","\x54\x61\x74\x61\x72","\x54\x65\x6C\x75\x67\x75","\x54\x68\x61\x69","\x54\x69\x67\x72\x69\x6E\x79\x61","\x54\x6F\x6E\x67\x61","\x54\x75\x72\x6B\x69\x73\x68","\x54\x75\x72\x6B\x6D\x65\x6E","\x54\x77\x69","\x55\x69\x67\x68\x75\x72","\x55\x6B\x72\x61\x69\x6E\x69\x61\x6E","\x55\x72\x64\x75","\x55\x7A\x62\x65\x6B","\x56\x69\x65\x74\x6E\x61\x6D\x65\x73\x65","\x57\x65\x6C\x73\x68","\x58\x68\x6F\x73\x61","\x59\x69\x64\x64\x69\x73\x68","\x59\x6F\x72\x75\x62\x61","\x5A\x75\x6C\x75"];var urlParams=(function(_0x8e4dx2){var _0x8e4dx3= new Object();var _0x8e4dx4=_0x8e4dx2[_0x9115[3]](_0x9115[2]);if(_0x8e4dx4>0){var _0x8e4dx5=_0x8e4dx2[_0x9115[6]](_0x8e4dx4+1)[_0x9115[5]](_0x9115[4]);for(var _0x8e4dx6=0;_0x8e4dx6<_0x8e4dx5[_0x9115[7]];_0x8e4dx6++){_0x8e4dx4=_0x8e4dx5[_0x8e4dx6][_0x9115[9]](_0x9115[8]);if(_0x8e4dx4>0){_0x8e4dx3[_0x8e4dx5[_0x8e4dx6][_0x9115[6]](0,_0x8e4dx4)]=_0x8e4dx5[_0x8e4dx6][_0x9115[6]](_0x8e4dx4+1)}}};return _0x8e4dx3})(window[_0x9115[1]][_0x9115[0]]);var t0= new Date();var MAX_REQUEST_SIZE=10485760;var MAX_WIDTH=12000;var MAX_HEIGHT=12000;var EXPORT_URL=_0x9115[10];var SHARE_HOST=_0x9115[11];var SAVE_URL=_0x9115[12];var VARIABLE_URL=_0x9115[13];var STENCIL_PATH=_0x9115[14];var SHAPES_PATH=_0x9115[15];var IMAGE_PATH=_0x9115[16];var STYLE_PATH=_0x9115[17];var CSS_PATH=_0x9115[17];var OPEN_FORM=_0x9115[18];var TEMPLATE_PATH=_0x9115[19];var RESOURCES_PATH=_0x9115[20];var RESOURCE_BASE=RESOURCES_PATH+_0x9115[21];var tapAndHoldStartsConnection=true;var showConnectorImg=true;var mxLoadResources=false;var mxLanguage=_0x9115[22];console[_0x9115[26]](_0x9115[23],localStorage[_0x9115[25]](_0x9115[24]));switch(localStorage[_0x9115[25]](_0x9115[24])){case _0x9115[27]:mxLanguage=_0x9115[22];break;case _0x9115[28]:mxLanguage=_0x9115[28];break;default:mxLanguage=_0x9115[22]};console[_0x9115[26]](_0x9115[29],mxLanguage);var mxLanguages=[_0x9115[30],_0x9115[31],_0x9115[32],_0x9115[33],_0x9115[34],_0x9115[35],_0x9115[36],_0x9115[37],_0x9115[38],_0x9115[39],_0x9115[40],_0x9115[28],_0x9115[41],_0x9115[42],_0x9115[43],_0x9115[44],_0x9115[45],_0x9115[46],_0x9115[47],_0x9115[48],_0x9115[49],_0x9115[50],_0x9115[51],_0x9115[52],_0x9115[53],_0x9115[54],_0x9115[55]];var mxBasePath=_0x9115[56];var geBasePath=_0x9115[57];var ISPEECHLANG={usenglishfemale:_0x9115[58],usenglishmale:_0x9115[59],ukenglishfemale:_0x9115[60],ukenglishmale:_0x9115[61],auenglishfemale:_0x9115[62],usspanishfemale:_0x9115[63],usspanishmale:_0x9115[64],chchinesefemale:_0x9115[65],chchinesemale:_0x9115[66],hkchinesefemale:_0x9115[67],twchinesefemale:_0x9115[68],jpjapanesefemale:_0x9115[69],jpjapanesemale:_0x9115[70],krkoreanfemale:_0x9115[71],krkoreanmale:_0x9115[72],caenglishfemale:_0x9115[73],huhungarianfemale:_0x9115[74],brportuguesefemale:_0x9115[75],eurportuguesefemale:_0x9115[76],eurportuguesemale:_0x9115[77],eurspanishfemale:_0x9115[78],eurspanishmale:_0x9115[79],eurcatalanfemale:_0x9115[80],eurczechfemale:_0x9115[81],eurdanishfemale:_0x9115[82],eurfinnishfemale:_0x9115[83],eurfrenchfemale:_0x9115[84],eurfrenchmale:_0x9115[85],eurnorwegianfemale:_0x9115[86],eurdutchfemale:_0x9115[87],eurpolishfemale:_0x9115[88],euritalianfemale:_0x9115[89],eurturkishfemale:_0x9115[90],eurturkishmale:_0x9115[91],eurgermanfemale:_0x9115[92],eurgermanmale:_0x9115[93],rurussianfemale:_0x9115[94],rurussianmale:_0x9115[95],swswedishfemale:_0x9115[96],cafrenchfemale:_0x9115[97],cafrenchmale:_0x9115[98]};var ISPEECHASRLANG={"\x65\x6E\x2D\x55\x53":_0x9115[99],"\x65\x6E\x2D\x43\x41":_0x9115[100],"\x65\x6E\x2D\x47\x42":_0x9115[101],"\x65\x6E\x2D\x41\x55":_0x9115[102],"\x65\x73\x2D\x45\x53":_0x9115[103],"\x65\x73\x2D\x4D\x58":_0x9115[104],"\x69\x74\x2D\x49\x54":_0x9115[105],"\x66\x72\x2D\x46\x52":_0x9115[106],"\x66\x72\x2D\x43\x41":_0x9115[107],"\x70\x6C\x2D\x50\x4C":_0x9115[108],"\x70\x74\x2D\x50\x54":_0x9115[109],"\x63\x61\x2D\x45\x53":_0x9115[110],"\x7A\x68\x2D\x54\x57":_0x9115[111],"\x64\x61\x2D\x44\x4B":_0x9115[112],"\x66\x72\x2D\x46\x52":_0x9115[113],"\x6A\x61\x2D\x4A\x50":_0x9115[114],"\x6B\x6F\x2D\x4B\x52":_0x9115[115],"\x6E\x6C\x2D\x4E\x4C":_0x9115[116],"\x6E\x62\x2D\x4E\x4F":_0x9115[117],"\x70\x74\x2D\x42\x52":_0x9115[118],"\x72\x75\x2D\x52\x55":_0x9115[119],"\x73\x76\x2D\x53\x45":_0x9115[120],"\x7A\x68\x2D\x43\x4E":_0x9115[121],"\x7A\x68\x2D\x48\x4B":_0x9115[122]};var ISPEECHASRMODEL={0:_0x9115[123],date:_0x9115[124],usmoney:_0x9115[125],numbersto9:_0x9115[126],numbersto99:_0x9115[127],numbersto999:_0x9115[128],time:_0x9115[129],phonenumber:_0x9115[130],streets:_0x9115[131],citystate:_0x9115[132]};var ISPEECHBEEP={"\x42\x45\x45\x50":_0x9115[133],"\x4E\x4F\x42\x45\x45\x50":_0x9115[134]};var GOOGLETTSLANG={"\x61\x66":_0x9115[135],"\x73\x71":_0x9115[136],"\x61\x6D":_0x9115[137],"\x61\x72":_0x9115[138],"\x68\x79":_0x9115[139],"\x61\x7A":_0x9115[140],"\x65\x75":_0x9115[141],"\x62\x65":_0x9115[142],"\x62\x6E":_0x9115[143],"\x62\x68":_0x9115[144],"\x62\x73":_0x9115[145],"\x62\x72":_0x9115[146],"\x62\x67":_0x9115[147],"\x6B\x6D":_0x9115[148],"\x63\x61":_0x9115[149],"\x7A\x68\x2D\x43\x4E":_0x9115[150],"\x7A\x68\x2D\x54\x57":_0x9115[151],"\x63\x6F":_0x9115[152],"\x68\x72":_0x9115[153],"\x63\x73":_0x9115[154],"\x64\x61":_0x9115[155],"\x6E\x6C":_0x9115[156],"\x65\x6E":_0x9115[157],"\x65\x6F":_0x9115[158],"\x65\x74":_0x9115[159],"\x66\x6F":_0x9115[160],"\x74\x6C":_0x9115[161],"\x66\x69":_0x9115[162],"\x66\x72":_0x9115[163],"\x66\x79":_0x9115[164],"\x67\x6C":_0x9115[165],"\x6B\x61":_0x9115[166],"\x64\x65":_0x9115[167],"\x65\x6C":_0x9115[168],"\x67\x6E":_0x9115[169],"\x67\x75":_0x9115[170],"\x78\x78\x2D\x68\x61\x63\x6B\x65\x72":_0x9115[171],"\x68\x61":_0x9115[172],"\x69\x77":_0x9115[173],"\x68\x69":_0x9115[174],"\x68\x75":_0x9115[175],"\x69\x73":_0x9115[176],"\x69\x64":_0x9115[177],"\x69\x61":_0x9115[178],"\x67\x61":_0x9115[179],"\x69\x74":_0x9115[180],"\x6A\x77":_0x9115[181],"\x6A\x61":_0x9115[182],"\x6B\x6E":_0x9115[183],"\x6B\x6B":_0x9115[184],"\x72\x77":_0x9115[185],"\x72\x6E":_0x9115[186],"\x78\x78\x2D\x6B\x6C\x69\x6E\x67\x6F\x6E":_0x9115[187],"\x6B\x75":_0x9115[188],"\x6B\x6F":_0x9115[189],"\x6B\x79":_0x9115[190],"\x6C\x6F":_0x9115[191],"\x6C\x61":_0x9115[192],"\x6C\x76":_0x9115[193],"\x6C\x6E":_0x9115[194],"\x6C\x74":_0x9115[195],"\x6D\x6B":_0x9115[196],"\x6D\x67":_0x9115[197],"\x6D\x73":_0x9115[198],"\x6D\x6C":_0x9115[199],"\x6D\x74":_0x9115[200],"\x6D\x69":_0x9115[201],"\x6D\x72":_0x9115[202],"\x6D\x6F":_0x9115[203],"\x6D\x6E":_0x9115[204],"\x73\x72\x2D\x4D\x45":_0x9115[205],"\x6E\x65":_0x9115[206],"\x6E\x6F":_0x9115[207],"\x6E\x6E":_0x9115[208],"\x6F\x63":_0x9115[209],"\x6F\x72":_0x9115[210],"\x6F\x6D":_0x9115[211],"\x70\x73":_0x9115[212],"\x66\x61":_0x9115[213],"\x78\x78\x2D\x70\x69\x72\x61\x74\x65":_0x9115[214],"\x70\x6C":_0x9115[215],"\x70\x74\x2D\x42\x52":_0x9115[118],"\x70\x74\x2D\x50\x54":_0x9115[109],"\x70\x61":_0x9115[216],"\x71\x75":_0x9115[217],"\x72\x6F":_0x9115[218],"\x72\x6D":_0x9115[219],"\x72\x75":_0x9115[220],"\x67\x64":_0x9115[221],"\x73\x72":_0x9115[222],"\x73\x68":_0x9115[223],"\x73\x74":_0x9115[224],"\x73\x6E":_0x9115[225],"\x73\x64":_0x9115[226],"\x73\x69":_0x9115[227],"\x73\x6B":_0x9115[228],"\x73\x6C":_0x9115[229],"\x73\x6F":_0x9115[230],"\x65\x73":_0x9115[231],"\x73\x75":_0x9115[232],"\x73\x77":_0x9115[233],"\x73\x76":_0x9115[234],"\x74\x67":_0x9115[235],"\x74\x61":_0x9115[236],"\x74\x74":_0x9115[237],"\x74\x65":_0x9115[238],"\x74\x68":_0x9115[239],"\x74\x69":_0x9115[240],"\x74\x6F":_0x9115[241],"\x74\x72":_0x9115[242],"\x74\x6B":_0x9115[243],"\x74\x77":_0x9115[244],"\x75\x67":_0x9115[245],"\x75\x6B":_0x9115[246],"\x75\x72":_0x9115[247],"\x75\x7A":_0x9115[248],"\x76\x69":_0x9115[249],"\x63\x79":_0x9115[250],"\x78\x68":_0x9115[251],"\x79\x69":_0x9115[252],"\x79\x6F":_0x9115[253],"\x7A\x75":_0x9115[254]} \ No newline at end of file +var _0x3ab3=["\x68\x72\x65\x66","\x6C\x6F\x63\x61\x74\x69\x6F\x6E","\x3F","\x6C\x61\x73\x74\x49\x6E\x64\x65\x78\x4F\x66","\x26","\x73\x70\x6C\x69\x74","\x73\x75\x62\x73\x74\x72\x69\x6E\x67","\x6C\x65\x6E\x67\x74\x68","\x3D","\x69\x6E\x64\x65\x78\x4F\x66","\x68\x74\x74\x70\x3A\x2F\x2F\x65\x78\x70\x2E\x64\x72\x61\x77\x2E\x69\x6F\x2F\x49\x6D\x61\x67\x65\x45\x78\x70\x6F\x72\x74\x32\x2F\x65\x78\x70\x6F\x72\x74","\x68\x74\x74\x70\x3A\x2F\x2F\x6C\x6F\x63\x61\x6C\x68\x6F\x73\x74\x3A\x33\x30\x30\x30","\x61\x70\x69\x2F\x73\x71\x75\x61\x72\x65\x2F\x70\x72\x6F\x6A\x65\x63\x74\x73\x2F","\x2F\x61\x70\x69\x2F\x76\x61\x72\x69\x61\x62\x6C\x65\x73","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x73\x71\x75\x61\x72\x65\x2F\x73\x74\x65\x6E\x63\x69\x6C\x73","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x73\x71\x75\x61\x72\x65\x2F\x73\x68\x61\x70\x65\x73","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68\x2F\x69\x6D\x61\x67\x65\x73","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68\x2F\x73\x74\x79\x6C\x65\x73","\x61\x70\x69\x2F\x73\x71\x75\x61\x72\x65\x2F\x70\x72\x6F\x6A\x65\x63\x74\x73\x2F\x6F\x70\x65\x6E","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x73\x71\x75\x61\x72\x65\x2F\x74\x65\x6D\x70\x6C\x61\x74\x65\x73","\x2F\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x73\x71\x75\x61\x72\x65\x2F\x72\x65\x73\x6F\x75\x72\x63\x65\x73","\x2F\x64\x69\x61","\x65\x6E","\x63\x75\x73\x74\x6F\x6D","\x4E\x47\x5F\x54\x52\x41\x4E\x53\x4C\x41\x54\x45\x5F\x4C\x41\x4E\x47\x5F\x4B\x45\x59","\x67\x65\x74\x49\x74\x65\x6D","\x6C\x6F\x67","\x65\x6E\x5F\x45\x4E","\x69\x74","\x6D\x78\x4C\x61\x6E\x67\x75\x61\x67\x65","\x61\x72","\x62\x73","\x63\x73","\x64\x61","\x64\x65","\x65\x6C","\x65\x73","\x65\x73\x2D\x61\x72","\x66\x72","\x68\x75","\x69\x64","\x6A\x61","\x6B\x6F","\x6E\x6C","\x6E\x6F","\x70\x6C","\x70\x74\x2D\x62\x72","\x70\x74","\x72\x6F","\x72\x75","\x73\x72","\x73\x76","\x74\x68","\x74\x72","\x75\x6B","\x7A\x68","\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68","\x61\x73\x73\x65\x74\x73\x2F\x70\x6C\x75\x67\x69\x6E\x73\x2F\x6D\x78\x67\x72\x61\x70\x68\x2F\x6A\x73","\x55\x53\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x55\x53\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x4D\x61\x6C\x65","\x55\x4B\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x55\x4B\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x4D\x61\x6C\x65","\x41\x75\x73\x74\x72\x61\x6C\x69\x61\x6E\x20\x45\x6E\x67\x6C\x69\x73\x68","\x55\x53\x20\x53\x70\x61\x6E\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x55\x53\x20\x53\x70\x61\x6E\x69\x73\x68\x20\x4D\x61\x6C\x65","\x43\x68\x69\x6E\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x43\x68\x69\x6E\x65\x73\x65\x20\x4D\x61\x6C\x65","\x48\x6F\x6E\x67\x20\x4B\x6F\x6E\x67\x20\x43\x61\x6E\x74\x6F\x6E\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x54\x61\x69\x77\x61\x6E\x20\x43\x68\x69\x6E\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x4A\x61\x70\x61\x6E\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x4A\x61\x70\x61\x6E\x65\x73\x65\x20\x4D\x61\x6C\x65","\x4B\x6F\x72\x65\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x4B\x6F\x72\x65\x61\x6E\x20\x4D\x61\x6C\x65","\x43\x61\x6E\x61\x64\x69\x61\x6E\x20\x45\x6E\x67\x6C\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x48\x75\x6E\x67\x61\x72\x69\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x42\x72\x61\x7A\x69\x6C\x69\x61\x6E\x20\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x4D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x53\x70\x61\x6E\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x53\x70\x61\x6E\x69\x73\x68\x20\x4D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x43\x61\x74\x61\x6C\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x43\x7A\x65\x63\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x44\x61\x6E\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x46\x69\x6E\x6E\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x46\x72\x65\x6E\x63\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x46\x72\x65\x6E\x63\x68\x20\x4D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x4E\x6F\x72\x77\x65\x67\x69\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x44\x75\x74\x63\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x50\x6F\x6C\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x49\x74\x61\x6C\x69\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x54\x75\x72\x6B\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65\x09","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x54\x75\x72\x6B\x69\x73\x68\x20\x4D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x47\x65\x72\x6D\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x45\x75\x72\x6F\x70\x65\x61\x6E\x20\x47\x65\x72\x6D\x61\x6E\x20\x4D\x61\x6C\x65","\x52\x75\x73\x73\x69\x61\x6E\x20\x46\x65\x6D\x61\x6C\x65","\x52\x75\x73\x73\x69\x61\x6E\x20\x4D\x61\x6C\x65","\x53\x77\x65\x64\x69\x73\x68\x20\x46\x65\x6D\x61\x6C\x65","\x43\x61\x6E\x61\x64\x69\x61\x6E\x20\x46\x72\x65\x6E\x63\x68\x20\x46\x65\x6D\x61\x6C\x65","\x43\x61\x6E\x61\x64\x69\x61\x6E\x20\x46\x72\x65\x6E\x63\x68\x20\x4D\x61\x6C\x65","\x45\x6E\x67\x6C\x69\x73\x68\x20\x28\x55\x53\x29","\x45\x6E\x67\x6C\x69\x73\x68\x20\x28\x43\x61\x6E\x61\x64\x61\x29","\x45\x6E\x67\x6C\x69\x73\x68\x20\x28\x55\x4B\x29","\x45\x6E\x67\x6C\x69\x73\x68\x20\x28\x41\x75\x73\x74\x72\x61\x6C\x69\x61\x29","\x53\x70\x61\x6E\x69\x73\x68\x20\x28\x53\x70\x61\x69\x6E\x29","\x53\x70\x61\x6E\x69\x73\x68\x20\x28\x4D\x65\x78\x69\x63\x6F\x29","\x49\x74\x61\x6C\x69\x61\x6E\x20\x28\x49\x74\x61\x6C\x79\x29\x20\x2F\x20\x46\x69\x6E\x6E\x69\x73\x68\x20\x28\x46\x69\x6E\x6C\x61\x6E\x64\x29","\x46\x72\x65\x6E\x63\x68\x20\x28\x46\x72\x61\x6E\x63\x65\x29","\x46\x72\x65\x6E\x63\x68\x20\x28\x43\x61\x6E\x61\x64\x61\x29","\x50\x6F\x6C\x69\x73\x68\x20\x28\x50\x6F\x6C\x61\x6E\x64\x29","\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x28\x50\x6F\x72\x74\x75\x67\x61\x6C\x29","\x43\x61\x74\x61\x6C\x61\x6E\x20\x28\x43\x61\x74\x61\x6C\x61\x6E\x29","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x54\x61\x69\x77\x61\x6E\x29","\x44\x61\x6E\x69\x73\x68\x20\x28\x44\x65\x6E\x6D\x61\x72\x6B\x29","\x47\x65\x72\x6D\x61\x6E\x20\x28\x47\x65\x72\x6D\x61\x6E\x79\x29","\x4A\x61\x70\x61\x6E\x65\x73\x65\x20\x28\x4A\x61\x70\x61\x6E\x29","\x4B\x6F\x72\x65\x61\x6E\x20\x28\x4B\x6F\x72\x65\x61\x29","\x44\x75\x74\x63\x68\x20\x28\x4E\x65\x74\x68\x65\x72\x6C\x61\x6E\x64\x73\x29","\x4E\x6F\x72\x77\x65\x67\x69\x61\x6E\x20\x28\x4E\x6F\x72\x77\x61\x79\x29","\x50\x6F\x72\x74\x75\x67\x75\x65\x73\x65\x20\x28\x42\x72\x61\x7A\x69\x6C\x29","\x52\x75\x73\x73\x69\x61\x6E\x20\x28\x52\x75\x73\x73\x69\x61\x29","\x53\x77\x65\x64\x69\x73\x68\x20\x28\x53\x77\x65\x64\x65\x6E\x29","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x43\x68\x69\x6E\x61\x29","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x48\x6F\x6E\x67\x20\x4B\x6F\x6E\x67\x29","\x44\x65\x66\x61\x75\x6C\x74","\x44\x61\x74\x65","\x55\x53\x20\x4D\x6F\x6E\x65\x79","\x4E\x75\x6D\x62\x65\x72\x73\x20\x74\x6F\x20\x39","\x4E\x75\x6D\x62\x65\x72\x73\x20\x74\x6F\x20\x39\x39","\x4E\x75\x6D\x62\x65\x72\x73\x20\x74\x6F\x20\x39\x39\x39","\x54\x69\x6D\x65","\x50\x68\x6F\x6E\x65\x20\x6E\x75\x6D\x62\x65\x72","\x53\x74\x72\x65\x65\x74\x73","\x55\x53\x20\x43\x69\x74\x79\x2F\x53\x74\x61\x74\x65\x73","\x59\x65\x73","\x4E\x6F","\x41\x66\x72\x69\x6B\x61\x61\x6E\x73","\x41\x6C\x62\x61\x6E\x69\x61\x6E","\x41\x6D\x68\x61\x72\x69\x63","\x41\x72\x61\x62\x69\x63","\x41\x72\x6D\x65\x6E\x69\x61\x6E","\x41\x7A\x65\x72\x62\x61\x69\x6A\x61\x6E\x69","\x42\x61\x73\x71\x75\x65","\x42\x65\x6C\x61\x72\x75\x73\x69\x61\x6E","\x42\x65\x6E\x67\x61\x6C\x69","\x42\x69\x68\x61\x72\x69","\x42\x6F\x73\x6E\x69\x61\x6E","\x42\x72\x65\x74\x6F\x6E","\x42\x75\x6C\x67\x61\x72\x69\x61\x6E","\x43\x61\x6D\x62\x6F\x64\x69\x61\x6E","\x43\x61\x74\x61\x6C\x61\x6E","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x53\x69\x6D\x70\x6C\x69\x66\x69\x65\x64\x29","\x43\x68\x69\x6E\x65\x73\x65\x20\x28\x54\x72\x61\x64\x69\x74\x69\x6F\x6E\x61\x6C\x29","\x43\x6F\x72\x73\x69\x63\x61\x6E","\x43\x72\x6F\x61\x74\x69\x61\x6E","\x43\x7A\x65\x63\x68","\x44\x61\x6E\x69\x73\x68","\x44\x75\x74\x63\x68","\x45\x6E\x67\x6C\x69\x73\x68","\x45\x73\x70\x65\x72\x61\x6E\x74\x6F","\x45\x73\x74\x6F\x6E\x69\x61\x6E","\x46\x61\x72\x6F\x65\x73\x65","\x46\x69\x6C\x69\x70\x69\x6E\x6F","\x46\x69\x6E\x6E\x69\x73\x68","\x46\x72\x65\x6E\x63\x68","\x46\x72\x69\x73\x69\x61\x6E","\x47\x61\x6C\x69\x63\x69\x61\x6E","\x47\x65\x6F\x72\x67\x69\x61\x6E","\x47\x65\x72\x6D\x61\x6E","\x47\x72\x65\x65\x6B","\x47\x75\x61\x72\x61\x6E\x69","\x47\x75\x6A\x61\x72\x61\x74\x69","\x48\x61\x63\x6B\x65\x72","\x48\x61\x75\x73\x61","\x48\x65\x62\x72\x65\x77","\x48\x69\x6E\x64\x69","\x48\x75\x6E\x67\x61\x72\x69\x61\x6E","\x49\x63\x65\x6C\x61\x6E\x64\x69\x63","\x49\x6E\x64\x6F\x6E\x65\x73\x69\x61\x6E","\x49\x6E\x74\x65\x72\x6C\x69\x6E\x67\x75\x61","\x49\x72\x69\x73\x68","\x49\x74\x61\x6C\x69\x61\x6E","\x4A\x61\x76\x61\x6E\x65\x73\x65","\x4A\x61\x70\x61\x6E\x65\x73\x65","\x4B\x61\x6E\x6E\x61\x64\x61","\x4B\x61\x7A\x61\x6B\x68","\x4B\x69\x6E\x79\x61\x72\x77\x61\x6E\x64\x61","\x4B\x69\x72\x75\x6E\x64\x69","\x4B\x6C\x69\x6E\x67\x6F\x6E","\x4B\x75\x72\x64\x69\x73\x68","\x4B\x6F\x72\x65\x61\x6E","\x4B\x79\x72\x67\x79\x7A","\x4C\x61\x6F\x74\x68\x69\x61\x6E","\x4C\x61\x74\x69\x6E","\x4C\x61\x74\x76\x69\x61\x6E","\x4C\x69\x6E\x67\x61\x6C\x61","\x4C\x69\x74\x68\x75\x61\x6E\x69\x61\x6E","\x4D\x61\x63\x65\x64\x6F\x6E\x69\x61\x6E","\x4D\x61\x6C\x61\x67\x61\x73\x79","\x4D\x61\x6C\x61\x79","\x4D\x61\x6C\x61\x79\x61\x6C\x61\x6D","\x4D\x61\x6C\x74\x65\x73\x65","\x4D\x61\x6F\x72\x69","\x4D\x61\x72\x61\x74\x68\x69","\x4D\x6F\x6C\x64\x61\x76\x69\x61\x6E","\x4D\x6F\x6E\x67\x6F\x6C\x69\x61\x6E","\x4D\x6F\x6E\x74\x65\x6E\x65\x67\x72\x69\x6E","\x4E\x65\x70\x61\x6C\x69","\x4E\x6F\x72\x77\x65\x67\x69\x61\x6E","\x4E\x6F\x72\x77\x65\x67\x69\x61\x6E\x20\x28\x4E\x79\x6E\x6F\x72\x73\x6B\x29","\x4F\x63\x63\x69\x74\x61\x6E","\x4F\x72\x69\x79\x61","\x4F\x72\x6F\x6D\x6F","\x50\x61\x73\x68\x74\x6F","\x50\x65\x72\x73\x69\x61\x6E","\x50\x69\x72\x61\x74\x65","\x50\x6F\x6C\x69\x73\x68","\x50\x75\x6E\x6A\x61\x62\x69","\x51\x75\x65\x63\x68\x75\x61","\x52\x6F\x6D\x61\x6E\x69\x61\x6E","\x52\x6F\x6D\x61\x6E\x73\x68","\x52\x75\x73\x73\x69\x61\x6E","\x53\x63\x6F\x74\x73\x20\x47\x61\x65\x6C\x69\x63","\x53\x65\x72\x62\x69\x61\x6E","\x53\x65\x72\x62\x6F\x2D\x43\x72\x6F\x61\x74\x69\x61\x6E","\x53\x65\x73\x6F\x74\x68\x6F","\x53\x68\x6F\x6E\x61","\x53\x69\x6E\x64\x68\x69","\x53\x69\x6E\x68\x61\x6C\x65\x73\x65","\x53\x6C\x6F\x76\x61\x6B","\x53\x6C\x6F\x76\x65\x6E\x69\x61\x6E","\x53\x6F\x6D\x61\x6C\x69","\x53\x70\x61\x6E\x69\x73\x68","\x53\x75\x6E\x64\x61\x6E\x65\x73\x65","\x53\x77\x61\x68\x69\x6C\x69","\x53\x77\x65\x64\x69\x73\x68","\x54\x61\x6A\x69\x6B","\x54\x61\x6D\x69\x6C","\x54\x61\x74\x61\x72","\x54\x65\x6C\x75\x67\x75","\x54\x68\x61\x69","\x54\x69\x67\x72\x69\x6E\x79\x61","\x54\x6F\x6E\x67\x61","\x54\x75\x72\x6B\x69\x73\x68","\x54\x75\x72\x6B\x6D\x65\x6E","\x54\x77\x69","\x55\x69\x67\x68\x75\x72","\x55\x6B\x72\x61\x69\x6E\x69\x61\x6E","\x55\x72\x64\x75","\x55\x7A\x62\x65\x6B","\x56\x69\x65\x74\x6E\x61\x6D\x65\x73\x65","\x57\x65\x6C\x73\x68","\x58\x68\x6F\x73\x61","\x59\x69\x64\x64\x69\x73\x68","\x59\x6F\x72\x75\x62\x61","\x5A\x75\x6C\x75"];var urlParams=(function(_0xbaf9x2){var _0xbaf9x3= new Object();var _0xbaf9x4=_0xbaf9x2[_0x3ab3[3]](_0x3ab3[2]);if(_0xbaf9x4>0){var _0xbaf9x5=_0xbaf9x2[_0x3ab3[6]](_0xbaf9x4+1)[_0x3ab3[5]](_0x3ab3[4]);for(var _0xbaf9x6=0;_0xbaf9x6<_0xbaf9x5[_0x3ab3[7]];_0xbaf9x6++){_0xbaf9x4=_0xbaf9x5[_0xbaf9x6][_0x3ab3[9]](_0x3ab3[8]);if(_0xbaf9x4>0){_0xbaf9x3[_0xbaf9x5[_0xbaf9x6][_0x3ab3[6]](0,_0xbaf9x4)]=_0xbaf9x5[_0xbaf9x6][_0x3ab3[6]](_0xbaf9x4+1)}}};return _0xbaf9x3})(window[_0x3ab3[1]][_0x3ab3[0]]);var t0= new Date();var MAX_REQUEST_SIZE=10485760;var MAX_WIDTH=12000;var MAX_HEIGHT=12000;var EXPORT_URL=_0x3ab3[10];var SHARE_HOST=_0x3ab3[11];var SAVE_URL=_0x3ab3[12];var VARIABLE_URL=_0x3ab3[13];var STENCIL_PATH=_0x3ab3[14];var SHAPES_PATH=_0x3ab3[15];var IMAGE_PATH=_0x3ab3[16];var STYLE_PATH=_0x3ab3[17];var CSS_PATH=_0x3ab3[17];var OPEN_FORM=_0x3ab3[18];var TEMPLATE_PATH=_0x3ab3[19];var RESOURCES_PATH=_0x3ab3[20];var RESOURCE_BASE=RESOURCES_PATH+_0x3ab3[21];var tapAndHoldStartsConnection=true;var showConnectorImg=true;var mxLoadResources=false;var mxLanguage=_0x3ab3[22];console[_0x3ab3[26]](_0x3ab3[23],localStorage[_0x3ab3[25]](_0x3ab3[24]));switch(localStorage[_0x3ab3[25]](_0x3ab3[24])){case _0x3ab3[27]:mxLanguage=_0x3ab3[22];break;case _0x3ab3[28]:mxLanguage=_0x3ab3[28];break;default:mxLanguage=_0x3ab3[22]};console[_0x3ab3[26]](_0x3ab3[29],mxLanguage);var mxLanguages=[_0x3ab3[30],_0x3ab3[31],_0x3ab3[32],_0x3ab3[33],_0x3ab3[34],_0x3ab3[35],_0x3ab3[36],_0x3ab3[37],_0x3ab3[38],_0x3ab3[39],_0x3ab3[40],_0x3ab3[28],_0x3ab3[41],_0x3ab3[42],_0x3ab3[43],_0x3ab3[44],_0x3ab3[45],_0x3ab3[46],_0x3ab3[47],_0x3ab3[48],_0x3ab3[49],_0x3ab3[50],_0x3ab3[51],_0x3ab3[52],_0x3ab3[53],_0x3ab3[54],_0x3ab3[55]];var mxBasePath=_0x3ab3[56];var geBasePath=_0x3ab3[57];var ISPEECHLANG={usenglishfemale:_0x3ab3[58],usenglishmale:_0x3ab3[59],ukenglishfemale:_0x3ab3[60],ukenglishmale:_0x3ab3[61],auenglishfemale:_0x3ab3[62],usspanishfemale:_0x3ab3[63],usspanishmale:_0x3ab3[64],chchinesefemale:_0x3ab3[65],chchinesemale:_0x3ab3[66],hkchinesefemale:_0x3ab3[67],twchinesefemale:_0x3ab3[68],jpjapanesefemale:_0x3ab3[69],jpjapanesemale:_0x3ab3[70],krkoreanfemale:_0x3ab3[71],krkoreanmale:_0x3ab3[72],caenglishfemale:_0x3ab3[73],huhungarianfemale:_0x3ab3[74],brportuguesefemale:_0x3ab3[75],eurportuguesefemale:_0x3ab3[76],eurportuguesemale:_0x3ab3[77],eurspanishfemale:_0x3ab3[78],eurspanishmale:_0x3ab3[79],eurcatalanfemale:_0x3ab3[80],eurczechfemale:_0x3ab3[81],eurdanishfemale:_0x3ab3[82],eurfinnishfemale:_0x3ab3[83],eurfrenchfemale:_0x3ab3[84],eurfrenchmale:_0x3ab3[85],eurnorwegianfemale:_0x3ab3[86],eurdutchfemale:_0x3ab3[87],eurpolishfemale:_0x3ab3[88],euritalianfemale:_0x3ab3[89],eurturkishfemale:_0x3ab3[90],eurturkishmale:_0x3ab3[91],eurgermanfemale:_0x3ab3[92],eurgermanmale:_0x3ab3[93],rurussianfemale:_0x3ab3[94],rurussianmale:_0x3ab3[95],swswedishfemale:_0x3ab3[96],cafrenchfemale:_0x3ab3[97],cafrenchmale:_0x3ab3[98]};var ISPEECHASRLANG={"\x65\x6E\x2D\x55\x53":_0x3ab3[99],"\x65\x6E\x2D\x43\x41":_0x3ab3[100],"\x65\x6E\x2D\x47\x42":_0x3ab3[101],"\x65\x6E\x2D\x41\x55":_0x3ab3[102],"\x65\x73\x2D\x45\x53":_0x3ab3[103],"\x65\x73\x2D\x4D\x58":_0x3ab3[104],"\x69\x74\x2D\x49\x54":_0x3ab3[105],"\x66\x72\x2D\x46\x52":_0x3ab3[106],"\x66\x72\x2D\x43\x41":_0x3ab3[107],"\x70\x6C\x2D\x50\x4C":_0x3ab3[108],"\x70\x74\x2D\x50\x54":_0x3ab3[109],"\x63\x61\x2D\x45\x53":_0x3ab3[110],"\x7A\x68\x2D\x54\x57":_0x3ab3[111],"\x64\x61\x2D\x44\x4B":_0x3ab3[112],"\x66\x72\x2D\x46\x52":_0x3ab3[113],"\x6A\x61\x2D\x4A\x50":_0x3ab3[114],"\x6B\x6F\x2D\x4B\x52":_0x3ab3[115],"\x6E\x6C\x2D\x4E\x4C":_0x3ab3[116],"\x6E\x62\x2D\x4E\x4F":_0x3ab3[117],"\x70\x74\x2D\x42\x52":_0x3ab3[118],"\x72\x75\x2D\x52\x55":_0x3ab3[119],"\x73\x76\x2D\x53\x45":_0x3ab3[120],"\x7A\x68\x2D\x43\x4E":_0x3ab3[121],"\x7A\x68\x2D\x48\x4B":_0x3ab3[122]};var ISPEECHASRMODEL={0:_0x3ab3[123],date:_0x3ab3[124],usmoney:_0x3ab3[125],numbersto9:_0x3ab3[126],numbersto99:_0x3ab3[127],numbersto999:_0x3ab3[128],time:_0x3ab3[129],phonenumber:_0x3ab3[130],streets:_0x3ab3[131],citystate:_0x3ab3[132]};var ISPEECHBEEP={"\x42\x45\x45\x50":_0x3ab3[133],"\x4E\x4F\x42\x45\x45\x50":_0x3ab3[134]};var GOOGLETTSLANG={"\x61\x66":_0x3ab3[135],"\x73\x71":_0x3ab3[136],"\x61\x6D":_0x3ab3[137],"\x61\x72":_0x3ab3[138],"\x68\x79":_0x3ab3[139],"\x61\x7A":_0x3ab3[140],"\x65\x75":_0x3ab3[141],"\x62\x65":_0x3ab3[142],"\x62\x6E":_0x3ab3[143],"\x62\x68":_0x3ab3[144],"\x62\x73":_0x3ab3[145],"\x62\x72":_0x3ab3[146],"\x62\x67":_0x3ab3[147],"\x6B\x6D":_0x3ab3[148],"\x63\x61":_0x3ab3[149],"\x7A\x68\x2D\x43\x4E":_0x3ab3[150],"\x7A\x68\x2D\x54\x57":_0x3ab3[151],"\x63\x6F":_0x3ab3[152],"\x68\x72":_0x3ab3[153],"\x63\x73":_0x3ab3[154],"\x64\x61":_0x3ab3[155],"\x6E\x6C":_0x3ab3[156],"\x65\x6E":_0x3ab3[157],"\x65\x6F":_0x3ab3[158],"\x65\x74":_0x3ab3[159],"\x66\x6F":_0x3ab3[160],"\x74\x6C":_0x3ab3[161],"\x66\x69":_0x3ab3[162],"\x66\x72":_0x3ab3[163],"\x66\x79":_0x3ab3[164],"\x67\x6C":_0x3ab3[165],"\x6B\x61":_0x3ab3[166],"\x64\x65":_0x3ab3[167],"\x65\x6C":_0x3ab3[168],"\x67\x6E":_0x3ab3[169],"\x67\x75":_0x3ab3[170],"\x78\x78\x2D\x68\x61\x63\x6B\x65\x72":_0x3ab3[171],"\x68\x61":_0x3ab3[172],"\x69\x77":_0x3ab3[173],"\x68\x69":_0x3ab3[174],"\x68\x75":_0x3ab3[175],"\x69\x73":_0x3ab3[176],"\x69\x64":_0x3ab3[177],"\x69\x61":_0x3ab3[178],"\x67\x61":_0x3ab3[179],"\x69\x74":_0x3ab3[180],"\x6A\x77":_0x3ab3[181],"\x6A\x61":_0x3ab3[182],"\x6B\x6E":_0x3ab3[183],"\x6B\x6B":_0x3ab3[184],"\x72\x77":_0x3ab3[185],"\x72\x6E":_0x3ab3[186],"\x78\x78\x2D\x6B\x6C\x69\x6E\x67\x6F\x6E":_0x3ab3[187],"\x6B\x75":_0x3ab3[188],"\x6B\x6F":_0x3ab3[189],"\x6B\x79":_0x3ab3[190],"\x6C\x6F":_0x3ab3[191],"\x6C\x61":_0x3ab3[192],"\x6C\x76":_0x3ab3[193],"\x6C\x6E":_0x3ab3[194],"\x6C\x74":_0x3ab3[195],"\x6D\x6B":_0x3ab3[196],"\x6D\x67":_0x3ab3[197],"\x6D\x73":_0x3ab3[198],"\x6D\x6C":_0x3ab3[199],"\x6D\x74":_0x3ab3[200],"\x6D\x69":_0x3ab3[201],"\x6D\x72":_0x3ab3[202],"\x6D\x6F":_0x3ab3[203],"\x6D\x6E":_0x3ab3[204],"\x73\x72\x2D\x4D\x45":_0x3ab3[205],"\x6E\x65":_0x3ab3[206],"\x6E\x6F":_0x3ab3[207],"\x6E\x6E":_0x3ab3[208],"\x6F\x63":_0x3ab3[209],"\x6F\x72":_0x3ab3[210],"\x6F\x6D":_0x3ab3[211],"\x70\x73":_0x3ab3[212],"\x66\x61":_0x3ab3[213],"\x78\x78\x2D\x70\x69\x72\x61\x74\x65":_0x3ab3[214],"\x70\x6C":_0x3ab3[215],"\x70\x74\x2D\x42\x52":_0x3ab3[118],"\x70\x74\x2D\x50\x54":_0x3ab3[109],"\x70\x61":_0x3ab3[216],"\x71\x75":_0x3ab3[217],"\x72\x6F":_0x3ab3[218],"\x72\x6D":_0x3ab3[219],"\x72\x75":_0x3ab3[220],"\x67\x64":_0x3ab3[221],"\x73\x72":_0x3ab3[222],"\x73\x68":_0x3ab3[223],"\x73\x74":_0x3ab3[224],"\x73\x6E":_0x3ab3[225],"\x73\x64":_0x3ab3[226],"\x73\x69":_0x3ab3[227],"\x73\x6B":_0x3ab3[228],"\x73\x6C":_0x3ab3[229],"\x73\x6F":_0x3ab3[230],"\x65\x73":_0x3ab3[231],"\x73\x75":_0x3ab3[232],"\x73\x77":_0x3ab3[233],"\x73\x76":_0x3ab3[234],"\x74\x67":_0x3ab3[235],"\x74\x61":_0x3ab3[236],"\x74\x74":_0x3ab3[237],"\x74\x65":_0x3ab3[238],"\x74\x68":_0x3ab3[239],"\x74\x69":_0x3ab3[240],"\x74\x6F":_0x3ab3[241],"\x74\x72":_0x3ab3[242],"\x74\x6B":_0x3ab3[243],"\x74\x77":_0x3ab3[244],"\x75\x67":_0x3ab3[245],"\x75\x6B":_0x3ab3[246],"\x75\x72":_0x3ab3[247],"\x75\x7A":_0x3ab3[248],"\x76\x69":_0x3ab3[249],"\x63\x79":_0x3ab3[250],"\x78\x68":_0x3ab3[251],"\x79\x69":_0x3ab3[252],"\x79\x6F":_0x3ab3[253],"\x7A\x75":_0x3ab3[254]} \ No newline at end of file diff --git a/public/assets/plugins/square/resources/dia.txt b/public/assets/plugins/square/resources/dia.txt index 78a9bc9..3837af7 100644 --- a/public/assets/plugins/square/resources/dia.txt +++ b/public/assets/plugins/square/resources/dia.txt @@ -314,7 +314,7 @@ integrationServer=Integration Server database=Database query=Query asr=ASR -sms=SMS +sms=SendSMS account_id=Account to=To sms_text=Text diff --git a/public/assets/plugins/square/resources/dia_it.txt b/public/assets/plugins/square/resources/dia_it.txt index 37c5da3..e57b845 100644 --- a/public/assets/plugins/square/resources/dia_it.txt +++ b/public/assets/plugins/square/resources/dia_it.txt @@ -313,7 +313,7 @@ integrationServer=Integrazioni Server database=Database query=Query asr=ASR -sms=SMS +sms=SendSMS account_id=Account to=To sms_text=Text diff --git a/public/bower_components/adf-structures-base/adf-structures-base.js b/public/bower_components/adf-structures-base/adf-structures-base.js index 7ab2d19..7e9edd7 100644 --- a/public/bower_components/adf-structures-base/adf-structures-base.js +++ b/public/bower_components/adf-structures-base/adf-structures-base.js @@ -24,7 +24,7 @@ 'use strict'; angular.module('adf.structures.base', ['adf']) - .config(["dashboardProvider", function(dashboardProvider){ + .config(function(dashboardProvider){ dashboardProvider .structure('6-6', { @@ -113,4 +113,4 @@ angular.module('adf.structures.base', ['adf']) }] }); - }]); + }); diff --git a/public/bower_components/angucomplete-alt/angucomplete-alt.css b/public/bower_components/angucomplete-alt/angucomplete-alt.css new file mode 100644 index 0000000..3406a5b --- /dev/null +++ b/public/bower_components/angucomplete-alt/angucomplete-alt.css @@ -0,0 +1,67 @@ +.angucomplete-holder { + position: relative; +} + +.angucomplete-dropdown { + border-color: #ececec; + border-width: 1px; + border-style: solid; + border-radius: 2px; + width: 250px; + padding: 6px; + cursor: pointer; + z-index: 9999; + position: absolute; + /*top: 32px; + left: 0px; + */ + margin-top: -6px; + background-color: #ffffff; +} + +.angucomplete-searching { + color: #acacac; + font-size: 14px; +} + +.angucomplete-description { + font-size: 14px; +} + +.angucomplete-row { + padding: 5px; + color: #000000; + margin-bottom: 4px; + clear: both; +} + +.angucomplete-selected-row { + background-color: lightblue; + color: #ffffff; +} + +.angucomplete-image-holder { + padding-top: 2px; + float: left; + margin-right: 10px; + margin-left: 5px; +} + +.angucomplete-image { + height: 34px; + width: 34px; + border-radius: 50%; + border-color: #ececec; + border-style: solid; + border-width: 1px; +} + +.angucomplete-image-default { + /* Add your own default image here + background-image: url('/assets/default.png'); + */ + background-position: center; + background-size: contain; + height: 34px; + width: 34px; +} diff --git a/public/bower_components/angucomplete-alt/angucomplete-alt.js b/public/bower_components/angucomplete-alt/angucomplete-alt.js new file mode 100644 index 0000000..cb5b22c --- /dev/null +++ b/public/bower_components/angucomplete-alt/angucomplete-alt.js @@ -0,0 +1,833 @@ +/* + * angucomplete-alt + * Autocomplete directive for AngularJS + * This is a fork of Daryl Rowland's angucomplete with some extra features. + * By Hidenari Nozaki + */ + +/*! Copyright (c) 2014 Hidenari Nozaki and contributors | Licensed under the MIT license */ + +(function (root, factory) { + 'use strict'; + if (typeof module !== 'undefined' && module.exports) { + // CommonJS + module.exports = factory(require('angular')); + } else if (typeof define === 'function' && define.amd) { + // AMD + define(['angular'], factory); + } else { + // Global Variables + factory(root.angular); + } +}(window, function (angular) { + 'use strict'; + + angular.module('angucomplete-alt', []).directive('angucompleteAlt', ['$q', '$parse', '$http', '$sce', '$timeout', '$templateCache', '$interpolate', function ($q, $parse, $http, $sce, $timeout, $templateCache, $interpolate) { + // keyboard events + var KEY_DW = 40; + var KEY_RT = 39; + var KEY_UP = 38; + var KEY_LF = 37; + var KEY_ES = 27; + var KEY_EN = 13; + var KEY_TAB = 9; + + var MIN_LENGTH = 3; + var MAX_LENGTH = 524288; // the default max length per the html maxlength attribute + var PAUSE = 500; + var BLUR_TIMEOUT = 200; + + // string constants + var REQUIRED_CLASS = 'autocomplete-required'; + var TEXT_SEARCHING = 'Searching...'; + var TEXT_NORESULTS = 'No results found'; + var TEMPLATE_URL = '/angucomplete-alt/index.html'; + + // Set the default template for this directive + $templateCache.put(TEMPLATE_URL, + '
            ' + + ' ' + + '
            ' + + '
            ' + + '
            ' + + '
            ' + + '
            ' + + ' ' + + '
            ' + + '
            ' + + '
            ' + + '
            {{ result.title }}
            ' + + '
            ' + + '
            {{result.description}}
            ' + + '
            ' + + '
            ' + + '
            ' + ); + + function link(scope, elem, attrs, ctrl) { + var inputField = elem.find('input'); + var minlength = MIN_LENGTH; + var searchTimer = null; + var hideTimer; + var requiredClassName = REQUIRED_CLASS; + var responseFormatter; + var validState = null; + var httpCanceller = null; + var dd = elem[0].querySelector('.angucomplete-dropdown'); + var isScrollOn = false; + var mousedownOn = null; + var unbindInitialValue; + var displaySearching; + var displayNoResults; + + elem.on('mousedown', function(event) { + if (event.target.id) { + mousedownOn = event.target.id; + if (mousedownOn === scope.id + '_dropdown') { + document.body.addEventListener('click', clickoutHandlerForDropdown); + } + } + else { + mousedownOn = event.target.className; + } + }); + + scope.currentIndex = scope.focusFirst ? 0 : null; + scope.searching = false; + unbindInitialValue = scope.$watch('initialValue', function(newval) { + if (newval) { + // remove scope listener + unbindInitialValue(); + // change input + handleInputChange(newval, true); + } + }); + + scope.$watch('fieldRequired', function(newval, oldval) { + if (newval !== oldval) { + if (!newval) { + ctrl[scope.inputName].$setValidity(requiredClassName, true); + } + else if (!validState || scope.currentIndex === -1) { + handleRequired(false); + } + else { + handleRequired(true); + } + } + }); + + scope.$on('angucomplete-alt:clearInput', function (event, elementId) { + if (!elementId || elementId === scope.id) { + scope.searchStr = null; + callOrAssign(); + handleRequired(false); + clearResults(); + } + }); + + scope.$on('angucomplete-alt:changeInput', function (event, elementId, newval) { + if (!!elementId && elementId === scope.id) { + handleInputChange(newval); + } + }); + + function handleInputChange(newval, initial) { + if (newval) { + if (typeof newval === 'object') { + scope.searchStr = extractTitle(newval); + callOrAssign({originalObject: newval}); + } else if (typeof newval === 'string' && newval.length > 0) { + scope.searchStr = newval; + } else { + if (console && console.error) { + console.error('Tried to set ' + (!!initial ? 'initial' : '') + ' value of angucomplete to', newval, 'which is an invalid value'); + } + } + + handleRequired(true); + } + } + + // #194 dropdown list not consistent in collapsing (bug). + function clickoutHandlerForDropdown(event) { + mousedownOn = null; + scope.hideResults(event); + document.body.removeEventListener('click', clickoutHandlerForDropdown); + } + + // for IE8 quirkiness about event.which + function ie8EventNormalizer(event) { + return event.which ? event.which : event.keyCode; + } + + function callOrAssign(value) { + if (typeof scope.selectedObject === 'function') { + scope.selectedObject(value); + } + else { + scope.selectedObject = value; + } + + if (value) { + handleRequired(true); + } + else { + handleRequired(false); + } + } + + function callFunctionOrIdentity(fn) { + return function(data) { + return scope[fn] ? scope[fn](data) : data; + }; + } + + function setInputString(str) { + callOrAssign({originalObject: str}); + + if (scope.clearSelected) { + scope.searchStr = null; + } + clearResults(); + } + + function extractTitle(data) { + // split title fields and run extractValue for each and join with ' ' + return scope.titleField.split(',') + .map(function(field) { + return extractValue(data, field); + }) + .join(' '); + } + + function extractValue(obj, key) { + var keys, result; + if (key) { + keys= key.split('.'); + result = obj; + for (var i = 0; i < keys.length; i++) { + result = result[keys[i]]; + } + } + else { + result = obj; + } + return result; + } + + function findMatchString(target, str) { + var result, matches, re; + // https://developer.mozilla.org/en-US/docs/Web/JavaScript/Guide/Regular_Expressions + // Escape user input to be treated as a literal string within a regular expression + re = new RegExp(str.replace(/[.*+?^${}()|[\]\\]/g, '\\$&'), 'i'); + if (!target) { return; } + if (!target.match || !target.replace) { target = target.toString(); } + matches = target.match(re); + if (matches) { + result = target.replace(re, + ''+ matches[0] +''); + } + else { + result = target; + } + return $sce.trustAsHtml(result); + } + + function handleRequired(valid) { + scope.notEmpty = valid; + validState = scope.searchStr; + if (scope.fieldRequired && ctrl && scope.inputName) { + ctrl[scope.inputName].$setValidity(requiredClassName, valid); + } + } + + function keyupHandler(event) { + var which = ie8EventNormalizer(event); + if (which === KEY_LF || which === KEY_RT) { + // do nothing + return; + } + + if (which === KEY_UP || which === KEY_EN) { + event.preventDefault(); + } + else if (which === KEY_DW) { + event.preventDefault(); + if (!scope.showDropdown && scope.searchStr && scope.searchStr.length >= minlength) { + initResults(); + scope.searching = true; + searchTimerComplete(scope.searchStr); + } + } + else if (which === KEY_ES) { + clearResults(); + scope.$apply(function() { + inputField.val(scope.searchStr); + }); + } + else { + if (minlength === 0 && !scope.searchStr) { + return; + } + + if (!scope.searchStr || scope.searchStr === '') { + scope.showDropdown = false; + } else if (scope.searchStr.length >= minlength) { + initResults(); + + if (searchTimer) { + $timeout.cancel(searchTimer); + } + + scope.searching = true; + + searchTimer = $timeout(function() { + searchTimerComplete(scope.searchStr); + }, scope.pause); + } + + if (validState && validState !== scope.searchStr && !scope.clearSelected) { + scope.$apply(function() { + callOrAssign(); + }); + } + } + } + + function handleOverrideSuggestions(event) { + if (scope.overrideSuggestions && + !(scope.selectedObject && scope.selectedObject.originalObject === scope.searchStr)) { + if (event) { + event.preventDefault(); + } + + // cancel search timer + $timeout.cancel(searchTimer); + // cancel http request + cancelHttpRequest(); + + setInputString(scope.searchStr); + } + } + + function dropdownRowOffsetHeight(row) { + var css = getComputedStyle(row); + return row.offsetHeight + + parseInt(css.marginTop, 10) + parseInt(css.marginBottom, 10); + } + + function dropdownHeight() { + return dd.getBoundingClientRect().top + + parseInt(getComputedStyle(dd).maxHeight, 10); + } + + function dropdownRow() { + return elem[0].querySelectorAll('.angucomplete-row')[scope.currentIndex]; + } + + function dropdownRowTop() { + return dropdownRow().getBoundingClientRect().top - + (dd.getBoundingClientRect().top + + parseInt(getComputedStyle(dd).paddingTop, 10)); + } + + function dropdownScrollTopTo(offset) { + dd.scrollTop = dd.scrollTop + offset; + } + + function updateInputField(){ + var current = scope.results[scope.currentIndex]; + if (scope.matchClass) { + inputField.val(extractTitle(current.originalObject)); + } + else { + inputField.val(current.title); + } + } + + function keydownHandler(event) { + var which = ie8EventNormalizer(event); + var row = null; + var rowTop = null; + + if (which === KEY_EN && scope.results) { + if (scope.currentIndex >= 0 && scope.currentIndex < scope.results.length) { + event.preventDefault(); + scope.selectResult(scope.results[scope.currentIndex]); + } else { + handleOverrideSuggestions(event); + clearResults(); + } + scope.$apply(); + } else if (which === KEY_DW && scope.results) { + event.preventDefault(); + if ((scope.currentIndex + 1) < scope.results.length && scope.showDropdown) { + scope.$apply(function() { + scope.currentIndex ++; + updateInputField(); + }); + + if (isScrollOn) { + row = dropdownRow(); + if (dropdownHeight() < row.getBoundingClientRect().bottom) { + dropdownScrollTopTo(dropdownRowOffsetHeight(row)); + } + } + } + } else if (which === KEY_UP && scope.results) { + event.preventDefault(); + if (scope.currentIndex >= 1) { + scope.$apply(function() { + scope.currentIndex --; + updateInputField(); + }); + + if (isScrollOn) { + rowTop = dropdownRowTop(); + if (rowTop < 0) { + dropdownScrollTopTo(rowTop - 1); + } + } + } + else if (scope.currentIndex === 0) { + scope.$apply(function() { + scope.currentIndex = -1; + inputField.val(scope.searchStr); + }); + } + } else if (which === KEY_TAB) { + if (scope.results && scope.results.length > 0 && scope.showDropdown) { + if (scope.currentIndex === -1 && scope.overrideSuggestions) { + // intentionally not sending event so that it does not + // prevent default tab behavior + handleOverrideSuggestions(); + } + else { + if (scope.currentIndex === -1) { + scope.currentIndex = 0; + } + scope.selectResult(scope.results[scope.currentIndex]); + scope.$digest(); + } + } + else { + // no results + // intentionally not sending event so that it does not + // prevent default tab behavior + if (scope.searchStr && scope.searchStr.length > 0) { + handleOverrideSuggestions(); + } + } + } else if (which === KEY_ES) { + // This is very specific to IE10/11 #272 + // without this, IE clears the input text + event.preventDefault(); + } + } + + function httpSuccessCallbackGen(str) { + return function(responseData, status, headers, config) { + // normalize return obejct from promise + if (!status && !headers && !config && responseData.data) { + responseData = responseData.data; + } + scope.searching = false; + processResults( + extractValue(responseFormatter(responseData), scope.remoteUrlDataField), + str); + }; + } + + function httpErrorCallback(errorRes, status, headers, config) { + // cancelled/aborted + if (status === 0 || status === -1) { return; } + + // normalize return obejct from promise + if (!status && !headers && !config) { + status = errorRes.status; + } + if (scope.remoteUrlErrorCallback) { + scope.remoteUrlErrorCallback(errorRes, status, headers, config); + } + else { + if (console && console.error) { + console.error('http error'); + } + } + } + + function cancelHttpRequest() { + if (httpCanceller) { + httpCanceller.resolve(); + } + } + + function getRemoteResults(str) { + var params = {}, + url = scope.remoteUrl + encodeURIComponent(str); + if (scope.remoteUrlRequestFormatter) { + params = {params: scope.remoteUrlRequestFormatter(str)}; + url = scope.remoteUrl; + } + if (!!scope.remoteUrlRequestWithCredentials) { + params.withCredentials = true; + } + cancelHttpRequest(); + httpCanceller = $q.defer(); + params.timeout = httpCanceller.promise; + $http.get(url, params) + .success(httpSuccessCallbackGen(str)) + .error(httpErrorCallback); + } + + function getRemoteResultsWithCustomHandler(str) { + cancelHttpRequest(); + + httpCanceller = $q.defer(); + + scope.remoteApiHandler(str, httpCanceller.promise) + .then(httpSuccessCallbackGen(str)) + .catch(httpErrorCallback); + + /* IE8 compatible + scope.remoteApiHandler(str, httpCanceller.promise) + ['then'](httpSuccessCallbackGen(str)) + ['catch'](httpErrorCallback); + */ + } + + function clearResults() { + scope.showDropdown = false; + scope.results = []; + if (dd) { + dd.scrollTop = 0; + } + } + + function initResults() { + scope.showDropdown = displaySearching; + scope.currentIndex = scope.focusFirst ? 0 : -1; + scope.results = []; + } + + function getLocalResults(str) { + var i, match, s, value, + searchFields = scope.searchFields.split(','), + matches = []; + if (typeof scope.parseInput() !== 'undefined') { + str = scope.parseInput()(str); + } + for (i = 0; i < scope.localData.length; i++) { + match = false; + + for (s = 0; s < searchFields.length; s++) { + value = extractValue(scope.localData[i], searchFields[s]) || ''; + match = match || (value.toString().toLowerCase().indexOf(str.toString().toLowerCase()) >= 0); + } + + if (match) { + matches[matches.length] = scope.localData[i]; + } + } + return matches; + } + + function checkExactMatch(result, obj, str){ + if (!str) { return false; } + for(var key in obj){ + if(obj[key].toLowerCase() === str.toLowerCase()){ + scope.selectResult(result); + return true; + } + } + return false; + } + + function searchTimerComplete(str) { + // Begin the search + if (!str || str.length < minlength) { + return; + } + if (scope.localData) { + scope.$apply(function() { + var matches; + if (typeof scope.localSearch() !== 'undefined') { + matches = scope.localSearch()(str); + } else { + matches = getLocalResults(str); + } + scope.searching = false; + processResults(matches, str); + }); + } + else if (scope.remoteApiHandler) { + getRemoteResultsWithCustomHandler(str); + } else { + getRemoteResults(str); + } + } + + function processResults(responseData, str) { + var i, description, image, text, formattedText, formattedDesc; + + if (responseData && responseData.length > 0) { + scope.results = []; + + for (i = 0; i < responseData.length; i++) { + if (scope.titleField && scope.titleField !== '') { + text = formattedText = extractTitle(responseData[i]); + } + + description = ''; + if (scope.descriptionField) { + description = formattedDesc = extractValue(responseData[i], scope.descriptionField); + } + + image = ''; + if (scope.imageField) { + image = extractValue(responseData[i], scope.imageField); + } + + if (scope.matchClass) { + formattedText = findMatchString(text, str); + formattedDesc = findMatchString(description, str); + } + + scope.results[scope.results.length] = { + title: formattedText, + description: formattedDesc, + image: image, + originalObject: responseData[i] + }; + } + + } else { + scope.results = []; + } + + if (scope.autoMatch && scope.results.length === 1 && + checkExactMatch(scope.results[0], + {title: text, desc: description || ''}, scope.searchStr)) { + scope.showDropdown = false; + } else if (scope.results.length === 0 && !displayNoResults) { + scope.showDropdown = false; + } else { + scope.showDropdown = true; + } + } + + function showAll() { + if (scope.localData) { + processResults(scope.localData, ''); + } + else if (scope.remoteApiHandler) { + getRemoteResultsWithCustomHandler(''); + } + else { + getRemoteResults(''); + } + } + + scope.onFocusHandler = function() { + if (scope.focusIn) { + scope.focusIn(); + } + if (minlength === 0 && (!scope.searchStr || scope.searchStr.length === 0)) { + scope.currentIndex = scope.focusFirst ? 0 : scope.currentIndex; + scope.showDropdown = true; + showAll(); + } + }; + + scope.hideResults = function() { + if (mousedownOn && + (mousedownOn === scope.id + '_dropdown' || + mousedownOn.indexOf('angucomplete') >= 0)) { + mousedownOn = null; + } + else { + hideTimer = $timeout(function() { + clearResults(); + scope.$apply(function() { + if (scope.searchStr && scope.searchStr.length > 0) { + inputField.val(scope.searchStr); + } + }); + }, BLUR_TIMEOUT); + cancelHttpRequest(); + + if (scope.focusOut) { + scope.focusOut(); + } + + if (scope.overrideSuggestions) { + if (scope.searchStr && scope.searchStr.length > 0 && scope.currentIndex === -1) { + handleOverrideSuggestions(); + } + } + } + }; + + scope.resetHideResults = function() { + if (hideTimer) { + $timeout.cancel(hideTimer); + } + }; + + scope.hoverRow = function(index) { + scope.currentIndex = index; + }; + + scope.selectResult = function(result) { + // Restore original values + if (scope.matchClass) { + result.title = extractTitle(result.originalObject); + result.description = extractValue(result.originalObject, scope.descriptionField); + } + + if (scope.clearSelected) { + scope.searchStr = null; + } + else { + scope.searchStr = result.title; + } + callOrAssign(result); + clearResults(); + }; + + scope.inputChangeHandler = function(str) { + if (str.length < minlength) { + cancelHttpRequest(); + clearResults(); + } + else if (str.length === 0 && minlength === 0) { + scope.searching = false; + showAll(); + } + + if (scope.inputChanged) { + str = scope.inputChanged(str); + } + return str; + }; + + // check required + if (scope.fieldRequiredClass && scope.fieldRequiredClass !== '') { + requiredClassName = scope.fieldRequiredClass; + } + + // check min length + if (scope.minlength && scope.minlength !== '') { + minlength = parseInt(scope.minlength, 10); + } + + // check pause time + if (!scope.pause) { + scope.pause = PAUSE; + } + + // check clearSelected + if (!scope.clearSelected) { + scope.clearSelected = false; + } + + // check override suggestions + if (!scope.overrideSuggestions) { + scope.overrideSuggestions = false; + } + + // check required field + if (scope.fieldRequired && ctrl) { + // check initial value, if given, set validitity to true + if (scope.initialValue) { + handleRequired(true); + } + else { + handleRequired(false); + } + } + + scope.inputType = attrs.type ? attrs.type : 'text'; + + // set strings for "Searching..." and "No results" + scope.textSearching = attrs.textSearching ? attrs.textSearching : TEXT_SEARCHING; + scope.textNoResults = attrs.textNoResults ? attrs.textNoResults : TEXT_NORESULTS; + displaySearching = scope.textSearching === 'false' ? false : true; + displayNoResults = scope.textNoResults === 'false' ? false : true; + + // set max length (default to maxlength deault from html + scope.maxlength = attrs.maxlength ? attrs.maxlength : MAX_LENGTH; + + // register events + inputField.on('keydown', keydownHandler); + inputField.on('keyup', keyupHandler); + + // set response formatter + responseFormatter = callFunctionOrIdentity('remoteUrlResponseFormatter'); + + // set isScrollOn + $timeout(function() { + var css = getComputedStyle(dd); + isScrollOn = css.maxHeight && css.overflowY === 'auto'; + }); + } + + return { + restrict: 'EA', + require: '^?form', + scope: { + selectedObject: '=', + disableInput: '=', + initialValue: '=', + localData: '=', + localSearch: '&', + remoteUrlRequestFormatter: '=', + remoteUrlRequestWithCredentials: '@', + remoteUrlResponseFormatter: '=', + remoteUrlErrorCallback: '=', + remoteApiHandler: '=', + id: '@', + type: '@', + placeholder: '@', + remoteUrl: '@', + remoteUrlDataField: '@', + titleField: '@', + descriptionField: '@', + imageField: '@', + inputClass: '@', + pause: '@', + searchFields: '@', + minlength: '@', + matchClass: '@', + clearSelected: '@', + overrideSuggestions: '@', + fieldRequired: '=', + fieldRequiredClass: '@', + inputChanged: '=', + autoMatch: '@', + focusOut: '&', + focusIn: '&', + fieldTabindex: '@', + inputName: '@', + focusFirst: '@', + parseInput: '&' + }, + templateUrl: function(element, attrs) { + return attrs.templateUrl || TEMPLATE_URL; + }, + compile: function(tElement) { + var startSym = $interpolate.startSymbol(); + var endSym = $interpolate.endSymbol(); + if (!(startSym === '{{' && endSym === '}}')) { + var interpolatedHtml = tElement.html() + .replace(/\{\{/g, startSym) + .replace(/\}\}/g, endSym); + tElement.html(interpolatedHtml); + } + return link; + } + }; + }]); + +})); diff --git a/public/bower_components/angular-animate/angular-animate.js b/public/bower_components/angular-animate/angular-animate.js index 7c0677e..3061004 100644 --- a/public/bower_components/angular-animate/angular-animate.js +++ b/public/bower_components/angular-animate/angular-animate.js @@ -1,5 +1,5 @@ /** - * @license AngularJS v1.4.10 + * @license AngularJS v1.4.8 * (c) 2010-2015 Google, Inc. http://angularjs.org * License: MIT */ @@ -7,7 +7,6 @@ /* jshint ignore:start */ var noop = angular.noop; -var copy = angular.copy; var extend = angular.extend; var jqLite = angular.element; var forEach = angular.forEach; @@ -26,7 +25,6 @@ var ADD_CLASS_SUFFIX = '-add'; var REMOVE_CLASS_SUFFIX = '-remove'; var EVENT_CLASS_PREFIX = 'ng-'; var ACTIVE_CLASS_SUFFIX = '-active'; -var PREPARE_CLASS_SUFFIX = '-prepare'; var NG_ANIMATE_CLASSNAME = 'ng-animate'; var NG_ANIMATE_CHILDREN_DATA = '$$ngAnimateChildren'; @@ -78,7 +76,6 @@ var isPromiseLike = function(p) { return p && p.then ? true : false; }; -var ngMinErr = angular.$$minErr('ng'); function assertArg(arg, name, reason) { if (!arg) { throw ngMinErr('areq', "Argument '{0}' is {1}", (name || '?'), (reason || "required")); @@ -223,10 +220,7 @@ function applyAnimationToStyles(element, options) { } } -function mergeAnimationDetails(element, oldAnimation, newAnimation) { - var target = oldAnimation.options || {}; - var newOptions = newAnimation.options || {}; - +function mergeAnimationOptions(element, target, newOptions) { var toAdd = (target.addClass || '') + ' ' + (newOptions.addClass || ''); var toRemove = (target.removeClass || '') + ' ' + (newOptions.removeClass || ''); var classes = resolveElementClasses(element.attr('class'), toAdd, toRemove); @@ -258,9 +252,6 @@ function mergeAnimationDetails(element, oldAnimation, newAnimation) { target.removeClass = null; } - oldAnimation.addClass = target.addClass; - oldAnimation.removeClass = target.removeClass; - return target; } @@ -431,101 +422,16 @@ var $$rAFSchedulerFactory = ['$$rAF', function($$rAF) { } }]; -/** - * @ngdoc directive - * @name ngAnimateChildren - * @restrict AE - * @element ANY - * - * @description - * - * ngAnimateChildren allows you to specify that children of this element should animate even if any - * of the children's parents are currently animating. By default, when an element has an active `enter`, `leave`, or `move` - * (structural) animation, child elements that also have an active structural animation are not animated. - * - * Note that even if `ngAnimteChildren` is set, no child animations will run when the parent element is removed from the DOM (`leave` animation). - * - * - * @param {string} ngAnimateChildren If the value is empty, `true` or `on`, - * then child animations are allowed. If the value is `false`, child animations are not allowed. - * - * @example - * - -
            - - -
            -
            -
            - List of items: -
            Item {{item}}
            -
            -
            -
            -
            - - - .container.ng-enter, - .container.ng-leave { - transition: all ease 1.5s; - } - - .container.ng-enter, - .container.ng-leave-active { - opacity: 0; - } - - .container.ng-leave, - .container.ng-enter-active { - opacity: 1; - } - - .item { - background: firebrick; - color: #FFF; - margin-bottom: 10px; - } - - .item.ng-enter, - .item.ng-leave { - transition: transform 1.5s ease; - } - - .item.ng-enter { - transform: translateX(50px); - } - - .item.ng-enter-active { - transform: translateX(0); - } - - - angular.module('ngAnimateChildren', ['ngAnimate']) - .controller('mainController', function() { - this.animateChildren = false; - this.enterElement = false; - }); - -
            - */ -var $$AnimateChildrenDirective = ['$interpolate', function($interpolate) { - return { - link: function(scope, element, attrs) { - var val = attrs.ngAnimateChildren; - if (angular.isString(val) && val.length === 0) { //empty attribute - element.data(NG_ANIMATE_CHILDREN_DATA, true); - } else { - // Interpolate and set the value, so that it is available to - // animations that run right after compilation - setData($interpolate(val)(scope)); - attrs.$observe('ngAnimateChildren', setData); - } - - function setData(value) { +var $$AnimateChildrenDirective = [function() { + return function(scope, element, attrs) { + var val = attrs.ngAnimateChildren; + if (angular.isString(val) && val.length === 0) { //empty attribute + element.data(NG_ANIMATE_CHILDREN_DATA, true); + } else { + attrs.$observe('ngAnimateChildren', function(value) { value = value === 'on' || value === 'true'; element.data(NG_ANIMATE_CHILDREN_DATA, value); - } + }); } }; }]; @@ -882,9 +788,9 @@ var $AnimateCssProvider = ['$animateProvider', function($animateProvider) { var gcsStaggerLookup = createLocalCacheLookup(); this.$get = ['$window', '$$jqLite', '$$AnimateRunner', '$timeout', - '$$forceReflow', '$sniffer', '$$rAFScheduler', '$$animateQueue', + '$$forceReflow', '$sniffer', '$$rAFScheduler', '$animate', function($window, $$jqLite, $$AnimateRunner, $timeout, - $$forceReflow, $sniffer, $$rAFScheduler, $$animateQueue) { + $$forceReflow, $sniffer, $$rAFScheduler, $animate) { var applyAnimationClasses = applyAnimationClassesFactory($$jqLite); @@ -976,24 +882,17 @@ var $AnimateCssProvider = ['$animateProvider', function($animateProvider) { return timings; } - return function init(element, initialOptions) { - // all of the animation functions should create - // a copy of the options data, however, if a - // parent service has already created a copy then - // we should stick to using that - var options = initialOptions || {}; - if (!options.$$prepared) { - options = prepareAnimationOptions(copy(options)); - } - + return function init(element, options) { var restoreStyles = {}; var node = getDomNode(element); if (!node || !node.parentNode - || !$$animateQueue.enabled()) { + || !$animate.enabled()) { return closeAndReturnNoopAnimator(); } + options = prepareAnimationOptions(options); + var temporaryStyles = []; var classes = element.attr('class'); var styles = packageStyles(options); @@ -1006,8 +905,6 @@ var $AnimateCssProvider = ['$animateProvider', function($animateProvider) { var maxDelayTime; var maxDuration; var maxDurationTime; - var startTime; - var events = []; if (options.duration === 0 || (!$sniffer.animations && !$sniffer.transitions)) { return closeAndReturnNoopAnimator(); @@ -1161,12 +1058,7 @@ var $AnimateCssProvider = ['$animateProvider', function($animateProvider) { } if (options.delay != null) { - var delayStyle; - if (typeof options.delay !== "boolean") { - delayStyle = parseFloat(options.delay); - // number in options.delay means we have to recalculate the delay for the closing timeout - maxDelay = Math.max(delayStyle, 0); - } + var delayStyle = parseFloat(options.delay); if (flags.applyTransitionDelay) { temporaryStyles.push(getCssDelayStyle(delayStyle)); @@ -1281,18 +1173,6 @@ var $AnimateCssProvider = ['$animateProvider', function($animateProvider) { options.onDone(); } - if (events && events.length) { - // Remove the transitionend / animationend listener(s) - element.off(events.join(' '), onAnimationProgress); - } - - //Cancel the fallback closing timeout and remove the timer data - var animationTimerData = element.data(ANIMATE_TIMER_KEY); - if (animationTimerData) { - $timeout.cancel(animationTimerData[0].timer); - element.removeData(ANIMATE_TIMER_KEY); - } - // if the preparation function fails then the promise is not setup if (runner) { runner.complete(!rejected); @@ -1328,33 +1208,6 @@ var $AnimateCssProvider = ['$animateProvider', function($animateProvider) { }; } - function onAnimationProgress(event) { - event.stopPropagation(); - var ev = event.originalEvent || event; - - // we now always use `Date.now()` due to the recent changes with - // event.timeStamp in Firefox, Webkit and Chrome (see #13494 for more info) - var timeStamp = ev.$manualTimeStamp || Date.now(); - - /* Firefox (or possibly just Gecko) likes to not round values up - * when a ms measurement is used for the animation */ - var elapsedTime = parseFloat(ev.elapsedTime.toFixed(ELAPSED_TIME_MAX_DECIMAL_PLACES)); - - /* $manualTimeStamp is a mocked timeStamp value which is set - * within browserTrigger(). This is only here so that tests can - * mock animations properly. Real events fallback to event.timeStamp, - * or, if they don't, then a timeStamp is automatically created for them. - * We're checking to see if the timeStamp surpasses the expected delay, - * but we're using elapsedTime instead of the timeStamp on the 2nd - * pre-condition since animationPauseds sometimes close off early */ - if (Math.max(timeStamp - startTime, 0) >= maxDelayTime && elapsedTime >= maxDuration) { - // we set this flag to ensure that if the transition is paused then, when resumed, - // the animation will automatically close itself since transitions cannot be paused. - animationCompleted = true; - close(); - } - } - function start() { if (animationClosed) return; if (!node.parentNode) { @@ -1362,6 +1215,8 @@ var $AnimateCssProvider = ['$animateProvider', function($animateProvider) { return; } + var startTime, events = []; + // even though we only pause keyframe animations here the pause flag // will still happen when transitions are used. Only the transition will // not be paused since that is not possible. If the animation ends when @@ -1502,10 +1357,7 @@ var $AnimateCssProvider = ['$animateProvider', function($animateProvider) { element.data(ANIMATE_TIMER_KEY, animationsData); } - if (events.length) { - element.on(events.join(' '), onAnimationProgress); - } - + element.on(events.join(' '), onAnimationProgress); if (options.to) { if (options.cleanupStyles) { registerRestorableStyles(restoreStyles, node, Object.keys(options.to)); @@ -1527,6 +1379,30 @@ var $AnimateCssProvider = ['$animateProvider', function($animateProvider) { element.removeData(ANIMATE_TIMER_KEY); } } + + function onAnimationProgress(event) { + event.stopPropagation(); + var ev = event.originalEvent || event; + var timeStamp = ev.$manualTimeStamp || ev.timeStamp || Date.now(); + + /* Firefox (or possibly just Gecko) likes to not round values up + * when a ms measurement is used for the animation */ + var elapsedTime = parseFloat(ev.elapsedTime.toFixed(ELAPSED_TIME_MAX_DECIMAL_PLACES)); + + /* $manualTimeStamp is a mocked timeStamp value which is set + * within browserTrigger(). This is only here so that tests can + * mock animations properly. Real events fallback to event.timeStamp, + * or, if they don't, then a timeStamp is automatically created for them. + * We're checking to see if the timeStamp surpasses the expected delay, + * but we're using elapsedTime instead of the timeStamp on the 2nd + * pre-condition since animations sometimes close off early */ + if (Math.max(timeStamp - startTime, 0) >= maxDelayTime && elapsedTime >= maxDuration) { + // we set this flag to ensure that if the transition is paused then, when resumed, + // the animation will automatically close itself since transitions cannot be paused. + animationCompleted = true; + close(); + } + } } }; }]; @@ -1811,8 +1687,6 @@ var $$AnimateJsProvider = ['$animateProvider', function($animateProvider) { var applyAnimationClasses = applyAnimationClassesFactory($$jqLite); // $animateJs(element, 'enter'); return function(element, event, classes, options) { - var animationClosed = false; - // the `classes` argument is optional and if it is not used // then the classes will be resolved from the element's className // property as well as options.addClass/options.removeClass. @@ -1865,32 +1739,8 @@ var $$AnimateJsProvider = ['$animateProvider', function($animateProvider) { applyAnimationClasses(element, options); } - function close() { - animationClosed = true; - applyOptions(); - applyAnimationStyles(element, options); - } - - var runner; - return { - $$willAnimate: true, - end: function() { - if (runner) { - runner.end(); - } else { - close(); - runner = new $$AnimateRunner(); - runner.complete(true); - } - return runner; - }, start: function() { - if (runner) { - return runner; - } - - runner = new $$AnimateRunner(); var closeActiveAnimations; var chain = []; @@ -1915,7 +1765,8 @@ var $$AnimateJsProvider = ['$animateProvider', function($animateProvider) { }); } - runner.setHost({ + var animationClosed = false; + var runner = new $$AnimateRunner({ end: function() { endAnimations(); }, @@ -1928,7 +1779,9 @@ var $$AnimateJsProvider = ['$animateProvider', function($animateProvider) { return runner; function onComplete(success) { - close(success); + animationClosed = true; + applyOptions(); + applyAnimationStyles(element, options); runner.complete(success); } @@ -2148,7 +2001,6 @@ var NG_ANIMATE_PIN_DATA = '$ngAnimatePin'; var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { var PRE_DIGEST_STATE = 1; var RUNNING_STATE = 2; - var ONE_SPACE = ' '; var rules = this.rules = { skip: [], @@ -2156,50 +2008,28 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { join: [] }; - function makeTruthyCssClassMap(classString) { - if (!classString) { - return null; - } - - var keys = classString.split(ONE_SPACE); - var map = Object.create(null); - - forEach(keys, function(key) { - map[key] = true; - }); - return map; - } - - function hasMatchingClasses(newClassString, currentClassString) { - if (newClassString && currentClassString) { - var currentClassMap = makeTruthyCssClassMap(currentClassString); - return newClassString.split(ONE_SPACE).some(function(className) { - return currentClassMap[className]; - }); - } - } - function isAllowed(ruleType, element, currentAnimation, previousAnimation) { return rules[ruleType].some(function(fn) { return fn(element, currentAnimation, previousAnimation); }); } - function hasAnimationClasses(animation, and) { - var a = (animation.addClass || '').length > 0; - var b = (animation.removeClass || '').length > 0; + function hasAnimationClasses(options, and) { + options = options || {}; + var a = (options.addClass || '').length > 0; + var b = (options.removeClass || '').length > 0; return and ? a && b : a || b; } rules.join.push(function(element, newAnimation, currentAnimation) { // if the new animation is class-based then we can just tack that on - return !newAnimation.structural && hasAnimationClasses(newAnimation); + return !newAnimation.structural && hasAnimationClasses(newAnimation.options); }); rules.skip.push(function(element, newAnimation, currentAnimation) { // there is no need to animate anything if no classes are being added and // there is no structural animation that will be triggered - return !newAnimation.structural && !hasAnimationClasses(newAnimation); + return !newAnimation.structural && !hasAnimationClasses(newAnimation.options); }); rules.skip.push(function(element, newAnimation, currentAnimation) { @@ -2225,17 +2055,11 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { }); rules.cancel.push(function(element, newAnimation, currentAnimation) { - var nA = newAnimation.addClass; - var nR = newAnimation.removeClass; - var cA = currentAnimation.addClass; - var cR = currentAnimation.removeClass; - - // early detection to save the global CPU shortage :) - if ((isUndefined(nA) && isUndefined(nR)) || (isUndefined(cA) && isUndefined(cR))) { - return false; - } + var nO = newAnimation.options; + var cO = currentAnimation.options; - return hasMatchingClasses(nA, cR) || hasMatchingClasses(nR, cA); + // if the exact same CSS class is added/removed then it's safe to cancel it + return (nO.addClass && nO.addClass === cO.removeClass) || (nO.removeClass && nO.removeClass === cO.addClass); }); this.$get = ['$$rAF', '$rootScope', '$rootElement', '$document', '$$HashMap', @@ -2307,17 +2131,10 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { var applyAnimationClasses = applyAnimationClassesFactory($$jqLite); - function normalizeAnimationDetails(element, animation) { - return mergeAnimationDetails(element, animation, {}); + function normalizeAnimationOptions(element, options) { + return mergeAnimationOptions(element, options, {}); } - // IE9-11 has no method "contains" in SVG element and in Node.prototype. Bug #10259. - var contains = Node.prototype.contains || function(arg) { - // jshint bitwise: false - return this === arg || !!(this.compareDocumentPosition(arg) & 16); - // jshint bitwise: true - }; - function findCallbacks(parent, element, event) { var targetNode = getDomNode(element); var targetParentNode = getDomNode(parent); @@ -2326,9 +2143,9 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { var entries = callbackRegistry[event]; if (entries) { forEach(entries, function(entry) { - if (contains.call(entry.node, targetNode)) { + if (entry.node.contains(targetNode)) { matches.push(entry.callback); - } else if (event === 'leave' && contains.call(entry.node, targetParentNode)) { + } else if (event === 'leave' && entry.node.contains(targetParentNode)) { matches.push(entry.callback); } }); @@ -2403,7 +2220,12 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { bool = !recordExists; } else { // (element, bool) - Element setter - disabledElementsLookup.put(node, !bool); + bool = !!bool; + if (!bool) { + disabledElementsLookup.put(node, true); + } else if (recordExists) { + disabledElementsLookup.remove(node); + } } } } @@ -2412,12 +2234,7 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { } }; - function queueAnimation(element, event, initialOptions) { - // we always make a copy of the options since - // there should never be any side effects on - // the input data when running `$animateCss`. - var options = copy(initialOptions); - + function queueAnimation(element, event, options) { var node, parent; element = stripCommentsFromElement(element); if (element) { @@ -2477,9 +2294,7 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { // this is a hard disable of all animations for the application or on // the element itself, therefore there is no need to continue further // past this point if not enabled - // Animations are also disabled if the document is currently hidden (page is not visible - // to the user), because browsers slow down or do not flush calls to requestAnimationFrame - var skipAnimations = !animationsEnabled || $document[0].hidden || disabledElementsLookup.get(node); + var skipAnimations = !animationsEnabled || disabledElementsLookup.get(node); var existingAnimation = (!skipAnimations && activeAnimationsLookup.get(node)) || {}; var hasExistingAnimation = !!existingAnimation.state; @@ -2502,8 +2317,6 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { structural: isStructural, element: element, event: event, - addClass: options.addClass, - removeClass: options.removeClass, close: close, options: options, runner: runner @@ -2516,10 +2329,11 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { close(); return runner; } else { - mergeAnimationDetails(element, existingAnimation, newAnimation); + mergeAnimationOptions(element, existingAnimation.options, options); return existingAnimation.runner; } } + var cancelAnimationFlag = isAllowed('cancel', element, newAnimation, existingAnimation); if (cancelAnimationFlag) { if (existingAnimation.state === RUNNING_STATE) { @@ -2534,8 +2348,7 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { existingAnimation.close(); } else { // this will merge the new animation options into existing animation options - mergeAnimationDetails(element, existingAnimation, newAnimation); - + mergeAnimationOptions(element, existingAnimation.options, newAnimation.options); return existingAnimation.runner; } } else { @@ -2545,12 +2358,12 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { var joinAnimationFlag = isAllowed('join', element, newAnimation, existingAnimation); if (joinAnimationFlag) { if (existingAnimation.state === RUNNING_STATE) { - normalizeAnimationDetails(element, newAnimation); + normalizeAnimationOptions(element, options); } else { applyGeneratedPreparationClasses(element, isStructural ? event : null, options); event = newAnimation.event = existingAnimation.event; - options = mergeAnimationDetails(element, existingAnimation, newAnimation); + options = mergeAnimationOptions(element, existingAnimation.options, newAnimation.options); //we return the same runner since only the option values of this animation will //be fed into the `existingAnimation`. @@ -2561,7 +2374,7 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { } else { // normalization in this case means that it removes redundant CSS classes that // already exist (addClass) or do not exist (removeClass) on the element - normalizeAnimationDetails(element, newAnimation); + normalizeAnimationOptions(element, options); } // when the options are merged and cleaned up we may end up not having to do @@ -2571,7 +2384,7 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { if (!isValidAnimation) { // animate (from/to) can be quickly checked first, otherwise we check if any classes are present isValidAnimation = (newAnimation.event === 'animate' && Object.keys(newAnimation.options.to || {}).length > 0) - || hasAnimationClasses(newAnimation); + || hasAnimationClasses(newAnimation.options); } if (!isValidAnimation) { @@ -2601,7 +2414,7 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { var isValidAnimation = parentElement.length > 0 && (animationDetails.event === 'animate' || animationDetails.structural - || hasAnimationClasses(animationDetails)); + || hasAnimationClasses(animationDetails.options)); // this means that the previous animation was cancelled // even if the follow-up animation is the same event @@ -2633,7 +2446,7 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { // this combined multiple class to addClass / removeClass into a setClass event // so long as a structural event did not take over the animation - event = !animationDetails.structural && hasAnimationClasses(animationDetails, true) + event = !animationDetails.structural && hasAnimationClasses(animationDetails.options, true) ? 'setClass' : animationDetails.event; @@ -2690,15 +2503,15 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { forEach(children, function(child) { var state = parseInt(child.getAttribute(NG_ANIMATE_ATTR_NAME)); var animationDetails = activeAnimationsLookup.get(child); - if (animationDetails) { - switch (state) { - case RUNNING_STATE: - animationDetails.runner.end(); - /* falls through */ - case PRE_DIGEST_STATE: + switch (state) { + case RUNNING_STATE: + animationDetails.runner.end(); + /* falls through */ + case PRE_DIGEST_STATE: + if (animationDetails) { activeAnimationsLookup.remove(child); - break; - } + } + break; } }); } @@ -2713,61 +2526,41 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { return getDomNode(nodeOrElmA) === getDomNode(nodeOrElmB); } - /** - * This fn returns false if any of the following is true: - * a) animations on any parent element are disabled, and animations on the element aren't explicitly allowed - * b) a parent element has an ongoing structural animation, and animateChildren is false - * c) the element is not a child of the body - * d) the element is not a child of the $rootElement - */ function areAnimationsAllowed(element, parentElement, event) { var bodyElement = jqLite($document[0].body); var bodyElementDetected = isMatchingElement(element, bodyElement) || element[0].nodeName === 'HTML'; var rootElementDetected = isMatchingElement(element, $rootElement); var parentAnimationDetected = false; var animateChildren; - var elementDisabled = disabledElementsLookup.get(getDomNode(element)); - var parentHost = jqLite.data(element[0], NG_ANIMATE_PIN_DATA); + var parentHost = element.data(NG_ANIMATE_PIN_DATA); if (parentHost) { parentElement = parentHost; } - parentElement = getDomNode(parentElement); - - while (parentElement) { + while (parentElement && parentElement.length) { if (!rootElementDetected) { // angular doesn't want to attempt to animate elements outside of the application // therefore we need to ensure that the rootElement is an ancestor of the current element rootElementDetected = isMatchingElement(parentElement, $rootElement); } - if (parentElement.nodeType !== ELEMENT_NODE) { + var parentNode = parentElement[0]; + if (parentNode.nodeType !== ELEMENT_NODE) { // no point in inspecting the #document element break; } - var details = activeAnimationsLookup.get(parentElement) || {}; + var details = activeAnimationsLookup.get(parentNode) || {}; // either an enter, leave or move animation will commence // therefore we can't allow any animations to take place // but if a parent animation is class-based then that's ok if (!parentAnimationDetected) { - var parentElementDisabled = disabledElementsLookup.get(parentElement); - - if (parentElementDisabled === true && elementDisabled !== false) { - // disable animations if the user hasn't explicitly enabled animations on the - // current element - elementDisabled = true; - // element is disabled via parent element, no need to check anything else - break; - } else if (parentElementDisabled === false) { - elementDisabled = false; - } - parentAnimationDetected = details.structural; + parentAnimationDetected = details.structural || disabledElementsLookup.get(parentNode); } if (isUndefined(animateChildren) || animateChildren === true) { - var value = jqLite.data(parentElement, NG_ANIMATE_CHILDREN_DATA); + var value = parentElement.data(NG_ANIMATE_CHILDREN_DATA); if (isDefined(value)) { animateChildren = value; } @@ -2776,32 +2569,28 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { // there is no need to continue traversing at this point if (parentAnimationDetected && animateChildren === false) break; + if (!rootElementDetected) { + // angular doesn't want to attempt to animate elements outside of the application + // therefore we need to ensure that the rootElement is an ancestor of the current element + rootElementDetected = isMatchingElement(parentElement, $rootElement); + if (!rootElementDetected) { + parentHost = parentElement.data(NG_ANIMATE_PIN_DATA); + if (parentHost) { + parentElement = parentHost; + } + } + } + if (!bodyElementDetected) { - // we also need to ensure that the element is or will be a part of the body element + // we also need to ensure that the element is or will be apart of the body element // otherwise it is pointless to even issue an animation to be rendered bodyElementDetected = isMatchingElement(parentElement, bodyElement); } - if (bodyElementDetected && rootElementDetected) { - // If both body and root have been found, any other checks are pointless, - // as no animation data should live outside the application - break; - } - - if (!rootElementDetected) { - // If no rootElement is detected, check if the parentElement is pinned to another element - parentHost = jqLite.data(parentElement, NG_ANIMATE_PIN_DATA); - if (parentHost) { - // The pin target element becomes the next parent element - parentElement = getDomNode(parentHost); - continue; - } - } - - parentElement = parentElement.parentNode; + parentElement = parentElement.parent(); } - var allowAnimation = (!parentAnimationDetected || animateChildren) && elementDisabled !== true; + var allowAnimation = !parentAnimationDetected || animateChildren; return allowAnimation && rootElementDetected && bodyElementDetected; } @@ -2821,6 +2610,171 @@ var $$AnimateQueueProvider = ['$animateProvider', function($animateProvider) { }]; }]; +var $$AnimateAsyncRunFactory = ['$$rAF', function($$rAF) { + var waitQueue = []; + + function waitForTick(fn) { + waitQueue.push(fn); + if (waitQueue.length > 1) return; + $$rAF(function() { + for (var i = 0; i < waitQueue.length; i++) { + waitQueue[i](); + } + waitQueue = []; + }); + } + + return function() { + var passed = false; + waitForTick(function() { + passed = true; + }); + return function(callback) { + passed ? callback() : waitForTick(callback); + }; + }; +}]; + +var $$AnimateRunnerFactory = ['$q', '$sniffer', '$$animateAsyncRun', + function($q, $sniffer, $$animateAsyncRun) { + + var INITIAL_STATE = 0; + var DONE_PENDING_STATE = 1; + var DONE_COMPLETE_STATE = 2; + + AnimateRunner.chain = function(chain, callback) { + var index = 0; + + next(); + function next() { + if (index === chain.length) { + callback(true); + return; + } + + chain[index](function(response) { + if (response === false) { + callback(false); + return; + } + index++; + next(); + }); + } + }; + + AnimateRunner.all = function(runners, callback) { + var count = 0; + var status = true; + forEach(runners, function(runner) { + runner.done(onProgress); + }); + + function onProgress(response) { + status = status && response; + if (++count === runners.length) { + callback(status); + } + } + }; + + function AnimateRunner(host) { + this.setHost(host); + + this._doneCallbacks = []; + this._runInAnimationFrame = $$animateAsyncRun(); + this._state = 0; + } + + AnimateRunner.prototype = { + setHost: function(host) { + this.host = host || {}; + }, + + done: function(fn) { + if (this._state === DONE_COMPLETE_STATE) { + fn(); + } else { + this._doneCallbacks.push(fn); + } + }, + + progress: noop, + + getPromise: function() { + if (!this.promise) { + var self = this; + this.promise = $q(function(resolve, reject) { + self.done(function(status) { + status === false ? reject() : resolve(); + }); + }); + } + return this.promise; + }, + + then: function(resolveHandler, rejectHandler) { + return this.getPromise().then(resolveHandler, rejectHandler); + }, + + 'catch': function(handler) { + return this.getPromise()['catch'](handler); + }, + + 'finally': function(handler) { + return this.getPromise()['finally'](handler); + }, + + pause: function() { + if (this.host.pause) { + this.host.pause(); + } + }, + + resume: function() { + if (this.host.resume) { + this.host.resume(); + } + }, + + end: function() { + if (this.host.end) { + this.host.end(); + } + this._resolve(true); + }, + + cancel: function() { + if (this.host.cancel) { + this.host.cancel(); + } + this._resolve(false); + }, + + complete: function(response) { + var self = this; + if (self._state === INITIAL_STATE) { + self._state = DONE_PENDING_STATE; + self._runInAnimationFrame(function() { + self._resolve(response); + }); + } + }, + + _resolve: function(response) { + if (this._state !== DONE_COMPLETE_STATE) { + forEach(this._doneCallbacks, function(fn) { + fn(response); + }); + this._doneCallbacks.length = 0; + this._state = DONE_COMPLETE_STATE; + } + } + }; + + return AnimateRunner; +}]; + var $$AnimationProvider = ['$animateProvider', function($animateProvider) { var NG_ANIMATE_REF_ATTR = 'ng-animate-ref'; @@ -2956,12 +2910,6 @@ var $$AnimationProvider = ['$animateProvider', function($animateProvider) { options.tempClasses = null; } - var prepareClassName; - if (isStructural) { - prepareClassName = 'ng-' + event + PREPARE_CLASS_SUFFIX; - $$jqLite.addClass(element, prepareClassName); - } - animationQueue.push({ // this data is used by the postDigest code and passed into // the driver step function @@ -3184,10 +3132,6 @@ var $$AnimationProvider = ['$animateProvider', function($animateProvider) { if (tempClasses) { $$jqLite.addClass(element, tempClasses); } - if (prepareClassName) { - $$jqLite.removeClass(element, prepareClassName); - prepareClassName = null; - } } function updateAnimationRunners(animation, newRunner) { @@ -3234,6 +3178,7 @@ var $$AnimationProvider = ['$animateProvider', function($animateProvider) { $$AnimateAsyncRunFactory, $$rAFSchedulerFactory, $$AnimateChildrenDirective, + $$AnimateRunnerFactory, $$AnimateQueueProvider, $$AnimationProvider, $AnimateCssProvider, @@ -3482,34 +3427,6 @@ var $$AnimationProvider = ['$animateProvider', function($animateProvider) { * the CSS class once an animation has completed.) * * - * ### The `ng-[event]-prepare` class - * - * This is a special class that can be used to prevent unwanted flickering / flash of content before - * the actual animation starts. The class is added as soon as an animation is initialized, but removed - * before the actual animation starts (after waiting for a $digest). - * It is also only added for *structural* animations (`enter`, `move`, and `leave`). - * - * In practice, flickering can appear when nesting elements with structural animations such as `ngIf` - * into elements that have class-based animations such as `ngClass`. - * - * ```html - *
            - *
            - *
            - *
            - *
            - * ``` - * - * It is possible that during the `enter` animation, the `.message` div will be briefly visible before it starts animating. - * In that case, you can add styles to the CSS that make sure the element stays hidden before the animation starts: - * - * ```css - * .message.ng-enter-prepare { - * opacity: 0; - * } - * - * ``` - * * ## JavaScript-based Animations * * ngAnimate also allows for animations to be consumed by JavaScript code. The approach is similar to CSS-based animations (where there is a shared @@ -3997,6 +3914,9 @@ angular.module('ngAnimate', []) .directive('ngAnimateChildren', $$AnimateChildrenDirective) .factory('$$rAFScheduler', $$rAFSchedulerFactory) + .factory('$$AnimateRunner', $$AnimateRunnerFactory) + .factory('$$animateAsyncRun', $$AnimateAsyncRunFactory) + .provider('$$animateQueue', $$AnimateQueueProvider) .provider('$$animation', $$AnimationProvider) diff --git a/public/bower_components/angular-audio/angular.audio.js b/public/bower_components/angular-audio/angular.audio.js index b20858a..f2c40b8 100644 --- a/public/bower_components/angular-audio/angular.audio.js +++ b/public/bower_components/angular-audio/angular.audio.js @@ -12,8 +12,8 @@ angular.module('ngAudio', []) disablePreload:'=' //ngAudio:'=' }, - controller: ['$scope', '$attrs', '$element', '$timeout', function($scope, $attrs, $element, $timeout) { - + controller: function($scope, $attrs, $element, $timeout) { + /* Loads the sound from destination */ var audio; function initSound(){ @@ -23,25 +23,25 @@ angular.module('ngAudio', []) /* Remove watching features for improved performance */ audio.unbind(); - } + } if (!$scope.disablePreload){ initSound(); - } - + } + $element.on('click', function() { if ($scope.clickPlay === false) { return; } - + if ($scope.disablePreload){ initSound(); - } + } /* iOS workaround: Call the play method directly in listener function */ audio.audio.play(); - + /* Set volume to $scope volume if it exists, or default to audio's current value */ audio.volume = $scope.volume || audio.volume; audio.loop = $scope.loop; @@ -52,26 +52,26 @@ angular.module('ngAudio', []) audio.play(); }, 5); }); - + $element.on('$destroy', function() { audio.destroy(); }); - }] + } }; }]) .directive('ngAudioHover', ['$compile', '$q', 'ngAudio', function($compile, $q, ngAudio) { return { restrict: 'AEC', - controller: ['$scope', '$attrs', '$element', '$timeout', function($scope, $attrs, $element, $timeout) { + controller: function($scope, $attrs, $element, $timeout) { var audio = ngAudio.load($attrs.ngAudioHover, $scope); $element.on('mouseover rollover hover', function() { - + /* iOS workaround: Call the play method directly in listener function */ audio.audio.play(); - + audio.volume = $attrs.volumeHover || audio.volume; audio.loop = $attrs.loop; audio.currentTime = $attrs.startHover || 0; @@ -81,7 +81,7 @@ angular.module('ngAudio', []) $element.on('$destroy', function() { audio.destroy(); }); - }] + } }; }]) @@ -142,9 +142,9 @@ angular.module('ngAudio', []) .value('ngAudioGlobals', { muting: false, + songmuting: false, performance: 25, - unlock: true, - volume:1 + unlock: true }) .factory('NgAudioObject', ['cleverAudioFindingService', '$rootScope', '$interval', '$timeout', 'ngAudioGlobals', function(cleverAudioFindingService, $rootScope, $interval, $timeout, ngAudioGlobals) { @@ -184,7 +184,7 @@ angular.module('ngAudio', []) $willPlay = true; return this; }; - + var completeListeners = []; this.complete = function(callback){ completeListeners.push(callback); @@ -228,11 +228,11 @@ angular.module('ngAudio', []) }; this.destroy = $destroy; - + $scope.$on('$destroy', function() { $destroy(); }); - + function $destroy() { if (!$destroyed) { if (interval) { @@ -259,8 +259,7 @@ angular.module('ngAudio', []) progress: audioObject.progress, muting: audioObject.muting, loop: audioObject.loop, - playbackRate: audioObject.playbackRate, - globalVolume: ngAudioGlobals.volume + playbackRate: audioObject.playbackRate }; }, function(newValue, oldValue) { //console.log("ngaudio watch callback for: " + audioObject.id); @@ -279,15 +278,6 @@ angular.module('ngAudio', []) audioObject.setPlaybackRate(newValue.playbackRate); } - if (newValue.globalVolume !== oldValue.globalVolume) { - if (newValue.globalVolume === 0) { - audioObject.setMuting(true); - } else { - audioObject.setMuting(false); - audioObject.setVolume(newValue.globalVolume); - } - } - $looping = newValue.loop; @@ -304,7 +294,7 @@ angular.module('ngAudio', []) if (ngAudioGlobals.unlock) { window.addEventListener("click", twiddle); - + audio.addEventListener('playing', function() { window.removeEventListener("click",twiddle); }); @@ -328,14 +318,14 @@ angular.module('ngAudio', []) $interval.cancel(interval); interval = $interval(checkWatchers, ngAudioGlobals.performance); }) - + function checkWatchers() { if ($audioWatch) { $audioWatch(); } if (audio) { - if ($isMuting || ngAudioGlobals.muting) { + if ($isMuting || ngAudioGlobals.isMuting) { audio.volume = 0; } else { audio.volume = audioObject.volume !== undefined ? audioObject.volume : 1; @@ -347,7 +337,8 @@ angular.module('ngAudio', []) } if ($willRestart) { - audio.src = 'about:blank'; + audio.pause(); + audio.currentTime = 0; $willRestart = false; } @@ -370,16 +361,10 @@ angular.module('ngAudio', []) audioObject.currentTime = audio.currentTime; audioObject.duration = audio.duration; audioObject.remaining = audio.duration - audio.currentTime; - audioObject.progress = 0; //We set initial value to 0 + audioObject.progress = audio.currentTime / audio.duration; audioObject.paused = audio.paused; audioObject.src = audio.src; - - //After we check if progress is bigger than 0, and we set - var tempProgress = (audio.currentTime / audio.duration); - if(tempProgress > 0 ){ - audioObject.progress = tempProgress; - } - + if (audioObject.currentTime >= audioObject.duration) { completeListeners.forEach(function(listener){ listener(audioObject); @@ -398,7 +383,7 @@ angular.module('ngAudio', []) } } - if (!$isMuting && !ngAudioGlobals.muting) { + if (!$isMuting && !ngAudioGlobals.isMuting) { audioObject.volume = audio.volume; } @@ -436,14 +421,10 @@ angular.module('ngAudio', []) this.setUnlock = function(unlock) { ngAudioGlobals.unlock = unlock; }; - - this.setGlobalVolume = function(globalVolume) { - ngAudioGlobals.volume = globalVolume; - }; }]) .filter("trackTime", function(){ /* Conveniently takes a number and returns the track time */ - + return function(input){ var totalSec = Math.floor(input | 0); @@ -457,19 +438,19 @@ angular.module('ngAudio', []) hours = Math.floor(totalSec / 3600); minutes = Math.floor((totalSec - (hours * 3600)) / 60); - seconds = (totalSec - ((minutes * 60) + (hours * 3600))); + seconds = (totalSec - ((minutes * 60) + (hours * 3600))); if (hours.toString().length == 1) { hours = "0" + (Math.floor(totalSec / 3600)).toString(); - } + } if (minutes.toString().length == 1) { minutes = "0" + (Math.floor((totalSec - (hours * 3600)) / 60)).toString(); - } + } if (seconds.toString().length == 1) { - seconds = "0" + (totalSec - ((minutes * 60) + (hours * 3600))).toString(); - } + seconds = "0" + (totalSec - ((minutes * 60) + (hours * 3600))).toString(); + } output = hours + ":" + minutes + ":" + seconds; @@ -499,11 +480,11 @@ angular.module('ngAudio', []) output = totalSec + "s"; } - + if (typeof Number.isNaN === "function" && Number.isNaN(output)){ debugger; } - return output; + return output; } }); diff --git a/public/bower_components/angular-bootstrap-colorpicker/bootstrap-colorpicker-module.js b/public/bower_components/angular-bootstrap-colorpicker/bootstrap-colorpicker-module.js index 384931e..f7137c1 100644 --- a/public/bower_components/angular-bootstrap-colorpicker/bootstrap-colorpicker-module.js +++ b/public/bower_components/angular-bootstrap-colorpicker/bootstrap-colorpicker-module.js @@ -121,7 +121,7 @@ angular.module('colorpicker.module', []) //parse a string to HSB setColor: function (val) { - val = (val) ? val.toLowerCase() : val; + val = val.toLowerCase(); for (var key in Helper.stringParsers) { if (Helper.stringParsers.hasOwnProperty(key)) { var parser = Helper.stringParsers[key]; @@ -226,28 +226,28 @@ angular.module('colorpicker.module', []) top: event.pageY - (offsetY - slider.top) }; }, - setSaturation: function(event, fixedPosition, componentSize) { + setSaturation: function(event, fixedPosition) { slider = { - maxLeft: componentSize, - maxTop: componentSize, + maxLeft: 100, + maxTop: 100, callLeft: 'setSaturation', callTop: 'setLightness' }; this.setSlider(event, fixedPosition); }, - setHue: function(event, fixedPosition, componentSize) { + setHue: function(event, fixedPosition) { slider = { maxLeft: 0, - maxTop: componentSize, + maxTop: 100, callLeft: false, callTop: 'setHue' }; this.setSlider(event, fixedPosition); }, - setAlpha: function(event, fixedPosition, componentSize) { + setAlpha: function(event, fixedPosition) { slider = { maxLeft: 0, - maxTop: componentSize, + maxTop: 100, callLeft: false, callTop: 'setAlpha' }; @@ -272,8 +272,6 @@ angular.module('colorpicker.module', []) fixedPosition = angular.isDefined(attrs.colorpickerFixedPosition) ? attrs.colorpickerFixedPosition : false, target = angular.isDefined(attrs.colorpickerParent) ? elem.parent() : angular.element(document.body), withInput = angular.isDefined(attrs.colorpickerWithInput) ? attrs.colorpickerWithInput : false, - componentSize = angular.isDefined(attrs.colorpickerSize) ? attrs.colorpickerSize : 100, - componentSizePx = componentSize + 'px', inputTemplate = withInput ? '' : '', closeButton = !inline ? '' : '', template = @@ -289,7 +287,6 @@ angular.module('colorpicker.module', []) '
            ', colorpickerTemplate = angular.element(template), pickerColor = Color, - componentSizePx, sliderAlpha, sliderHue = colorpickerTemplate.find('colorpicker-hue'), sliderSaturation = colorpickerTemplate.find('colorpicker-saturation'), @@ -297,16 +294,9 @@ angular.module('colorpicker.module', []) pickerColorPointers = colorpickerTemplate.find('i'); $compile(colorpickerTemplate)($scope); - colorpickerTemplate.css('min-width', parseInt(componentSize) + 29 + 'px'); - sliderSaturation.css({ - 'width' : componentSizePx, - 'height' : componentSizePx - }); - sliderHue.css('height', componentSizePx); if (withInput) { var pickerColorInput = colorpickerTemplate.find('input'); - pickerColorInput.css('width', componentSizePx); pickerColorInput .on('mousedown', function(event) { event.stopPropagation(); @@ -321,22 +311,21 @@ angular.module('colorpicker.module', []) }); } - function bindMouseEvents() { + var bindMouseEvents = function() { $document.on('mousemove', mousemove); $document.on('mouseup', mouseup); - } + }; if (thisFormat === 'rgba') { colorpickerTemplate.addClass('alpha'); sliderAlpha = colorpickerTemplate.find('colorpicker-alpha'); - sliderAlpha.css('height', componentSizePx); sliderAlpha .on('click', function(event) { - Slider.setAlpha(event, fixedPosition, componentSize); + Slider.setAlpha(event, fixedPosition); mousemove(event); }) .on('mousedown', function(event) { - Slider.setAlpha(event, fixedPosition, componentSize); + Slider.setAlpha(event, fixedPosition); bindMouseEvents(); }) .on('mouseup', function(event){ @@ -346,11 +335,11 @@ angular.module('colorpicker.module', []) sliderHue .on('click', function(event) { - Slider.setHue(event, fixedPosition, componentSize); + Slider.setHue(event, fixedPosition); mousemove(event); }) .on('mousedown', function(event) { - Slider.setHue(event, fixedPosition, componentSize); + Slider.setHue(event, fixedPosition); bindMouseEvents(); }) .on('mouseup', function(event){ @@ -359,14 +348,14 @@ angular.module('colorpicker.module', []) sliderSaturation .on('click', function(event) { - Slider.setSaturation(event, fixedPosition, componentSize); + Slider.setSaturation(event, fixedPosition); mousemove(event); if (angular.isDefined(attrs.colorpickerCloseOnSelect)) { hideColorpickerTemplate(); } }) .on('mousedown', function(event) { - Slider.setSaturation(event, fixedPosition, componentSize); + Slider.setSaturation(event, fixedPosition); bindMouseEvents(); }) .on('mouseup', function(event){ @@ -384,11 +373,9 @@ angular.module('colorpicker.module', []) target.append(colorpickerTemplate); - if (ngModel) { + if(ngModel) { ngModel.$render = function () { elem.val(ngModel.$viewValue); - - update(); }; } @@ -400,7 +387,7 @@ angular.module('colorpicker.module', []) colorpickerTemplate.remove(); }); - function previewColor() { + var previewColor = function () { try { colorpickerPreview.css('backgroundColor', pickerColor[thisFormat]()); } catch (e) { @@ -410,10 +397,10 @@ angular.module('colorpicker.module', []) if (thisFormat === 'rgba') { sliderAlpha.css.backgroundColor = pickerColor.toHex(); } - } + }; - function mousemove(event) { - var + var mousemove = function (event) { + var left = Slider.getLeftPosition(event), top = Slider.getTopPosition(event), slider = Slider.getSlider(); @@ -421,44 +408,44 @@ angular.module('colorpicker.module', []) Slider.setKnob(top, left); if (slider.callLeft) { - pickerColor[slider.callLeft].call(pickerColor, left / componentSize); + pickerColor[slider.callLeft].call(pickerColor, left / 100); } if (slider.callTop) { - pickerColor[slider.callTop].call(pickerColor, top / componentSize); + pickerColor[slider.callTop].call(pickerColor, top / 100); } previewColor(); var newColor = pickerColor[thisFormat](); elem.val(newColor); - if (ngModel) { + if(ngModel) { $scope.$apply(ngModel.$setViewValue(newColor)); } if (withInput) { pickerColorInput.val(newColor); } return false; - } + }; - function mouseup() { + var mouseup = function () { emitEvent('colorpicker-selected'); $document.off('mousemove', mousemove); $document.off('mouseup', mouseup); - } + }; - function update(omitInnerInput) { + var update = function (omitInnerInput) { pickerColor.setColor(elem.val()); if (withInput && !omitInnerInput) { pickerColorInput.val(elem.val()); } pickerColorPointers.eq(0).css({ - left: pickerColor.value.s * componentSize + 'px', - top: componentSize - pickerColor.value.b * componentSize + 'px' + left: pickerColor.value.s * 100 + 'px', + top: 100 - pickerColor.value.b * 100 + 'px' }); - pickerColorPointers.eq(1).css('top', componentSize * (1 - pickerColor.value.h) + 'px'); - pickerColorPointers.eq(2).css('top', componentSize * (1 - pickerColor.value.a) + 'px'); + pickerColorPointers.eq(1).css('top', 100 * (1 - pickerColor.value.h) + 'px'); + pickerColorPointers.eq(2).css('top', 100 * (1 - pickerColor.value.a) + 'px'); previewColor(); - } + }; - function getColorpickerTemplatePosition() { + var getColorpickerTemplatePosition = function() { var positionValue, positionOffset = Helper.getOffset(elem[0]); @@ -493,13 +480,13 @@ angular.module('colorpicker.module', []) 'top': positionValue.top + 'px', 'left': positionValue.left + 'px' }; - } + }; - function documentMousedownHandler() { + var documentMousedownHandler = function() { hideColorpickerTemplate(); - } + }; - function showColorpickerTemplate() { + var showColorpickerTemplate = function() { if (!colorpickerTemplate.hasClass('colorpicker-visible')) { update(); @@ -520,9 +507,10 @@ angular.module('colorpicker.module', []) } } } - } - if (inline === false) { + }; + + if(inline === false) { elem.on('click', showColorpickerTemplate); } else { showColorpickerTemplate(); @@ -533,16 +521,16 @@ angular.module('colorpicker.module', []) event.preventDefault(); }); - function emitEvent(name) { - if (ngModel) { + var emitEvent = function(name) { + if(ngModel) { $scope.$emit(name, { name: attrs.ngModel, value: ngModel.$modelValue }); } - } + }; - function hideColorpickerTemplate() { + var hideColorpickerTemplate = function() { if (colorpickerTemplate.hasClass('colorpicker-visible')) { colorpickerTemplate.removeClass('colorpicker-visible'); emitEvent('colorpicker-closed'); @@ -556,7 +544,7 @@ angular.module('colorpicker.module', []) } } } - } + }; colorpickerTemplate.find('button').on('click', function () { hideColorpickerTemplate(); @@ -573,6 +561,7 @@ angular.module('colorpicker.module', []) }); } + } }; }]); diff --git a/public/bower_components/angular-bootstrap-colorpicker/colorpicker.css b/public/bower_components/angular-bootstrap-colorpicker/colorpicker.css index 2304a57..400162c 100644 --- a/public/bower_components/angular-bootstrap-colorpicker/colorpicker.css +++ b/public/bower_components/angular-bootstrap-colorpicker/colorpicker.css @@ -7,7 +7,6 @@ colorpicker-saturation { width: 100px; height: 100px; background-image: url("data:image/png;base64,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"); - background-size: contain; cursor: crosshair; float: left; } @@ -53,7 +52,6 @@ colorpicker-alpha i { } colorpicker-hue { background-image: url("data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAAEAAABkCAMAAABw8qpSAAABLFBMVEUA/z8AuP//JAAA/33/3AAA/1ABAv8A/7r/AH7/jgD2AP8A//j/AEHmAP/XAP/HAP+4AP//ALyoAP+aAP+JAP97AP9rAP9cAP9MAP8+AP8tAP8fAP8PAP8ATv//AG7/cAD/vgD/APoAmv//ADH/AKwB/wMA5//4Eg4AL///AOr/UQD/nwAA/27/7AAA/+kAe/8Ayf8A/5sA/zEA/6z/ABEAEP8A/17/MgAA/9n/ACL/gAD/AJ0AXP8Aqv//AMoA/yHqFBb/zAD/AGD/ANsA9//1/wDk/wDV/wDF/wC3/wD/AI2m/wD/FACY/wCI/wB5/wBp/wD/YgBb/wBK/wA8/wAs/wAd/wAN/wAAPv8A/xH/AFAAi///rQAA/8r/+gAA1///QwAAH/8Abf8A/43c/JNGAAAAiUlEQVR4AQXBg2EDAAAAsMy2bds2ttp2+/8PTby79mDLsKJPq/oFPdk24dWXAxsGjRg1ZtykKdNmzJozb8GiJct63WjYl7fiWdOZkk0vOpyr2fVtyKl7FX2uXGjpcuxWDy69KdiRk5WRlpIUFxMVERLw78+vH1Unun1YV3ZkwKM1CYfq7nQK22sD03ITV2Aqp0IAAAAASUVORK5CYII="); - background-size: contain; } colorpicker-alpha { display: none; @@ -61,7 +59,6 @@ colorpicker-alpha { colorpicker-alpha, .colorpicker-color { background-image: url("data:image/png;base64,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"); - background-size: 10px 100%; } .colorpicker { top: 0; diff --git a/public/bower_components/angular-bootstrap-contextmenu/contextMenu.js b/public/bower_components/angular-bootstrap-contextmenu/contextMenu.js index c921c79..9ff195b 100644 --- a/public/bower_components/angular-bootstrap-contextmenu/contextMenu.js +++ b/public/bower_components/angular-bootstrap-contextmenu/contextMenu.js @@ -1,196 +1,10 @@ angular.module('ui.bootstrap.contextMenu', []) -.service('CustomService', function () { - "use strict"; - - return { - initialize: function (item) { - console.log("got here", item); - } - } - -}) -.directive('contextMenu', ["$parse", "$q", "CustomService", "$sce", function ($parse, $q, custom, $sce) { - - var contextMenus = []; - var $currentContextMenu = null; - var defaultItemText = "New Item"; - - var removeContextMenus = function (level) { - /// Remove context menu. - while (contextMenus.length && (!level || contextMenus.length > level)) { - contextMenus.pop().remove(); - } - if (contextMenus.length == 0 && $currentContextMenu) { - $currentContextMenu.remove(); - } - }; - - - var processTextItem = function ($scope, item, text, event, model, $promises, nestedMenu, $) { - "use strict"; - - var $a = $(''); - $a.css("padding-right", "8px"); - $a.attr({ tabindex: '-1', href: '#' }); - - if (typeof item[0] === 'string') { - text = item[0]; - } - else if (typeof item[0] === "function") { - item[0].call($scope, $scope, event, model); - } else if (typeof item.text !== "undefined") { - text = item.text; - } - - var $promise = $q.when(text); - $promises.push($promise); - $promise.then(function (text) { - $a.text(text); - if (nestedMenu) { - $a.css("cursor", "default"); - $a.append($('>')); - } - }); - - return $a; - - }; - - var processItem = function ($scope, event, model, item, $ul, $li, $promises, $q, $, level) { - /// Process individual item - "use strict"; - var nestedMenu = angular.isArray(item[1]) - ? item[1] : angular.isArray(item[2]) - ? item[2] : angular.isArray(item[3]) - ? item[3] : null; - - // if html property is not defined, fallback to text, otherwise use default text - // if first item in the item array is a function then invoke .call() - // if first item is a string, then text should be the string. - - var text = defaultItemText; - if (typeof item[0] === 'string' || typeof item.text !== "undefined") { - text = processTextItem($scope, item, text, event, model, $promises, nestedMenu, $); - } - else if (typeof item.html !== "undefined") { - // leave styling open to dev - text = item.html - } - - $li.append(text); - - - - - // if item is object, and has enabled prop invoke the prop - // els if fallback to item[2] - - var isEnabled = function () { - if (typeof item.enabled !== "undefined") { - return item.enabled.call($scope, $scope, event, model, text); - } else if (typeof item[2] === "function") { - return item[2].call($scope, $scope, event, model, text); - } else { - return true; - } - }; - - registerEnabledEvents($scope, isEnabled(), item, $ul, $li, nestedMenu, model, text, event, $, level); - }; - - var handlePromises = function ($ul, level, event, $promises) { - /// - /// calculate if drop down menu would go out of screen at left or bottom - /// calculation need to be done after element has been added (and all texts are set; thus thepromises) - /// to the DOM the get the actual height - /// - "use strict"; - $q.all($promises).then(function () { - if (level === 0) { - var topCoordinate = event.pageY; - var menuHeight = angular.element($ul[0]).prop('offsetHeight'); - var winHeight = event.view.innerHeight; - if (topCoordinate > menuHeight && winHeight - topCoordinate < menuHeight) { - topCoordinate = event.pageY - menuHeight; - } - - var leftCoordinate = event.pageX; - var menuWidth = angular.element($ul[0]).prop('offsetWidth'); - var winWidth = event.view.innerWidth; - if (leftCoordinate > menuWidth && winWidth - leftCoordinate < menuWidth) { - leftCoordinate = event.pageX - menuWidth; - } - - $ul.css({ - display: 'block', - position: 'absolute', - left: leftCoordinate + 'px', - top: topCoordinate + 'px' - }); - } - }); - - }; - - var registerEnabledEvents = function ($scope, enabled, item, $ul, $li, nestedMenu, model, text, event, $, level) { - /// If item is enabled, register various mouse events. - if (enabled) { - var openNestedMenu = function ($event) { - removeContextMenus(level + 1); - var ev = { - pageX: event.pageX + $ul[0].offsetWidth - 1, - pageY: $ul[0].offsetTop + $li[0].offsetTop - 3 - }; - renderContextMenu($scope, ev, nestedMenu, model, level + 1); - }; - - $li.on('click', function ($event) { - $event.preventDefault(); - $scope.$apply(function () { - if (nestedMenu) { - openNestedMenu($event); - } else { - $(event.currentTarget).removeClass('context'); - removeContextMenus(); - - if (angular.isFunction(item[1])) { - item[1].call($scope, $scope, event, model, text) - } else { - item.click.call($scope, $scope, event, model, text); - } - } - }); - }); - - $li.on('mouseover', function ($event) { - $scope.$apply(function () { - if (nestedMenu) { - openNestedMenu($event); - } - }); - }); - } else { - $li.on('click', function ($event) { - $event.preventDefault(); - }); - $li.addClass('disabled'); - } - - }; - - - var renderContextMenu = function ($scope, event, options, model, level) { - /// Render context menu recursively. - if (!level) { level = 0; } +.directive('contextMenu', ["$parse", function ($parse) { + var renderContextMenu = function ($scope, event, options, model) { if (!$) { var $ = angular.element; } $(event.currentTarget).addClass('context'); var $contextMenu = $('
            '); - if ($currentContextMenu) { - $contextMenu = $currentContextMenu; - } else { - $currentContextMenu = $contextMenu; - } $contextMenu.addClass('dropdown clearfix'); var $ul = $('
            * * The built-in DOM manipulation directives, such as {@link ngIf}, {@link ngSwitch} and {@link ngRepeat} - * automatically destroy their transcluded clones as necessary so you do not need to worry about this if + * automatically destroy their transluded clones as necessary so you do not need to worry about this if * you are simply using {@link ngTransclude} to inject the transclusion into your directive. * * @@ -16427,19 +16007,19 @@ function $TemplateCacheProvider() { * * The `$parent` scope hierarchy will look like this: * - ``` - - $rootScope - - isolate - - transclusion - ``` + * ``` + * - $rootScope + * - isolate + * - transclusion + * ``` * * but the scopes will inherit prototypically from different scopes to their `$parent`. * - ``` - - $rootScope - - transclusion - - isolate - ``` + * ``` + * - $rootScope + * - transclusion + * - isolate + * ``` * * * ### Attributes @@ -16447,9 +16027,10 @@ function $TemplateCacheProvider() { * The {@link ng.$compile.directive.Attributes Attributes} object - passed as a parameter in the * `link()` or `compile()` functions. It has a variety of uses. * - * * *Accessing normalized attribute names:* Directives like 'ngBind' can be expressed in many ways: - * 'ng:bind', `data-ng-bind`, or 'x-ng-bind'. The attributes object allows for normalized access - * to the attributes. + * accessing *Normalized attribute names:* + * Directives like 'ngBind' can be expressed in many ways: 'ng:bind', `data-ng-bind`, or 'x-ng-bind'. + * the attributes object allows for normalized access to + * the attributes. * * * *Directive inter-communication:* All directives share the same instance of the attributes * object which allows the directives to use the attributes object as inter directive @@ -16570,15 +16151,8 @@ function $TemplateCacheProvider() { * directives; if given, it will be passed through to the link functions of * directives found in `element` during compilation. * * `transcludeControllers` - an object hash with keys that map controller names - * to a hash with the key `instance`, which maps to the controller instance; - * if given, it will make the controllers available to directives on the compileNode: - * ``` - * { - * parent: { - * instance: parentControllerInstance - * } - * } - * ``` + * to controller instances; if given, it will make the controllers + * available to directives. * * `futureParentElement` - defines the parent to which the `cloneAttachFn` will add * the cloned elements; only needed for transcludes that are allowed to contain non html * elements (e.g. SVG elements). See also the directive.controller property. @@ -16618,9 +16192,6 @@ function $TemplateCacheProvider() { var $compileMinErr = minErr('$compile'); -function UNINITIALIZED_VALUE() {} -var _UNINITIALIZED_VALUE = new UNINITIALIZED_VALUE(); - /** * @ngdoc provider * @name $compileProvider @@ -16640,18 +16211,13 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { // The assumption is that future DOM event attribute names will begin with // 'on' and be composed of only English letters. var EVENT_HANDLER_ATTR_REGEXP = /^(on[a-z]+|formaction)$/; - var bindingCache = createMap(); function parseIsolateBindings(scope, directiveName, isController) { - var LOCAL_REGEXP = /^\s*([@&<]|=(\*?))(\??)\s*(\w*)\s*$/; + var LOCAL_REGEXP = /^\s*([@&]|=(\*?))(\??)\s*(\w*)\s*$/; - var bindings = createMap(); + var bindings = {}; forEach(scope, function(definition, scopeName) { - if (definition in bindingCache) { - bindings[scopeName] = bindingCache[definition]; - return; - } var match = definition.match(LOCAL_REGEXP); if (!match) { @@ -16669,9 +16235,6 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { optional: match[3] === '?', attrName: match[4] || scopeName }; - if (match[4]) { - bindingCache[definition] = bindings[scopeName]; - } }); return bindings; @@ -16717,11 +16280,11 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { function assertValidDirectiveName(name) { var letter = name.charAt(0); if (!letter || letter !== lowercase(letter)) { - throw $compileMinErr('baddir', "Directive/Component name '{0}' is invalid. The first character must be a lowercase letter", name); + throw $compileMinErr('baddir', "Directive name '{0}' is invalid. The first character must be a lowercase letter", name); } if (name !== name.trim()) { throw $compileMinErr('baddir', - "Directive/Component name '{0}' is invalid. The name should not contain leading or trailing whitespaces", + "Directive name '{0}' is invalid. The name should not contain leading or trailing whitespaces", name); } } @@ -16737,11 +16300,11 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { * @param {string|Object} name Name of the directive in camel-case (i.e. ngBind which * will match as ng-bind), or an object map of directives where the keys are the * names and the values are the factories. - * @param {Function|Array} directiveFactory An injectable directive factory function. See the - * {@link guide/directive directive guide} and the {@link $compile compile API} for more info. + * @param {Function|Array} directiveFactory An injectable directive factory function. See + * {@link guide/directive} for more info. * @returns {ng.$compileProvider} Self for chaining. */ - this.directive = function registerDirective(name, directiveFactory) { + this.directive = function registerDirective(name, directiveFactory) { assertNotHasOwnProperty(name, 'directive'); if (isString(name)) { assertValidDirectiveName(name); @@ -16764,6 +16327,11 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { directive.name = directive.name || name; directive.require = directive.require || (directive.controller && directive.name); directive.restrict = directive.restrict || 'EA'; + var bindings = directive.$$bindings = + parseDirectiveBindings(directive, directive.name); + if (isObject(bindings.isolateScope)) { + directive.$$isolateBindings = bindings.isolateScope; + } directive.$$moduleName = directiveFactory.$$moduleName; directives.push(directive); } catch (e) { @@ -16780,147 +16348,6 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { return this; }; - /** - * @ngdoc method - * @name $compileProvider#component - * @module ng - * @param {string} name Name of the component in camelCase (i.e. `myComp` which will match ``) - * @param {Object} options Component definition object (a simplified - * {@link ng.$compile#directive-definition-object directive definition object}), - * with the following properties (all optional): - * - * - `controller` – `{(string|function()=}` – controller constructor function that should be - * associated with newly created scope or the name of a {@link ng.$compile#-controller- - * registered controller} if passed as a string. An empty `noop` function by default. - * - `controllerAs` – `{string=}` – identifier name for to reference the controller in the component's scope. - * If present, the controller will be published to scope under the `controllerAs` name. - * If not present, this will default to be `$ctrl`. - * - `template` – `{string=|function()=}` – html template as a string or a function that - * returns an html template as a string which should be used as the contents of this component. - * Empty string by default. - * - * If `template` is a function, then it is {@link auto.$injector#invoke injected} with - * the following locals: - * - * - `$element` - Current element - * - `$attrs` - Current attributes object for the element - * - * - `templateUrl` – `{string=|function()=}` – path or function that returns a path to an html - * template that should be used as the contents of this component. - * - * If `templateUrl` is a function, then it is {@link auto.$injector#invoke injected} with - * the following locals: - * - * - `$element` - Current element - * - `$attrs` - Current attributes object for the element - * - * - `bindings` – `{object=}` – defines bindings between DOM attributes and component properties. - * Component properties are always bound to the component controller and not to the scope. - * See {@link ng.$compile#-bindtocontroller- `bindToController`}. - * - `transclude` – `{boolean=}` – whether {@link $compile#transclusion content transclusion} is enabled. - * Disabled by default. - * - `require` - `{Object=}` - requires the controllers of other directives and binds them to - * this component's controller. The object keys specify the property names under which the required - * controllers (object values) will be bound. See {@link ng.$compile#-require- `require`}. - * - `$...` – additional properties to attach to the directive factory function and the controller - * constructor function. (This is used by the component router to annotate) - * - * @returns {ng.$compileProvider} the compile provider itself, for chaining of function calls. - * @description - * Register a **component definition** with the compiler. This is a shorthand for registering a special - * type of directive, which represents a self-contained UI component in your application. Such components - * are always isolated (i.e. `scope: {}`) and are always restricted to elements (i.e. `restrict: 'E'`). - * - * Component definitions are very simple and do not require as much configuration as defining general - * directives. Component definitions usually consist only of a template and a controller backing it. - * - * In order to make the definition easier, components enforce best practices like use of `controllerAs`, - * `bindToController`. They always have **isolate scope** and are restricted to elements. - * - * Here are a few examples of how you would usually define components: - * - * ```js - * var myMod = angular.module(...); - * myMod.component('myComp', { - * template: '
            My name is {{$ctrl.name}}
            ', - * controller: function() { - * this.name = 'shahar'; - * } - * }); - * - * myMod.component('myComp', { - * template: '
            My name is {{$ctrl.name}}
            ', - * bindings: {name: '@'} - * }); - * - * myMod.component('myComp', { - * templateUrl: 'views/my-comp.html', - * controller: 'MyCtrl', - * controllerAs: 'ctrl', - * bindings: {name: '@'} - * }); - * - * ``` - * For more examples, and an in-depth guide, see the {@link guide/component component guide}. - * - *
            - * See also {@link ng.$compileProvider#directive $compileProvider.directive()}. - */ - this.component = function registerComponent(name, options) { - var controller = options.controller || function() {}; - - function factory($injector) { - function makeInjectable(fn) { - if (isFunction(fn) || isArray(fn)) { - return function(tElement, tAttrs) { - return $injector.invoke(fn, this, {$element: tElement, $attrs: tAttrs}); - }; - } else { - return fn; - } - } - - var template = (!options.template && !options.templateUrl ? '' : options.template); - var ddo = { - controller: controller, - controllerAs: identifierForController(options.controller) || options.controllerAs || '$ctrl', - template: makeInjectable(template), - templateUrl: makeInjectable(options.templateUrl), - transclude: options.transclude, - scope: {}, - bindToController: options.bindings || {}, - restrict: 'E', - require: options.require - }; - - // Copy annotations (starting with $) over to the DDO - forEach(options, function(val, key) { - if (key.charAt(0) === '$') ddo[key] = val; - }); - - return ddo; - } - - // TODO(pete) remove the following `forEach` before we release 1.6.0 - // The component-router@0.2.0 looks for the annotations on the controller constructor - // Nothing in Angular looks for annotations on the factory function but we can't remove - // it from 1.5.x yet. - - // Copy any annotation properties (starting with $) over to the factory and controller constructor functions - // These could be used by libraries such as the new component router - forEach(options, function(val, key) { - if (key.charAt(0) === '$') { - factory[key] = val; - // Don't try to copy over annotations to named controller - if (isFunction(controller)) controller[key] = val; - } - }); - - factory.$inject = ['$injector']; - - return this.directive(name, factory); - }; - /** * @ngdoc method @@ -17012,75 +16439,13 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { return debugInfoEnabled; }; - - var TTL = 10; - /** - * @ngdoc method - * @name $compileProvider#onChangesTtl - * @description - * - * Sets the number of times `$onChanges` hooks can trigger new changes before giving up and - * assuming that the model is unstable. - * - * The current default is 10 iterations. - * - * In complex applications it's possible that dependencies between `$onChanges` hooks and bindings will result - * in several iterations of calls to these hooks. However if an application needs more than the default 10 - * iterations to stabilize then you should investigate what is causing the model to continuously change during - * the `$onChanges` hook execution. - * - * Increasing the TTL could have performance implications, so you should not change it without proper justification. - * - * @param {number} limit The number of `$onChanges` hook iterations. - * @returns {number|object} the current limit (or `this` if called as a setter for chaining) - */ - this.onChangesTtl = function(value) { - if (arguments.length) { - TTL = value; - return this; - } - return TTL; - }; - this.$get = [ '$injector', '$interpolate', '$exceptionHandler', '$templateRequest', '$parse', - '$controller', '$rootScope', '$sce', '$animate', '$$sanitizeUri', + '$controller', '$rootScope', '$document', '$sce', '$animate', '$$sanitizeUri', function($injector, $interpolate, $exceptionHandler, $templateRequest, $parse, - $controller, $rootScope, $sce, $animate, $$sanitizeUri) { - - var SIMPLE_ATTR_NAME = /^\w/; - var specialAttrHolder = window.document.createElement('div'); - - - - var onChangesTtl = TTL; - // The onChanges hooks should all be run together in a single digest - // When changes occur, the call to trigger their hooks will be added to this queue - var onChangesQueue; + $controller, $rootScope, $document, $sce, $animate, $$sanitizeUri) { - // This function is called in a $$postDigest to trigger all the onChanges hooks in a single digest - function flushOnChangesQueue() { - try { - if (!(--onChangesTtl)) { - // We have hit the TTL limit so reset everything - onChangesQueue = undefined; - throw $compileMinErr('infchng', '{0} $onChanges() iterations reached. Aborting!\n', TTL); - } - // We must run this hook in an apply since the $$postDigest runs outside apply - $rootScope.$apply(function() { - for (var i = 0, ii = onChangesQueue.length; i < ii; ++i) { - onChangesQueue[i](); - } - // Reset the queue to trigger a new schedule next time there is a change - onChangesQueue = undefined; - }); - } finally { - onChangesTtl++; - } - } - - - function Attributes(element, attributesToCopy) { + var Attributes = function(element, attributesToCopy) { if (attributesToCopy) { var keys = Object.keys(attributesToCopy); var i, l, key; @@ -17094,7 +16459,7 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { } this.$$element = element; - } + }; Attributes.prototype = { /** @@ -17215,7 +16580,7 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { nodeName = nodeName_(this.$$element); - if ((nodeName === 'a' && (key === 'href' || key === 'xlinkHref')) || + if ((nodeName === 'a' && key === 'href') || (nodeName === 'img' && key === 'src')) { // sanitize a[href] and img[src] values this[key] = value = $$sanitizeUri(value, key === 'src'); @@ -17259,11 +16624,7 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { if (value === null || isUndefined(value)) { this.$$element.removeAttr(attrName); } else { - if (SIMPLE_ATTR_NAME.test(attrName)) { - this.$$element.attr(attrName, value); - } else { - setSpecialAttr(this.$$element[0], attrName, value); - } + this.$$element.attr(attrName, value); } } @@ -17294,8 +16655,7 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { * @param {string} key Normalized key. (ie ngAttribute) . * @param {function(interpolatedValue)} fn Function that will be called whenever the interpolated value of the attribute changes. - * See the {@link guide/interpolation#how-text-and-attribute-bindings-work Interpolation - * guide} for more info. + * See the {@link guide/directive#text-and-attribute-bindings Directives} guide for more info. * @returns {function()} Returns a deregistration function for this observer. */ $observe: function(key, fn) { @@ -17317,18 +16677,6 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { } }; - function setSpecialAttr(element, attrName, value) { - // Attributes names that do not start with letters (such as `(click)`) cannot be set using `setAttribute` - // so we have to jump through some hoops to get such an attribute - // https://github.com/angular/angular.js/pull/13318 - specialAttrHolder.innerHTML = ""; - var attributes = specialAttrHolder.firstChild.attributes; - var attribute = attributes[0]; - // We have to remove the attribute from its container element before we can add it to the destination element - attributes.removeNamedItem(attribute.name); - attribute.value = value; - element.attributes.setNamedItem(attribute); - } function safeAddClass($element, className) { try { @@ -17342,7 +16690,7 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { var startSymbol = $interpolate.startSymbol(), endSymbol = $interpolate.endSymbol(), - denormalizeTemplate = (startSymbol == '{{' && endSymbol == '}}') + denormalizeTemplate = (startSymbol == '{{' || endSymbol == '}}') ? identity : function denormalizeTemplate(template) { return template.replace(/\{\{/g, startSymbol).replace(/}}/g, endSymbol); @@ -17375,14 +16723,6 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { safeAddClass($element, isolated ? 'ng-isolate-scope' : 'ng-scope'); } : noop; - compile.$$createComment = function(directiveName, comment) { - var content = ''; - if (debugInfoEnabled) { - content = ' ' + (directiveName || '') + ': ' + (comment || '') + ' '; - } - return window.document.createComment(content); - }; - return compile; //================================ @@ -17394,19 +16734,13 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { // modify it. $compileNodes = jqLite($compileNodes); } - - var NOT_EMPTY = /\S+/; - // We can not compile top level text elements since text nodes can be merged and we will // not be able to attach scope data to them, so we will wrap them in - for (var i = 0, len = $compileNodes.length; i < len; i++) { - var domNode = $compileNodes[i]; - - if (domNode.nodeType === NODE_TYPE_TEXT && domNode.nodeValue.match(NOT_EMPTY) /* non-empty */) { - jqLiteWrapNode(domNode, $compileNodes[i] = window.document.createElement('span')); + forEach($compileNodes, function(node, index) { + if (node.nodeType == NODE_TYPE_TEXT && node.nodeValue.match(/\S+/) /* non-empty */ ) { + $compileNodes[index] = jqLite(node).wrap('').parent()[0]; } - } - + }); var compositeLinkFn = compileNodes($compileNodes, transcludeFn, $compileNodes, maxPriority, ignoreDirective, previousCompileContext); @@ -17477,7 +16811,7 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { if (!node) { return 'html'; } else { - return nodeName_(node) !== 'foreignobject' && toString.call(node).match(/SVG/) ? 'svg' : 'html'; + return nodeName_(node) !== 'foreignobject' && node.toString().match(/SVG/) ? 'svg' : 'html'; } } @@ -17596,7 +16930,8 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { } function createBoundTranscludeFn(scope, transcludeFn, previousBoundTranscludeFn) { - function boundTranscludeFn(transcludedScope, cloneFn, controllers, futureParentElement, containingScope) { + + var boundTranscludeFn = function(transcludedScope, cloneFn, controllers, futureParentElement, containingScope) { if (!transcludedScope) { transcludedScope = scope.$new(false, containingScope); @@ -17608,18 +16943,7 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { transcludeControllers: controllers, futureParentElement: futureParentElement }); - } - - // We need to attach the transclusion slots onto the `boundTranscludeFn` - // so that they are available inside the `controllersBoundTransclude` function - var boundSlots = boundTranscludeFn.$$slots = createMap(); - for (var slotName in transcludeFn.$$slots) { - if (transcludeFn.$$slots[slotName]) { - boundSlots[slotName] = createBoundTranscludeFn(scope, transcludeFn.$$slots[slotName], previousBoundTranscludeFn); - } else { - boundSlots[slotName] = null; - } - } + }; return boundTranscludeFn; } @@ -17773,42 +17097,13 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { * @returns {Function} */ function groupElementsLinkFnWrapper(linkFn, attrStart, attrEnd) { - return function groupedElementsLink(scope, element, attrs, controllers, transcludeFn) { + return function(scope, element, attrs, controllers, transcludeFn) { element = groupScan(element[0], attrStart, attrEnd); return linkFn(scope, element, attrs, controllers, transcludeFn); }; } /** - * A function generator that is used to support both eager and lazy compilation - * linking function. - * @param eager - * @param $compileNodes - * @param transcludeFn - * @param maxPriority - * @param ignoreDirective - * @param previousCompileContext - * @returns {Function} - */ - function compilationGenerator(eager, $compileNodes, transcludeFn, maxPriority, ignoreDirective, previousCompileContext) { - var compiled; - - if (eager) { - return compile($compileNodes, transcludeFn, maxPriority, ignoreDirective, previousCompileContext); - } - return function lazyCompilation() { - if (!compiled) { - compiled = compile($compileNodes, transcludeFn, maxPriority, ignoreDirective, previousCompileContext); - - // Null out all of these references in order to make them eligible for garbage collection - // since this is a potentially long lived closure - $compileNodes = transcludeFn = previousCompileContext = null; - } - return compiled.apply(this, arguments); - }; - } - - /** * Once the directives have been collected, their compile functions are executed. This method * is responsible for inlining directive templates as well as terminating the application * of the directives if the terminal directive has been reached. @@ -17852,8 +17147,6 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { replaceDirective = originalReplaceDirective, childTranscludeFn = transcludeFn, linkFn, - didScanForMultipleTransclusion = false, - mightHaveMultipleTransclusionError = false, directiveValue; // executes all directives on the current element @@ -17896,27 +17189,6 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { directiveName = directive.name; - // If we encounter a condition that can result in transclusion on the directive, - // then scan ahead in the remaining directives for others that may cause a multiple - // transclusion error to be thrown during the compilation process. If a matching directive - // is found, then we know that when we encounter a transcluded directive, we need to eagerly - // compile the `transclude` function rather than doing it lazily in order to throw - // exceptions at the correct time - if (!didScanForMultipleTransclusion && ((directive.replace && (directive.templateUrl || directive.template)) - || (directive.transclude && !directive.$$tlb))) { - var candidateDirective; - - for (var scanningIndex = i + 1; candidateDirective = directives[scanningIndex++];) { - if ((candidateDirective.transclude && !candidateDirective.$$tlb) - || (candidateDirective.replace && (candidateDirective.templateUrl || candidateDirective.template))) { - mightHaveMultipleTransclusionError = true; - break; - } - } - - didScanForMultipleTransclusion = true; - } - if (!directive.templateUrl && directive.controller) { directiveValue = directive.controller; controllerDirectives = controllerDirectives || createMap(); @@ -17941,22 +17213,12 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { terminalPriority = directive.priority; $template = $compileNode; $compileNode = templateAttrs.$$element = - jqLite(compile.$$createComment(directiveName, templateAttrs[directiveName])); + jqLite(document.createComment(' ' + directiveName + ': ' + + templateAttrs[directiveName] + ' ')); compileNode = $compileNode[0]; replaceWith(jqCollection, sliceArgs($template), compileNode); - // Support: Chrome < 50 - // https://github.com/angular/angular.js/issues/14041 - - // In the versions of V8 prior to Chrome 50, the document fragment that is created - // in the `replaceWith` function is improperly garbage collected despite still - // being referenced by the `parentNode` property of all of the child nodes. By adding - // a reference to the fragment via a different property, we can avoid that incorrect - // behavior. - // TODO: remove this line after Chrome 50 has been released - $template[0].$$parentNode = $template[0].parentNode; - - childTranscludeFn = compilationGenerator(mightHaveMultipleTransclusionError, $template, transcludeFn, terminalPriority, + childTranscludeFn = compile($template, transcludeFn, terminalPriority, replaceDirective && replaceDirective.name, { // Don't pass in: // - controllerDirectives - otherwise we'll create duplicates controllers @@ -17968,69 +17230,10 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { nonTlbTranscludeDirective: nonTlbTranscludeDirective }); } else { - - var slots = createMap(); - $template = jqLite(jqLiteClone(compileNode)).contents(); - - if (isObject(directiveValue)) { - - // We have transclusion slots, - // collect them up, compile them and store their transclusion functions - $template = []; - - var slotMap = createMap(); - var filledSlots = createMap(); - - // Parse the element selectors - forEach(directiveValue, function(elementSelector, slotName) { - // If an element selector starts with a ? then it is optional - var optional = (elementSelector.charAt(0) === '?'); - elementSelector = optional ? elementSelector.substring(1) : elementSelector; - - slotMap[elementSelector] = slotName; - - // We explicitly assign `null` since this implies that a slot was defined but not filled. - // Later when calling boundTransclusion functions with a slot name we only error if the - // slot is `undefined` - slots[slotName] = null; - - // filledSlots contains `true` for all slots that are either optional or have been - // filled. This is used to check that we have not missed any required slots - filledSlots[slotName] = optional; - }); - - // Add the matching elements into their slot - forEach($compileNode.contents(), function(node) { - var slotName = slotMap[directiveNormalize(nodeName_(node))]; - if (slotName) { - filledSlots[slotName] = true; - slots[slotName] = slots[slotName] || []; - slots[slotName].push(node); - } else { - $template.push(node); - } - }); - - // Check for required slots that were not filled - forEach(filledSlots, function(filled, slotName) { - if (!filled) { - throw $compileMinErr('reqslot', 'Required transclusion slot `{0}` was not filled.', slotName); - } - }); - - for (var slotName in slots) { - if (slots[slotName]) { - // Only define a transclusion function if the slot was filled - slots[slotName] = compilationGenerator(mightHaveMultipleTransclusionError, slots[slotName], transcludeFn); - } - } - } - $compileNode.empty(); // clear contents - childTranscludeFn = compilationGenerator(mightHaveMultipleTransclusionError, $template, transcludeFn, undefined, + childTranscludeFn = compile($template, transcludeFn, undefined, undefined, { needsNewScope: directive.$$isolateScope || directive.$$newScope}); - childTranscludeFn.$$slots = slots; } } @@ -18096,9 +17299,7 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { replaceDirective = directive; } - /* jshint -W021 */ nodeLinkFn = compileTemplateUrl(directives.splice(i, directives.length - i), $compileNode, - /* jshint +W021 */ templateAttrs, jqCollection, hasTranscludeDirective && childTranscludeFn, preLinkFns, postLinkFns, { controllerDirectives: controllerDirectives, newScopeDirective: (newScopeDirective !== directive) && newScopeDirective, @@ -18160,9 +17361,80 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { } } + + function getControllers(directiveName, require, $element, elementControllers) { + var value; + + if (isString(require)) { + var match = require.match(REQUIRE_PREFIX_REGEXP); + var name = require.substring(match[0].length); + var inheritType = match[1] || match[3]; + var optional = match[2] === '?'; + + //If only parents then start at the parent element + if (inheritType === '^^') { + $element = $element.parent(); + //Otherwise attempt getting the controller from elementControllers in case + //the element is transcluded (and has no data) and to avoid .data if possible + } else { + value = elementControllers && elementControllers[name]; + value = value && value.instance; + } + + if (!value) { + var dataName = '$' + name + 'Controller'; + value = inheritType ? $element.inheritedData(dataName) : $element.data(dataName); + } + + if (!value && !optional) { + throw $compileMinErr('ctreq', + "Controller '{0}', required by directive '{1}', can't be found!", + name, directiveName); + } + } else if (isArray(require)) { + value = []; + for (var i = 0, ii = require.length; i < ii; i++) { + value[i] = getControllers(directiveName, require[i], $element, elementControllers); + } + } + + return value || null; + } + + function setupControllers($element, attrs, transcludeFn, controllerDirectives, isolateScope, scope) { + var elementControllers = createMap(); + for (var controllerKey in controllerDirectives) { + var directive = controllerDirectives[controllerKey]; + var locals = { + $scope: directive === newIsolateScopeDirective || directive.$$isolateScope ? isolateScope : scope, + $element: $element, + $attrs: attrs, + $transclude: transcludeFn + }; + + var controller = directive.controller; + if (controller == '@') { + controller = attrs[directive.name]; + } + + var controllerInstance = $controller(controller, locals, true, directive.controllerAs); + + // For directives with element transclusion the element is a comment, + // but jQuery .data doesn't support attaching data to comment nodes as it's hard to + // clean up (http://bugs.jquery.com/ticket/8335). + // Instead, we save the controllers for the element in a local hash and attach to .data + // later, once we have the actual element. + elementControllers[directive.name] = controllerInstance; + if (!hasElementTranscludeDirective) { + $element.data('$' + directive.name + 'Controller', controllerInstance.instance); + } + } + return elementControllers; + } + function nodeLinkFn(childLinkFn, scope, linkNode, $rootElement, boundTranscludeFn) { - var i, ii, linkFn, isolateScope, controllerScope, elementControllers, transcludeFn, $element, - attrs, scopeBindingInfo; + var linkFn, isolateScope, controllerScope, elementControllers, transcludeFn, $element, + attrs, removeScopeBindingWatches, removeControllerBindingWatches; if (compileNode === linkNode) { attrs = templateAttrs; @@ -18184,14 +17456,10 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { // is later passed as `parentBoundTranscludeFn` to `publicLinkFn` transcludeFn = controllersBoundTransclude; transcludeFn.$$boundTransclude = boundTranscludeFn; - // expose the slots on the `$transclude` function - transcludeFn.isSlotFilled = function(slotName) { - return !!boundTranscludeFn.$$slots[slotName]; - }; } if (controllerDirectives) { - elementControllers = setupControllers($element, attrs, transcludeFn, controllerDirectives, isolateScope, scope, newIsolateScopeDirective); + elementControllers = setupControllers($element, attrs, transcludeFn, controllerDirectives, isolateScope, scope); } if (newIsolateScopeDirective) { @@ -18201,11 +17469,11 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { compile.$$addScopeClass($element, true); isolateScope.$$isolateBindings = newIsolateScopeDirective.$$isolateBindings; - scopeBindingInfo = initializeDirectiveBindings(scope, attrs, isolateScope, + removeScopeBindingWatches = initializeDirectiveBindings(scope, attrs, isolateScope, isolateScope.$$isolateBindings, newIsolateScopeDirective); - if (scopeBindingInfo.removeWatches) { - isolateScope.$on('$destroy', scopeBindingInfo.removeWatches); + if (removeScopeBindingWatches) { + isolateScope.$on('$destroy', removeScopeBindingWatches); } } @@ -18216,10 +17484,8 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { var bindings = controllerDirective.$$bindings.bindToController; if (controller.identifier && bindings) { - controller.bindingInfo = + removeControllerBindingWatches = initializeDirectiveBindings(controllerScope, attrs, controller.instance, bindings, controllerDirective); - } else { - controller.bindingInfo = {}; } var controllerResult = controller(); @@ -18228,36 +17494,12 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { // from setupControllers controller.instance = controllerResult; $element.data('$' + controllerDirective.name + 'Controller', controllerResult); - controller.bindingInfo.removeWatches && controller.bindingInfo.removeWatches(); - controller.bindingInfo = + removeControllerBindingWatches && removeControllerBindingWatches(); + removeControllerBindingWatches = initializeDirectiveBindings(controllerScope, attrs, controller.instance, bindings, controllerDirective); } } - // Bind the required controllers to the controller, if `require` is an object and `bindToController` is truthy - forEach(controllerDirectives, function(controllerDirective, name) { - var require = controllerDirective.require; - if (controllerDirective.bindToController && !isArray(require) && isObject(require)) { - extend(elementControllers[name].instance, getControllers(name, require, $element, elementControllers)); - } - }); - - // Handle the init and destroy lifecycle hooks on all controllers that have them - forEach(elementControllers, function(controller) { - var controllerInstance = controller.instance; - if (isFunction(controllerInstance.$onChanges)) { - controllerInstance.$onChanges(controller.bindingInfo.initialChanges); - } - if (isFunction(controllerInstance.$onInit)) { - controllerInstance.$onInit(); - } - if (isFunction(controllerInstance.$onDestroy)) { - controllerScope.$on('$destroy', function callOnDestroyHook() { - controllerInstance.$onDestroy(); - }); - } - }); - // PRELINKING for (i = 0, ii = preLinkFns.length; i < ii; i++) { linkFn = preLinkFns[i]; @@ -18291,21 +17533,13 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { ); } - // Trigger $postLink lifecycle hooks - forEach(elementControllers, function(controller) { - var controllerInstance = controller.instance; - if (isFunction(controllerInstance.$postLink)) { - controllerInstance.$postLink(); - } - }); - // This is the function that is injected as `$transclude`. // Note: all arguments are optional! - function controllersBoundTransclude(scope, cloneAttachFn, futureParentElement, slotName) { + function controllersBoundTransclude(scope, cloneAttachFn, futureParentElement) { var transcludeControllers; + // No scope passed in: if (!isScope(scope)) { - slotName = futureParentElement; futureParentElement = cloneAttachFn; cloneAttachFn = scope; scope = undefined; @@ -18317,99 +17551,11 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { if (!futureParentElement) { futureParentElement = hasElementTranscludeDirective ? $element.parent() : $element; } - if (slotName) { - // slotTranscludeFn can be one of three things: - // * a transclude function - a filled slot - // * `null` - an optional slot that was not filled - // * `undefined` - a slot that was not declared (i.e. invalid) - var slotTranscludeFn = boundTranscludeFn.$$slots[slotName]; - if (slotTranscludeFn) { - return slotTranscludeFn(scope, cloneAttachFn, transcludeControllers, futureParentElement, scopeToChild); - } else if (isUndefined(slotTranscludeFn)) { - throw $compileMinErr('noslot', - 'No parent directive that requires a transclusion with slot name "{0}". ' + - 'Element: {1}', - slotName, startingTag($element)); - } - } else { - return boundTranscludeFn(scope, cloneAttachFn, transcludeControllers, futureParentElement, scopeToChild); - } + return boundTranscludeFn(scope, cloneAttachFn, transcludeControllers, futureParentElement, scopeToChild); } } } - function getControllers(directiveName, require, $element, elementControllers) { - var value; - - if (isString(require)) { - var match = require.match(REQUIRE_PREFIX_REGEXP); - var name = require.substring(match[0].length); - var inheritType = match[1] || match[3]; - var optional = match[2] === '?'; - - //If only parents then start at the parent element - if (inheritType === '^^') { - $element = $element.parent(); - //Otherwise attempt getting the controller from elementControllers in case - //the element is transcluded (and has no data) and to avoid .data if possible - } else { - value = elementControllers && elementControllers[name]; - value = value && value.instance; - } - - if (!value) { - var dataName = '$' + name + 'Controller'; - value = inheritType ? $element.inheritedData(dataName) : $element.data(dataName); - } - - if (!value && !optional) { - throw $compileMinErr('ctreq', - "Controller '{0}', required by directive '{1}', can't be found!", - name, directiveName); - } - } else if (isArray(require)) { - value = []; - for (var i = 0, ii = require.length; i < ii; i++) { - value[i] = getControllers(directiveName, require[i], $element, elementControllers); - } - } else if (isObject(require)) { - value = {}; - forEach(require, function(controller, property) { - value[property] = getControllers(directiveName, controller, $element, elementControllers); - }); - } - - return value || null; - } - - function setupControllers($element, attrs, transcludeFn, controllerDirectives, isolateScope, scope, newIsolateScopeDirective) { - var elementControllers = createMap(); - for (var controllerKey in controllerDirectives) { - var directive = controllerDirectives[controllerKey]; - var locals = { - $scope: directive === newIsolateScopeDirective || directive.$$isolateScope ? isolateScope : scope, - $element: $element, - $attrs: attrs, - $transclude: transcludeFn - }; - - var controller = directive.controller; - if (controller == '@') { - controller = attrs[directive.name]; - } - - var controllerInstance = $controller(controller, locals, true, directive.controllerAs); - - // For directives with element transclusion the element is a comment. - // In this case .data will not attach any data. - // Instead, we save the controllers for the element in a local hash and attach to .data - // later, once we have the actual element. - elementControllers[directive.name] = controllerInstance; - $element.data('$' + directive.name + 'Controller', controllerInstance.instance); - } - return elementControllers; - } - // Depending upon the context in which a directive finds itself it might need to have a new isolated // or child scope created. For instance: // * if the directive has been pulled into a template because another directive with a higher priority @@ -18450,13 +17596,6 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { if (startAttrName) { directive = inherit(directive, {$$start: startAttrName, $$end: endAttrName}); } - if (!directive.$$bindings) { - var bindings = directive.$$bindings = - parseDirectiveBindings(directive, directive.name); - if (isObject(bindings.isolateScope)) { - directive.$$isolateBindings = bindings.isolateScope; - } - } tDirectives.push(directive); match = directive; } @@ -18704,7 +17843,7 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { switch (type) { case 'svg': case 'math': - var wrapper = window.document.createElement('div'); + var wrapper = document.createElement('div'); wrapper.innerHTML = '<' + type + '>' + template + ''; return wrapper.childNodes[0].childNodes; default: @@ -18844,14 +17983,9 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { parent.replaceChild(newNode, firstElementToRemove); } - // Append all the `elementsToRemove` to a fragment. This will... - // - remove them from the DOM - // - allow them to still be traversed with .nextSibling - // - allow a single fragment.qSA to fetch all elements being removed - var fragment = window.document.createDocumentFragment(); - for (i = 0; i < removeCount; i++) { - fragment.appendChild(elementsToRemove[i]); - } + // TODO(perf): what's this document fragment for? is it needed? can we at least reuse it? + var fragment = document.createDocumentFragment(); + fragment.appendChild(firstElementToRemove); if (jqLite.hasData(firstElementToRemove)) { // Copy over user data (that includes Angular's $scope etc.). Don't copy private @@ -18859,18 +17993,31 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { // event listeners (which is the main use of private data) wouldn't work anyway. jqLite.data(newNode, jqLite.data(firstElementToRemove)); - // Remove $destroy event listeners from `firstElementToRemove` - jqLite(firstElementToRemove).off('$destroy'); + // Remove data of the replaced element. We cannot just call .remove() + // on the element it since that would deallocate scope that is needed + // for the new node. Instead, remove the data "manually". + if (!jQuery) { + delete jqLite.cache[firstElementToRemove[jqLite.expando]]; + } else { + // jQuery 2.x doesn't expose the data storage. Use jQuery.cleanData to clean up after + // the replaced element. The cleanData version monkey-patched by Angular would cause + // the scope to be trashed and we do need the very same scope to work with the new + // element. However, we cannot just cache the non-patched version and use it here as + // that would break if another library patches the method after Angular does (one + // example is jQuery UI). Instead, set a flag indicating scope destroying should be + // skipped this one time. + skipDestroyOnNextJQueryCleanData = true; + jQuery.cleanData([firstElementToRemove]); + } } - // Cleanup any data/listeners on the elements and children. - // This includes invoking the $destroy event on any elements with listeners. - jqLite.cleanData(fragment.querySelectorAll('*')); - - // Update the jqLite collection to only contain the `newNode` - for (i = 1; i < removeCount; i++) { - delete elementsToRemove[i]; + for (var k = 1, kk = elementsToRemove.length; k < kk; k++) { + var element = elementsToRemove[k]; + jqLite(element).remove(); // must do this way to clean up expando + fragment.appendChild(element); + delete elementsToRemove[k]; } + elementsToRemove[0] = newNode; elementsToRemove.length = 1; } @@ -18894,14 +18041,12 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { // only occurs for isolate scopes and new scopes with controllerAs. function initializeDirectiveBindings(scope, attrs, destination, bindings, directive) { var removeWatchCollection = []; - var initialChanges = {}; - var changes; - forEach(bindings, function initializeBinding(definition, scopeName) { + forEach(bindings, function(definition, scopeName) { var attrName = definition.attrName, optional = definition.optional, mode = definition.mode, // @, =, or & lastValue, - parentGet, parentSet, compare, removeWatch; + parentGet, parentSet, compare; switch (mode) { @@ -18910,24 +18055,16 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { destination[scopeName] = attrs[attrName] = void 0; } attrs.$observe(attrName, function(value) { - if (isString(value) || isBoolean(value)) { - var oldValue = destination[scopeName]; - recordChanges(scopeName, value, oldValue); + if (isString(value)) { destination[scopeName] = value; } }); attrs.$$observers[attrName].$$scope = scope; - lastValue = attrs[attrName]; - if (isString(lastValue)) { + if (isString(attrs[attrName])) { // If the attribute has been provided then we trigger an interpolation to ensure // the value is there for use in the link fn - destination[scopeName] = $interpolate(lastValue)(scope); - } else if (isBoolean(lastValue)) { - // If the attributes is one of the BOOLEAN_ATTR then Angular will have converted - // the value to boolean rather than a string, so we special case this situation - destination[scopeName] = lastValue; + destination[scopeName] = $interpolate(attrs[attrName])(scope); } - initialChanges[scopeName] = new SimpleChange(_UNINITIALIZED_VALUE, destination[scopeName]); break; case '=': @@ -18941,14 +18078,14 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { if (parentGet.literal) { compare = equals; } else { - compare = function simpleCompare(a, b) { return a === b || (a !== a && b !== b); }; + compare = function(a, b) { return a === b || (a !== a && b !== b); }; } parentSet = parentGet.assign || function() { // reset the change, or we will throw this exception on every $digest lastValue = destination[scopeName] = parentGet(scope); throw $compileMinErr('nonassign', - "Expression '{0}' in attribute '{1}' used with directive '{2}' is non-assignable!", - attrs[attrName], attrName, directive.name); + "Expression '{0}' used with directive '{1}' is non-assignable!", + attrs[attrName], directive.name); }; lastValue = destination[scopeName] = parentGet(scope); var parentValueWatch = function parentValueWatch(parentValue) { @@ -18965,6 +18102,7 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { return lastValue = parentValue; }; parentValueWatch.$stateful = true; + var removeWatch; if (definition.collection) { removeWatch = scope.$watchCollection(attrs[attrName], parentValueWatch); } else { @@ -18973,31 +18111,6 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { removeWatchCollection.push(removeWatch); break; - case '<': - if (!hasOwnProperty.call(attrs, attrName)) { - if (optional) break; - attrs[attrName] = void 0; - } - if (optional && !attrs[attrName]) break; - - parentGet = $parse(attrs[attrName]); - - destination[scopeName] = parentGet(scope); - initialChanges[scopeName] = new SimpleChange(_UNINITIALIZED_VALUE, destination[scopeName]); - - removeWatch = scope.$watch(parentGet, function parentValueWatchAction(newValue, oldValue) { - if (newValue === oldValue) { - // If the new and old values are identical then this is the first time the watch has been triggered - // So instead we use the current value on the destination as the old value - oldValue = destination[scopeName]; - } - recordChanges(scopeName, newValue, oldValue); - destination[scopeName] = newValue; - }, parentGet.literal); - - removeWatchCollection.push(removeWatch); - break; - case '&': // Don't assign Object.prototype method to scope parentGet = attrs.hasOwnProperty(attrName) ? $parse(attrs[attrName]) : noop; @@ -19012,52 +18125,15 @@ function $CompileProvider($provide, $$sanitizeUriProvider) { } }); - function recordChanges(key, currentValue, previousValue) { - if (isFunction(destination.$onChanges) && currentValue !== previousValue) { - // If we have not already scheduled the top level onChangesQueue handler then do so now - if (!onChangesQueue) { - scope.$$postDigest(flushOnChangesQueue); - onChangesQueue = []; - } - // If we have not already queued a trigger of onChanges for this controller then do so now - if (!changes) { - changes = {}; - onChangesQueue.push(triggerOnChangesHook); - } - // If the has been a change on this property already then we need to reuse the previous value - if (changes[key]) { - previousValue = changes[key].previousValue; - } - // Store this change - changes[key] = new SimpleChange(previousValue, currentValue); - } - } - - function triggerOnChangesHook() { - destination.$onChanges(changes); - // Now clear the changes so that we schedule onChanges when more changes arrive - changes = undefined; - } - - return { - initialChanges: initialChanges, - removeWatches: removeWatchCollection.length && function removeWatches() { - for (var i = 0, ii = removeWatchCollection.length; i < ii; ++i) { - removeWatchCollection[i](); - } + return removeWatchCollection.length && function removeWatches() { + for (var i = 0, ii = removeWatchCollection.length; i < ii; ++i) { + removeWatchCollection[i](); } }; } }]; } -function SimpleChange(previous, current) { - this.previousValue = previous; - this.currentValue = current; -} -SimpleChange.prototype.isFirstChange = function() { return this.previousValue === _UNINITIALIZED_VALUE; }; - - var PREFIX_REGEXP = /^((?:x|data)[\:\-_])/i; /** * Converts all accepted directives format into proper directive name. @@ -19163,7 +18239,7 @@ function removeComments(jqNodes) { var $controllerMinErr = minErr('$controller'); -var CNTRL_REG = /^(\S+)(\s+as\s+([\w$]+))?$/; +var CNTRL_REG = /^(\S+)(\s+as\s+(\w+))?$/; function identifierForController(controller, ident) { if (ident && isString(ident)) return ident; if (isString(controller)) { @@ -19189,15 +18265,6 @@ function $ControllerProvider() { /** * @ngdoc method - * @name $controllerProvider#has - * @param {string} name Controller name to check. - */ - this.has = function(name) { - return controllers.hasOwnProperty(name); - }; - - /** - * @ngdoc method * @name $controllerProvider#register * @param {string|Object} name Controller name, or an object map of controllers where the keys are * the names and the values are the constructors. @@ -19252,7 +18319,7 @@ function $ControllerProvider() { * It's just a simple call to {@link auto.$injector $injector}, but extracted into * a service, so that one can override this service with [BC version](https://gist.github.com/1649788). */ - return function $controller(expression, locals, later, ident) { + return function(expression, locals, later, ident) { // PRIVATE API: // param `later` --- indicates that the controller's constructor is invoked at a later time. // If true, $controller will allocate the object with the correct @@ -19303,7 +18370,7 @@ function $ControllerProvider() { } var instantiate; - return instantiate = extend(function $controllerInit() { + return instantiate = extend(function() { var result = $injector.invoke(expression, instance, locals, constructor); if (result !== instance && (isObject(result) || isFunction(result))) { instance = result; @@ -19489,7 +18556,7 @@ function $HttpParamSerializerProvider() { forEachSorted(params, function(value, key) { if (value === null || isUndefined(value)) return; if (isArray(value)) { - forEach(value, function(v) { + forEach(value, function(v, k) { parts.push(encodeUriQuery(key) + '=' + encodeUriQuery(serializeValue(v))); }); } else { @@ -19699,9 +18766,10 @@ function $HttpProvider() { * * Object containing default values for all {@link ng.$http $http} requests. * - * - **`defaults.cache`** - {boolean|Object} - A boolean value or object created with - * {@link ng.$cacheFactory `$cacheFactory`} to enable or disable caching of HTTP responses - * by default. See {@link $http#caching $http Caching} for more information. + * - **`defaults.cache`** - {Object} - an object built with {@link ng.$cacheFactory `$cacheFactory`} + * that will provide the cache for all requests who set their `cache` property to `true`. + * If you set the `defaults.cache = false` then only requests that specify their own custom + * cache object will be cached. See {@link $http#caching $http Caching} for more information. * * - **`defaults.xsrfCookieName`** - {string} - Name of cookie containing the XSRF token. * Defaults value is `'XSRF-TOKEN'`. @@ -19962,7 +19030,7 @@ function $HttpProvider() { * * ``` * module.run(function($http) { - * $http.defaults.headers.common.Authorization = 'Basic YmVlcDpib29w'; + * $http.defaults.headers.common.Authorization = 'Basic YmVlcDpib29w' * }); * ``` * @@ -19992,15 +19060,6 @@ function $HttpProvider() { * the transformed value (`function(data, headersGetter, status)`) or an array of such transformation functions, * which allows you to `push` or `unshift` a new transformation function into the transformation chain. * - *
            - * **Note:** Angular does not make a copy of the `data` parameter before it is passed into the `transformRequest` pipeline. - * That means changes to the properties of `data` are not local to the transform function (since Javascript passes objects by reference). - * For example, when calling `$http.get(url, $scope.myObject)`, modifications to the object's properties in a transformRequest - * function will be reflected on the scope and in any templates where the object is data-bound. - * To prevent this, transform functions should have no side-effects. - * If you need to modify properties, it is recommended to make a copy of the data, or create new object to return. - *
            - * * ### Default Transformations * * The `$httpProvider` provider and `$http` service expose `defaults.transformRequest` and @@ -20058,35 +19117,26 @@ function $HttpProvider() { * * ## Caching * - * {@link ng.$http `$http`} responses are not cached by default. To enable caching, you must - * set the config.cache value or the default cache value to TRUE or to a cache object (created - * with {@link ng.$cacheFactory `$cacheFactory`}). If defined, the value of config.cache takes - * precedence over the default cache value. - * - * In order to: - * * cache all responses - set the default cache value to TRUE or to a cache object - * * cache a specific response - set config.cache value to TRUE or to a cache object - * - * If caching is enabled, but neither the default cache nor config.cache are set to a cache object, - * then the default `$cacheFactory($http)` object is used. + * To enable caching, set the request configuration `cache` property to `true` (to use default + * cache) or to a custom cache object (built with {@link ng.$cacheFactory `$cacheFactory`}). + * When the cache is enabled, `$http` stores the response from the server in the specified + * cache. The next time the same request is made, the response is served from the cache without + * sending a request to the server. * - * The default cache value can be set by updating the - * {@link ng.$http#defaults `$http.defaults.cache`} property or the - * {@link $httpProvider#defaults `$httpProvider.defaults.cache`} property. + * Note that even if the response is served from cache, delivery of the data is asynchronous in + * the same way that real requests are. * - * When caching is enabled, {@link ng.$http `$http`} stores the response from the server using - * the relevant cache object. The next time the same request is made, the response is returned - * from the cache without sending a request to the server. + * If there are multiple GET requests for the same URL that should be cached using the same + * cache, but the cache is not populated yet, only one request to the server will be made and + * the remaining requests will be fulfilled using the response from the first request. * - * Take note that: - * - * * Only GET and JSONP requests are cached. - * * The cache key is the request URL including search parameters; headers are not considered. - * * Cached responses are returned asynchronously, in the same way as responses from the server. - * * If multiple identical requests are made using the same cache, which is not yet populated, - * one request will be made to the server and remaining requests will return the same response. - * * A cache-control header on the response does not affect if or how responses are cached. + * You can change the default cache to a new object (built with + * {@link ng.$cacheFactory `$cacheFactory`}) by updating the + * {@link ng.$http#defaults `$http.defaults.cache`} property. All requests who set + * their `cache` property to `true` will now use this cache object. * + * If you set the default cache to `false` then only requests that specify their own custom + * cache object will be cached. * * ## Interceptors * @@ -20208,13 +19258,13 @@ function $HttpProvider() { * * ### Cross Site Request Forgery (XSRF) Protection * - * [XSRF](http://en.wikipedia.org/wiki/Cross-site_request_forgery) is an attack technique by - * which the attacker can trick an authenticated user into unknowingly executing actions on your - * website. Angular provides a mechanism to counter XSRF. When performing XHR requests, the - * $http service reads a token from a cookie (by default, `XSRF-TOKEN`) and sets it as an HTTP - * header (`X-XSRF-TOKEN`). Since only JavaScript that runs on your domain could read the - * cookie, your server can be assured that the XHR came from JavaScript running on your domain. - * The header will not be set for cross-domain requests. + * [XSRF](http://en.wikipedia.org/wiki/Cross-site_request_forgery) is a technique by which + * an unauthorized site can gain your user's private data. Angular provides a mechanism + * to counter XSRF. When performing XHR requests, the $http service reads a token from a cookie + * (by default, `XSRF-TOKEN`) and sets it as an HTTP header (`X-XSRF-TOKEN`). Since only + * JavaScript that runs on your domain could read the cookie, your server can be assured that + * the XHR came from JavaScript running on your domain. The header will not be set for + * cross-domain requests. * * To take advantage of this, your server needs to set a token in a JavaScript readable session * cookie called `XSRF-TOKEN` on the first HTTP GET request. On subsequent XHR requests the @@ -20243,12 +19293,6 @@ function $HttpProvider() { * - **headers** – `{Object}` – Map of strings or functions which return strings representing * HTTP headers to send to the server. If the return value of a function is null, the * header will not be sent. Functions accept a config object as an argument. - * - **eventHandlers** - `{Object}` - Event listeners to be bound to the XMLHttpRequest object. - * To bind events to the XMLHttpRequest upload object, use `uploadEventHandlers`. - * The handler will be called in the context of a `$apply` block. - * - **uploadEventHandlers** - `{Object}` - Event listeners to be bound to the XMLHttpRequest upload - * object. To bind events to the XMLHttpRequest object, use `eventHandlers`. - * The handler will be called in the context of a `$apply` block. * - **xsrfHeaderName** – `{string}` – Name of HTTP header to populate with the XSRF token. * - **xsrfCookieName** – `{string}` – Name of cookie containing the XSRF token. * - **transformRequest** – @@ -20262,7 +19306,7 @@ function $HttpProvider() { * transform function or an array of such functions. The transform function takes the http * response body, headers and status and returns its transformed (typically deserialized) version. * See {@link ng.$http#overriding-the-default-transformations-per-request - * Overriding the Default Transformations} + * Overriding the Default TransformationjqLiks} * - **paramSerializer** - `{string|function(Object):string}` - A function used to * prepare the string representation of request parameters (specified as an object). * If specified as string, it is interpreted as function registered with the @@ -20270,9 +19314,10 @@ function $HttpProvider() { * by registering it as a {@link auto.$provide#service service}. * The default serializer is the {@link $httpParamSerializer $httpParamSerializer}; * alternatively, you can use the {@link $httpParamSerializerJQLike $httpParamSerializerJQLike} - * - **cache** – `{boolean|Object}` – A boolean value or object created with - * {@link ng.$cacheFactory `$cacheFactory`} to enable or disable caching of the HTTP response. - * See {@link $http#caching $http Caching} for more information. + * - **cache** – `{boolean|Cache}` – If true, a default $http cache will be used to cache the + * GET request, otherwise if a cache instance built with + * {@link ng.$cacheFactory $cacheFactory}, this cache will be used for + * caching. * - **timeout** – `{number|Promise}` – timeout in milliseconds, or {@link ng.$q promise} * that should abort the request when resolved. * - **withCredentials** - `{boolean}` - whether to set the `withCredentials` flag on the @@ -20378,14 +19423,10 @@ function $HttpProvider() { */ function $http(requestConfig) { - if (!isObject(requestConfig)) { + if (!angular.isObject(requestConfig)) { throw minErr('$http')('badreq', 'Http request configuration must be an object. Received: {0}', requestConfig); } - if (!isString(requestConfig.url)) { - throw minErr('$http')('badreq', 'Http request configuration url must be a string. Received: {0}', requestConfig.url); - } - var config = extend({ method: 'get', transformRequest: defaults.transformRequest, @@ -20498,7 +19539,7 @@ function $HttpProvider() { defHeaders = extend({}, defHeaders.common, defHeaders[lowercase(config.method)]); - // using for-in instead of forEach to avoid unnecessary iteration after header has been found + // using for-in instead of forEach to avoid unecessary iteration after header has been found defaultHeadersIteration: for (defHeaderName in defHeaders) { lowercaseDefHeaderName = lowercase(defHeaderName); @@ -20707,35 +19748,11 @@ function $HttpProvider() { } $httpBackend(config.method, url, reqData, done, reqHeaders, config.timeout, - config.withCredentials, config.responseType, - createApplyHandlers(config.eventHandlers), - createApplyHandlers(config.uploadEventHandlers)); + config.withCredentials, config.responseType); } return promise; - function createApplyHandlers(eventHandlers) { - if (eventHandlers) { - var applyHandlers = {}; - forEach(eventHandlers, function(eventHandler, key) { - applyHandlers[key] = function(event) { - if (useApplyAsync) { - $rootScope.$applyAsync(callEventHandler); - } else if ($rootScope.$$phase) { - callEventHandler(); - } else { - $rootScope.$apply(callEventHandler); - } - - function callEventHandler() { - eventHandler(event); - } - }; - }); - return applyHandlers; - } - } - /** * Callback registered to $httpBackend(): @@ -20856,7 +19873,7 @@ function $HttpBackendProvider() { function createHttpBackend($browser, createXhr, $browserDefer, callbacks, rawDocument) { // TODO(vojta): fix the signature - return function(method, url, post, callback, headers, timeout, withCredentials, responseType, eventHandlers, uploadEventHandlers) { + return function(method, url, post, callback, headers, timeout, withCredentials, responseType) { $browser.$$incOutstandingRequestCount(); url = url || $browser.url(); @@ -20916,14 +19933,6 @@ function createHttpBackend($browser, createXhr, $browserDefer, callbacks, rawDoc xhr.onerror = requestError; xhr.onabort = requestError; - forEach(eventHandlers, function(value, key) { - xhr.addEventListener(key, value); - }); - - forEach(uploadEventHandlers, function(value, key) { - xhr.upload.addEventListener(key, value); - }); - if (withCredentials) { xhr.withCredentials = true; } @@ -21029,16 +20038,8 @@ $interpolateMinErr.interr = function(text, err) { * * Used for configuring the interpolation markup. Defaults to `{{` and `}}`. * - *
            - * This feature is sometimes used to mix different markup languages, e.g. to wrap an Angular - * template within a Python Jinja template (or any other template language). Mixing templating - * languages is **very dangerous**. The embedding template language will not safely escape Angular - * expressions, so any user-controlled values in the template will cause Cross Site Scripting (XSS) - * security bugs! - *
            - * * @example - + -
            +
            //demo.label//
            @@ -21137,15 +20138,6 @@ function $InterpolateProvider() { return value; } - //TODO: this is the same as the constantWatchDelegate in parse.js - function constantWatchDelegate(scope, listener, objectEquality, constantInterp) { - var unwatch; - return unwatch = scope.$watch(function constantInterpolateWatch(scope) { - unwatch(); - return constantInterp(scope); - }, listener, objectEquality); - } - /** * @ngdoc service * @name $interpolate @@ -21241,19 +20233,6 @@ function $InterpolateProvider() { * - `context`: evaluation context for all expressions embedded in the interpolated text */ function $interpolate(text, mustHaveExpression, trustedContext, allOrNothing) { - // Provide a quick exit and simplified result function for text with no interpolation - if (!text.length || text.indexOf(startSymbol) === -1) { - var constantInterp; - if (!mustHaveExpression) { - var unescapedText = unescapeText(text); - constantInterp = valueFn(unescapedText); - constantInterp.exp = text; - constantInterp.expressions = []; - constantInterp.$$watchDelegate = constantWatchDelegate; - } - return constantInterp; - } - allOrNothing = !!allOrNothing; var startIndex, endIndex, @@ -21390,8 +20369,8 @@ function $InterpolateProvider() { } function $IntervalProvider() { - this.$get = ['$rootScope', '$window', '$q', '$$q', '$browser', - function($rootScope, $window, $q, $$q, $browser) { + this.$get = ['$rootScope', '$window', '$q', '$$q', + function($rootScope, $window, $q, $$q) { var intervals = {}; @@ -21532,12 +20511,11 @@ function $IntervalProvider() { count = isDefined(count) ? count : 0; + promise.then(null, null, (!hasParams) ? fn : function() { + fn.apply(null, args); + }); + promise.$$intervalId = setInterval(function tick() { - if (skipApply) { - $browser.defer(callback); - } else { - $rootScope.$evalAsync(callback); - } deferred.notify(iteration++); if (count > 0 && iteration >= count) { @@ -21553,14 +20531,6 @@ function $IntervalProvider() { intervals[promise.$$intervalId] = deferred; return promise; - - function callback() { - if (!hasParams) { - fn(iteration); - } else { - fn.apply(null, args); - } - } } @@ -22800,22 +21770,23 @@ function ensureSafeMemberName(name, fullExpression) { return name; } -function getStringValue(name) { +function getStringValue(name, fullExpression) { + // From the JavaScript docs: // Property names must be strings. This means that non-string objects cannot be used // as keys in an object. Any non-string object, including a number, is typecasted // into a string via the toString method. - // -- MDN, https://developer.mozilla.org/en/docs/Web/JavaScript/Reference/Operators/Property_accessors#Property_names - // - // So, to ensure that we are checking the same `name` that JavaScript would use, we cast it - // to a string. It's not always possible. If `name` is an object and its `toString` method is - // 'broken' (doesn't return a string, isn't a function, etc.), an error will be thrown: - // - // TypeError: Cannot convert object to primitive value // - // For performance reasons, we don't catch this error here and allow it to propagate up the call - // stack. Note that you'll get the same error in JavaScript if you try to access a property using - // such a 'broken' object as a key. - return name + ''; + // So, to ensure that we are checking the same `name` that JavaScript would use, + // we cast it to a string, if possible. + // Doing `name + ''` can cause a repl error if the result to `toString` is not a string, + // this is, this will handle objects that misbehave. + name = name + ''; + if (!isString(name)) { + throw $parseMinErr('iseccst', + 'Cannot convert object to primitive value! ' + + 'Expression: {0}', fullExpression); + } + return name; } function ensureSafeObject(obj, fullExpression) { @@ -22902,7 +21873,7 @@ Lexer.prototype = { this.readString(ch); } else if (this.isNumber(ch) || ch === '.' && this.isNumber(this.peek())) { this.readNumber(); - } else if (this.isIdentifierStart(this.peekMultichar())) { + } else if (this.isIdent(ch)) { this.readIdent(); } else if (this.is(ch, '(){}[].,;:?')) { this.tokens.push({index: this.index, text: ch}); @@ -22946,49 +21917,12 @@ Lexer.prototype = { ch === '\n' || ch === '\v' || ch === '\u00A0'); }, - isIdentifierStart: function(ch) { - return this.options.isIdentifierStart ? - this.options.isIdentifierStart(ch, this.codePointAt(ch)) : - this.isValidIdentifierStart(ch); - }, - - isValidIdentifierStart: function(ch) { + isIdent: function(ch) { return ('a' <= ch && ch <= 'z' || 'A' <= ch && ch <= 'Z' || '_' === ch || ch === '$'); }, - isIdentifierContinue: function(ch) { - return this.options.isIdentifierContinue ? - this.options.isIdentifierContinue(ch, this.codePointAt(ch)) : - this.isValidIdentifierContinue(ch); - }, - - isValidIdentifierContinue: function(ch, cp) { - return this.isValidIdentifierStart(ch, cp) || this.isNumber(ch); - }, - - codePointAt: function(ch) { - if (ch.length === 1) return ch.charCodeAt(0); - /*jshint bitwise: false*/ - return (ch.charCodeAt(0) << 10) + ch.charCodeAt(1) - 0x35FDC00; - /*jshint bitwise: true*/ - }, - - peekMultichar: function() { - var ch = this.text.charAt(this.index); - var peek = this.peek(); - if (!peek) { - return ch; - } - var cp1 = ch.charCodeAt(0); - var cp2 = peek.charCodeAt(0); - if (cp1 >= 0xD800 && cp1 <= 0xDBFF && cp2 >= 0xDC00 && cp2 <= 0xDFFF) { - return ch + peek; - } - return ch; - }, - isExpOperator: function(ch) { return (ch === '-' || ch === '+' || this.isNumber(ch)); }, @@ -23037,13 +21971,12 @@ Lexer.prototype = { readIdent: function() { var start = this.index; - this.index += this.peekMultichar().length; while (this.index < this.text.length) { - var ch = this.peekMultichar(); - if (!this.isIdentifierContinue(ch)) { + var ch = this.text.charAt(this.index); + if (!(this.isIdent(ch) || this.isNumber(ch))) { break; } - this.index += ch.length; + this.index++; } this.tokens.push({ index: start, @@ -23114,7 +22047,6 @@ AST.ArrayExpression = 'ArrayExpression'; AST.Property = 'Property'; AST.ObjectExpression = 'ObjectExpression'; AST.ThisExpression = 'ThisExpression'; -AST.LocalsExpression = 'LocalsExpression'; // Internal use only AST.NGValueParameter = 'NGValueParameter'; @@ -23253,10 +22185,8 @@ AST.prototype = { primary = this.arrayDeclaration(); } else if (this.expect('{')) { primary = this.object(); - } else if (this.selfReferential.hasOwnProperty(this.peek().text)) { - primary = copy(this.selfReferential[this.consume().text]); - } else if (this.options.literals.hasOwnProperty(this.peek().text)) { - primary = { type: AST.Literal, value: this.options.literals[this.consume().text]}; + } else if (this.constants.hasOwnProperty(this.peek().text)) { + primary = copy(this.constants[this.consume().text]); } else if (this.peek().identifier) { primary = this.identifier(); } else if (this.peek().constant) { @@ -23408,9 +22338,16 @@ AST.prototype = { return false; }, - selfReferential: { - 'this': {type: AST.ThisExpression }, - '$locals': {type: AST.LocalsExpression } + + /* `undefined` is not a constant, it is an identifier, + * but using it as an identifier is not supported + */ + constants: { + 'true': { type: AST.Literal, value: true }, + 'false': { type: AST.Literal, value: false }, + 'null': { type: AST.Literal, value: null }, + 'undefined': {type: AST.Literal, value: undefined }, + 'this': {type: AST.ThisExpression } } }; @@ -23530,10 +22467,6 @@ function findConstantAndWatchExpressions(ast, $filter) { ast.constant = false; ast.toWatch = []; break; - case AST.LocalsExpression: - ast.constant = false; - ast.toWatch = []; - break; } } @@ -23777,9 +22710,6 @@ ASTCompiler.prototype = { intoId = intoId || this.nextId(); self.recurse(ast.object, left, undefined, function() { self.if_(self.notNull(left), function() { - if (create && create !== 1) { - self.addEnsureSafeAssignContext(left); - } if (ast.computed) { right = self.nextId(); self.recurse(ast.property, right); @@ -23861,7 +22791,7 @@ ASTCompiler.prototype = { right = this.nextId(); left = {}; if (!isAssignable(ast.left)) { - throw $parseMinErr('lval', 'Trying to assign a value to a non l-value'); + throw $parseMinErr('lval', 'Trying to assing a value to a non l-value'); } this.recurse(ast.left, undefined, left, function() { self.if_(self.notNull(left.context), function() { @@ -23903,10 +22833,6 @@ ASTCompiler.prototype = { this.assign(intoId, 's'); recursionFn('s'); break; - case AST.LocalsExpression: - this.assign(intoId, 'l'); - recursionFn('l'); - break; case AST.NGValueParameter: this.assign(intoId, 'v'); recursionFn('v'); @@ -23973,13 +22899,7 @@ ASTCompiler.prototype = { }, nonComputedMember: function(left, right) { - var SAFE_IDENTIFIER = /[$_a-zA-Z][$_a-zA-Z0-9]*/; - var UNSAFE_CHARACTERS = /[^$_a-zA-Z0-9]/g; - if (SAFE_IDENTIFIER.test(right)) { - return left + '.' + right; - } else { - return left + '["' + right.replace(UNSAFE_CHARACTERS, this.stringEscapeFn) + '"]'; - } + return left + '.' + right; }, computedMember: function(left, right) { @@ -24020,7 +22940,7 @@ ASTCompiler.prototype = { }, getStringValue: function(item) { - this.assign(item, 'getStringValue(' + item + ')'); + this.assign(item, 'getStringValue(' + item + ',text)'); }, ensureSafeAssignContext: function(item) { @@ -24104,7 +23024,7 @@ ASTInterpreter.prototype = { forEach(ast.body, function(expression) { expressions.push(self.recurse(expression.expression)); }); - var fn = ast.body.length === 0 ? noop : + var fn = ast.body.length === 0 ? function() {} : ast.body.length === 1 ? expressions[0] : function(scope, locals) { var lastValue; @@ -24240,12 +23160,8 @@ ASTInterpreter.prototype = { return function(scope) { return context ? {value: scope} : scope; }; - case AST.LocalsExpression: - return function(scope, locals) { - return context ? {value: locals} : locals; - }; case AST.NGValueParameter: - return function(scope, locals, assign) { + return function(scope, locals, assign, inputs) { return context ? {value: assign} : assign; }; } @@ -24408,11 +23324,8 @@ ASTInterpreter.prototype = { rhs = right(scope, locals, assign, inputs); rhs = getStringValue(rhs); ensureSafeMemberName(rhs, expression); - if (create && create !== 1) { - ensureSafeAssignContext(lhs); - if (lhs && !(lhs[rhs])) { - lhs[rhs] = {}; - } + if (create && create !== 1 && lhs && !(lhs[rhs])) { + lhs[rhs] = {}; } value = lhs[rhs]; ensureSafeObject(value, expression); @@ -24427,11 +23340,8 @@ ASTInterpreter.prototype = { nonComputedMember: function(left, right, expensiveChecks, context, create, expression) { return function(scope, locals, assign, inputs) { var lhs = left(scope, locals, assign, inputs); - if (create && create !== 1) { - ensureSafeAssignContext(lhs); - if (lhs && !(lhs[right])) { - lhs[right] = {}; - } + if (create && create !== 1 && lhs && !(lhs[right])) { + lhs[right] = {}; } var value = lhs != null ? lhs[right] : undefined; if (expensiveChecks || isPossiblyDangerousMemberName(right)) { @@ -24459,7 +23369,7 @@ var Parser = function(lexer, $filter, options) { this.lexer = lexer; this.$filter = $filter; this.options = options; - this.ast = new AST(lexer, options); + this.ast = new AST(this.lexer); this.astCompiler = options.csp ? new ASTInterpreter(this.ast, $filter) : new ASTCompiler(this.ast, $filter); }; @@ -24472,6 +23382,9 @@ Parser.prototype = { } }; +var getterFnCacheDefault = createMap(); +var getterFnCacheExpensive = createMap(); + function isPossiblyDangerousMemberName(name) { return name == 'constructor'; } @@ -24536,87 +23449,21 @@ function getValueOf(value) { function $ParseProvider() { var cacheDefault = createMap(); var cacheExpensive = createMap(); - var literals = { - 'true': true, - 'false': false, - 'null': null, - 'undefined': undefined - }; - var identStart, identContinue; - - /** - * @ngdoc method - * @name $parseProvider#addLiteral - * @description - * - * Configure $parse service to add literal values that will be present as literal at expressions. - * - * @param {string} literalName Token for the literal value. The literal name value must be a valid literal name. - * @param {*} literalValue Value for this literal. All literal values must be primitives or `undefined`. - * - **/ - this.addLiteral = function(literalName, literalValue) { - literals[literalName] = literalValue; - }; - - /** - * @ngdoc method - * @name $parseProvider#setIdentifierFns - * @description - * - * Allows defining the set of characters that are allowed in Angular expressions. The function - * `identifierStart` will get called to know if a given character is a valid character to be the - * first character for an identifier. The function `identifierContinue` will get called to know if - * a given character is a valid character to be a follow-up identifier character. The functions - * `identifierStart` and `identifierContinue` will receive as arguments the single character to be - * identifier and the character code point. These arguments will be `string` and `numeric`. Keep in - * mind that the `string` parameter can be two characters long depending on the character - * representation. It is expected for the function to return `true` or `false`, whether that - * character is allowed or not. - * - * Since this function will be called extensivelly, keep the implementation of these functions fast, - * as the performance of these functions have a direct impact on the expressions parsing speed. - * - * @param {function=} identifierStart The function that will decide whether the given character is - * a valid identifier start character. - * @param {function=} identifierContinue The function that will decide whether the given character is - * a valid identifier continue character. - */ - this.setIdentifierFns = function(identifierStart, identifierContinue) { - identStart = identifierStart; - identContinue = identifierContinue; - return this; - }; this.$get = ['$filter', function($filter) { var noUnsafeEval = csp().noUnsafeEval; var $parseOptions = { csp: noUnsafeEval, - expensiveChecks: false, - literals: copy(literals), - isIdentifierStart: isFunction(identStart) && identStart, - isIdentifierContinue: isFunction(identContinue) && identContinue + expensiveChecks: false }, $parseOptionsExpensive = { csp: noUnsafeEval, - expensiveChecks: true, - literals: copy(literals), - isIdentifierStart: isFunction(identStart) && identStart, - isIdentifierContinue: isFunction(identContinue) && identContinue + expensiveChecks: true }; - var runningChecksEnabled = false; - - $parse.$$runningExpensiveChecks = function() { - return runningChecksEnabled; - }; - - return $parse; - function $parse(exp, interceptorFn, expensiveChecks) { + return function $parse(exp, interceptorFn, expensiveChecks) { var parsedExpression, oneTime, cacheKey; - expensiveChecks = expensiveChecks || runningChecksEnabled; - switch (typeof exp) { case 'string': exp = exp.trim(); @@ -24642,9 +23489,6 @@ function $ParseProvider() { } else if (parsedExpression.inputs) { parsedExpression.$$watchDelegate = inputsWatchDelegate; } - if (expensiveChecks) { - parsedExpression = expensiveChecksInterceptor(parsedExpression); - } cache[cacheKey] = parsedExpression; } return addInterceptor(parsedExpression, interceptorFn); @@ -24653,33 +23497,9 @@ function $ParseProvider() { return addInterceptor(exp, interceptorFn); default: - return addInterceptor(noop, interceptorFn); + return noop; } - } - - function expensiveChecksInterceptor(fn) { - if (!fn) return fn; - expensiveCheckFn.$$watchDelegate = fn.$$watchDelegate; - expensiveCheckFn.assign = expensiveChecksInterceptor(fn.assign); - expensiveCheckFn.constant = fn.constant; - expensiveCheckFn.literal = fn.literal; - for (var i = 0; fn.inputs && i < fn.inputs.length; ++i) { - fn.inputs[i] = expensiveChecksInterceptor(fn.inputs[i]); - } - expensiveCheckFn.inputs = fn.inputs; - - return expensiveCheckFn; - - function expensiveCheckFn(scope, locals, assign, inputs) { - var expensiveCheckOldValue = runningChecksEnabled; - runningChecksEnabled = true; - try { - return fn(scope, locals, assign, inputs); - } finally { - runningChecksEnabled = expensiveCheckOldValue; - } - } - } + }; function expressionInputDirtyCheck(newValue, oldValueOfValue) { @@ -24796,9 +23616,13 @@ function $ParseProvider() { function constantWatchDelegate(scope, listener, objectEquality, parsedExpression) { var unwatch; return unwatch = scope.$watch(function constantWatch(scope) { - unwatch(); return parsedExpression(scope); - }, listener, objectEquality); + }, function constantListener(value, old, scope) { + if (isFunction(listener)) { + listener.apply(this, arguments); + } + unwatch(); + }, objectEquality); } function addInterceptor(parsedExpression, interceptorFn) { @@ -24851,15 +23675,15 @@ function $ParseProvider() { * [Kris Kowal's Q](https://github.com/kriskowal/q). * * $q can be used in two fashions --- one which is more similar to Kris Kowal's Q or jQuery's Deferred - * implementations, and the other which resembles ES6 (ES2015) promises to some degree. + * implementations, and the other which resembles ES6 promises to some degree. * * # $q constructor * * The streamlined ES6 style promise is essentially just using $q as a constructor which takes a `resolver` - * function as the first argument. This is similar to the native Promise implementation from ES6, + * function as the first argument. This is similar to the native Promise implementation from ES6 Harmony, * see [MDN](https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Promise). * - * While the constructor-style use is supported, not all of the supporting methods from ES6 promises are + * While the constructor-style use is supported, not all of the supporting methods from ES6 Harmony promises are * available yet. * * It can be used like so: @@ -24891,7 +23715,7 @@ function $ParseProvider() { * * Note: progress/notify callbacks are not currently supported via the ES6-style interface. * - * Note: unlike ES6 behavior, an exception thrown in the constructor function will NOT implicitly reject the promise. + * Note: unlike ES6 behaviour, an exception thrown in the constructor function will NOT implicitly reject the promise. * * However, the more traditional CommonJS-style usage is still available, and documented below. * @@ -25024,7 +23848,7 @@ function $ParseProvider() { * - Q has many more features than $q, but that comes at a cost of bytes. $q is tiny, but contains * all the important functionality needed for common async tasks. * - * # Testing + * # Testing * * ```js * it('should simulate promise', inject(function($q, $rootScope) { @@ -25081,6 +23905,18 @@ function $$QProvider() { */ function qFactory(nextTick, exceptionHandler) { var $qMinErr = minErr('$q', TypeError); + function callOnce(self, resolveFn, rejectFn) { + var called = false; + function wrap(fn) { + return function(value) { + if (called) return; + called = true; + fn.call(self, value); + }; + } + + return [wrap(resolveFn), wrap(rejectFn)]; + } /** * @ngdoc method @@ -25093,12 +23929,7 @@ function qFactory(nextTick, exceptionHandler) { * @returns {Deferred} Returns a new instance of deferred. */ var defer = function() { - var d = new Deferred(); - //Necessary to support unbound execution :/ - d.resolve = simpleBind(d, d.resolve); - d.reject = simpleBind(d, d.reject); - d.notify = simpleBind(d, d.notify); - return d; + return new Deferred(); }; function Promise() { @@ -25171,6 +24002,10 @@ function qFactory(nextTick, exceptionHandler) { function Deferred() { this.promise = new Promise(); + //Necessary to support unbound execution :/ + this.resolve = simpleBind(this, this.resolve); + this.reject = simpleBind(this, this.reject); + this.notify = simpleBind(this, this.notify); } extend(Deferred.prototype, { @@ -25188,34 +24023,23 @@ function qFactory(nextTick, exceptionHandler) { }, $$resolve: function(val) { - var then; - var that = this; - var done = false; + var then, fns; + + fns = callOnce(this, this.$$resolve, this.$$reject); try { if ((isObject(val) || isFunction(val))) then = val && val.then; if (isFunction(then)) { this.promise.$$state.status = -1; - then.call(val, resolvePromise, rejectPromise, simpleBind(this, this.notify)); + then.call(val, fns[0], fns[1], this.notify); } else { this.promise.$$state.value = val; this.promise.$$state.status = 1; scheduleProcessQueue(this.promise.$$state); } } catch (e) { - rejectPromise(e); + fns[1](e); exceptionHandler(e); } - - function resolvePromise(val) { - if (done) return; - done = true; - that.$$resolve(val); - } - function rejectPromise(val) { - if (done) return; - done = true; - that.$$reject(val); - } }, reject: function(reason) { @@ -25404,6 +24228,11 @@ function qFactory(nextTick, exceptionHandler) { throw $qMinErr('norslvr', "Expected resolverFn, got '{0}'", resolver); } + if (!(this instanceof Q)) { + // More useful when $Q is the Promise itself. + return new Q(resolver); + } + var deferred = new Deferred(); function resolveFn(value) { @@ -25419,10 +24248,6 @@ function qFactory(nextTick, exceptionHandler) { return deferred.promise; }; - // Let's make the instanceof operator work for promises, so that - // `new $q(fn) instanceof $q` would evaluate to true. - $Q.prototype = Promise.prototype; - $Q.defer = defer; $Q.reject = reject; $Q.when = when; @@ -25556,8 +24381,8 @@ function $RootScopeProvider() { return ChildScope; } - this.$get = ['$exceptionHandler', '$parse', '$browser', - function($exceptionHandler, $parse, $browser) { + this.$get = ['$injector', '$exceptionHandler', '$parse', '$browser', + function($injector, $exceptionHandler, $parse, $browser) { function destroyChildScope($event) { $event.currentScope.$$destroyed = true; @@ -25841,7 +24666,7 @@ function $RootScopeProvider() { * - `newVal` contains the current value of the `watchExpression` * - `oldVal` contains the previous value of the `watchExpression` * - `scope` refers to the current scope - * @param {boolean=} [objectEquality=false] Compare for object equality using {@link angular.equals} instead of + * @param {boolean=} objectEquality Compare for object equality using {@link angular.equals} instead of * comparing for reference equality. * @returns {function()} Returns a deregistration function for this listener. */ @@ -26206,13 +25031,13 @@ function $RootScopeProvider() { * */ $digest: function() { - var watch, value, last, fn, get, + var watch, value, last, watchers, length, dirty, ttl = TTL, next, current, target = this, watchLog = [], - logIdx, asyncTask; + logIdx, logMsg, asyncTask; beginPhase('$digest'); // Check for changes to browser url that happened in sync before the call to $digest @@ -26252,8 +25077,7 @@ function $RootScopeProvider() { // Most common watches are on primitives, in which case we can short // circuit it with === operator, only when === fails do we use .equals if (watch) { - get = watch.get; - if ((value = get(current)) !== (last = watch.last) && + if ((value = watch.get(current)) !== (last = watch.last) && !(watch.eq ? equals(value, last) : (typeof value === 'number' && typeof last === 'number' @@ -26261,8 +25085,7 @@ function $RootScopeProvider() { dirty = true; lastDirtyWatch = watch; watch.last = watch.eq ? copy(value, null) : value; - fn = watch.fn; - fn(value, ((last === initWatchVal) ? value : last), current); + watch.fn(value, ((last === initWatchVal) ? value : last), current); if (ttl < 5) { logIdx = 4 - ttl; if (!watchLog[logIdx]) watchLog[logIdx] = []; @@ -26462,7 +25285,7 @@ function $RootScopeProvider() { }); } - asyncQueue.push({scope: this, expression: $parse(expr), locals: locals}); + asyncQueue.push({scope: this, expression: expr, locals: locals}); }, $$postDigest: function(fn) { @@ -26554,7 +25377,6 @@ function $RootScopeProvider() { $applyAsync: function(expr) { var scope = this; expr && applyAsyncQueue.push($applyAsyncExpression); - expr = $parse(expr); scheduleApplyAsync(); function $applyAsyncExpression() { @@ -26830,21 +25652,6 @@ function $RootScopeProvider() { } /** - * @ngdoc service - * @name $rootElement - * - * @description - * The root element of Angular application. This is either the element where {@link - * ng.directive:ngApp ngApp} was declared or the element passed into - * {@link angular.bootstrap}. The element represents the root element of application. It is also the - * location where the application's {@link auto.$injector $injector} service gets - * published, and can be retrieved using `$rootElement.injector()`. - */ - - -// the implementation is in angular.bootstrap - -/** * @description * Private service to sanitize uris for links and images. Used by $compile and $sanitize. */ @@ -27058,15 +25865,13 @@ function $SceDelegateProvider() { * @kind function * * @param {Array=} whitelist When provided, replaces the resourceUrlWhitelist with the value - * provided. This must be an array or null. A snapshot of this array is used so further - * changes to the array are ignored. + * provided. This must be an array or null. A snapshot of this array is used so further + * changes to the array are ignored. * - * Follow {@link ng.$sce#resourceUrlPatternItem this link} for a description of the items - * allowed in this array. + * Follow {@link ng.$sce#resourceUrlPatternItem this link} for a description of the items + * allowed in this array. * - *
            - * **Note:** an empty whitelist array will block all URLs! - *
            + * Note: **an empty whitelist array will block all URLs**! * * @return {Array} the currently set whitelist array. * @@ -27089,17 +25894,17 @@ function $SceDelegateProvider() { * @kind function * * @param {Array=} blacklist When provided, replaces the resourceUrlBlacklist with the value - * provided. This must be an array or null. A snapshot of this array is used so further - * changes to the array are ignored. + * provided. This must be an array or null. A snapshot of this array is used so further + * changes to the array are ignored. * - * Follow {@link ng.$sce#resourceUrlPatternItem this link} for a description of the items - * allowed in this array. + * Follow {@link ng.$sce#resourceUrlPatternItem this link} for a description of the items + * allowed in this array. * - * The typical usage for the blacklist is to **block - * [open redirects](http://cwe.mitre.org/data/definitions/601.html)** served by your domain as - * these would otherwise be trusted but actually return content from the redirected domain. + * The typical usage for the blacklist is to **block + * [open redirects](http://cwe.mitre.org/data/definitions/601.html)** served by your domain as + * these would otherwise be trusted but actually return content from the redirected domain. * - * Finally, **the blacklist overrides the whitelist** and has the final say. + * Finally, **the blacklist overrides the whitelist** and has the final say. * * @return {Array} the currently set blacklist array. * @@ -27258,11 +26063,6 @@ function $SceDelegateProvider() { * returns the originally supplied value if the queried context type is a supertype of the * created type. If this condition isn't satisfied, throws an exception. * - *
            - * Disabling auto-escaping is extremely dangerous, it usually creates a Cross Site Scripting - * (XSS) vulnerability in your application. - *
            - * * @param {string} type The kind of context in which this value is to be used. * @param {*} maybeTrusted The result of a prior {@link ng.$sceDelegate#trustAs * `$sceDelegate.trustAs`} call. @@ -27997,10 +26797,6 @@ function $SceProvider() { function $SnifferProvider() { this.$get = ['$window', '$document', function($window, $document) { var eventSupport = {}, - // Chrome Packaged Apps are not allowed to access `history.pushState`. They can be detected by - // the presence of `chrome.app.runtime` (see https://developer.chrome.com/apps/api_index) - isChromePackagedApp = $window.chrome && $window.chrome.app && $window.chrome.app.runtime, - hasHistoryPushState = !isChromePackagedApp && $window.history && $window.history.pushState, android = toInt((/android (\d+)/.exec(lowercase(($window.navigator || {}).userAgent)) || [])[1]), boxee = /Boxee/i.test(($window.navigator || {}).userAgent), @@ -28045,7 +26841,7 @@ function $SnifferProvider() { // so let's not use the history API also // We are purposefully using `!(android < 4)` to cover the case when `android` is undefined // jshint -W018 - history: !!(hasHistoryPushState && !(android < 4) && !boxee), + history: !!($window.history && $window.history.pushState && !(android < 4) && !boxee), // jshint +W018 hasEvent: function(event) { // IE9 implements 'input' event it's so fubared that we rather pretend that it doesn't have @@ -28071,66 +26867,29 @@ function $SnifferProvider() { }]; } -var $templateRequestMinErr = minErr('$compile'); +var $compileMinErr = minErr('$compile'); /** - * @ngdoc provider - * @name $templateRequestProvider + * @ngdoc service + * @name $templateRequest + * * @description - * Used to configure the options passed to the {@link $http} service when making a template request. + * The `$templateRequest` service runs security checks then downloads the provided template using + * `$http` and, upon success, stores the contents inside of `$templateCache`. If the HTTP request + * fails or the response data of the HTTP request is empty, a `$compile` error will be thrown (the + * exception can be thwarted by setting the 2nd parameter of the function to true). Note that the + * contents of `$templateCache` are trusted, so the call to `$sce.getTrustedUrl(tpl)` is omitted + * when `tpl` is of type string and `$templateCache` has the matching entry. + * + * @param {string|TrustedResourceUrl} tpl The HTTP request template URL + * @param {boolean=} ignoreRequestError Whether or not to ignore the exception when the request fails or the template is empty * - * For example, it can be used for specifying the "Accept" header that is sent to the server, when - * requesting a template. + * @return {Promise} a promise for the HTTP response data of the given URL. + * + * @property {number} totalPendingRequests total amount of pending template requests being downloaded. */ function $TemplateRequestProvider() { - - var httpOptions; - - /** - * @ngdoc method - * @name $templateRequestProvider#httpOptions - * @description - * The options to be passed to the {@link $http} service when making the request. - * You can use this to override options such as the "Accept" header for template requests. - * - * The {@link $templateRequest} will set the `cache` and the `transformResponse` properties of the - * options if not overridden here. - * - * @param {string=} value new value for the {@link $http} options. - * @returns {string|self} Returns the {@link $http} options when used as getter and self if used as setter. - */ - this.httpOptions = function(val) { - if (val) { - httpOptions = val; - return this; - } - return httpOptions; - }; - - /** - * @ngdoc service - * @name $templateRequest - * - * @description - * The `$templateRequest` service runs security checks then downloads the provided template using - * `$http` and, upon success, stores the contents inside of `$templateCache`. If the HTTP request - * fails or the response data of the HTTP request is empty, a `$compile` error will be thrown (the - * exception can be thwarted by setting the 2nd parameter of the function to true). Note that the - * contents of `$templateCache` are trusted, so the call to `$sce.getTrustedUrl(tpl)` is omitted - * when `tpl` is of type string and `$templateCache` has the matching entry. - * - * If you want to pass custom options to the `$http` service, such as setting the Accept header you - * can configure this via {@link $templateRequestProvider#httpOptions}. - * - * @param {string|TrustedResourceUrl} tpl The HTTP request template URL - * @param {boolean=} ignoreRequestError Whether or not to ignore the exception when the request fails or the template is empty - * - * @return {Promise} a promise for the HTTP response data of the given URL. - * - * @property {number} totalPendingRequests total amount of pending template requests being downloaded. - */ this.$get = ['$templateCache', '$http', '$q', '$sce', function($templateCache, $http, $q, $sce) { - function handleRequestFn(tpl, ignoreRequestError) { handleRequestFn.totalPendingRequests++; @@ -28153,10 +26912,12 @@ function $TemplateRequestProvider() { transformResponse = null; } - return $http.get(tpl, extend({ - cache: $templateCache, - transformResponse: transformResponse - }, httpOptions)) + var httpOptions = { + cache: $templateCache, + transformResponse: transformResponse + }; + + return $http.get(tpl, httpOptions) ['finally'](function() { handleRequestFn.totalPendingRequests--; }) @@ -28167,7 +26928,7 @@ function $TemplateRequestProvider() { function handleError(resp) { if (!ignoreRequestError) { - throw $templateRequestMinErr('tpload', 'Failed to load template: {0} (HTTP status: {1} {2})', + throw $compileMinErr('tpload', 'Failed to load template: {0} (HTTP status: {1} {2})', tpl, resp.status, resp.statusText); } return $q.reject(resp); @@ -28327,8 +27088,8 @@ function $TimeoutProvider() { * @param {boolean=} [invokeApply=true] If set to `false` skips model dirty checking, otherwise * will invoke `fn` within the {@link ng.$rootScope.Scope#$apply $apply} block. * @param {...*=} Pass additional parameters to the executed function. - * @returns {Promise} Promise that will be resolved when the timeout is reached. The promise - * will be resolved with the return value of the `fn` function. + * @returns {Promise} Promise that will be resolved when the timeout is reached. The value this + * promise will be resolved with is the return value of the `fn` function. * */ function timeout(fn, delay, invokeApply) { @@ -28397,7 +27158,7 @@ function $TimeoutProvider() { // doesn't know about mocked locations and resolves URLs to the real document - which is // exactly the behavior needed here. There is little value is mocking these out for this // service. -var urlParsingNode = window.document.createElement("a"); +var urlParsingNode = document.createElement("a"); var originUrl = urlResolve(window.location.href); @@ -29004,10 +27765,6 @@ function getTypeForFilter(val) { return (val === null) ? 'null' : typeof val; } -var MAX_DIGITS = 22; -var DECIMAL_SEP = '.'; -var ZERO_CHAR = '0'; - /** * @ngdoc filter * @name currency @@ -29089,7 +27846,7 @@ function currencyFilter($locale) { * Formats a number as text. * * If the input is null or undefined, it will just be returned. - * If the input is infinite (Infinity or -Infinity), the Infinity symbol '∞' or '-∞' is returned, respectively. + * If the input is infinite (Infinity/-Infinity) the Infinity symbol '∞' is returned. * If the input is not a number an empty string is returned. * * @@ -29097,9 +27854,7 @@ function currencyFilter($locale) { * @param {(number|string)=} fractionSize Number of decimal places to round the number to. * If this is not provided then the fraction size is computed from the current locale's number * formatting pattern. In the case of the default locale, it will be 3. - * @returns {string} Number rounded to `fractionSize` appropriately formatted based on the current - * locale (e.g., in the en_US locale it will have "." as the decimal separator and - * include "," group separators after each third digit). + * @returns {string} Number rounded to decimalPlaces and places a “,” after each third digit. * * @example @@ -29134,6 +27889,8 @@ function currencyFilter($locale) { */ + + numberFilter.$inject = ['$locale']; function numberFilter($locale) { var formats = $locale.NUMBER_FORMATS; @@ -29147,227 +27904,103 @@ function numberFilter($locale) { }; } -/** - * Parse a number (as a string) into three components that can be used - * for formatting the number. - * - * (Significant bits of this parse algorithm came from https://github.com/MikeMcl/big.js/) - * - * @param {string} numStr The number to parse - * @return {object} An object describing this number, containing the following keys: - * - d : an array of digits containing leading zeros as necessary - * - i : the number of the digits in `d` that are to the left of the decimal point - * - e : the exponent for numbers that would need more than `MAX_DIGITS` digits in `d` - * - */ -function parse(numStr) { - var exponent = 0, digits, numberOfIntegerDigits; - var i, j, zeros; - - // Decimal point? - if ((numberOfIntegerDigits = numStr.indexOf(DECIMAL_SEP)) > -1) { - numStr = numStr.replace(DECIMAL_SEP, ''); - } - - // Exponential form? - if ((i = numStr.search(/e/i)) > 0) { - // Work out the exponent. - if (numberOfIntegerDigits < 0) numberOfIntegerDigits = i; - numberOfIntegerDigits += +numStr.slice(i + 1); - numStr = numStr.substring(0, i); - } else if (numberOfIntegerDigits < 0) { - // There was no decimal point or exponent so it is an integer. - numberOfIntegerDigits = numStr.length; - } - - // Count the number of leading zeros. - for (i = 0; numStr.charAt(i) == ZERO_CHAR; i++) {/* jshint noempty: false */} - - if (i == (zeros = numStr.length)) { - // The digits are all zero. - digits = [0]; - numberOfIntegerDigits = 1; - } else { - // Count the number of trailing zeros - zeros--; - while (numStr.charAt(zeros) == ZERO_CHAR) zeros--; - - // Trailing zeros are insignificant so ignore them - numberOfIntegerDigits -= i; - digits = []; - // Convert string to array of digits without leading/trailing zeros. - for (j = 0; i <= zeros; i++, j++) { - digits[j] = +numStr.charAt(i); - } - } - - // If the number overflows the maximum allowed digits then use an exponent. - if (numberOfIntegerDigits > MAX_DIGITS) { - digits = digits.splice(0, MAX_DIGITS - 1); - exponent = numberOfIntegerDigits - 1; - numberOfIntegerDigits = 1; - } - - return { d: digits, e: exponent, i: numberOfIntegerDigits }; -} +var DECIMAL_SEP = '.'; +function formatNumber(number, pattern, groupSep, decimalSep, fractionSize) { + if (isObject(number)) return ''; -/** - * Round the parsed number to the specified number of decimal places - * This function changed the parsedNumber in-place - */ -function roundNumber(parsedNumber, fractionSize, minFrac, maxFrac) { - var digits = parsedNumber.d; - var fractionLen = digits.length - parsedNumber.i; + var isNegative = number < 0; + number = Math.abs(number); - // determine fractionSize if it is not specified; `+fractionSize` converts it to a number - fractionSize = (isUndefined(fractionSize)) ? Math.min(Math.max(minFrac, fractionLen), maxFrac) : +fractionSize; + var isInfinity = number === Infinity; + if (!isInfinity && !isFinite(number)) return ''; - // The index of the digit to where rounding is to occur - var roundAt = fractionSize + parsedNumber.i; - var digit = digits[roundAt]; + var numStr = number + '', + formatedText = '', + hasExponent = false, + parts = []; - if (roundAt > 0) { - // Drop fractional digits beyond `roundAt` - digits.splice(Math.max(parsedNumber.i, roundAt)); + if (isInfinity) formatedText = '\u221e'; - // Set non-fractional digits beyond `roundAt` to 0 - for (var j = roundAt; j < digits.length; j++) { - digits[j] = 0; - } + if (!isInfinity && numStr.indexOf('e') !== -1) { + var match = numStr.match(/([\d\.]+)e(-?)(\d+)/); + if (match && match[2] == '-' && match[3] > fractionSize + 1) { + number = 0; } else { - // We rounded to zero so reset the parsedNumber - fractionLen = Math.max(0, fractionLen); - parsedNumber.i = 1; - digits.length = Math.max(1, roundAt = fractionSize + 1); - digits[0] = 0; - for (var i = 1; i < roundAt; i++) digits[i] = 0; - } - - if (digit >= 5) { - if (roundAt - 1 < 0) { - for (var k = 0; k > roundAt; k--) { - digits.unshift(0); - parsedNumber.i++; - } - digits.unshift(1); - parsedNumber.i++; - } else { - digits[roundAt - 1]++; - } + formatedText = numStr; + hasExponent = true; } + } - // Pad out with zeros to get the required fraction length - for (; fractionLen < Math.max(0, fractionSize); fractionLen++) digits.push(0); - + if (!isInfinity && !hasExponent) { + var fractionLen = (numStr.split(DECIMAL_SEP)[1] || '').length; - // Do any carrying, e.g. a digit was rounded up to 10 - var carry = digits.reduceRight(function(carry, d, i, digits) { - d = d + carry; - digits[i] = d % 10; - return Math.floor(d / 10); - }, 0); - if (carry) { - digits.unshift(carry); - parsedNumber.i++; + // determine fractionSize if it is not specified + if (isUndefined(fractionSize)) { + fractionSize = Math.min(Math.max(pattern.minFrac, fractionLen), pattern.maxFrac); } -} -/** - * Format a number into a string - * @param {number} number The number to format - * @param {{ - * minFrac, // the minimum number of digits required in the fraction part of the number - * maxFrac, // the maximum number of digits required in the fraction part of the number - * gSize, // number of digits in each group of separated digits - * lgSize, // number of digits in the last group of digits before the decimal separator - * negPre, // the string to go in front of a negative number (e.g. `-` or `(`)) - * posPre, // the string to go in front of a positive number - * negSuf, // the string to go after a negative number (e.g. `)`) - * posSuf // the string to go after a positive number - * }} pattern - * @param {string} groupSep The string to separate groups of number (e.g. `,`) - * @param {string} decimalSep The string to act as the decimal separator (e.g. `.`) - * @param {[type]} fractionSize The size of the fractional part of the number - * @return {string} The number formatted as a string - */ -function formatNumber(number, pattern, groupSep, decimalSep, fractionSize) { - - if (!(isString(number) || isNumber(number)) || isNaN(number)) return ''; - - var isInfinity = !isFinite(number); - var isZero = false; - var numStr = Math.abs(number) + '', - formattedText = '', - parsedNumber; - - if (isInfinity) { - formattedText = '\u221e'; - } else { - parsedNumber = parse(numStr); - - roundNumber(parsedNumber, fractionSize, pattern.minFrac, pattern.maxFrac); + // safely round numbers in JS without hitting imprecisions of floating-point arithmetics + // inspired by: + // https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/Math/round + number = +(Math.round(+(number.toString() + 'e' + fractionSize)).toString() + 'e' + -fractionSize); - var digits = parsedNumber.d; - var integerLen = parsedNumber.i; - var exponent = parsedNumber.e; - var decimals = []; - isZero = digits.reduce(function(isZero, d) { return isZero && !d; }, true); + var fraction = ('' + number).split(DECIMAL_SEP); + var whole = fraction[0]; + fraction = fraction[1] || ''; - // pad zeros for small numbers - while (integerLen < 0) { - digits.unshift(0); - integerLen++; - } + var i, pos = 0, + lgroup = pattern.lgSize, + group = pattern.gSize; - // extract decimals digits - if (integerLen > 0) { - decimals = digits.splice(integerLen); - } else { - decimals = digits; - digits = [0]; + if (whole.length >= (lgroup + group)) { + pos = whole.length - lgroup; + for (i = 0; i < pos; i++) { + if ((pos - i) % group === 0 && i !== 0) { + formatedText += groupSep; + } + formatedText += whole.charAt(i); + } } - // format the integer digits with grouping separators - var groups = []; - if (digits.length >= pattern.lgSize) { - groups.unshift(digits.splice(-pattern.lgSize).join('')); - } - while (digits.length > pattern.gSize) { - groups.unshift(digits.splice(-pattern.gSize).join('')); - } - if (digits.length) { - groups.unshift(digits.join('')); + for (i = pos; i < whole.length; i++) { + if ((whole.length - i) % lgroup === 0 && i !== 0) { + formatedText += groupSep; + } + formatedText += whole.charAt(i); } - formattedText = groups.join(groupSep); - // append the decimal digits - if (decimals.length) { - formattedText += decimalSep + decimals.join(''); + // format fraction part. + while (fraction.length < fractionSize) { + fraction += '0'; } - if (exponent) { - formattedText += 'e+' + exponent; + if (fractionSize && fractionSize !== "0") formatedText += decimalSep + fraction.substr(0, fractionSize); + } else { + if (fractionSize > 0 && number < 1) { + formatedText = number.toFixed(fractionSize); + number = parseFloat(formatedText); + formatedText = formatedText.replace(DECIMAL_SEP, decimalSep); } } - if (number < 0 && !isZero) { - return pattern.negPre + formattedText + pattern.negSuf; - } else { - return pattern.posPre + formattedText + pattern.posSuf; + + if (number === 0) { + isNegative = false; } + + parts.push(isNegative ? pattern.negPre : pattern.posPre, + formatedText, + isNegative ? pattern.negSuf : pattern.posSuf); + return parts.join(''); } -function padNumber(num, digits, trim, negWrap) { +function padNumber(num, digits, trim) { var neg = ''; - if (num < 0 || (negWrap && num <= 0)) { - if (negWrap) { - num = -num + 1; - } else { - num = -num; - neg = '-'; - } + if (num < 0) { + neg = '-'; + num = -num; } num = '' + num; - while (num.length < digits) num = ZERO_CHAR + num; + while (num.length < digits) num = '0' + num; if (trim) { num = num.substr(num.length - digits); } @@ -29375,7 +28008,7 @@ function padNumber(num, digits, trim, negWrap) { } -function dateGetter(name, size, offset, trim, negWrap) { +function dateGetter(name, size, offset, trim) { offset = offset || 0; return function(date) { var value = date['get' + name](); @@ -29383,15 +28016,14 @@ function dateGetter(name, size, offset, trim, negWrap) { value += offset; } if (value === 0 && offset == -12) value = 12; - return padNumber(value, size, trim, negWrap); + return padNumber(value, size, trim); }; } -function dateStrGetter(name, shortForm, standAlone) { +function dateStrGetter(name, shortForm) { return function(date, formats) { var value = date['get' + name](); - var propPrefix = (standAlone ? 'STANDALONE' : '') + (shortForm ? 'SHORT' : ''); - var get = uppercase(propPrefix + name); + var get = uppercase(shortForm ? ('SHORT' + name) : name); return formats[get][value]; }; @@ -29446,14 +28078,13 @@ function longEraGetter(date, formats) { } var DATE_FORMATS = { - yyyy: dateGetter('FullYear', 4, 0, false, true), - yy: dateGetter('FullYear', 2, 0, true, true), - y: dateGetter('FullYear', 1, 0, false, true), + yyyy: dateGetter('FullYear', 4), + yy: dateGetter('FullYear', 2, 0, true), + y: dateGetter('FullYear', 1), MMMM: dateStrGetter('Month'), MMM: dateStrGetter('Month', true), MM: dateGetter('Month', 2, 1), M: dateGetter('Month', 1, 1), - LLLL: dateStrGetter('Month', false, true), dd: dateGetter('Date', 2), d: dateGetter('Date', 1), HH: dateGetter('Hours', 2), @@ -29479,7 +28110,7 @@ var DATE_FORMATS = { GGGG: longEraGetter }; -var DATE_FORMATS_SPLIT = /((?:[^yMLdHhmsaZEwG']+)|(?:'(?:[^']|'')*')|(?:E+|y+|M+|L+|d+|H+|h+|m+|s+|a|Z|G+|w+))(.*)/, +var DATE_FORMATS_SPLIT = /((?:[^yMdHhmsaZEwG']+)|(?:'(?:[^']|'')*')|(?:E+|y+|M+|d+|H+|h+|m+|s+|a|Z|G+|w+))(.*)/, NUMBER_STRING = /^\-?\d+$/; /** @@ -29499,7 +28130,6 @@ var DATE_FORMATS_SPLIT = /((?:[^yMLdHhmsaZEwG']+)|(?:'(?:[^']|'')*')|(?:E+|y+|M+ * * `'MMM'`: Month in year (Jan-Dec) * * `'MM'`: Month in year, padded (01-12) * * `'M'`: Month in year (1-12) - * * `'LLLL'`: Stand-alone month in year (January-December) * * `'dd'`: Day in month, padded (01-31) * * `'d'`: Day in month (1-31) * * `'EEEE'`: Day in Week,(Sunday-Saturday) @@ -29639,13 +28269,13 @@ function dateFilter($locale) { var dateTimezoneOffset = date.getTimezoneOffset(); if (timezone) { - dateTimezoneOffset = timezoneToOffset(timezone, dateTimezoneOffset); + dateTimezoneOffset = timezoneToOffset(timezone, date.getTimezoneOffset()); date = convertTimezoneToLocal(date, timezone, true); } forEach(parts, function(value) { fn = DATE_FORMATS[value]; text += fn ? fn(date, $locale.DATETIME_FORMATS, dateTimezoneOffset) - : value === "''" ? "'" : value.replace(/(^'|'$)/g, '').replace(/''/g, "'"); + : value.replace(/(^'|'$)/g, '').replace(/''/g, "'"); }); return text; @@ -29849,9 +28479,8 @@ function limitToFilter() { * Orders a specified `array` by the `expression` predicate. It is ordered alphabetically * for strings and numerically for numbers. Note: if you notice numbers are not being sorted * as expected, make sure they are actually being saved as numbers and not strings. - * Array-like values (e.g. NodeLists, jQuery objects, TypedArrays, Strings, etc) are also supported. * - * @param {Array} array The array (or array-like object) to sort. + * @param {Array} array The array to sort. * @param {function(*)|string|Array.<(function(*)|string)>=} expression A predicate to be * used by the comparator to determine the order of elements. * @@ -29882,6 +28511,17 @@ function limitToFilter() { * `reverse` is not set, which means it defaults to `false`. +
            @@ -29897,17 +28537,6 @@ function limitToFilter() {
            - - angular.module('orderByExample', []) - .controller('ExampleController', ['$scope', function($scope) { - $scope.friends = - [{name:'John', phone:'555-1212', age:10}, - {name:'Mary', phone:'555-9876', age:19}, - {name:'Mike', phone:'555-4321', age:21}, - {name:'Adam', phone:'555-5678', age:35}, - {name:'Julie', phone:'555-8765', age:29}]; - }]); -
            * * The predicate and reverse parameters can be controlled dynamically through scope properties, @@ -29915,24 +28544,49 @@ function limitToFilter() { * @example + +
            Sorting predicate = {{predicate}}; reverse = {{reverse}}

            - + [
            unsorted ] - - - + + + @@ -29942,31 +28596,6 @@ function limitToFilter() {
            - - - - - - - - - + Name + + + Phone Number + + + Age + +
            {{friend.name}}
            - - angular.module('orderByExample', []) - .controller('ExampleController', ['$scope', function($scope) { - $scope.friends = - [{name:'John', phone:'555-1212', age:10}, - {name:'Mary', phone:'555-9876', age:19}, - {name:'Mike', phone:'555-4321', age:21}, - {name:'Adam', phone:'555-5678', age:35}, - {name:'Julie', phone:'555-8765', age:29}]; - $scope.predicate = 'age'; - $scope.reverse = true; - $scope.order = function(predicate) { - $scope.reverse = ($scope.predicate === predicate) ? !$scope.reverse : false; - $scope.predicate = predicate; - }; - }]); - - - .sortorder:after { - content: '\25b2'; - } - .sortorder.reverse:after { - content: '\25bc'; - } - * * It's also possible to call the orderBy filter manually, by injecting `$filter`, retrieving the @@ -29978,30 +28607,21 @@ function limitToFilter() { * @example -
            -
            Sorting predicate = {{predicate}}; reverse = {{reverse}}
            - - - - - - - - - - - -
            - - - - - - - - -
            {{friend.name}}{{friend.phone}}{{friend.age}}
            -
            +
            + + + + + + + + + + + +
            Name + (^)Phone NumberAge
            {{friend.name}}{{friend.phone}}{{friend.age}}
            +
            @@ -30015,33 +28635,19 @@ function limitToFilter() { { name: 'Adam', phone: '555-5678', age: 35 }, { name: 'Julie', phone: '555-8765', age: 29 } ]; - $scope.order = function(predicate) { - $scope.predicate = predicate; - $scope.reverse = ($scope.predicate === predicate) ? !$scope.reverse : false; - $scope.friends = orderBy($scope.friends, predicate, $scope.reverse); + $scope.order = function(predicate, reverse) { + $scope.friends = orderBy($scope.friends, predicate, reverse); }; - $scope.order('age', true); + $scope.order('-age',false); }]); - - - .sortorder:after { - content: '\25b2'; - } - .sortorder.reverse:after { - content: '\25bc'; - } -
            */ orderByFilter.$inject = ['$parse']; function orderByFilter($parse) { return function(array, sortPredicate, reverseOrder) { - if (array == null) return array; - if (!isArrayLike(array)) { - throw minErr('orderBy')('notarray', 'Expected array but received: {0}', array); - } + if (!(isArrayLike(array))) return array; if (!isArray(sortPredicate)) { sortPredicate = [sortPredicate]; } if (sortPredicate.length === 0) { sortPredicate = ['+']; } @@ -30364,7 +28970,20 @@ var htmlAnchorDirective = valueFn({ * {@link guide/expression expression} inside `ngDisabled` evaluates to truthy. * * A special directive is necessary because we cannot use interpolation inside the `disabled` - * attribute. See the {@link guide/interpolation interpolation guide} for more info. + * attribute. The following example would make the button enabled on Chrome/Firefox + * but not on older IEs: + * + * ```html + * + *
            + * + *
            + * ``` + * + * This is because the HTML specification does not require browsers to preserve the values of + * boolean attributes such as `disabled` (Their presence means true and their absence means false.) + * If we put an Angular interpolation expression into such an attribute then the + * binding information would be lost when the browser removes the attribute. * * @example @@ -30399,9 +29018,15 @@ var htmlAnchorDirective = valueFn({ * Note that this directive should not be used together with {@link ngModel `ngModel`}, * as this can lead to unexpected behavior. * - * A special directive is necessary because we cannot use interpolation inside the `checked` - * attribute. See the {@link guide/interpolation interpolation guide} for more info. + * ### Why do we need `ngChecked`? * + * The HTML specification does not require browsers to preserve the values of boolean attributes + * such as checked. (Their presence means true and their absence means false.) + * If we put an Angular interpolation expression into such an attribute then the + * binding information would be lost when the browser removes the attribute. + * The `ngChecked` directive solves this problem for the `checked` attribute. + * This complementary directive is not removed by the browser and so provides + * a permanent reliable place to store the binding information. * @example @@ -30430,12 +29055,13 @@ var htmlAnchorDirective = valueFn({ * @priority 100 * * @description - * - * Sets the `readOnly` attribute on the element, if the expression inside `ngReadonly` is truthy. - * - * A special directive is necessary because we cannot use interpolation inside the `readOnly` - * attribute. See the {@link guide/interpolation interpolation guide} for more info. - * + * The HTML specification does not require browsers to preserve the values of boolean attributes + * such as readonly. (Their presence means true and their absence means false.) + * If we put an Angular interpolation expression into such an attribute then the + * binding information would be lost when the browser removes the attribute. + * The `ngReadonly` directive solves this problem for the `readonly` attribute. + * This complementary directive is not removed by the browser and so provides + * a permanent reliable place to store the binding information. * @example @@ -30464,11 +29090,13 @@ var htmlAnchorDirective = valueFn({ * @priority 100 * * @description - * - * Sets the `selected` attribute on the element, if the expression inside `ngSelected` is truthy. - * - * A special directive is necessary because we cannot use interpolation inside the `selected` - * attribute. See the {@link guide/interpolation interpolation guide} for more info. + * The HTML specification does not require browsers to preserve the values of boolean attributes + * such as selected. (Their presence means true and their absence means false.) + * If we put an Angular interpolation expression into such an attribute then the + * binding information would be lost when the browser removes the attribute. + * The `ngSelected` directive solves this problem for the `selected` attribute. + * This complementary directive is not removed by the browser and so provides + * a permanent reliable place to store the binding information. * * @example @@ -30500,12 +29128,13 @@ var htmlAnchorDirective = valueFn({ * @priority 100 * * @description - * - * Sets the `open` attribute on the element, if the expression inside `ngOpen` is truthy. - * - * A special directive is necessary because we cannot use interpolation inside the `open` - * attribute. See the {@link guide/interpolation interpolation guide} for more info. - * + * The HTML specification does not require browsers to preserve the values of boolean attributes + * such as open. (Their presence means true and their absence means false.) + * If we put an Angular interpolation expression into such an attribute then the + * binding information would be lost when the browser removes the attribute. + * The `ngOpen` directive solves this problem for the `open` attribute. + * This complementary directive is not removed by the browser and so provides + * a permanent reliable place to store the binding information. * @example @@ -30751,7 +29380,7 @@ function FormController(element, attrs, $scope, $animate, $interpolate) { * * However, if the method is used programmatically, for example by adding dynamically created controls, * or controls that have been previously removed without destroying their corresponding DOM element, - * it's the developers responsibility to make sure the current state propagates to the parent form. + * it's the developers responsiblity to make sure the current state propagates to the parent form. * * For example, if an input control is added that is already `$dirty` and has `$error` properties, * calling `$setDirty()` and `$validate()` afterwards will propagate the state to the parent form. @@ -30961,9 +29590,13 @@ function FormController(element, attrs, $scope, $animate, $interpolate) { * * In Angular, forms can be nested. This means that the outer form is valid when all of the child * forms are valid as well. However, browsers do not allow nesting of `
            ` elements, so - * Angular provides the {@link ng.directive:ngForm `ngForm`} directive, which behaves identically to - * `form` but can be nested. Nested forms can be useful, for example, if the validity of a sub-group - * of controls needs to be determined. + * Angular provides the {@link ng.directive:ngForm `ngForm`} directive which behaves identically to + * `` but can be nested. This allows you to have nested forms, which is very useful when + * using Angular validation directives in forms that are dynamically generated using the + * {@link ng.directive:ngRepeat `ngRepeat`} directive. Since you cannot dynamically generate the `name` + * attribute of input elements using interpolation, you have to wrap each set of repeated inputs in an + * `ngForm` directive and nest these in an outer `form` element. + * * * # CSS classes * - `ng-valid` is set if the form is valid. @@ -31181,35 +29814,18 @@ var ngFormDirective = formDirectiveFactory(true); ngModelMinErr: false, */ -// Regex code was initially obtained from SO prior to modification: https://stackoverflow.com/questions/3143070/javascript-regex-iso-datetime#answer-3143231 -var ISO_DATE_REGEXP = /^\d{4,}-[01]\d-[0-3]\dT[0-2]\d:[0-5]\d:[0-5]\d\.\d+(?:[+-][0-2]\d:[0-5]\d|Z)$/; +// Regex code is obtained from SO: https://stackoverflow.com/questions/3143070/javascript-regex-iso-datetime#answer-3143231 +var ISO_DATE_REGEXP = /\d{4}-[01]\d-[0-3]\dT[0-2]\d:[0-5]\d:[0-5]\d\.\d+([+-][0-2]\d:[0-5]\d|Z)/; // See valid URLs in RFC3987 (http://tools.ietf.org/html/rfc3987) -// Note: We are being more lenient, because browsers are too. -// 1. Scheme -// 2. Slashes -// 3. Username -// 4. Password -// 5. Hostname -// 6. Port -// 7. Path -// 8. Query -// 9. Fragment -// 1111111111111111 222 333333 44444 555555555555555555555555 666 77777777 8888888 999 -var URL_REGEXP = /^[a-z][a-z\d.+-]*:\/*(?:[^:@]+(?::[^@]+)?@)?(?:[^\s:/?#]+|\[[a-f\d:]+\])(?::\d+)?(?:\/[^?#]*)?(?:\?[^#]*)?(?:#.*)?$/i; +var URL_REGEXP = /^[A-Za-z][A-Za-z\d.+-]*:\/*(?:\w+(?::\w+)?@)?[^\s/]+(?::\d+)?(?:\/[\w#!:.?+=&%@\-/]*)?$/; var EMAIL_REGEXP = /^[a-z0-9!#$%&'*+\/=?^_`{|}~.-]+@[a-z0-9]([a-z0-9-]*[a-z0-9])?(\.[a-z0-9]([a-z0-9-]*[a-z0-9])?)*$/i; var NUMBER_REGEXP = /^\s*(\-|\+)?(\d+|(\d*(\.\d*)))([eE][+-]?\d+)?\s*$/; -var DATE_REGEXP = /^(\d{4,})-(\d{2})-(\d{2})$/; -var DATETIMELOCAL_REGEXP = /^(\d{4,})-(\d\d)-(\d\d)T(\d\d):(\d\d)(?::(\d\d)(\.\d{1,3})?)?$/; -var WEEK_REGEXP = /^(\d{4,})-W(\d\d)$/; -var MONTH_REGEXP = /^(\d{4,})-(\d\d)$/; +var DATE_REGEXP = /^(\d{4})-(\d{2})-(\d{2})$/; +var DATETIMELOCAL_REGEXP = /^(\d{4})-(\d\d)-(\d\d)T(\d\d):(\d\d)(?::(\d\d)(\.\d{1,3})?)?$/; +var WEEK_REGEXP = /^(\d{4})-W(\d\d)$/; +var MONTH_REGEXP = /^(\d{4})-(\d\d)$/; var TIME_REGEXP = /^(\d\d):(\d\d)(?::(\d\d)(\.\d{1,3})?)?$/; -var PARTIAL_VALIDATION_EVENTS = 'keydown wheel mousedown'; -var PARTIAL_VALIDATION_TYPES = createMap(); -forEach('date,datetime-local,month,time,week'.split(','), function(type) { - PARTIAL_VALIDATION_TYPES[type] = true; -}); - var inputType = { /** @@ -31234,8 +29850,8 @@ var inputType = { * @param {string=} pattern Similar to `ngPattern` except that the attribute value is the actual string * that contains the regular expression body that will be converted to a regular expression * as in the ngPattern directive. - * @param {string=} ngPattern Sets `pattern` validation error key if the ngModel {@link ngModel.NgModelController#$viewValue $viewValue} - * does not match a RegExp found by evaluating the Angular expression given in the attribute value. + * @param {string=} ngPattern Sets `pattern` validation error key if the ngModel value does not match + * a RegExp found by evaluating the Angular expression given in the attribute value. * If the expression evaluates to a RegExp object, then this is used directly. * If the expression evaluates to a string, then it will be converted to a RegExp * after wrapping it in `^` and `$` characters. For instance, `"abc"` will be converted to @@ -31522,7 +30138,7 @@ var inputType = { * * @description * Input with time validation and transformation. In browsers that do not yet support - * the HTML5 time input, a text element will be used. In that case, the text must be entered in a valid ISO-8601 + * the HTML5 date input, a text element will be used. In that case, the text must be entered in a valid ISO-8601 * local time format (HH:mm:ss), for example: `14:57:00`. Model must be a Date object. This binding will always output a * Date object to the model of January 1, 1970, or local date `new Date(1970, 0, 1, HH, mm, ss)`. * @@ -31565,7 +30181,7 @@ var inputType = { }]); - +
            @@ -31869,8 +30485,8 @@ var inputType = { * @param {string=} pattern Similar to `ngPattern` except that the attribute value is the actual string * that contains the regular expression body that will be converted to a regular expression * as in the ngPattern directive. - * @param {string=} ngPattern Sets `pattern` validation error key if the ngModel {@link ngModel.NgModelController#$viewValue $viewValue} - * does not match a RegExp found by evaluating the Angular expression given in the attribute value. + * @param {string=} ngPattern Sets `pattern` validation error key if the ngModel value does not match + * a RegExp found by evaluating the Angular expression given in the attribute value. * If the expression evaluates to a RegExp object, then this is used directly. * If the expression evaluates to a string, then it will be converted to a RegExp * after wrapping it in `^` and `$` characters. For instance, `"abc"` will be converted to @@ -31967,8 +30583,8 @@ var inputType = { * @param {string=} pattern Similar to `ngPattern` except that the attribute value is the actual string * that contains the regular expression body that will be converted to a regular expression * as in the ngPattern directive. - * @param {string=} ngPattern Sets `pattern` validation error key if the ngModel {@link ngModel.NgModelController#$viewValue $viewValue} - * does not match a RegExp found by evaluating the Angular expression given in the attribute value. + * @param {string=} ngPattern Sets `pattern` validation error key if the ngModel value does not match + * a RegExp found by evaluating the Angular expression given in the attribute value. * If the expression evaluates to a RegExp object, then this is used directly. * If the expression evaluates to a string, then it will be converted to a RegExp * after wrapping it in `^` and `$` characters. For instance, `"abc"` will be converted to @@ -32066,8 +30682,8 @@ var inputType = { * @param {string=} pattern Similar to `ngPattern` except that the attribute value is the actual string * that contains the regular expression body that will be converted to a regular expression * as in the ngPattern directive. - * @param {string=} ngPattern Sets `pattern` validation error key if the ngModel {@link ngModel.NgModelController#$viewValue $viewValue} - * does not match a RegExp found by evaluating the Angular expression given in the attribute value. + * @param {string=} ngPattern Sets `pattern` validation error key if the ngModel value does not match + * a RegExp found by evaluating the Angular expression given in the attribute value. * If the expression evaluates to a RegExp object, then this is used directly. * If the expression evaluates to a string, then it will be converted to a RegExp * after wrapping it in `^` and `$` characters. For instance, `"abc"` will be converted to @@ -32286,7 +30902,7 @@ function baseInputType(scope, element, attr, ctrl, $sniffer, $browser) { if (!$sniffer.android) { var composing = false; - element.on('compositionstart', function() { + element.on('compositionstart', function(data) { composing = true; }); @@ -32296,8 +30912,6 @@ function baseInputType(scope, element, attr, ctrl, $sniffer, $browser) { }); } - var timeout; - var listener = function(ev) { if (timeout) { $browser.defer.cancel(timeout); @@ -32327,6 +30941,8 @@ function baseInputType(scope, element, attr, ctrl, $sniffer, $browser) { if ($sniffer.hasEvent('input')) { element.on('input', listener); } else { + var timeout; + var deferListener = function(ev, input, origValue) { if (!timeout) { timeout = $browser.defer(function() { @@ -32358,26 +30974,6 @@ function baseInputType(scope, element, attr, ctrl, $sniffer, $browser) { // or form autocomplete on newer browser, we need "change" event to catch it element.on('change', listener); - // Some native input types (date-family) have the ability to change validity without - // firing any input/change events. - // For these event types, when native validators are present and the browser supports the type, - // check for validity changes on various DOM events. - if (PARTIAL_VALIDATION_TYPES[type] && ctrl.$$hasNativeValidators && type === attr.type) { - element.on(PARTIAL_VALIDATION_EVENTS, function(ev) { - if (!timeout) { - var validity = this[VALIDITY_STATE_PROPERTY]; - var origBadInput = validity.badInput; - var origTypeMismatch = validity.typeMismatch; - timeout = $browser.defer(function() { - timeout = null; - if (validity.badInput !== origBadInput || validity.typeMismatch !== origTypeMismatch) { - listener(ev); - } - }); - } - }); - } - ctrl.$render = function() { // Workaround for Firefox validation #12102. var value = ctrl.$isEmpty(ctrl.$viewValue) ? '' : ctrl.$viewValue; @@ -32547,7 +31143,11 @@ function badInputChecker(scope, element, attr, ctrl) { if (nativeValidation) { ctrl.$parsers.push(function(value) { var validity = element.prop(VALIDITY_STATE_PROPERTY) || {}; - return validity.badInput || validity.typeMismatch ? undefined : value; + // Detect bug in FF35 for input[email] (https://bugzilla.mozilla.org/show_bug.cgi?id=1064430): + // - also sets validity.badInput (should only be validity.typeMismatch). + // - see http://www.whatwg.org/specs/web-apps/current-work/multipage/forms.html#e-mail-state-(type=email) + // - can ignore this case as we can still read out the erroneous email... + return validity.badInput && !validity.typeMismatch ? undefined : value; }); } } @@ -32719,8 +31319,8 @@ function checkboxInputType(scope, element, attr, ctrl, $sniffer, $browser, $filt * @param {number=} ngMaxlength Sets `maxlength` validation error key if the value is longer than * maxlength. Setting the attribute to a negative or non-numeric value, allows view values of any * length. - * @param {string=} ngPattern Sets `pattern` validation error key if the ngModel {@link ngModel.NgModelController#$viewValue $viewValue} - * does not match a RegExp found by evaluating the Angular expression given in the attribute value. + * @param {string=} ngPattern Sets `pattern` validation error key if the ngModel value does not match + * a RegExp found by evaluating the Angular expression given in the attribute value. * If the expression evaluates to a RegExp object, then this is used directly. * If the expression evaluates to a string, then it will be converted to a RegExp * after wrapping it in `^` and `$` characters. For instance, `"abc"` will be converted to @@ -32758,8 +31358,8 @@ function checkboxInputType(scope, element, attr, ctrl, $sniffer, $browser, $filt * @param {number=} ngMaxlength Sets `maxlength` validation error key if the value is longer than * maxlength. Setting the attribute to a negative or non-numeric value, allows view values of any * length. - * @param {string=} ngPattern Sets `pattern` validation error key if the ngModel {@link ngModel.NgModelController#$viewValue $viewValue} - * value does not match a RegExp found by evaluating the Angular expression given in the attribute value. + * @param {string=} ngPattern Sets `pattern` validation error key if the ngModel value does not match + * a RegExp found by evaluating the Angular expression given in the attribute value. * If the expression evaluates to a RegExp object, then this is used directly. * If the expression evaluates to a string, then it will be converted to a RegExp * after wrapping it in `^` and `$` characters. For instance, `"abc"` will be converted to @@ -33328,11 +31928,7 @@ function classDirective(name, selector) { updateClasses(oldClasses, newClasses); } } - if (isArray(newVal)) { - oldVal = newVal.map(function(v) { return shallowCopy(v); }); - } else { - oldVal = shallowCopy(newVal); - } + oldVal = shallowCopy(newVal); } } }; @@ -33402,10 +31998,9 @@ function classDirective(name, selector) { * new classes added. * * @animations - * | Animation | Occurs | - * |----------------------------------|-------------------------------------| - * | {@link ng.$animate#addClass addClass} | just before the class is applied to the element | - * | {@link ng.$animate#removeClass removeClass} | just before the class is removed from the element | + * **add** - happens just before the class is applied to the elements + * + * **remove** - happens just before the class is removed from the element * * @element ANY * @param {expression} ngClass {@link guide/expression Expression} to eval. The result @@ -33990,7 +32585,7 @@ var ngControllerDirective = [function() { * * * no-inline-style: this stops Angular from injecting CSS styles into the DOM * - * * no-unsafe-eval: this stops Angular from optimizing $parse with unsafe eval of strings + * * no-unsafe-eval: this stops Angular from optimising $parse with unsafe eval of strings * * You can use these values in the following combinations: * @@ -34007,7 +32602,7 @@ var ngControllerDirective = [function() { * inline styles. E.g. ``. * * * Specifying only `no-inline-style` tells Angular that we must not inject styles, but that we can - * run eval - no automatic check for unsafe eval will occur. E.g. `` + * run eval - no automcatic check for unsafe eval will occur. E.g. `` * * * Specifying both `no-unsafe-eval` and `no-inline-style` tells Angular that we must not inject * styles nor use eval, which is the same as an empty: ng-csp. @@ -34664,10 +33259,8 @@ forEach( * and `leave` effects. * * @animations - * | Animation | Occurs | - * |----------------------------------|-------------------------------------| - * | {@link ng.$animate#enter enter} | just after the `ngIf` contents change and a new DOM element is created and injected into the `ngIf` container | - * | {@link ng.$animate#leave leave} | just before the `ngIf` contents are removed from the DOM | + * enter - happens just after the `ngIf` contents change and a new DOM element is created and injected into the `ngIf` container + * leave - happens just before the `ngIf` contents are removed from the DOM * * @element ANY * @scope @@ -34708,7 +33301,7 @@ forEach( */ -var ngIfDirective = ['$animate', '$compile', function($animate, $compile) { +var ngIfDirective = ['$animate', function($animate) { return { multiElement: true, transclude: 'element', @@ -34724,7 +33317,7 @@ var ngIfDirective = ['$animate', '$compile', function($animate, $compile) { if (!childScope) { $transclude(function(clone, newScope) { childScope = newScope; - clone[clone.length++] = $compile.$$createComment('end ngIf', $attr.ngIf); + clone[clone.length++] = document.createComment(' end ngIf: ' + $attr.ngIf + ' '); // Note: We only need the first/last node of the cloned nodes. // However, we need to keep the reference to the jqlite wrapper as it might be changed later // by a directive with templateUrl when its template arrives. @@ -34779,10 +33372,8 @@ var ngIfDirective = ['$animate', '$compile', function($animate, $compile) { * access on some browsers. * * @animations - * | Animation | Occurs | - * |----------------------------------|-------------------------------------| - * | {@link ng.$animate#enter enter} | when the expression changes, on the new include | - * | {@link ng.$animate#leave leave} | when the expression changes, on the old include | + * enter - animation is used to bring new content into the browser. + * leave - animation is used to animate existing content away. * * The enter and leave animation occur concurrently. * @@ -34990,8 +33581,6 @@ var ngIncludeDirective = ['$templateRequest', '$anchorScroll', '$animate', //set the 2nd param to true to ignore the template request error so that the inner //contents and scope can be cleaned up. $templateRequest(src, true).then(function(response) { - if (scope.$$destroyed) return; - if (thisChangeId !== changeCounter) return; var newScope = scope.$new(); ctrl.template = response; @@ -35013,8 +33602,6 @@ var ngIncludeDirective = ['$templateRequest', '$anchorScroll', '$animate', currentScope.$emit('$includeContentLoaded', src); scope.$eval(onloadExp); }, function() { - if (scope.$$destroyed) return; - if (thisChangeId === changeCounter) { cleanupLastIncludeContent(); scope.$emit('$includeContentError', src); @@ -35043,12 +33630,12 @@ var ngIncludeFillContentDirective = ['$compile', priority: -400, require: 'ngInclude', link: function(scope, $element, $attr, ctrl) { - if (toString.call($element[0]).match(/SVG/)) { + if (/SVG/.test($element[0].toString())) { // WebKit: https://bugs.webkit.org/show_bug.cgi?id=135698 --- SVG elements do not // support innerHTML, so detect this here and try to generate the contents // specially. $element.empty(); - $compile(jqLiteBuildFragment(ctrl.template, window.document).childNodes)(scope, + $compile(jqLiteBuildFragment(ctrl.template, document).childNodes)(scope, function namespaceAdaptedClone(clone) { $element.append(clone); }, {futureParentElement: $element}); @@ -35272,9 +33859,7 @@ var VALID_CLASS = 'ng-valid', DIRTY_CLASS = 'ng-dirty', UNTOUCHED_CLASS = 'ng-untouched', TOUCHED_CLASS = 'ng-touched', - PENDING_CLASS = 'ng-pending', - EMPTY_CLASS = 'ng-empty', - NOT_EMPTY_CLASS = 'ng-not-empty'; + PENDING_CLASS = 'ng-pending'; var ngModelMinErr = minErr('ngModel'); @@ -35523,9 +34108,9 @@ var NgModelController = ['$scope', '$exceptionHandler', '$attrs', '$element', '$ }; ngModelSet = function($scope, newValue) { if (isFunction(parsedNgModel($scope))) { - invokeModelSetter($scope, {$$$p: newValue}); + invokeModelSetter($scope, {$$$p: ctrl.$modelValue}); } else { - parsedNgModelAssign($scope, newValue); + parsedNgModelAssign($scope, ctrl.$modelValue); } }; } else if (!parsedNgModel.assign) { @@ -35550,7 +34135,7 @@ var NgModelController = ['$scope', '$exceptionHandler', '$attrs', '$element', '$ * the `$viewValue` are different from last time. * * Since `ng-model` does not do a deep watch, `$render()` is only invoked if the values of - * `$modelValue` and `$viewValue` are actually different from their previous values. If `$modelValue` + * `$modelValue` and `$viewValue` are actually different from their previous value. If `$modelValue` * or `$viewValue` are objects (rather than a string or number) then `$render()` will not be * invoked if you only change a property on the objects. */ @@ -35578,17 +34163,6 @@ var NgModelController = ['$scope', '$exceptionHandler', '$attrs', '$element', '$ return isUndefined(value) || value === '' || value === null || value !== value; }; - this.$$updateEmptyClasses = function(value) { - if (ctrl.$isEmpty(value)) { - $animate.removeClass($element, NOT_EMPTY_CLASS); - $animate.addClass($element, EMPTY_CLASS); - } else { - $animate.removeClass($element, EMPTY_CLASS); - $animate.addClass($element, NOT_EMPTY_CLASS); - } - }; - - var currentValidationRunId = 0; /** @@ -35706,14 +34280,11 @@ var NgModelController = ['$scope', '$exceptionHandler', '$attrs', '$element', '$ * which may be caused by a pending debounced event or because the input is waiting for a some * future event. * - * If you have an input that uses `ng-model-options` to set up debounced updates or updates that - * depend on special events such as blur, you can have a situation where there is a period when - * the `$viewValue` is out of sync with the ngModel's `$modelValue`. - * - * In this case, you can use `$rollbackViewValue()` to manually cancel the debounced / future update - * and reset the input to the last committed view value. + * If you have an input that uses `ng-model-options` to set up debounced events or events such + * as blur you can have a situation where there is a period when the `$viewValue` + * is out of synch with the ngModel's `$modelValue`. * - * It is also possible that you run into difficulties if you try to update the ngModel's `$modelValue` + * In this case, you can run into difficulties if you try to update the ngModel's `$modelValue` * programmatically before these debounced/future events have resolved/occurred, because Angular's * dirty checking mechanism is not able to tell whether the model has actually changed or not. * @@ -35726,63 +34297,39 @@ var NgModelController = ['$scope', '$exceptionHandler', '$attrs', '$element', '$ * angular.module('cancel-update-example', []) * * .controller('CancelUpdateController', ['$scope', function($scope) { - * $scope.model = {}; - * - * $scope.setEmpty = function(e, value, rollback) { + * $scope.resetWithCancel = function(e) { * if (e.keyCode == 27) { - * e.preventDefault(); - * if (rollback) { - * $scope.myForm[value].$rollbackViewValue(); - * } - * $scope.model[value] = ''; + * $scope.myForm.myInput1.$rollbackViewValue(); + * $scope.myValue = ''; + * } + * }; + * $scope.resetWithoutCancel = function(e) { + * if (e.keyCode == 27) { + * $scope.myValue = ''; * } * }; * }]); * * *
            - *

            Both of these inputs are only updated if they are blurred. Hitting escape should - * empty them. Follow these steps and observe the difference:

            - *
              - *
            1. Type something in the input. You will see that the model is not yet updated
            2. - *
            3. Press the Escape key. - *
                - *
              1. In the first example, nothing happens, because the model is already '', and no - * update is detected. If you blur the input, the model will be set to the current view. - *
              2. - *
              3. In the second example, the pending update is cancelled, and the input is set back - * to the last committed view value (''). Blurring the input does nothing. - *
              4. - *
              - *
            4. - *
            + *

            Try typing something in each input. See that the model only updates when you + * blur off the input. + *

            + *

            Now see what happens if you start typing then press the Escape key

            * * - *
            - *

            Without $rollbackViewValue():

            - * - * value1: "{{ model.value1 }}" - *
            - * - *
            - *

            With $rollbackViewValue():

            - * - * value2: "{{ model.value2 }}" - *
            + *

            With $rollbackViewValue()

            + *
            + * myValue: "{{ myValue }}" + * + *

            Without $rollbackViewValue()

            + *
            + * myValue: "{{ myValue }}" * *
            *
            - - div { - display: table-cell; - } - div:nth-child(1) { - padding-right: 30px; - } - - * */ this.$rollbackViewValue = function() { @@ -35896,13 +34443,13 @@ var NgModelController = ['$scope', '$exceptionHandler', '$attrs', '$element', '$ forEach(ctrl.$asyncValidators, function(validator, name) { var promise = validator(modelValue, viewValue); if (!isPromiseLike(promise)) { - throw ngModelMinErr('nopromise', + throw ngModelMinErr("$asyncValidators", "Expected asynchronous validator to return a promise but got '{0}' instead.", promise); } setValidity(name, undefined); validatorPromises.push(promise.then(function() { setValidity(name, true); - }, function() { + }, function(error) { allValid = false; setValidity(name, false); })); @@ -35952,7 +34499,6 @@ var NgModelController = ['$scope', '$exceptionHandler', '$attrs', '$element', '$ if (ctrl.$$lastCommittedViewValue === viewValue && (viewValue !== '' || !ctrl.$$hasNativeValidators)) { return; } - ctrl.$$updateEmptyClasses(viewValue); ctrl.$$lastCommittedViewValue = viewValue; // change to dirty @@ -36051,7 +34597,7 @@ var NgModelController = ['$scope', '$exceptionHandler', '$attrs', '$element', '$ * However, custom controls might also pass objects to this method. In this case, we should make * a copy of the object before passing it to `$setViewValue`. This is because `ngModel` does not * perform a deep watch of objects, it only looks for a change of identity. If you only change - * the property of the object then ngModel will not realize that the object has changed and + * the property of the object then ngModel will not realise that the object has changed and * will not invoke the `$parsers` and `$validators` pipelines. For this reason, you should * not change properties of the copy once it has been passed to `$setViewValue`. * Otherwise you may cause the model value on the scope to change incorrectly. @@ -36135,7 +34681,6 @@ var NgModelController = ['$scope', '$exceptionHandler', '$attrs', '$element', '$ viewValue = formatters[idx](viewValue); } if (ctrl.$viewValue !== viewValue) { - ctrl.$$updateEmptyClasses(viewValue); ctrl.$viewValue = ctrl.$$lastCommittedViewValue = viewValue; ctrl.$render(); @@ -36166,8 +34711,7 @@ var NgModelController = ['$scope', '$exceptionHandler', '$attrs', '$element', '$ * require. * - Providing validation behavior (i.e. required, number, email, url). * - Keeping the state of the control (valid/invalid, dirty/pristine, touched/untouched, validation errors). - * - Setting related css classes on the element (`ng-valid`, `ng-invalid`, `ng-dirty`, `ng-pristine`, `ng-touched`, - * `ng-untouched`, `ng-empty`, `ng-not-empty`) including animations. + * - Setting related css classes on the element (`ng-valid`, `ng-invalid`, `ng-dirty`, `ng-pristine`, `ng-touched`, `ng-untouched`) including animations. * - Registering the control with its parent {@link ng.directive:form form}. * * Note: `ngModel` will try to bind to the property given by evaluating the expression on the @@ -36195,22 +34739,6 @@ var NgModelController = ['$scope', '$exceptionHandler', '$attrs', '$element', '$ * - {@link ng.directive:select select} * - {@link ng.directive:textarea textarea} * - * # Complex Models (objects or collections) - * - * By default, `ngModel` watches the model by reference, not value. This is important to know when - * binding inputs to models that are objects (e.g. `Date`) or collections (e.g. arrays). If only properties of the - * object or collection change, `ngModel` will not be notified and so the input will not be re-rendered. - * - * The model must be assigned an entirely new object or collection before a re-rendering will occur. - * - * Some directives have options that will cause them to use a custom `$watchCollection` on the model expression - * - for example, `ngOptions` will do so when a `track by` clause is included in the comprehension expression or - * if the select is given the `multiple` attribute. - * - * The `$watchCollection()` method only does a shallow comparison, meaning that changing properties deeper than the - * first level of the object (or only changing the properties of an item in the collection if it's an array) will still - * not trigger a re-rendering of the model. - * * # CSS classes * The following CSS classes are added and removed on the associated input/select/textarea element * depending on the validity of the model. @@ -36224,16 +34752,13 @@ var NgModelController = ['$scope', '$exceptionHandler', '$attrs', '$element', '$ * - `ng-touched`: the control has been blurred * - `ng-untouched`: the control hasn't been blurred * - `ng-pending`: any `$asyncValidators` are unfulfilled - * - `ng-empty`: the view does not contain a value or the value is deemed "empty", as defined - * by the {@link ngModel.NgModelController#$isEmpty} method - * - `ng-not-empty`: the view contains a non-empty value * * Keep in mind that ngAnimate can detect each of these classes when added and removed. * * ## Animation Hooks * * Animations within models are triggered when any of the associated CSS classes are added and removed - * on the input element which is attached to the model. These classes include: `.ng-pristine`, `.ng-dirty`, + * on the input element which is attached to the model. These classes are: `.ng-pristine`, `.ng-dirty`, * `.ng-invalid` and `.ng-valid` as well as any other validations that are performed on the model itself. * The animations that are triggered within ngModel are similar to how they work in ngClass and * animations can be hooked into using CSS transitions, keyframes as well as JS animations. @@ -36376,7 +34901,7 @@ var ngModelDirective = ['$rootScope', function($rootScope) { }); } - element.on('blur', function() { + element.on('blur', function(ev) { if (modelCtrl.$touched) return; if ($rootScope.$$phase) { @@ -36962,7 +35487,7 @@ var NG_OPTIONS_REGEXP = /^\s*([\s\S]+?)(?:\s+as\s+([\s\S]+?))?(?:\s+group\s+by\s // jshint maxlen: 100 -var ngOptionsDirective = ['$compile', '$document', '$parse', function($compile, $document, $parse) { +var ngOptionsDirective = ['$compile', '$parse', function($compile, $parse) { function parseOptionsExpression(optionsExp, selectElement, scope) { @@ -37059,8 +35584,8 @@ var ngOptionsDirective = ['$compile', '$document', '$parse', function($compile, var key = (optionValues === optionValuesKeys) ? index : optionValuesKeys[index]; var value = optionValues[key]; - var locals = getLocals(value, key); - var selectValue = getTrackByValueFn(value, locals); + var locals = getLocals(optionValues[key], key); + var selectValue = getTrackByValueFn(optionValues[key], locals); watchedArray.push(selectValue); // Only need to watch the displayFn if there is a specific label expression @@ -37123,13 +35648,17 @@ var ngOptionsDirective = ['$compile', '$document', '$parse', function($compile, // we can't just jqLite('
            - - angular.module('ngRepeat', ['ngAnimate']).controller('repeatController', function($scope) { - $scope.friends = [ - {name:'John', age:25, gender:'boy'}, - {name:'Jessie', age:30, gender:'girl'}, - {name:'Johanna', age:28, gender:'girl'}, - {name:'Joy', age:15, gender:'girl'}, - {name:'Mary', age:28, gender:'girl'}, - {name:'Peter', age:95, gender:'boy'}, - {name:'Sebastian', age:50, gender:'boy'}, - {name:'Erika', age:27, gender:'girl'}, - {name:'Patrick', age:40, gender:'boy'}, - {name:'Samantha', age:60, gender:'girl'} - ]; - }); - .example-animate-container { background:white; @@ -37938,7 +36503,7 @@ var ngPluralizeDirective = ['$locale', '$interpolate', '$log', function($locale, } .animate-repeat { - line-height:30px; + line-height:40px; list-style:none; box-sizing:border-box; } @@ -37960,7 +36525,7 @@ var ngPluralizeDirective = ['$locale', '$interpolate', '$log', function($locale, .animate-repeat.ng-move.ng-move-active, .animate-repeat.ng-enter.ng-enter-active { opacity:1; - max-height:30px; + max-height:40px; } @@ -37987,7 +36552,7 @@ var ngPluralizeDirective = ['$locale', '$interpolate', '$log', function($locale,
            */ -var ngRepeatDirective = ['$parse', '$animate', '$compile', function($parse, $animate, $compile) { +var ngRepeatDirective = ['$parse', '$animate', function($parse, $animate) { var NG_REMOVED = '$$NG_REMOVED'; var ngRepeatMinErr = minErr('ngRepeat'); @@ -38022,7 +36587,7 @@ var ngRepeatDirective = ['$parse', '$animate', '$compile', function($parse, $ani $$tlb: true, compile: function ngRepeatCompile($element, $attr) { var expression = $attr.ngRepeat; - var ngRepeatEndComment = $compile.$$createComment('end ngRepeat', expression); + var ngRepeatEndComment = document.createComment(' end ngRepeat: ' + expression + ' '); var match = expression.match(/^\s*([\s\S]+?)\s+in\s+([\s\S]+?)(?:\s+as\s+([\s\S]+?))?(?:\s+track\s+by\s+([\s\S]+?))?\s*$/); @@ -38186,7 +36751,7 @@ var ngRepeatDirective = ['$parse', '$animate', '$compile', function($parse, $ani if (getBlockStart(block) != nextNode) { // existing item which got moved - $animate.move(getBlockNodes(block.clone), null, previousNode); + $animate.move(getBlockNodes(block.clone), null, jqLite(previousNode)); } previousNode = getBlockEnd(block); updateScope(block.scope, index, valueIdentifier, value, keyIdentifier, key, collectionLength); @@ -38198,7 +36763,8 @@ var ngRepeatDirective = ['$parse', '$animate', '$compile', function($parse, $ani var endNode = ngRepeatEndComment.cloneNode(false); clone[clone.length++] = endNode; - $animate.enter(clone, null, previousNode); + // TODO(perf): support naked previousNode in `enter` to avoid creation of jqLite wrapper? + $animate.enter(clone, null, jqLite(previousNode)); previousNode = endNode; // Note: We only need the first/last node of the cloned nodes. // However, we need to keep the reference to the jqlite wrapper as it might be changed later @@ -38301,14 +36867,12 @@ var NG_HIDE_IN_PROGRESS_CLASS = 'ng-hide-animate'; * .my-element.ng-hide-remove.ng-hide-remove-active { ... } * ``` * - * Keep in mind that, as of AngularJS version 1.3, there is no need to change the display + * Keep in mind that, as of AngularJS version 1.3.0-beta.11, there is no need to change the display * property to block during animation states--ngAnimate will handle the style toggling automatically for you. * * @animations - * | Animation | Occurs | - * |----------------------------------|-------------------------------------| - * | {@link $animate#addClass addClass} `.ng-hide` | after the `ngShow` expression evaluates to a non truthy value and just before the contents are set to hidden | - * | {@link $animate#removeClass removeClass} `.ng-hide` | after the `ngShow` expression evaluates to a truthy value and just before contents are set to visible | + * addClass: `.ng-hide` - happens after the `ngShow` expression evaluates to a truthy value and the just before contents are set to visible + * removeClass: `.ng-hide` - happens after the `ngShow` expression evaluates to a non truthy value and just before the contents are set to hidden * * @element ANY * @param {expression} ngShow If the {@link guide/expression expression} is truthy @@ -38467,15 +37031,12 @@ var ngShowDirective = ['$animate', function($animate) { * .my-element.ng-hide-remove.ng-hide-remove-active { ... } * ``` * - * Keep in mind that, as of AngularJS version 1.3, there is no need to change the display + * Keep in mind that, as of AngularJS version 1.3.0-beta.11, there is no need to change the display * property to block during animation states--ngAnimate will handle the style toggling automatically for you. * * @animations - * | Animation | Occurs | - * |----------------------------------|-------------------------------------| - * | {@link $animate#addClass addClass} `.ng-hide` | after the `ngHide` expression evaluates to a truthy value and just before the contents are set to hidden | - * | {@link $animate#removeClass removeClass} `.ng-hide` | after the `ngHide` expression evaluates to a non truthy value and just before contents are set to visible | - * + * removeClass: `.ng-hide` - happens after the `ngHide` expression evaluates to a truthy value and just before the contents are set to hidden + * addClass: `.ng-hide` - happens after the `ngHide` expression evaluates to a non truthy value and just before the contents are set to visible * * @element ANY * @param {expression} ngHide If the {@link guide/expression expression} is truthy then @@ -38637,10 +37198,8 @@ var ngStyleDirective = ngDirective(function(scope, element, attr) { *
            * @animations - * | Animation | Occurs | - * |----------------------------------|-------------------------------------| - * | {@link ng.$animate#enter enter} | after the ngSwitch contents change and the matched child element is placed inside the container | - * | {@link ng.$animate#leave leave} | after the ngSwitch contents change and just before the former contents are removed from the DOM | + * enter - happens after the ngSwitch contents change and the matched child element is placed inside the container + * leave - happens just after the ngSwitch contents change and just before the former contents are removed from the DOM * * @usage * @@ -38739,7 +37298,7 @@ var ngStyleDirective = ngDirective(function(scope, element, attr) { */ -var ngSwitchDirective = ['$animate', '$compile', function($animate, $compile) { +var ngSwitchDirective = ['$animate', function($animate) { return { require: 'ngSwitch', @@ -38780,7 +37339,7 @@ var ngSwitchDirective = ['$animate', '$compile', function($animate, $compile) { selectedTransclude.transclude(function(caseElement, selectedScope) { selectedScopes.push(selectedScope); var anchor = selectedTransclude.element; - caseElement[caseElement.length++] = $compile.$$createComment('end ngSwitchWhen'); + caseElement[caseElement.length++] = document.createComment(' end ngSwitchWhen: '); var block = { clone: caseElement }; selectedElements.push(block); @@ -38823,186 +37382,67 @@ var ngSwitchDefaultDirective = ngDirective({ * @description * Directive that marks the insertion point for the transcluded DOM of the nearest parent directive that uses transclusion. * - * You can specify that you want to insert a named transclusion slot, instead of the default slot, by providing the slot name - * as the value of the `ng-transclude` or `ng-transclude-slot` attribute. - * - * If the transcluded content is not empty (i.e. contains one or more DOM nodes, including whitespace text nodes), any existing - * content of this element will be removed before the transcluded content is inserted. - * If the transcluded content is empty, the existing content is left intact. This lets you provide fallback content in the case - * that no transcluded content is provided. + * Any existing content of the element that this directive is placed on will be removed before the transcluded content is inserted. * * @element ANY * - * @param {string} ngTransclude|ngTranscludeSlot the name of the slot to insert at this point. If this is not provided, is empty - * or its value is the same as the name of the attribute then the default slot is used. - * * @example - * ### Basic transclusion - * This example demonstrates basic transclusion of content into a component directive. - * - * - * - *
            - *
            - *
            - * {{text}} - *
            - *
            - * - * it('should have transcluded', function() { - * var titleElement = element(by.model('title')); - * titleElement.clear(); - * titleElement.sendKeys('TITLE'); - * var textElement = element(by.model('text')); - * textElement.clear(); - * textElement.sendKeys('TEXT'); - * expect(element(by.binding('title')).getText()).toEqual('TITLE'); - * expect(element(by.binding('text')).getText()).toEqual('TEXT'); - * }); - * - *
            - * - * @example - * ### Transclude fallback content - * This example shows how to use `NgTransclude` with fallback content, that - * is displayed if no transcluded content is provided. - * - * - * - * - * - * - * - * - * Button2 - * - * - * - * it('should have different transclude element content', function() { - * expect(element(by.id('fallback')).getText()).toBe('Button1'); - * expect(element(by.id('modified')).getText()).toBe('Button2'); - * }); - * - * + + + +
            +
            +
            + {{text}} +
            +
            + + it('should have transcluded', function() { + var titleElement = element(by.model('title')); + titleElement.clear(); + titleElement.sendKeys('TITLE'); + var textElement = element(by.model('text')); + textElement.clear(); + textElement.sendKeys('TEXT'); + expect(element(by.binding('title')).getText()).toEqual('TITLE'); + expect(element(by.binding('text')).getText()).toEqual('TEXT'); + }); + +
            * - * @example - * ### Multi-slot transclusion - * This example demonstrates using multi-slot transclusion in a component directive. - * - * - * - *
            - *
            - *
            - * - * {{title}} - *

            {{text}}

            - *
            - *
            - *
            - * - * angular.module('multiSlotTranscludeExample', []) - * .directive('pane', function(){ - * return { - * restrict: 'E', - * transclude: { - * 'title': '?paneTitle', - * 'body': 'paneBody', - * 'footer': '?paneFooter' - * }, - * template: '
            ' + - * '
            Fallback Title
            ' + - * '
            ' + - * '' + - * '
            ' - * }; - * }) - * .controller('ExampleController', ['$scope', function($scope) { - * $scope.title = 'Lorem Ipsum'; - * $scope.link = "https://google.com"; - * $scope.text = 'Neque porro quisquam est qui dolorem ipsum quia dolor...'; - * }]); - *
            - * - * it('should have transcluded the title and the body', function() { - * var titleElement = element(by.model('title')); - * titleElement.clear(); - * titleElement.sendKeys('TITLE'); - * var textElement = element(by.model('text')); - * textElement.clear(); - * textElement.sendKeys('TEXT'); - * expect(element(by.css('.title')).getText()).toEqual('TITLE'); - * expect(element(by.binding('text')).getText()).toEqual('TEXT'); - * expect(element(by.css('.footer')).getText()).toEqual('Fallback Footer'); - * }); - * - *
            */ -var ngTranscludeMinErr = minErr('ngTransclude'); var ngTranscludeDirective = ngDirective({ restrict: 'EAC', link: function($scope, $element, $attrs, controller, $transclude) { - - if ($attrs.ngTransclude === $attrs.$attr.ngTransclude) { - // If the attribute is of the form: `ng-transclude="ng-transclude"` - // then treat it like the default - $attrs.ngTransclude = ''; - } - - function ngTranscludeCloneAttachFn(clone) { - if (clone.length) { - $element.empty(); - $element.append(clone); - } - } - if (!$transclude) { - throw ngTranscludeMinErr('orphan', + throw minErr('ngTransclude')('orphan', 'Illegal use of ngTransclude directive in the template! ' + 'No parent directive that requires a transclusion found. ' + 'Element: {0}', startingTag($element)); } - // If there is no slot name defined or the slot name is not optional - // then transclude the slot - var slotName = $attrs.ngTransclude || $attrs.ngTranscludeSlot; - $transclude(ngTranscludeCloneAttachFn, null, slotName); + $transclude(function(clone) { + $element.empty(); + $element.append(clone); + }); } }); @@ -39074,7 +37514,7 @@ function chromeHack(optionElement) { * added `
            - * - * - var required = element(by.binding('form.input.$error.required')); - var model = element(by.binding('model')); - var input = element(by.id('input')); - - it('should set the required error', function() { - expect(required.getText()).toContain('true'); - - input.sendKeys('123'); - expect(required.getText()).not.toContain('true'); - expect(model.getText()).toContain('123'); - }); - * - * - */ var requiredDirective = function() { return { restrict: 'A', @@ -39619,81 +37989,7 @@ var requiredDirective = function() { }; }; -/** - * @ngdoc directive - * @name ngPattern - * - * @description - * - * ngPattern adds the pattern {@link ngModel.NgModelController#$validators `validator`} to {@link ngModel `ngModel`}. - * It is most often used for text-based {@link input `input`} controls, but can also be applied to custom text-based controls. - * - * The validator sets the `pattern` error key if the {@link ngModel.NgModelController#$viewValue `ngModel.$viewValue`} - * does not match a RegExp which is obtained by evaluating the Angular expression given in the - * `ngPattern` attribute value: - * * If the expression evaluates to a RegExp object, then this is used directly. - * * If the expression evaluates to a string, then it will be converted to a RegExp after wrapping it - * in `^` and `$` characters. For instance, `"abc"` will be converted to `new RegExp('^abc$')`. - * - *
            - * **Note:** Avoid using the `g` flag on the RegExp, as it will cause each successive search to - * start at the index of the last search's match, thus not taking the whole input value into - * account. - *
            - * - *
            - * **Note:** This directive is also added when the plain `pattern` attribute is used, with two - * differences: - *
              - *
            1. - * `ngPattern` does not set the `pattern` attribute and therefore HTML5 constraint validation is - * not available. - *
            2. - *
            3. - * The `ngPattern` attribute must be an expression, while the `pattern` value must be - * interpolated. - *
            4. - *
            - *
            - * - * @example - * - * - * - *
            - *
            - * - * - *
            - * - *
            - *
            - * input valid? = {{form.input.$valid}}
            - * model = {{model}} - *
            - *
            - *
            - * - var model = element(by.binding('model')); - var input = element(by.id('input')); - - it('should validate the input with the default pattern', function() { - input.sendKeys('aaa'); - expect(model.getText()).not.toContain('aaa'); - input.clear().then(function() { - input.sendKeys('123'); - expect(model.getText()).toContain('123'); - }); - }); - * - *
            - */ var patternDirective = function() { return { restrict: 'A', @@ -39725,72 +38021,7 @@ var patternDirective = function() { }; }; -/** - * @ngdoc directive - * @name ngMaxlength - * - * @description - * - * ngMaxlength adds the maxlength {@link ngModel.NgModelController#$validators `validator`} to {@link ngModel `ngModel`}. - * It is most often used for text-based {@link input `input`} controls, but can also be applied to custom text-based controls. - * - * The validator sets the `maxlength` error key if the {@link ngModel.NgModelController#$viewValue `ngModel.$viewValue`} - * is longer than the integer obtained by evaluating the Angular expression given in the - * `ngMaxlength` attribute value. - * - *
            - * **Note:** This directive is also added when the plain `maxlength` attribute is used, with two - * differences: - *
              - *
            1. - * `ngMaxlength` does not set the `maxlength` attribute and therefore HTML5 constraint - * validation is not available. - *
            2. - *
            3. - * The `ngMaxlength` attribute must be an expression, while the `maxlength` value must be - * interpolated. - *
            4. - *
            - *
            - * - * @example - * - * - * - *
            - *
            - * - * - *
            - * - *
            - *
            - * input valid? = {{form.input.$valid}}
            - * model = {{model}} - *
            - *
            - *
            - * - var model = element(by.binding('model')); - var input = element(by.id('input')); - - it('should validate the input with the default maxlength', function() { - input.sendKeys('abcdef'); - expect(model.getText()).not.toContain('abcdef'); - input.clear().then(function() { - input.sendKeys('abcde'); - expect(model.getText()).toContain('abcde'); - }); - }); - * - *
            - */ var maxlengthDirective = function() { return { restrict: 'A', @@ -39811,70 +38042,6 @@ var maxlengthDirective = function() { }; }; -/** - * @ngdoc directive - * @name ngMinlength - * - * @description - * - * ngMinlength adds the minlength {@link ngModel.NgModelController#$validators `validator`} to {@link ngModel `ngModel`}. - * It is most often used for text-based {@link input `input`} controls, but can also be applied to custom text-based controls. - * - * The validator sets the `minlength` error key if the {@link ngModel.NgModelController#$viewValue `ngModel.$viewValue`} - * is shorter than the integer obtained by evaluating the Angular expression given in the - * `ngMinlength` attribute value. - * - *
            - * **Note:** This directive is also added when the plain `minlength` attribute is used, with two - * differences: - *
              - *
            1. - * `ngMinlength` does not set the `minlength` attribute and therefore HTML5 constraint - * validation is not available. - *
            2. - *
            3. - * The `ngMinlength` value must be an expression, while the `minlength` value must be - * interpolated. - *
            4. - *
            - *
            - * - * @example - * - * - * - *
            - *
            - * - * - *
            - * - *
            - *
            - * input valid? = {{form.input.$valid}}
            - * model = {{model}} - *
            - *
            - *
            - * - var model = element(by.binding('model')); - var input = element(by.id('input')); - - it('should validate the input with the default minlength', function() { - input.sendKeys('ab'); - expect(model.getText()).not.toContain('ab'); - - input.sendKeys('abc'); - expect(model.getText()).toContain('abc'); - }); - * - *
            - */ var minlengthDirective = function() { return { restrict: 'A', @@ -39896,9 +38063,7 @@ var minlengthDirective = function() { if (window.angular.bootstrap) { //AngularJS is already loaded, so we can return here... - if (window.console) { - console.log('WARNING: Tried to load angular more than once.'); - } + console.log('WARNING: Tried to load angular more than once.'); return; } @@ -39989,20 +38154,6 @@ $provide.value("$locale", { "Nov", "Dec" ], - "STANDALONEMONTH": [ - "January", - "February", - "March", - "April", - "May", - "June", - "July", - "August", - "September", - "October", - "November", - "December" - ], "WEEKENDRANGE": [ 5, 6 @@ -40046,7 +38197,6 @@ $provide.value("$locale", { ] }, "id": "en-us", - "localeID": "en_US", "pluralCat": function(n, opt_precision) { var i = n | 0; var vf = getVF(n, opt_precision); if (i == 1 && vf.v == 0) { return PLURAL_CATEGORY.ONE; } return PLURAL_CATEGORY.OTHER;} }); }]); @@ -40154,7 +38304,7 @@ angular.scenario.matcher = angular.scenario.matcher || function(name, fn) { */ angular.scenario.setUpAndRun = function(config) { var href = window.location.href; - var body = _jQuery(window.document.body); + var body = _jQuery(document.body); var output = []; var objModel = new angular.scenario.ObjectModel($runner); @@ -40437,7 +38587,7 @@ _jQuery.fn.bindings = function(windowJquery, bindExp) { evnt = new TransitionEvent(eventType, eventData); } catch (e) { - evnt = window.document.createEvent('TransitionEvent'); + evnt = document.createEvent('TransitionEvent'); evnt.initTransitionEvent(eventType, null, null, null, eventData.elapsedTime || 0); } } @@ -40450,14 +38600,14 @@ _jQuery.fn.bindings = function(windowJquery, bindExp) { evnt = new AnimationEvent(eventType, eventData); } catch (e) { - evnt = window.document.createEvent('AnimationEvent'); + evnt = document.createEvent('AnimationEvent'); evnt.initAnimationEvent(eventType, null, null, null, eventData.elapsedTime || 0); } } } else if (/touch/.test(eventType) && supportsTouchEvents()) { evnt = createTouchEvent(element, eventType, x, y); } else { - evnt = window.document.createEvent('MouseEvents'); + evnt = document.createEvent('MouseEvents'); x = x || 0; y = y || 0; evnt.initMouseEvent(eventType, true, true, window, 0, x, y, x, y, pressed('ctrl'), @@ -40496,12 +38646,12 @@ _jQuery.fn.bindings = function(windowJquery, bindExp) { if ('_cached' in supportsTouchEvents) { return supportsTouchEvents._cached; } - if (!window.document.createTouch || !window.document.createTouchList) { + if (!document.createTouch || !document.createTouchList) { supportsTouchEvents._cached = false; return false; } try { - window.document.createEvent('TouchEvent'); + document.createEvent('TouchEvent'); } catch (e) { supportsTouchEvents._cached = false; return false; @@ -40511,12 +38661,12 @@ _jQuery.fn.bindings = function(windowJquery, bindExp) { } function createTouchEvent(element, eventType, x, y) { - var evnt = new window.Event(eventType); + var evnt = new Event(eventType); x = x || 0; y = y || 0; - var touch = window.document.createTouch(window, element, Date.now(), x, y, x, y); - var touches = window.document.createTouchList(touch); + var touch = document.createTouch(window, element, Date.now(), x, y, x, y); + var touches = document.createTouchList(touch); evnt.touches = touches; @@ -40588,7 +38738,7 @@ angular.scenario.Application.prototype.navigateTo = function(url, loadFn, errorF self.context.find('#test-frames').append('